From 1d407a5f8ab1a828ab9933ae004e0e1bd7a04d58 Mon Sep 17 00:00:00 2001 From: Daniel Newbrook <dwn1c21@soton.ac.uk> Date: Wed, 23 Oct 2024 13:46:19 +0100 Subject: [PATCH] v1.0 Working CPU subsystem, still to check DAP working in FPGA --- .gitignore | 10 +- .gitmodules | 3 + README.md | 90 +- flist/IP/AHB_SRAM.flist | 6 + flist/IP/AHB_SRAM_BEHAV.flist | 4 + flist/IP/ARM_Cortex_A53.flist | 365 + flist/IP/CA53_tarmac.flist | 6 + flist/IP/Corstone101.flist | 6 + flist/IP/GIC400.flist | 20 + flist/IP/PL011.flist | 25 + flist/IP/SIE300_SRAM_controller.flist | 26 + flist/IP/nic400_megasoc_main.flist | 194 + flist/megasoc_tech.flist | 0 flist/megasoc_tech_BEHAV.flist | 36 + flist/megasoc_tech_FPGA.flist | 34 + logical/ROM/ASIC/TSMC16nm/ROM_wrapper.v | 156 + logical/ROM/ROM_wrapper copy.v | 153 + logical/ROM/ROM_wrapper.v | 162 + logical/ROM/behavioural/ROM_wrapper.v | 153 + logical/ROM/fpga/ROM_wrapper.v | 162 + logical/SRAM/{logical => behavioural}/SRAM.v | 20 +- logical/SRAM/behavioural/SRAM_wrapper.v | 153 + logical/SRAM/logical/SRAM_wrapper.v | 40 +- logical/ahb_SRAM/FPGA/sl_ahb_sram.v | 56 + logical/ahb_SRAM/behavioural/sl_ahb_sram.v | 63 + logical/ahb_SRAM/sl_ahb_sram.v | 94 + .../megasoc_cpu_subsystem/megasoc_cpu_ss.v | 588 + .../megasoc_cpu_subsystem/megasoc_irq_sync.v | 34 + .../megasoc_peripheral_subsystem.v | 227 + logical/sl_ahb_qspi | 1 + .../megasoc_tech_system_wrapper.v | 28 + .../top_megasoc_tech/megasoc_tech_wrapper.v | 794 +- makefile | 4 +- socrates/BP301_SRAM/config/SRAM_ctrl.yaml | 2 +- socrates/CortexA53_1/CortexA53_1.xml | 885 +- .../nic400_megasoc_main.xml | 2003 + .../sie300_axi5_sram_ctrl_1.xml | 111 + software/lib/common/Makefile.c_host | 229 + software/lib/common/Makefile.sim | 60 + .../lib/sw_lib/apps/include/cpu_asm_codes.h | 56 + software/lib/sw_lib/apps/include/intrinsics.h | 57 + software/lib/sw_lib/apps/include/ipc.h | 65 + software/lib/sw_lib/apps/include/irq.h | 49 + software/lib/sw_lib/apps/include/system.h | 73 + software/lib/sw_lib/apps/src/boot.s | 162 + software/lib/sw_lib/apps/src/cpu_asm_codes.c | 458 + software/lib/sw_lib/apps/src/ipc.s | 61 + software/lib/sw_lib/apps/src/irq.s | 53 + software/lib/sw_lib/apps/src/page_table.s | 1091 + software/lib/sw_lib/apps/src/platform.c | 139 + software/lib/sw_lib/apps/src/system.c | 339 + software/lib/sw_lib/apps/src/vect_64.s | 180 + .../common/include/host_chassis_control.h | 599 + .../common/include/system_level_functions.h | 140 + .../common/src/system_level_functions.c | 878 + software/lib/sw_lib/devices/include/CMSDK.h | 140 + .../lib/sw_lib/devices/include/arm_bf16.h | 20 + .../lib/sw_lib/devices/include/arm_neon.h | 69643 ++++++++++++++++ .../sw_lib/devices/include/arm_vector_types.h | 345 + software/lib/sw_lib/devices/include/gic400.h | 161 + .../sw_lib/devices/include/global_defines.h | 42 + .../lib/sw_lib/devices/include/qspi_flash.h | 61 + .../lib/sw_lib/devices/include/uart_stdout.h | 32 + software/lib/sw_lib/devices/src/gic400.c | 329 + software/lib/sw_lib/devices/src/qspi_flash.c | 70 + software/lib/sw_lib/devices/src/uart_stdout.c | 65 + .../lib/sw_lib/host/include/clus_pe_cnt.h | 89 + .../sw_lib/host/include/il_mem_map_includes.h | 36 + .../lib/sw_lib/host/include/il_sys_includes.h | 25 + software/lib/sw_lib/host/include/platform.h | 32 + .../lib/sw_lib/host/include/sys_intr_map.h | 29 + .../lib/sw_lib/host/include/sys_memory_map.h | 45 + .../lib/sw_lib/host/include/system_defines.h | 16 + software/lib/sw_lib/host/scat/bootloader.scat | 28 + software/lib/sw_lib/host/scat/default.ld | 150 + software/lib/sw_lib/host/scat/default.scat | 43 + software/lib/sw_lib/host/src/sys_utils.c | 114 + software/shared/bin/cxdt.bin | 1 + software/shared/bin/cxdt_empty.bin | Bin 0 -> 46072 bytes .../bin/memory_image_scripts/cg071_elf2hex | Bin 0 -> 24144 bytes .../bin/memory_image_scripts/cg071_elf2mem | Bin 0 -> 3402460 bytes .../cg071_gcc_hex_convert.pl | 56 + software/src/bootloader/bootloader.c | 27 + software/src/bootloader/makefile | 65 + software/src/dap_tests/dap_tests.c | 53 + software/src/dap_tests/makefile | 63 + software/src/gic_tests/gic_tests.c | 147 + software/src/gic_tests/makefile | 63 + software/src/hello_world/hello_world.c | 10 + software/src/hello_world/makefile | 63 + software/src/mem_tests/makefile | 63 + software/src/mem_tests/mem_tests.c | 19 + software/src/neon_tests/makefile | 63 + software/src/neon_tests/neon_tests.c | 32 + 94 files changed, 82765 insertions(+), 878 deletions(-) create mode 100644 .gitmodules create mode 100644 flist/IP/AHB_SRAM.flist create mode 100644 flist/IP/AHB_SRAM_BEHAV.flist create mode 100644 flist/IP/ARM_Cortex_A53.flist create mode 100644 flist/IP/CA53_tarmac.flist create mode 100644 flist/IP/Corstone101.flist create mode 100644 flist/IP/GIC400.flist create mode 100644 flist/IP/PL011.flist create mode 100644 flist/IP/SIE300_SRAM_controller.flist create mode 100644 flist/IP/nic400_megasoc_main.flist delete mode 100644 flist/megasoc_tech.flist create mode 100644 flist/megasoc_tech_BEHAV.flist create mode 100644 flist/megasoc_tech_FPGA.flist create mode 100644 logical/ROM/ASIC/TSMC16nm/ROM_wrapper.v create mode 100644 logical/ROM/ROM_wrapper copy.v create mode 100644 logical/ROM/ROM_wrapper.v create mode 100644 logical/ROM/behavioural/ROM_wrapper.v create mode 100644 logical/ROM/fpga/ROM_wrapper.v rename logical/SRAM/{logical => behavioural}/SRAM.v (89%) create mode 100644 logical/SRAM/behavioural/SRAM_wrapper.v create mode 100644 logical/ahb_SRAM/FPGA/sl_ahb_sram.v create mode 100644 logical/ahb_SRAM/behavioural/sl_ahb_sram.v create mode 100644 logical/ahb_SRAM/sl_ahb_sram.v create mode 100644 logical/megasoc_subsystems/megasoc_cpu_subsystem/megasoc_cpu_ss.v create mode 100644 logical/megasoc_subsystems/megasoc_cpu_subsystem/megasoc_irq_sync.v create mode 100644 logical/megasoc_subsystems/peripheral_subsystem/megasoc_peripheral_subsystem.v create mode 160000 logical/sl_ahb_qspi create mode 100644 logical/top_megasoc_tech/megasoc_tech_system_wrapper.v create mode 100644 socrates/nic400_megasoc_main/nic400_megasoc_main.xml create mode 100644 socrates/sie300/sie300_axi5_sram_ctrl_1/sie300_axi5_sram_ctrl_1.xml create mode 100644 software/lib/common/Makefile.c_host create mode 100755 software/lib/common/Makefile.sim create mode 100755 software/lib/sw_lib/apps/include/cpu_asm_codes.h create mode 100755 software/lib/sw_lib/apps/include/intrinsics.h create mode 100755 software/lib/sw_lib/apps/include/ipc.h create mode 100755 software/lib/sw_lib/apps/include/irq.h create mode 100755 software/lib/sw_lib/apps/include/system.h create mode 100755 software/lib/sw_lib/apps/src/boot.s create mode 100755 software/lib/sw_lib/apps/src/cpu_asm_codes.c create mode 100755 software/lib/sw_lib/apps/src/ipc.s create mode 100755 software/lib/sw_lib/apps/src/irq.s create mode 100755 software/lib/sw_lib/apps/src/page_table.s create mode 100755 software/lib/sw_lib/apps/src/platform.c create mode 100755 software/lib/sw_lib/apps/src/system.c create mode 100755 software/lib/sw_lib/apps/src/vect_64.s create mode 100755 software/lib/sw_lib/common/include/host_chassis_control.h create mode 100755 software/lib/sw_lib/common/include/system_level_functions.h create mode 100755 software/lib/sw_lib/common/src/system_level_functions.c create mode 100644 software/lib/sw_lib/devices/include/CMSDK.h create mode 100644 software/lib/sw_lib/devices/include/arm_bf16.h create mode 100644 software/lib/sw_lib/devices/include/arm_neon.h create mode 100644 software/lib/sw_lib/devices/include/arm_vector_types.h create mode 100755 software/lib/sw_lib/devices/include/gic400.h create mode 100755 software/lib/sw_lib/devices/include/global_defines.h create mode 100644 software/lib/sw_lib/devices/include/qspi_flash.h create mode 100644 software/lib/sw_lib/devices/include/uart_stdout.h create mode 100755 software/lib/sw_lib/devices/src/gic400.c create mode 100644 software/lib/sw_lib/devices/src/qspi_flash.c create mode 100644 software/lib/sw_lib/devices/src/uart_stdout.c create mode 100644 software/lib/sw_lib/host/include/clus_pe_cnt.h create mode 100755 software/lib/sw_lib/host/include/il_mem_map_includes.h create mode 100755 software/lib/sw_lib/host/include/il_sys_includes.h create mode 100755 software/lib/sw_lib/host/include/platform.h create mode 100644 software/lib/sw_lib/host/include/sys_intr_map.h create mode 100644 software/lib/sw_lib/host/include/sys_memory_map.h create mode 100755 software/lib/sw_lib/host/include/system_defines.h create mode 100644 software/lib/sw_lib/host/scat/bootloader.scat create mode 100755 software/lib/sw_lib/host/scat/default.ld create mode 100755 software/lib/sw_lib/host/scat/default.scat create mode 100644 software/lib/sw_lib/host/src/sys_utils.c create mode 120000 software/shared/bin/cxdt.bin create mode 100755 software/shared/bin/cxdt_empty.bin create mode 100755 software/shared/tools/bin/memory_image_scripts/cg071_elf2hex create mode 100755 software/shared/tools/bin/memory_image_scripts/cg071_elf2mem create mode 100755 software/shared/tools/bin/memory_image_scripts/cg071_gcc_hex_convert.pl create mode 100644 software/src/bootloader/bootloader.c create mode 100644 software/src/bootloader/makefile create mode 100644 software/src/dap_tests/dap_tests.c create mode 100644 software/src/dap_tests/makefile create mode 100644 software/src/gic_tests/gic_tests.c create mode 100644 software/src/gic_tests/makefile create mode 100644 software/src/hello_world/hello_world.c create mode 100644 software/src/hello_world/makefile create mode 100644 software/src/mem_tests/makefile create mode 100644 software/src/mem_tests/mem_tests.c create mode 100644 software/src/neon_tests/makefile create mode 100644 software/src/neon_tests/neon_tests.c diff --git a/.gitignore b/.gitignore index e3ca125..7c0e140 100644 --- a/.gitignore +++ b/.gitignore @@ -1,3 +1,11 @@ logical/CortexA53_1 +logical/GIC400 logical/sie300 -logical/shared \ No newline at end of file +logical/SMC +logical/shared +logical/nic400_megasoc_main + +software/build + +.ecmproject +.project \ No newline at end of file diff --git a/.gitmodules b/.gitmodules new file mode 100644 index 0000000..0f116cb --- /dev/null +++ b/.gitmodules @@ -0,0 +1,3 @@ +[submodule "logical/sl_ahb_qspi"] + path = logical/sl_ahb_qspi + url = https://git.soton.ac.uk/soclabs/ahb_qspi.git diff --git a/README.md b/README.md index d9e1ce8..923e349 100644 --- a/README.md +++ b/README.md @@ -1,93 +1,33 @@ # MegaSoC Tech +Part of the MegaSoC SoC reference design. -## Getting started +## Pre-requisites +You will need the following IP from Arm, all available through Arm Academic Access (AAA) -To make it easy for you to get started with GitLab, here's a list of recommended next steps. +- Cortex A55 +- NIC400 -Already a pro? Just edit this README.md and make it your own. Want to make it easy? [Use the template at the bottom](#editing-this-readme)! +You will also need installed +- Socrates +- A simulator (e.g. QuestaSim, VCS) -## Add your files +For FPGA development you will need a Vivado installation -- [ ] [Create](https://docs.gitlab.com/ee/user/project/repository/web_editor.html#create-a-file) or [upload](https://docs.gitlab.com/ee/user/project/repository/web_editor.html#upload-a-file) files -- [ ] [Add files using the command line](https://docs.gitlab.com/ee/gitlab-basics/add-file.html#add-a-file-using-the-command-line) or push an existing Git repository with the following command: - -``` -cd existing_repo -git remote add origin https://git.soton.ac.uk/soclabs/megasoc_tech.git -git branch -M main -git push -uf origin main -``` - -## Integrate with your tools - -- [ ] [Set up project integrations](https://git.soton.ac.uk/soclabs/megasoc_tech/-/settings/integrations) - -## Collaborate with your team - -- [ ] [Invite team members and collaborators](https://docs.gitlab.com/ee/user/project/members/) -- [ ] [Create a new merge request](https://docs.gitlab.com/ee/user/project/merge_requests/creating_merge_requests.html) -- [ ] [Automatically close issues from merge requests](https://docs.gitlab.com/ee/user/project/issues/managing_issues.html#closing-issues-automatically) -- [ ] [Enable merge request approvals](https://docs.gitlab.com/ee/user/project/merge_requests/approvals/) -- [ ] [Set auto-merge](https://docs.gitlab.com/ee/user/project/merge_requests/merge_when_pipeline_succeeds.html) - -## Test and Deploy - -Use the built-in continuous integration in GitLab. - -- [ ] [Get started with GitLab CI/CD](https://docs.gitlab.com/ee/ci/quick_start/index.html) -- [ ] [Analyze your code for known vulnerabilities with Static Application Security Testing (SAST)](https://docs.gitlab.com/ee/user/application_security/sast/) -- [ ] [Deploy to Kubernetes, Amazon EC2, or Amazon ECS using Auto Deploy](https://docs.gitlab.com/ee/topics/autodevops/requirements.html) -- [ ] [Use pull-based deployments for improved Kubernetes management](https://docs.gitlab.com/ee/user/clusters/agent/) -- [ ] [Set up protected environments](https://docs.gitlab.com/ee/ci/environments/protected_environments.html) - -*** - -# Editing this README - -When you're ready to make this README your own, just edit this file and use the handy template below (or feel free to structure it however you want - this is just a starting point!). Thanks to [makeareadme.com](https://www.makeareadme.com/) for this template. - -## Suggestions for a good README - -Every project is different, so consider which of these sections apply to yours. The sections used in the template are suggestions for most open source projects. Also keep in mind that while a README can be too long and detailed, too long is better than too short. If you think your README is too long, consider utilizing another form of documentation rather than cutting out information. - -## Name -Choose a self-explaining name for your project. - -## Description -Let people know what your project can do specifically. Provide context and add a link to any reference visitors might be unfamiliar with. A list of Features or a Background subsection can also be added here. If there are alternatives to your project, this is a good place to list differentiating factors. - -## Badges -On some READMEs, you may see small images that convey metadata, such as whether or not all the tests are passing for the project. You can use Shields to add some to your README. Many services also have instructions for adding a badge. +## Usage -## Visuals -Depending on what you are making, it can be a good idea to include screenshots or even a video (you'll frequently see GIFs rather than actual videos). Tools like ttygif can help, but check out Asciinema for a more sophisticated method. +## Memory Map -## Installation -Within a particular ecosystem, there may be a common way of installing things, such as using Yarn, NuGet, or Homebrew. However, consider the possibility that whoever is reading your README is a novice and would like more guidance. Listing specific steps helps remove ambiguity and gets people to using your project as quickly as possible. If it only runs in a specific context like a particular programming language version or operating system or has dependencies that have to be installed manually, also add a Requirements subsection. - -## Usage -Use examples liberally, and show the expected output if you can. It's helpful to have inline the smallest example of usage that you can demonstrate, while providing links to more sophisticated examples if they are too long to reasonably include in the README. ## Support -Tell people where they can go to for help. It can be any combination of an issue tracker, a chat room, an email address, etc. - -## Roadmap -If you have ideas for releases in the future, it is a good idea to list them in the README. +For support please go to [soclabs.org](https://soclabs.org/) ## Contributing -State if you are open to contributions and what your requirements are for accepting them. - -For people who want to make changes to your project, it's helpful to have some documentation on how to get started. Perhaps there is a script that they should run or some environment variables that they need to set. Make these steps explicit. These instructions could also be useful to your future self. - -You can also document commands to lint the code or run tests. These steps help to ensure high code quality and reduce the likelihood that the changes inadvertently break something. Having instructions for running tests is especially helpful if it requires external setup, such as starting a Selenium server for testing in a browser. +Open to collaborations, if you're interested please head over to soclabs.org and register your interest on the megasoc reference design project ## Authors and acknowledgment -Show your appreciation to those who have contributed to the project. - -## License -For open source projects, say how it is licensed. +Daniel Newbrook (d.newbrook@soton.ac.uk) ## Project status -If you have run out of energy or time for your project, put a note at the top of the README saying that development has slowed down or stopped completely. Someone may choose to fork your project or volunteer to step in as a maintainer or owner, allowing your project to keep going. You can also make an explicit request for maintainers. +Under Development \ No newline at end of file diff --git a/flist/IP/AHB_SRAM.flist b/flist/IP/AHB_SRAM.flist new file mode 100644 index 0000000..e51da72 --- /dev/null +++ b/flist/IP/AHB_SRAM.flist @@ -0,0 +1,6 @@ + + +$(SOCLABS_MEGASOC_TECH_DIR)/logical/ahb_SRAM/sl_ahb_sram.v +$(ARM_IP_LIBRARY_PATH)/Corstone-101/BP210-r1p1-00rel0/BP210-BU-00000-r1p1-00rel0/logical/models/memories/cmsdk_fpga_sram.v +$(ARM_IP_LIBRARY_PATH)/Corstone-101/BP210-r1p1-00rel0/BP210-BU-00000-r1p1-00rel0/logical/cmsdk_ahb_to_sram/verilog/cmsdk_ahb_to_sram.v +// $(ARM_IP_LIBRARY_PATH)/latest/Corstone-101/logical/models/memories/cmsdk_ahb_ram_beh.v diff --git a/flist/IP/AHB_SRAM_BEHAV.flist b/flist/IP/AHB_SRAM_BEHAV.flist new file mode 100644 index 0000000..e52ffd7 --- /dev/null +++ b/flist/IP/AHB_SRAM_BEHAV.flist @@ -0,0 +1,4 @@ + + +$(SOCLABS_MEGASOC_TECH_DIR)/logical/ahb_SRAM/behavioural/sl_ahb_sram.v +$(ARM_IP_LIBRARY_PATH)/latest/Corstone-101/logical/models/memories/cmsdk_ahb_ram_beh.v diff --git a/flist/IP/ARM_Cortex_A53.flist b/flist/IP/ARM_Cortex_A53.flist new file mode 100644 index 0000000..f368fbe --- /dev/null +++ b/flist/IP/ARM_Cortex_A53.flist @@ -0,0 +1,365 @@ +//----------------------------------------------------------------------------- +// MegaSoC Arm Cortex A53 Filelist +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Mapstone (d.a.mapstone@soton.ac.uk) +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-4, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +//----------------------------------------------------------------------------- +// Abstract : Verilog Command File for Arm Cortex A53 IP +//----------------------------------------------------------------------------- + ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53biu/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dcu/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gic/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53stb/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53tlb/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53univent/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbap/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbmux/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/daplite/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/daprom/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog + +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53biu/verilog/ca53biu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53biu/verilog/ca53biu_addr_req_arbiter.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53biu/verilog/ca53biu_data_read_buffers.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53biu/verilog/ca53biu_data_write_buffers.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53biu/verilog/ca53biu_dcu_alloc_mngmt.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53biu/verilog/ca53biu_devsplit_mngmt.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53biu/verilog/ca53biu_dvm_enc.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53biu/verilog/ca53biu_linefill_descriptor.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53biu/verilog/ca53biu_linefills_mngmt.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53biu/verilog/ca53biu_prefetch_stream_mngmt.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53cti/verilog/ca53cti.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53cti/verilog/ca53cti_apbif.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53cti/verilog/ca53cti_ci.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53cti/verilog/ca53cti_clkgate.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53cti/verilog/ca53cti_mapper.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53cti/verilog/ca53cti_ti.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dcu/verilog/ca53dcu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dcu/verilog/ca53dcu_cachearb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dcu/verilog/ca53dcu_cachearb_seq_state.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dcu/verilog/ca53dcu_ccbctl.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dcu/verilog/ca53dcu_cp15.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dcu/verilog/ca53dcu_dvm.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dcu/verilog/ca53dcu_ecc_correction.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dcu/verilog/ca53dcu_lspipe.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_unpack_opb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_agu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_iq.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_au.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_iq_dih.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_clz.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_ldst.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_crc32.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec_imm_other.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_extract.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec_late_neon.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_extract_64.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_div.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_gen_sat.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_mac.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_lu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_div_csa.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_mask_imm.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dp.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_maskgen.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_div_quot.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_masksel.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_neon_ld.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_rbit.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_early_exception.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_rbit_64.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_etmif.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_sat_dbl.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_neon_lu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_sbitx.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_neon_perm_ctl.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_shift.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_exception.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_alu_simd_sat.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_br.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_cp.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_neon_permutation.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_cpsr.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_neon_polymul.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_ctl.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_ctl_reg_aa32_aa64.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_clz54.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_ctl_reg_trans.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_clz64.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_ctl_regexpand.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_neon_reduce.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dbg.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_de.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_neon_shift.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_de_pc.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_div.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_de_reg_extract.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_dp.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_de_reg_trans.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_mul.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_de_regexpand.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_neon_shift8.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_de_rp_dec.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_neon_shift_sat.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec0_br.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_neon_swap_max.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec0_dp.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_neon_vector_maxmin.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec0_ls.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_neon_vrec_est.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec0_neon.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec0_other.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_pmu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec1.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_psr_regfile.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec1_br.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_mul_array.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec1_late_neon.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_regbank.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec1_ls.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_search_rl.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec1_neon.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_regbank.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec_forceop.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_special.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec_imm_dp.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_store.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_dec_imm_ls.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_alu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_shift7.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_alu_denorm.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_unpack_opa.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_alu_renorm.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_swizzle_load.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_cg.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_swizzle_store.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_clz106.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_utlb_intf_entry.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_fp_clz24.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53dpu/verilog/ca53dpu_utlb_main_entry.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_apb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_clk.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_cmp.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_counter.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_derived_res.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_event.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_extin.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_extout.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_fifo.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_fifo_pack.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_fifo_rotate.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_resources.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_rsrc_sel.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_rsrc_sel_pair.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_trace_gen.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_traceout.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53etm/verilog/ca53etm_viewinst.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gic/verilog/ca53gic_arb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gic/verilog/ca53gic_arb_m.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gic/verilog/ca53gic_arb_s.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gic/verilog/ca53gic_cpu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gic/verilog/ca53gic_cpu_cpacket.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gic/verilog/ca53gic_cpu_cpo.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gic/verilog/ca53gic_cpu_dpacket.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gic/verilog/ca53gic_cpu_pcpu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gic/verilog/ca53gic_cpu_vcpu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_apb_bridge.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_apb_dec.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_cpu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_cpu_atb_bridge.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_cpu_clk_reset.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_cpu_debug.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_cpu_power.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_cpu_slice.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_cpu_timers.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_ctm.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_power.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_romtable.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53gov/verilog/ca53governor_slice.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_cp15.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_ctl.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_lfb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_lfb_ctl.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pd.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pd_a32.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pd_a64.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pd_debug_dec.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pd_debug_dec_a64.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pd_debug_dec_t32.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pd_slice.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pd_t16.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pd_t32.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pd_thumb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pdc.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pdc_t16.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pdc_t32.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_bpd.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_btac.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_btic.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_crs.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_dec_branch.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_dec_branch_s32.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_dec_class.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_dec_d1.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_dec_t16t32.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_iq_format_i0.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_iq_format_i1.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_iq_format_ret.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_it_undef.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_pdc_checker.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_throttle.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_utlb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_utlb_intf_entry.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53ifu/verilog/ca53ifu_pf_utlb_main_entry.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_acpslv.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_afb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_buf_age.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_clk.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_config.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_cpuslv.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_dvmcomp.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_l2db.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_lfsr.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_lfsr_arb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_master.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_master_ace.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_master_retries.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_master_skyros.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_ramctl.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_reqbuf_acp.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_reqbuf_cpu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_reqbuf_ecc.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_reqbuf_snp.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_reqbuf_sync.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_sam.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_snpslv.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_tagctl.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_tagctl_ecc.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53scu/verilog/ca53scu_victimctl.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53stb/verilog/ca53stb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53stb/verilog/ca53stb_slot.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53tlb/verilog/ca53tlb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53tlb/verilog/ca53tlb_cp_regs.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53tlb/verilog/ca53tlb_dbg.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53tlb/verilog/ca53tlb_dbg_bkpt.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53tlb/verilog/ca53tlb_dbg_wpt.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53tlb/verilog/ca53tlb_lookup.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53tlb/verilog/ca53tlb_pagewalk.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53tlb/verilog/ca53tlb_ramctl.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53tlb/verilog/ca53tlb_remap.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/cortexa53/verilog/ca53_cpu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/cortexa53/verilog/ca53_cpu_reset.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/cortexa53/verilog/ca53_l2.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/cortexa53/verilog/ca53_l2noram.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/cortexa53/verilog/ca53_noram.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/cortexa53/verilog/CORTEXA53.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbap/verilog/DAPAPBAP.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbap/verilog/DAPApbApApbIf.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbap/verilog/DAPApbApDapIf.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbmux/verilog/DAPAPBMUX.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbmux/verilog/DAPApbMuxArbiter.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbmux/verilog/DAPApbMuxCLAMP.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbmux/verilog/DAPApbMuxClBit0.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbmux/verilog/DAPApbMuxClBit1.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbmux/verilog/DAPApbMuxClBus0.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbmux/verilog/DAPApbMuxSync.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapapbmux/verilog/DAPApbMuxSysSlv.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/daplite/verilog/DAPLITE.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/daplite/verilog/DAPLiteDecoder.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/daplite/verilog/DAPLiteMux.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/daplite/verilog/DAPLiteRomMux.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/daprom/verilog/DAPROM.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog/DAPDpApbIfClamp.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog/DAPDpApbSync.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog/DAPDpClamp0.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog/DAPDpEnSync.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog/DAPDpIMux.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog/DAPDpSync.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog/DAPJtagDpProtocol.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog/DAPSWJDP.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog/DAPSwDpApbIf.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog/DAPSwDpProtocol.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog/DAPSwDpSync.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/dapswjdp/verilog/DAPSwjWatcher.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/models/cells/generic/ca53_cell_clkgate.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/models/cells/generic/ca53_cell_inter_clkgate.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/models/cells/generic/ca53_cell_sync.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/models/rams/generic/ca53_caches_tlb_rams.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/models/rams/generic/ca53_generic_ram.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/models/rams/generic/ca53_l2_datarams.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/models/rams/generic/ca53_l2_tagrams.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/models/rams/generic/ca53scu_l1d_tagrams.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_gic_ext.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_biu_dpu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_gic_gov.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_biu_rams.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_gicarb_ext.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_gov_scu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_biu_scu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_gov_stb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_biu_tlb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_gov_tlb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dcu_biu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ifu_biu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dcu_ifu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ifu_rams.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dcu_rams.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ifu_tlb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dcu_stb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_rr_arb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dcu_tlb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_rr_reg_arb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_scu_dcu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dpu_dcu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_scu_ext.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dpu_etm.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_scu_rams.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dpu_gic.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_stb_biu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dpu_gov.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_stb_dpu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dpu_ifu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_stb_rams.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dpu_l2rams.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ecc_repair64.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dpu_rams.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_stb_scu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dpu_scu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_tlb_etm.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_dpu_tlb.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_tlb_rams.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ecc_check32.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ecc_check33.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ecc_check64.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ecc_fatal32.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ecc_fatal33.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ecc_fatal64.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_etm_gov.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ecc_generate32.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ecc_generate33.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ecc_generate64.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ecc_repair32.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_ecc_repair33.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_gov_biu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_gov_dcu.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/shared/verilog/ca53_gov_ifu.v diff --git a/flist/IP/CA53_tarmac.flist b/flist/IP/CA53_tarmac.flist new file mode 100644 index 0000000..5a7e84a --- /dev/null +++ b/flist/IP/CA53_tarmac.flist @@ -0,0 +1,6 @@ +//+define+CORTEXA53_UNIVENT +//+define+CORTEXA53_UNIVENT_DPI_CAPTURE + + ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53univent/verilog +$(SOCLABS_MEGASOC_TECH_DIR)/logical/CortexA53_1/logical/ca53univent/verilog/ca53_follower.sv \ No newline at end of file diff --git a/flist/IP/Corstone101.flist b/flist/IP/Corstone101.flist new file mode 100644 index 0000000..7ab746b --- /dev/null +++ b/flist/IP/Corstone101.flist @@ -0,0 +1,6 @@ + + +$(ARM_IP_LIBRARY_PATH)/latest/Corstone-101/logical/cmsdk_apb_uart/verilog/cmsdk_apb_uart.v +$(ARM_IP_LIBRARY_PATH)/latest/Corstone-101/logical/cmsdk_apb_slave_mux/verilog/cmsdk_apb_slave_mux.v +$(ARM_IP_LIBRARY_PATH)/latest/Corstone-101/logical/cmsdk_ahb_to_apb/verilog/cmsdk_ahb_to_apb.v +$(ARM_IP_LIBRARY_PATH)/latest/Corstone-101/logical/cmsdk_apb_timer/verilog/cmsdk_apb_timer.v \ No newline at end of file diff --git a/flist/IP/GIC400.flist b/flist/IP/GIC400.flist new file mode 100644 index 0000000..5b22137 --- /dev/null +++ b/flist/IP/GIC400.flist @@ -0,0 +1,20 @@ + + ++incdir+$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/GIC400.v + +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/gic400_axi_intf_addr_unpack.v +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/gic400_axi_intf_p1_tx_gen.v +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/gic400_axi_intf.v +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/gic400_clk.v +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/gic400_cpu_interface.v +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/gic400_cpu_lowids.v +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/gic400_distributor.v +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/gic400_interfaces.v +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/gic400_priority.v +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/gic400_spi_block.v +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/gic400_vcpu_interface_list_reg.v +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/gic400/verilog/gic400_vcpu_interface.v + +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/models/cells/generic/gic400_inter_clkgate.v +$(ARM_IP_LIBRARY_PATH)/GIC-400/PL490-BU-50000-r0p1-00rel0/gic400/logical/models/cells/generic/gic400_sync.v \ No newline at end of file diff --git a/flist/IP/PL011.flist b/flist/IP/PL011.flist new file mode 100644 index 0000000..c15d9f0 --- /dev/null +++ b/flist/IP/PL011.flist @@ -0,0 +1,25 @@ + + +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/Uart.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartApbif.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartBaudCntr.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartDataStp.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartDMA.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartInterrupt.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartIrDA.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartModem.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartReceive.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartRegBlock.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartRevAnd.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartRXCntl.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartRXFCntl.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartRXFIFO.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartRXParShft.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartRXRegFile.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartSynctoPCLK.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartSynctoUCLK.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartTest.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartTXCntl.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartTXFCntl.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartTXFIFO.v +$(ARM_IP_LIBRARY_PATH)/PL011/PL011-BU-00000-r1p5-00rel0/PL011_VC/uart_pl011/verilog/rtl_source/UartTXRegFile.v diff --git a/flist/IP/SIE300_SRAM_controller.flist b/flist/IP/SIE300_SRAM_controller.flist new file mode 100644 index 0000000..323f76b --- /dev/null +++ b/flist/IP/SIE300_SRAM_controller.flist @@ -0,0 +1,26 @@ + + +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/models/cells/generic/sie300_arm_and2.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/models/cells/generic/sie300_arm_or2.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/models/cells/generic/sie300_arm_sdff2yrpq.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/models/cells/generic/sie300_arm_xor2.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/shared/verilog/sie300_or_tree/verilog/sie300_or_tree.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/shared/verilog/sie300_sync/verilog/sie300_sync.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_addr_dec.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_arb.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_arq.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_awq.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_axi_mux.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_bq.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_clamp.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_eam.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_fifo.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_fifo_core.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_lpi_ctrl.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_one_hot.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_rbeat.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_resp_gen.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_rq.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_wbeat.sv +$(SOCLABS_MEGASOC_TECH_DIR)/logical/sie300/logical/sie300_axi5_sram_ctrl_1/verilog/sie300_axi5_sram_ctrl_1_wq.sv \ No newline at end of file diff --git a/flist/IP/nic400_megasoc_main.flist b/flist/IP/nic400_megasoc_main.flist new file mode 100644 index 0000000..d86f90d --- /dev/null +++ b/flist/IP/nic400_megasoc_main.flist @@ -0,0 +1,194 @@ +//----------------------------------------------------------------------------- +// MegaSoC NIC400 Main bus Filelist +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-4, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +//----------------------------------------------------------------------------- +// Abstract : Verilog Command File for NIC400 Main bus IP +//----------------------------------------------------------------------------- +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/nic400/verilog/nic400_megasoc_main.v + +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_DRAM/verilog/nic400_amib_DRAM_chan_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_DRAM/verilog/nic400_amib_DRAM_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_FLASH/verilog/nic400_amib_FLASH_a_gen_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_FLASH/verilog/nic400_amib_FLASH_ahb_m_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_FLASH/verilog/nic400_amib_FLASH_chan_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_FLASH/verilog/nic400_amib_FLASH_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_FLASH/verilog/nic400_amib_FLASH_s_gen_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_GIC/verilog/nic400_amib_GIC_chan_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_GIC/verilog/nic400_amib_GIC_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_RAM/verilog/nic400_amib_RAM_chan_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_RAM/verilog/nic400_amib_RAM_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_ROM/verilog/nic400_amib_ROM_chan_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_ROM/verilog/nic400_amib_ROM_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_apb_group0/verilog/nic400_amib_apb_group0_a_gen_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_apb_group0/verilog/nic400_amib_apb_group0_apb_m_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_apb_group0/verilog/nic400_amib_apb_group0_chan_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_apb_group0/verilog/nic400_amib_apb_group0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/asib_A53/verilog/nic400_asib_A53_chan_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/asib_A53/verilog/nic400_asib_A53_decode_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/asib_A53/verilog/nic400_asib_A53_maskcntl_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/asib_A53/verilog/nic400_asib_A53_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/asib_A53/verilog/nic400_asib_A53_rd_spi_cdas_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/asib_A53/verilog/nic400_asib_A53_wr_spi_cdas_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_add_sel_ml0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_add_sel_ml1_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_add_sel_ml2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_maskcntl_ml0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_maskcntl_ml1_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_maskcntl_ml2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_ml_blayer_0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_ml_build_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_ml_map_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_ml_mlayer_0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_ml_mlayer_1_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_ml_mlayer_2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_rd_spi_tt_s0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_rd_wr_arb_0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_rd_wr_arb_1_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_rd_wr_arb_2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_ret_sel_ml0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_ret_sel_ml1_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_ret_sel_ml2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_wr_sel_ml0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_wr_sel_ml1_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_wr_sel_ml2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog/nic400_bm0_wr_spi_tt_s0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_add_sel_ml0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_add_sel_ml1_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_add_sel_ml2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_add_sel_ml3_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_add_sel_ml4_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_maskcntl_ml0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_maskcntl_ml1_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_maskcntl_ml2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_maskcntl_ml3_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_maskcntl_ml4_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ml_blayer_0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ml_build_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ml_map_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ml_mlayer_0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ml_mlayer_1_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ml_mlayer_2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ml_mlayer_3_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ml_mlayer_4_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_rd_spi_tt_s0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ret_sel_ml0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ret_sel_ml1_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ret_sel_ml2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ret_sel_ml3_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_ret_sel_ml4_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_wr_sel_ml0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_wr_sel_ml1_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_wr_sel_ml2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_wr_sel_ml3_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_wr_sel_ml4_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog/nic400_bm1_wr_spi_tt_s0_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/cdc_blocks/verilog/nic400_cdc_bypass_sync_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/cdc_blocks/verilog/nic400_cdc_capt_nosync_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/cdc_blocks/verilog/nic400_cdc_capt_sync_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/cdc_blocks/verilog/nic400_cdc_comb_and2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/cdc_blocks/verilog/nic400_cdc_comb_mux2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/cdc_blocks/verilog/nic400_cdc_comb_or2_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/cdc_blocks/verilog/nic400_cdc_comb_or3_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/cdc_blocks/verilog/nic400_cdc_corrupt_gry_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/cdc_blocks/verilog/nic400_cdc_launch_gry_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/cdc_blocks/verilog/nic400_cdc_random_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/default_slave_ds_3/verilog/nic400_default_slave_ds_3_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_axi_to_itb_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_chan_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_downsize_itb_addr_fmt_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_downsize_rd_cam_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_downsize_rd_chan_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_downsize_rd_cntrl_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_downsize_resp_cam_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_downsize_wr_cntrl_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_downsize_wr_merge_buffer_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_downsize_wr_mux_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_downsize_wr_resp_block_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_itb_to_axi_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_master_domain_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog/nic400_ib_FLASH_ib_slave_domain_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_chan_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_downsize_rd_addr_fmt_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_downsize_rd_cam_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_downsize_rd_chan_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_downsize_rd_cntrl_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_downsize_resp_cam_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_downsize_wr_addr_fmt_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_downsize_wr_cntrl_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_downsize_wr_merge_buffer_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_downsize_wr_mux_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_downsize_wr_resp_block_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_maskcntl_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_master_domain_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog/nic400_ib_GIC_ib_slave_domain_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_axi_to_itb_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_chan_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_downsize_itb_addr_fmt_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_downsize_rd_cam_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_downsize_rd_chan_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_downsize_rd_cntrl_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_downsize_resp_cam_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_downsize_wr_cntrl_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_downsize_wr_merge_buffer_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_downsize_wr_mux_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_downsize_wr_resp_block_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_itb_to_axi_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_master_domain_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog/nic400_ib_apb_group0_ib_slave_domain_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_chan_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_downsize_rd_addr_fmt_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_downsize_rd_cam_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_downsize_rd_chan_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_downsize_rd_cntrl_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_downsize_resp_cam_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_downsize_wr_addr_fmt_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_downsize_wr_cntrl_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_downsize_wr_merge_buffer_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_downsize_wr_mux_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_downsize_wr_resp_block_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_maskcntl_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_master_domain_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog/nic400_ib_ib2_slave_domain_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/reg_slice/verilog/nic400_ax_reg_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/reg_slice/verilog/nic400_ax4_reg_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/reg_slice/verilog/nic400_buf_reg_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/reg_slice/verilog/nic400_ful_regd_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/reg_slice/verilog/nic400_fwd_regd_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/reg_slice/verilog/nic400_rd_reg_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/reg_slice/verilog/nic400_reg_slice_axi_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/reg_slice/verilog/nic400_rev_regd_slice_megasoc_main.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/reg_slice/verilog/nic400_wr_reg_slice_megasoc_main.v + ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_DRAM/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_FLASH/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_GIC/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_PERIPHERAL/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_RAM/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_ROM/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/amib_apb_group0/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/asib_A53/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm0/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/busmatrix_bm1/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/cdc_blocks/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/default_slave_ds_3/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_FLASH_ib/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_GIC_ib/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_PERIPHERAL_ib/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_apb_group0_ib/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/ib_ib2/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/reg_slice/verilog ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/nic400/verilog/Axi ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/nic400/verilog/Axi4PC ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/nic400/verilog/Ahb ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/nic400/verilog/AhbPC ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/nic400/verilog/ApbPC ++incdir+$(SOCLABS_MEGASOC_TECH_DIR)/logical/nic400_megasoc_main/logical/nic400_megasoc_main/nic400/verilog/Apb4PC diff --git a/flist/megasoc_tech.flist b/flist/megasoc_tech.flist deleted file mode 100644 index e69de29..0000000 diff --git a/flist/megasoc_tech_BEHAV.flist b/flist/megasoc_tech_BEHAV.flist new file mode 100644 index 0000000..712991e --- /dev/null +++ b/flist/megasoc_tech_BEHAV.flist @@ -0,0 +1,36 @@ +//----------------------------------------------------------------------------- +// MegaSoC Tech Filelist +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Mapstone (d.a.mapstone@soton.ac.uk) +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-3, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +//----------------------------------------------------------------------------- +// Abstract : Verilog Command File for MegaSoC Tech IP +//----------------------------------------------------------------------------- + +$(SOCLABS_MEGASOC_TECH_DIR)/logical/top_megasoc_tech/megasoc_tech_wrapper.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/megasoc_subsystems/megasoc_cpu_subsystem/megasoc_cpu_ss.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/megasoc_subsystems/megasoc_cpu_subsystem/megasoc_irq_sync.v + +$(SOCLABS_MEGASOC_TECH_DIR)/logical/ROM/behavioural/ROM_wrapper.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/SRAM/behavioural/SRAM.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/SRAM/behavioural/SRAM_wrapper.v + + +$(SOCLABS_MEGASOC_TECH_DIR)/logical/megasoc_subsystems/peripheral_subsystem/megasoc_peripheral_subsystem.v + +// -f $(SOCLABS_MEGASOC_TECH_DIR)/logical/sl_ahb_qspi/flist/Top/ahb_QSPI_SIM.flist +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/AHB_SRAM_BEHAV.flist +// ARM IP +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/ARM_Cortex_A53.flist +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/CA53_tarmac.flist +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/GIC400.flist +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/nic400_megasoc_main.flist +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/SIE300_SRAM_controller.flist +// -f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/PL011.flist +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/Corstone101.flist \ No newline at end of file diff --git a/flist/megasoc_tech_FPGA.flist b/flist/megasoc_tech_FPGA.flist new file mode 100644 index 0000000..5b8f6ba --- /dev/null +++ b/flist/megasoc_tech_FPGA.flist @@ -0,0 +1,34 @@ +//----------------------------------------------------------------------------- +// MegaSoC Tech Filelist +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Mapstone (d.a.mapstone@soton.ac.uk) +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-3, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +//----------------------------------------------------------------------------- +// Abstract : Verilog Command File for MegaSoC Tech IP +//----------------------------------------------------------------------------- + +$(SOCLABS_MEGASOC_TECH_DIR)/logical/top_megasoc_tech/megasoc_tech_wrapper.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/megasoc_subsystems/megasoc_cpu_subsystem/megasoc_cpu_ss.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/megasoc_subsystems/megasoc_cpu_subsystem/megasoc_irq_sync.v + +$(SOCLABS_MEGASOC_TECH_DIR)/logical/ROM/ROM_wrapper.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/SRAM/logical/SRAM.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/SRAM/logical/SRAM_wrapper.v +$(SOCLABS_MEGASOC_TECH_DIR)/logical/megasoc_subsystems/peripheral_subsystem/megasoc_peripheral_subsystem.v + +// -f $(SOCLABS_MEGASOC_TECH_DIR)/logical/sl_ahb_qspi/flist/Top/ahb_QSPI_SIM.flist +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/AHB_SRAM.flist +// ARM IP +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/ARM_Cortex_A53.flist +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/CA53_tarmac.flist +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/GIC400.flist +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/nic400_megasoc_main.flist +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/SIE300_SRAM_controller.flist +// -f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/PL011.flist +-f $(SOCLABS_MEGASOC_TECH_DIR)/flist/IP/Corstone101.flist \ No newline at end of file diff --git a/logical/ROM/ASIC/TSMC16nm/ROM_wrapper.v b/logical/ROM/ASIC/TSMC16nm/ROM_wrapper.v new file mode 100644 index 0000000..c68e6b8 --- /dev/null +++ b/logical/ROM/ASIC/TSMC16nm/ROM_wrapper.v @@ -0,0 +1,156 @@ +//----------------------------------------------------------------------------- +// Expansion Subsystem SRAM Wrapper +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-4, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +// Modules instantiated: +// sie300_axi5_sram_ctrl_expansion_subsystem +// SRAM +// +// ToDo +// -replace SRAM with TSMC ROM + +module ROM_wrapper( + input wire ACLK, + input wire ARESETn, + + input wire AWVALID, + output wire AWREADY, + input wire [5:0] AWID, + input wire [31:0] AWADDR, + input wire [7:0] AWLEN, + input wire [2:0] AWSIZE, + input wire [1:0] AWBURST, + input wire AWLOCK, + input wire [2:0] AWPROT, + input wire [3:0] AWQOS, + + input wire WVALID, + output wire WREADY, + input wire [63:0] WDATA, + input wire [7:0] WSTRB, + input wire WLAST, + input wire WPOISON, + + output wire BVALID, + input wire BREADY, + output wire [5:0] BID, + output wire [1:0] BRESP, + + input wire ARVALID, + output wire ARREADY, + input wire [5:0] ARID, + input wire [31:0] ARADDR, + input wire [7:0] ARLEN, + input wire [2:0] ARSIZE, + input wire [1:0] ARBURST, + input wire ARLOCK, + input wire [2:0] ARPROT, + input wire [3:0] ARQOS, + + output wire RVALID, + input wire RREADY, + output wire [5:0] RID, + output wire [63:0] RDATA, + output wire [1:0] RRESP, + output wire RLAST, + output wire RPOISON, + input wire AWAKEUP, + + input wire clk_qreqn, + output wire clk_qacceptn, + output wire clk_qdeny, + output wire clk_qactive, + + input wire pwr_qreqn, + output wire pwr_qacceptn, + output wire pwr_qdeny, + output wire pwr_qactive, + + input wire ext_gt_qreqn, + output wire ext_gt_qacceptn, + input wire cfg_gate_resp +); + + +wire [19:0] memaddr; +wire [64:0] memd; +wire [64:0] memq; +wire memcen; +wire [7:0] memwen; + +sie300_axi5_sram_ctrl_1 u_SMC( + .aclk(ACLK), + .aresetn(ARESETn), + .awvalid_s(AWVALID), + .awready_s(AWREADY), + .awid_s(AWID), + .awaddr_s(AWADDR[19:0]), + .awlen_s(AWLEN), + .awsize_s(AWSIZE), + .awburst_s(AWBURST), + .awlock_s(AWLOCK), + .awprot_s(AWPROT), + .awqos_s(AWQOS), + .wvalid_s(WVALID), + .wready_s(WREADY), + .wdata_s(WDATA), + .wstrb_s(WSTRB), + .wlast_s(WLAST), + .wpoison_s(WPOISON), + .bvalid_s(BVALID), + .bready_s(BREADY), + .bid_s(BID), + .bresp_s(BRESP), + .arvalid_s(ARVALID), + .arready_s(ARREADY), + .arid_s(ARID), + .araddr_s(ARADDR[19:0]), + .arlen_s(ARLEN), + .arsize_s(ARSIZE), + .arburst_s(ARBURST), + .arlock_s(ARLOCK), + .arprot_s(ARPROT), + .arqos_s(ARQOS), + .rvalid_s(RVALID), + .rready_s(RREADY), + .rid_s(RID), + .rdata_s(RDATA), + .rresp_s(RRESP), + .rlast_s(RLAST), + .rpoison_s(RPOISON), + .awakeup_s(AWAKEUP), + .clk_qreqn(clk_qreqn), + .clk_qacceptn(clk_qacceptn), + .clk_qdeny(clk_qdeny), + .clk_qactive(clk_qactive), + .pwr_qreqn(pwr_qreqn), + .pwr_qacceptn(pwr_qacceptn), + .pwr_qdeny(pwr_qdeny), + .pwr_qactive(pwr_qactive), + .ext_gt_qreqn(ext_gt_qreqn), + .ext_gt_qacceptn(ext_gt_qacceptn), + .cfg_gate_resp(cfg_gate_resp), + .memaddr(memaddr), + .memd(memd), + .memq(memq), + .memcen(memcen), + .memwen(memwen) +); + +SRAM #(.MEM_DEPTH(1<<14)) u_ROM ( + .clk(ACLK), + .memaddr(memaddr), + .memd(memd), + .memq(memq), + .memcen(memcen), + .memwen(memwen) +); + + +endmodule \ No newline at end of file diff --git a/logical/ROM/ROM_wrapper copy.v b/logical/ROM/ROM_wrapper copy.v new file mode 100644 index 0000000..9a98e98 --- /dev/null +++ b/logical/ROM/ROM_wrapper copy.v @@ -0,0 +1,153 @@ +//----------------------------------------------------------------------------- +// Expansion Subsystem SRAM Wrapper +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-4, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +// Modules instantiated: +// sie300_axi5_sram_ctrl_expansion_subsystem +// SRAM + +module ROM_wrapper( + input wire ACLK, + input wire ARESETn, + + input wire AWVALID, + output wire AWREADY, + input wire [5:0] AWID, + input wire [31:0] AWADDR, + input wire [7:0] AWLEN, + input wire [2:0] AWSIZE, + input wire [1:0] AWBURST, + input wire AWLOCK, + input wire [2:0] AWPROT, + input wire [3:0] AWQOS, + + input wire WVALID, + output wire WREADY, + input wire [63:0] WDATA, + input wire [7:0] WSTRB, + input wire WLAST, + input wire WPOISON, + + output wire BVALID, + input wire BREADY, + output wire [5:0] BID, + output wire [1:0] BRESP, + + input wire ARVALID, + output wire ARREADY, + input wire [5:0] ARID, + input wire [31:0] ARADDR, + input wire [7:0] ARLEN, + input wire [2:0] ARSIZE, + input wire [1:0] ARBURST, + input wire ARLOCK, + input wire [2:0] ARPROT, + input wire [3:0] ARQOS, + + output wire RVALID, + input wire RREADY, + output wire [5:0] RID, + output wire [63:0] RDATA, + output wire [1:0] RRESP, + output wire RLAST, + output wire RPOISON, + input wire AWAKEUP, + + input wire clk_qreqn, + output wire clk_qacceptn, + output wire clk_qdeny, + output wire clk_qactive, + + input wire pwr_qreqn, + output wire pwr_qacceptn, + output wire pwr_qdeny, + output wire pwr_qactive, + + input wire ext_gt_qreqn, + output wire ext_gt_qacceptn, + input wire cfg_gate_resp +); + + +wire [19:0] memaddr; +wire [64:0] memd; +wire [64:0] memq; +wire memcen; +wire [7:0] memwen; + +sie300_axi5_sram_ctrl_1 u_SMC( + .aclk(ACLK), + .aresetn(ARESETn), + .awvalid_s(AWVALID), + .awready_s(AWREADY), + .awid_s(AWID), + .awaddr_s(AWADDR[19:0]), + .awlen_s(AWLEN), + .awsize_s(AWSIZE), + .awburst_s(AWBURST), + .awlock_s(AWLOCK), + .awprot_s(AWPROT), + .awqos_s(AWQOS), + .wvalid_s(WVALID), + .wready_s(WREADY), + .wdata_s(WDATA), + .wstrb_s(WSTRB), + .wlast_s(WLAST), + .wpoison_s(WPOISON), + .bvalid_s(BVALID), + .bready_s(BREADY), + .bid_s(BID), + .bresp_s(BRESP), + .arvalid_s(ARVALID), + .arready_s(ARREADY), + .arid_s(ARID), + .araddr_s(ARADDR[19:0]), + .arlen_s(ARLEN), + .arsize_s(ARSIZE), + .arburst_s(ARBURST), + .arlock_s(ARLOCK), + .arprot_s(ARPROT), + .arqos_s(ARQOS), + .rvalid_s(RVALID), + .rready_s(RREADY), + .rid_s(RID), + .rdata_s(RDATA), + .rresp_s(RRESP), + .rlast_s(RLAST), + .rpoison_s(RPOISON), + .awakeup_s(AWAKEUP), + .clk_qreqn(clk_qreqn), + .clk_qacceptn(clk_qacceptn), + .clk_qdeny(clk_qdeny), + .clk_qactive(clk_qactive), + .pwr_qreqn(pwr_qreqn), + .pwr_qacceptn(pwr_qacceptn), + .pwr_qdeny(pwr_qdeny), + .pwr_qactive(pwr_qactive), + .ext_gt_qreqn(ext_gt_qreqn), + .ext_gt_qacceptn(ext_gt_qacceptn), + .cfg_gate_resp(cfg_gate_resp), + .memaddr(memaddr), + .memd(memd), + .memq(memq), + .memcen(memcen), + .memwen(memwen) +); + +SRAM #(.MEM_DEPTH(1<<14)) u_ROM ( + .clk(ACLK), + .memaddr(memaddr), + .memd(memd), + .memq(memq), + .memcen(memcen), + .memwen(memwen) +); + + +endmodule \ No newline at end of file diff --git a/logical/ROM/ROM_wrapper.v b/logical/ROM/ROM_wrapper.v new file mode 100644 index 0000000..5ca6f54 --- /dev/null +++ b/logical/ROM/ROM_wrapper.v @@ -0,0 +1,162 @@ +//----------------------------------------------------------------------------- +// Expansion Subsystem SRAM Wrapper +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-4, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +// Modules instantiated: +// sie300_axi5_sram_ctrl_expansion_subsystem +// SRAM + +module ROM_wrapper( + input wire ACLK, + input wire ARESETn, + + input wire AWVALID, + output wire AWREADY, + input wire [5:0] AWID, + input wire [31:0] AWADDR, + input wire [7:0] AWLEN, + input wire [2:0] AWSIZE, + input wire [1:0] AWBURST, + input wire AWLOCK, + input wire [2:0] AWPROT, + input wire [3:0] AWQOS, + + input wire WVALID, + output wire WREADY, + input wire [63:0] WDATA, + input wire [7:0] WSTRB, + input wire WLAST, + input wire WPOISON, + + output wire BVALID, + input wire BREADY, + output wire [5:0] BID, + output wire [1:0] BRESP, + + input wire ARVALID, + output wire ARREADY, + input wire [5:0] ARID, + input wire [31:0] ARADDR, + input wire [7:0] ARLEN, + input wire [2:0] ARSIZE, + input wire [1:0] ARBURST, + input wire ARLOCK, + input wire [2:0] ARPROT, + input wire [3:0] ARQOS, + + output wire RVALID, + input wire RREADY, + output wire [5:0] RID, + output wire [63:0] RDATA, + output wire [1:0] RRESP, + output wire RLAST, + output wire RPOISON, + input wire AWAKEUP, + + input wire clk_qreqn, + output wire clk_qacceptn, + output wire clk_qdeny, + output wire clk_qactive, + + input wire pwr_qreqn, + output wire pwr_qacceptn, + output wire pwr_qdeny, + output wire pwr_qactive, + + input wire ext_gt_qreqn, + output wire ext_gt_qacceptn, + input wire cfg_gate_resp +); + + +wire [19:0] memaddr; +wire [64:0] memd; +wire [64:0] memq; +wire memcen; +wire [7:0] memwen; + +sie300_axi5_sram_ctrl_1 u_SMC( + .aclk(ACLK), + .aresetn(ARESETn), + .awvalid_s(AWVALID), + .awready_s(AWREADY), + .awid_s(AWID), + .awaddr_s(AWADDR[19:0]), + .awlen_s(AWLEN), + .awsize_s(AWSIZE), + .awburst_s(AWBURST), + .awlock_s(AWLOCK), + .awprot_s(AWPROT), + .awqos_s(AWQOS), + .wvalid_s(WVALID), + .wready_s(WREADY), + .wdata_s(WDATA), + .wstrb_s(WSTRB), + .wlast_s(WLAST), + .wpoison_s(WPOISON), + .bvalid_s(BVALID), + .bready_s(BREADY), + .bid_s(BID), + .bresp_s(BRESP), + .arvalid_s(ARVALID), + .arready_s(ARREADY), + .arid_s(ARID), + .araddr_s(ARADDR[19:0]), + .arlen_s(ARLEN), + .arsize_s(ARSIZE), + .arburst_s(ARBURST), + .arlock_s(ARLOCK), + .arprot_s(ARPROT), + .arqos_s(ARQOS), + .rvalid_s(RVALID), + .rready_s(RREADY), + .rid_s(RID), + .rdata_s(RDATA), + .rresp_s(RRESP), + .rlast_s(RLAST), + .rpoison_s(RPOISON), + .awakeup_s(AWAKEUP), + .clk_qreqn(clk_qreqn), + .clk_qacceptn(clk_qacceptn), + .clk_qdeny(clk_qdeny), + .clk_qactive(clk_qactive), + .pwr_qreqn(pwr_qreqn), + .pwr_qacceptn(pwr_qacceptn), + .pwr_qdeny(pwr_qdeny), + .pwr_qactive(pwr_qactive), + .ext_gt_qreqn(ext_gt_qreqn), + .ext_gt_qacceptn(ext_gt_qacceptn), + .cfg_gate_resp(cfg_gate_resp), + .memaddr(memaddr), + .memd(memd), + .memq(memq), + .memcen(memcen), + .memwen(memwen) +); + +cmsdk_fpga_sram #(.AW(15)) u_fpga_sram_0( + .CLK(ACLK), + .ADDR(memaddr), + .WDATA(memd[31:0]), + .WREN(memwen[3:0]), + .CS(memcen), + .RDATA(memq[31:0]) +); + +cmsdk_fpga_sram #(.AW(15)) u_fpga_sram_1( + .CLK(ACLK), + .ADDR(memaddr), + .WDATA(memd[63:32]), + .WREN(memwen[7:4]), + .CS(memcen), + .RDATA(memq[63:32]) +); + + +endmodule \ No newline at end of file diff --git a/logical/ROM/behavioural/ROM_wrapper.v b/logical/ROM/behavioural/ROM_wrapper.v new file mode 100644 index 0000000..9a98e98 --- /dev/null +++ b/logical/ROM/behavioural/ROM_wrapper.v @@ -0,0 +1,153 @@ +//----------------------------------------------------------------------------- +// Expansion Subsystem SRAM Wrapper +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-4, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +// Modules instantiated: +// sie300_axi5_sram_ctrl_expansion_subsystem +// SRAM + +module ROM_wrapper( + input wire ACLK, + input wire ARESETn, + + input wire AWVALID, + output wire AWREADY, + input wire [5:0] AWID, + input wire [31:0] AWADDR, + input wire [7:0] AWLEN, + input wire [2:0] AWSIZE, + input wire [1:0] AWBURST, + input wire AWLOCK, + input wire [2:0] AWPROT, + input wire [3:0] AWQOS, + + input wire WVALID, + output wire WREADY, + input wire [63:0] WDATA, + input wire [7:0] WSTRB, + input wire WLAST, + input wire WPOISON, + + output wire BVALID, + input wire BREADY, + output wire [5:0] BID, + output wire [1:0] BRESP, + + input wire ARVALID, + output wire ARREADY, + input wire [5:0] ARID, + input wire [31:0] ARADDR, + input wire [7:0] ARLEN, + input wire [2:0] ARSIZE, + input wire [1:0] ARBURST, + input wire ARLOCK, + input wire [2:0] ARPROT, + input wire [3:0] ARQOS, + + output wire RVALID, + input wire RREADY, + output wire [5:0] RID, + output wire [63:0] RDATA, + output wire [1:0] RRESP, + output wire RLAST, + output wire RPOISON, + input wire AWAKEUP, + + input wire clk_qreqn, + output wire clk_qacceptn, + output wire clk_qdeny, + output wire clk_qactive, + + input wire pwr_qreqn, + output wire pwr_qacceptn, + output wire pwr_qdeny, + output wire pwr_qactive, + + input wire ext_gt_qreqn, + output wire ext_gt_qacceptn, + input wire cfg_gate_resp +); + + +wire [19:0] memaddr; +wire [64:0] memd; +wire [64:0] memq; +wire memcen; +wire [7:0] memwen; + +sie300_axi5_sram_ctrl_1 u_SMC( + .aclk(ACLK), + .aresetn(ARESETn), + .awvalid_s(AWVALID), + .awready_s(AWREADY), + .awid_s(AWID), + .awaddr_s(AWADDR[19:0]), + .awlen_s(AWLEN), + .awsize_s(AWSIZE), + .awburst_s(AWBURST), + .awlock_s(AWLOCK), + .awprot_s(AWPROT), + .awqos_s(AWQOS), + .wvalid_s(WVALID), + .wready_s(WREADY), + .wdata_s(WDATA), + .wstrb_s(WSTRB), + .wlast_s(WLAST), + .wpoison_s(WPOISON), + .bvalid_s(BVALID), + .bready_s(BREADY), + .bid_s(BID), + .bresp_s(BRESP), + .arvalid_s(ARVALID), + .arready_s(ARREADY), + .arid_s(ARID), + .araddr_s(ARADDR[19:0]), + .arlen_s(ARLEN), + .arsize_s(ARSIZE), + .arburst_s(ARBURST), + .arlock_s(ARLOCK), + .arprot_s(ARPROT), + .arqos_s(ARQOS), + .rvalid_s(RVALID), + .rready_s(RREADY), + .rid_s(RID), + .rdata_s(RDATA), + .rresp_s(RRESP), + .rlast_s(RLAST), + .rpoison_s(RPOISON), + .awakeup_s(AWAKEUP), + .clk_qreqn(clk_qreqn), + .clk_qacceptn(clk_qacceptn), + .clk_qdeny(clk_qdeny), + .clk_qactive(clk_qactive), + .pwr_qreqn(pwr_qreqn), + .pwr_qacceptn(pwr_qacceptn), + .pwr_qdeny(pwr_qdeny), + .pwr_qactive(pwr_qactive), + .ext_gt_qreqn(ext_gt_qreqn), + .ext_gt_qacceptn(ext_gt_qacceptn), + .cfg_gate_resp(cfg_gate_resp), + .memaddr(memaddr), + .memd(memd), + .memq(memq), + .memcen(memcen), + .memwen(memwen) +); + +SRAM #(.MEM_DEPTH(1<<14)) u_ROM ( + .clk(ACLK), + .memaddr(memaddr), + .memd(memd), + .memq(memq), + .memcen(memcen), + .memwen(memwen) +); + + +endmodule \ No newline at end of file diff --git a/logical/ROM/fpga/ROM_wrapper.v b/logical/ROM/fpga/ROM_wrapper.v new file mode 100644 index 0000000..5ca6f54 --- /dev/null +++ b/logical/ROM/fpga/ROM_wrapper.v @@ -0,0 +1,162 @@ +//----------------------------------------------------------------------------- +// Expansion Subsystem SRAM Wrapper +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-4, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +// Modules instantiated: +// sie300_axi5_sram_ctrl_expansion_subsystem +// SRAM + +module ROM_wrapper( + input wire ACLK, + input wire ARESETn, + + input wire AWVALID, + output wire AWREADY, + input wire [5:0] AWID, + input wire [31:0] AWADDR, + input wire [7:0] AWLEN, + input wire [2:0] AWSIZE, + input wire [1:0] AWBURST, + input wire AWLOCK, + input wire [2:0] AWPROT, + input wire [3:0] AWQOS, + + input wire WVALID, + output wire WREADY, + input wire [63:0] WDATA, + input wire [7:0] WSTRB, + input wire WLAST, + input wire WPOISON, + + output wire BVALID, + input wire BREADY, + output wire [5:0] BID, + output wire [1:0] BRESP, + + input wire ARVALID, + output wire ARREADY, + input wire [5:0] ARID, + input wire [31:0] ARADDR, + input wire [7:0] ARLEN, + input wire [2:0] ARSIZE, + input wire [1:0] ARBURST, + input wire ARLOCK, + input wire [2:0] ARPROT, + input wire [3:0] ARQOS, + + output wire RVALID, + input wire RREADY, + output wire [5:0] RID, + output wire [63:0] RDATA, + output wire [1:0] RRESP, + output wire RLAST, + output wire RPOISON, + input wire AWAKEUP, + + input wire clk_qreqn, + output wire clk_qacceptn, + output wire clk_qdeny, + output wire clk_qactive, + + input wire pwr_qreqn, + output wire pwr_qacceptn, + output wire pwr_qdeny, + output wire pwr_qactive, + + input wire ext_gt_qreqn, + output wire ext_gt_qacceptn, + input wire cfg_gate_resp +); + + +wire [19:0] memaddr; +wire [64:0] memd; +wire [64:0] memq; +wire memcen; +wire [7:0] memwen; + +sie300_axi5_sram_ctrl_1 u_SMC( + .aclk(ACLK), + .aresetn(ARESETn), + .awvalid_s(AWVALID), + .awready_s(AWREADY), + .awid_s(AWID), + .awaddr_s(AWADDR[19:0]), + .awlen_s(AWLEN), + .awsize_s(AWSIZE), + .awburst_s(AWBURST), + .awlock_s(AWLOCK), + .awprot_s(AWPROT), + .awqos_s(AWQOS), + .wvalid_s(WVALID), + .wready_s(WREADY), + .wdata_s(WDATA), + .wstrb_s(WSTRB), + .wlast_s(WLAST), + .wpoison_s(WPOISON), + .bvalid_s(BVALID), + .bready_s(BREADY), + .bid_s(BID), + .bresp_s(BRESP), + .arvalid_s(ARVALID), + .arready_s(ARREADY), + .arid_s(ARID), + .araddr_s(ARADDR[19:0]), + .arlen_s(ARLEN), + .arsize_s(ARSIZE), + .arburst_s(ARBURST), + .arlock_s(ARLOCK), + .arprot_s(ARPROT), + .arqos_s(ARQOS), + .rvalid_s(RVALID), + .rready_s(RREADY), + .rid_s(RID), + .rdata_s(RDATA), + .rresp_s(RRESP), + .rlast_s(RLAST), + .rpoison_s(RPOISON), + .awakeup_s(AWAKEUP), + .clk_qreqn(clk_qreqn), + .clk_qacceptn(clk_qacceptn), + .clk_qdeny(clk_qdeny), + .clk_qactive(clk_qactive), + .pwr_qreqn(pwr_qreqn), + .pwr_qacceptn(pwr_qacceptn), + .pwr_qdeny(pwr_qdeny), + .pwr_qactive(pwr_qactive), + .ext_gt_qreqn(ext_gt_qreqn), + .ext_gt_qacceptn(ext_gt_qacceptn), + .cfg_gate_resp(cfg_gate_resp), + .memaddr(memaddr), + .memd(memd), + .memq(memq), + .memcen(memcen), + .memwen(memwen) +); + +cmsdk_fpga_sram #(.AW(15)) u_fpga_sram_0( + .CLK(ACLK), + .ADDR(memaddr), + .WDATA(memd[31:0]), + .WREN(memwen[3:0]), + .CS(memcen), + .RDATA(memq[31:0]) +); + +cmsdk_fpga_sram #(.AW(15)) u_fpga_sram_1( + .CLK(ACLK), + .ADDR(memaddr), + .WDATA(memd[63:32]), + .WREN(memwen[7:4]), + .CS(memcen), + .RDATA(memq[63:32]) +); + + +endmodule \ No newline at end of file diff --git a/logical/SRAM/logical/SRAM.v b/logical/SRAM/behavioural/SRAM.v similarity index 89% rename from logical/SRAM/logical/SRAM.v rename to logical/SRAM/behavioural/SRAM.v index e9d7b46..c5b36b2 100644 --- a/logical/SRAM/logical/SRAM.v +++ b/logical/SRAM/behavioural/SRAM.v @@ -1,17 +1,18 @@ -module SRAM ( +module SRAM #( + parameter MEM_DEPTH = (1<<15) +) ( input wire clk, - input wire [13:0] memaddr, + input wire [19:0] memaddr, input wire [63:0] memd, output wire [63:0] memq, input wire memcen, input wire [7:0] memwen ); - parameter MEM_DEPTH = (1<<10); wire WriteEnable; // Write data update - wire [10:0] Addr; + wire [15:0] Addr; reg [63:0] DataAtAddress; // Current write-data at address reg [63:0] Mask; // Write data-mask reg [63:0] NextData; // Next write-data @@ -19,7 +20,7 @@ module SRAM ( integer i; // Write-strobe loop variable integer j; // Mask-bit loop variable - assign Addr = memaddr[13:4]; + assign Addr = memaddr[19:3]; // ------------- // Memory arrays // ------------- @@ -28,6 +29,15 @@ module SRAM ( reg [63:0] mem [MEM_DEPTH-1:0]; assign WriteEnable = (memwen != {8{1'b1}}) ? 1'b1 : 1'b0; + integer k; + initial + begin + for(k=0;k<MEM_DEPTH;k=k+1) begin + mem[k] = 64'd0; + end + end + + always @ (posedge clk) begin : p_memaccess // Only access the memory when the chip is enabled diff --git a/logical/SRAM/behavioural/SRAM_wrapper.v b/logical/SRAM/behavioural/SRAM_wrapper.v new file mode 100644 index 0000000..408cc9e --- /dev/null +++ b/logical/SRAM/behavioural/SRAM_wrapper.v @@ -0,0 +1,153 @@ +//----------------------------------------------------------------------------- +// Megasoc SRAM Wrapper +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-4, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +// Modules instantiated: +// sie300_axi5_sram_ctrl_expansion_subsystem +// SRAM + +module SRAM_wrapper( + input wire ACLK, + input wire ARESETn, + + input wire AWVALID, + output wire AWREADY, + input wire [5:0] AWID, + input wire [31:0] AWADDR, + input wire [7:0] AWLEN, + input wire [2:0] AWSIZE, + input wire [1:0] AWBURST, + input wire AWLOCK, + input wire [2:0] AWPROT, + input wire [3:0] AWQOS, + + input wire WVALID, + output wire WREADY, + input wire [63:0] WDATA, + input wire [7:0] WSTRB, + input wire WLAST, + input wire WPOISON, + + output wire BVALID, + input wire BREADY, + output wire [5:0] BID, + output wire [1:0] BRESP, + + input wire ARVALID, + output wire ARREADY, + input wire [5:0] ARID, + input wire [31:0] ARADDR, + input wire [7:0] ARLEN, + input wire [2:0] ARSIZE, + input wire [1:0] ARBURST, + input wire ARLOCK, + input wire [2:0] ARPROT, + input wire [3:0] ARQOS, + + output wire RVALID, + input wire RREADY, + output wire [5:0] RID, + output wire [63:0] RDATA, + output wire [1:0] RRESP, + output wire RLAST, + output wire [1:0] RPOISON, + input wire AWAKEUP, + + input wire clk_qreqn, + output wire clk_qacceptn, + output wire clk_qdeny, + output wire clk_qactive, + + input wire pwr_qreqn, + output wire pwr_qacceptn, + output wire pwr_qdeny, + output wire pwr_qactive, + + input wire ext_gt_qreqn, + output wire ext_gt_qacceptn, + input wire cfg_gate_resp +); + + +wire [19:0] memaddr; +wire [63:0] memd; +wire [63:0] memq; +wire memcen; +wire [7:0] memwen; + +sie300_axi5_sram_ctrl_1 u_SMC( + .aclk(ACLK), + .aresetn(ARESETn), + .awvalid_s(AWVALID), + .awready_s(AWREADY), + .awid_s(AWID), + .awaddr_s(AWADDR[19:0]), + .awlen_s(AWLEN), + .awsize_s(AWSIZE), + .awburst_s(AWBURST), + .awlock_s(AWLOCK), + .awprot_s(AWPROT), + .awqos_s(AWQOS), + .wvalid_s(WVALID), + .wready_s(WREADY), + .wdata_s(WDATA), + .wstrb_s(WSTRB), + .wlast_s(WLAST), + .wpoison_s(WPOISON), + .bvalid_s(BVALID), + .bready_s(BREADY), + .bid_s(BID), + .bresp_s(BRESP), + .arvalid_s(ARVALID), + .arready_s(ARREADY), + .arid_s(ARID), + .araddr_s(ARADDR[19:0]), + .arlen_s(ARLEN), + .arsize_s(ARSIZE), + .arburst_s(ARBURST), + .arlock_s(ARLOCK), + .arprot_s(ARPROT), + .arqos_s(ARQOS), + .rvalid_s(RVALID), + .rready_s(RREADY), + .rid_s(RID), + .rdata_s(RDATA), + .rresp_s(RRESP), + .rlast_s(RLAST), + .rpoison_s(RPOISON), + .awakeup_s(AWAKEUP), + .clk_qreqn(clk_qreqn), + .clk_qacceptn(clk_qacceptn), + .clk_qdeny(clk_qdeny), + .clk_qactive(clk_qactive), + .pwr_qreqn(pwr_qreqn), + .pwr_qacceptn(pwr_qacceptn), + .pwr_qdeny(pwr_qdeny), + .pwr_qactive(pwr_qactive), + .ext_gt_qreqn(ext_gt_qreqn), + .ext_gt_qacceptn(ext_gt_qacceptn), + .cfg_gate_resp(cfg_gate_resp), + .memaddr(memaddr), + .memd(memd), + .memq(memq), + .memcen(memcen), + .memwen(memwen) +); + +SRAM u_SRAM( + .clk(ACLK), + .memaddr(memaddr), + .memd(memd), + .memq(memq), + .memcen(memcen), + .memwen(memwen) +); + + +endmodule \ No newline at end of file diff --git a/logical/SRAM/logical/SRAM_wrapper.v b/logical/SRAM/logical/SRAM_wrapper.v index c34c7a5..22e6267 100644 --- a/logical/SRAM/logical/SRAM_wrapper.v +++ b/logical/SRAM/logical/SRAM_wrapper.v @@ -1,5 +1,5 @@ //----------------------------------------------------------------------------- -// Expansion Subsystem SRAM Wrapper +// Megasoc SRAM Wrapper // A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. // // Contributors @@ -18,7 +18,7 @@ module SRAM_wrapper( input wire AWVALID, output wire AWREADY, - input wire [3:0] AWID, + input wire [5:0] AWID, input wire [31:0] AWADDR, input wire [7:0] AWLEN, input wire [2:0] AWSIZE, @@ -36,12 +36,12 @@ module SRAM_wrapper( output wire BVALID, input wire BREADY, - output wire [3:0] BID, + output wire [5:0] BID, output wire [1:0] BRESP, input wire ARVALID, output wire ARREADY, - input wire [3:0] ARID, + input wire [5:0] ARID, input wire [31:0] ARADDR, input wire [7:0] ARLEN, input wire [2:0] ARSIZE, @@ -52,7 +52,7 @@ module SRAM_wrapper( output wire RVALID, input wire RREADY, - output wire [3:0] RID, + output wire [5:0] RID, output wire [63:0] RDATA, output wire [1:0] RRESP, output wire RLAST, @@ -75,19 +75,19 @@ module SRAM_wrapper( ); -wire [13:0] memaddr; +wire [19:0] memaddr; wire [63:0] memd; wire [63:0] memq; wire memcen; wire [7:0] memwen; -sie300_axi5_sram_ctrl_expansion_subsystem u_SMC( +sie300_axi5_sram_ctrl_1 u_SMC( .aclk(ACLK), .aresetn(ARESETn), .awvalid_s(AWVALID), .awready_s(AWREADY), .awid_s(AWID), - .awaddr_s(AWADDR[13:0]), + .awaddr_s(AWADDR[19:0]), .awlen_s(AWLEN), .awsize_s(AWSIZE), .awburst_s(AWBURST), @@ -107,7 +107,7 @@ sie300_axi5_sram_ctrl_expansion_subsystem u_SMC( .arvalid_s(ARVALID), .arready_s(ARREADY), .arid_s(ARID), - .araddr_s(ARADDR[13:0]), + .araddr_s(ARADDR[19:0]), .arlen_s(ARLEN), .arsize_s(ARSIZE), .arburst_s(ARBURST), @@ -140,14 +140,22 @@ sie300_axi5_sram_ctrl_expansion_subsystem u_SMC( .memwen(memwen) ); -SRAM u_SRAM( - .clk(ACLK), - .memaddr(memaddr), - .memd(memd), - .memq(memq), - .memcen(memcen), - .memwen(memwen) +cmsdk_fpga_sram #(.AW(19)) u_fpga_sram_0( + .CLK(ACLK), + .ADDR(memaddr), + .WDATA(memd[31:0]), + .WREN(memwen[3:0]), + .CS(memcen), + .RDATA(memq[31:0]) ); +cmsdk_fpga_sram #(.AW(19)) u_fpga_sram_1( + .CLK(ACLK), + .ADDR(memaddr), + .WDATA(memd[63:32]), + .WREN(memwen[7:4]), + .CS(memcen), + .RDATA(memq[63:32]) +); endmodule \ No newline at end of file diff --git a/logical/ahb_SRAM/FPGA/sl_ahb_sram.v b/logical/ahb_SRAM/FPGA/sl_ahb_sram.v new file mode 100644 index 0000000..4d2d734 --- /dev/null +++ b/logical/ahb_SRAM/FPGA/sl_ahb_sram.v @@ -0,0 +1,56 @@ +//----------------------------------------------------------------------------- +// SoCLabs FPGA SRAM Wrapper +// - to be substitued with same name file in filelist when moving to ASIC +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Mapstone (d.a.mapstone@soton.ac.uk) +// +// Copyright 2021-3, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- + +module sl_ahb_sram #( + // System Parameters + parameter SYS_DATA_W = 32, // System Data Width + parameter RAM_ADDR_W = 21, // Size of SRAM + parameter RAM_DATA_W = 32 // Data Width of RAM +)( + // -------------------------------------------------------------------------- + // Port Definitions + // -------------------------------------------------------------------------- + input wire HCLK, // system bus clock + input wire HRESETn, // system bus reset + input wire HSEL, // AHB peripheral select + input wire HREADY, // AHB ready input + input wire [1:0] HTRANS, // AHB transfer type + input wire [2:0] HSIZE, // AHB hsize + input wire HWRITE, // AHB hwrite + input wire [RAM_ADDR_W-1:0] HADDR, // AHB address bus + input wire [SYS_DATA_W-1:0] HWDATA, // AHB write data bus + output wire HREADYOUT, // AHB ready output to S->M mux + output wire HRESP, // AHB response + output wire [SYS_DATA_W-1:0] HRDATA // AHB read data bus +); + + + // AHB to SRAM Behavioural +cmsdk_ahb_ram_beh #( + .AW(21), + .filename("app_flash.v8-a.hex"), + .WS_N(0), + .WS_S(0)) u_ahb_sram ( + .HCLK(HCLK), // Clock + .HRESETn(HRESETn), // Reset + .HSEL(HSEL), // Device select + .HADDR(HADDR), // Address + .HTRANS(HTRANS), // Transfer control + .HSIZE(HSIZE), // Transfer size + .HWRITE(HWRITE), // Write control + .HWDATA(HWDATA), // Write data + .HREADY(HREADY), // Transfer phase done + .HREADYOUT(HREADYOUT), // Device ready + .HRDATA(HRDATA), // Read data output + .HRESP(HRESP) + ); +endmodule \ No newline at end of file diff --git a/logical/ahb_SRAM/behavioural/sl_ahb_sram.v b/logical/ahb_SRAM/behavioural/sl_ahb_sram.v new file mode 100644 index 0000000..bf411e4 --- /dev/null +++ b/logical/ahb_SRAM/behavioural/sl_ahb_sram.v @@ -0,0 +1,63 @@ +//----------------------------------------------------------------------------- +// SoCLabs FPGA SRAM Wrapper +// - to be substitued with same name file in filelist when moving to ASIC +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Mapstone (d.a.mapstone@soton.ac.uk) +// +// Copyright 2021-3, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- + +module sl_ahb_sram #( + // System Parameters + parameter SYS_DATA_W = 32, // System Data Width + parameter RAM_ADDR_W = 19, // Size of SRAM + parameter RAM_DATA_W = 32 // Data Width of RAM +)( + // -------------------------------------------------------------------------- + // Port Definitions + // -------------------------------------------------------------------------- + input wire HCLK, // system bus clock + input wire HRESETn, // system bus reset + input wire HSEL, // AHB peripheral select + input wire HREADY, // AHB ready input + input wire [1:0] HTRANS, // AHB transfer type + input wire [2:0] HSIZE, // AHB hsize + input wire HWRITE, // AHB hwrite + input wire [RAM_ADDR_W-1:0] HADDR, // AHB address bus + input wire [SYS_DATA_W-1:0] HWDATA, // AHB write data bus + output wire HREADYOUT, // AHB ready output to S->M mux + output wire HRESP, // AHB response + output wire [SYS_DATA_W-1:0] HRDATA // AHB read data bus +); + + + // AHB to SRAM Behavioural + +wire [31:0] SRAMRDATA; +wire [RAM_ADDR_W-3:0] SRAMADDR; +wire [3:0] SRAMWEN; +wire [31:0] SRAMWDATA; +wire SRAMCS; + +cmsdk_ahb_ram_beh #( + .AW(21), + .filename("app_flash.v8-a.hex"), + .WS_N(0), + .WS_S(0)) u_ahb_sram ( + .HCLK(HCLK), // Clock + .HRESETn(HRESETn), // Reset + .HSEL(HSEL), // Device select + .HADDR(HADDR), // Address + .HTRANS(HTRANS), // Transfer control + .HSIZE(HSIZE), // Transfer size + .HWRITE(HWRITE), // Write control + .HWDATA(HWDATA), // Write data + .HREADY(HREADY), // Transfer phase done + .HREADYOUT(HREADYOUT), // Device ready + .HRDATA(HRDATA), // Read data output + .HRESP(HRESP) + ); +endmodule \ No newline at end of file diff --git a/logical/ahb_SRAM/sl_ahb_sram.v b/logical/ahb_SRAM/sl_ahb_sram.v new file mode 100644 index 0000000..ceb55b3 --- /dev/null +++ b/logical/ahb_SRAM/sl_ahb_sram.v @@ -0,0 +1,94 @@ +//----------------------------------------------------------------------------- +// SoCLabs FPGA SRAM Wrapper +// - to be substitued with same name file in filelist when moving to ASIC +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Mapstone (d.a.mapstone@soton.ac.uk) +// +// Copyright 2021-3, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- + +module sl_ahb_sram #( + // System Parameters + parameter SYS_DATA_W = 32, // System Data Width + parameter RAM_ADDR_W = 19, // Size of SRAM + parameter RAM_DATA_W = 32 // Data Width of RAM +)( + // -------------------------------------------------------------------------- + // Port Definitions + // -------------------------------------------------------------------------- + input wire HCLK, // system bus clock + input wire HRESETn, // system bus reset + input wire HSEL, // AHB peripheral select + input wire HREADY, // AHB ready input + input wire [1:0] HTRANS, // AHB transfer type + input wire [2:0] HSIZE, // AHB hsize + input wire HWRITE, // AHB hwrite + input wire [RAM_ADDR_W-1:0] HADDR, // AHB address bus + input wire [SYS_DATA_W-1:0] HWDATA, // AHB write data bus + output wire HREADYOUT, // AHB ready output to S->M mux + output wire HRESP, // AHB response + output wire [SYS_DATA_W-1:0] HRDATA // AHB read data bus +); + + + // AHB to SRAM Behavioural + +wire [31:0] SRAMRDATA; +wire [RAM_ADDR_W-3:0] SRAMADDR; +wire [3:0] SRAMWEN; +wire [31:0] SRAMWDATA; +wire SRAMCS; + +cmsdk_ahb_to_sram #(.AW(RAM_ADDR_W)) u_ahb_to_sram( + .HCLK(HCLK), // Clock + .HRESETn(HRESETn), // Reset + .HSEL(HSEL), // Device select + .HADDR(HADDR), // Address + .HTRANS(HTRANS), // Transfer control + .HSIZE(HSIZE), // Transfer size + .HWRITE(HWRITE), // Write control + .HWDATA(HWDATA), // Write data + .HREADY(HREADY), // Transfer phase done + .HREADYOUT(HREADYOUT), // Device ready + .HRDATA(HRDATA), // Read data output + .HRESP(HRESP), + + .SRAMRDATA(SRAMRDATA), + .SRAMADDR(SRAMADDR), + .SRAMWEN(SRAMWEN), + .SRAMWDATA(SRAMWDATA), + .SRAMCS(SRAMCS) +); + + +cmsdk_fpga_sram #(.AW(RAM_ADDR_W)) u_fpga_sram( + .CLK(HCLK), + .ADDR(SRAMADDR), + .WDATA(SRAMWDATA), + .WREN(SRAMWEN), + .CS(SRAMCS), + .RDATA(SRAMRDATA) +); + +// cmsdk_ahb_ram_beh #( +// .AW(21), +// .filename("app_flash.v8-a.hex"), +// .WS_N(0), +// .WS_S(0)) u_ahb_sram ( +// .HCLK(HCLK), // Clock +// .HRESETn(HRESETn), // Reset +// .HSEL(HSEL), // Device select +// .HADDR(HADDR), // Address +// .HTRANS(HTRANS), // Transfer control +// .HSIZE(HSIZE), // Transfer size +// .HWRITE(HWRITE), // Write control +// .HWDATA(HWDATA), // Write data +// .HREADY(HREADY), // Transfer phase done +// .HREADYOUT(HREADYOUT), // Device ready +// .HRDATA(HRDATA), // Read data output +// .HRESP(HRESP) +// ); +endmodule \ No newline at end of file diff --git a/logical/megasoc_subsystems/megasoc_cpu_subsystem/megasoc_cpu_ss.v b/logical/megasoc_subsystems/megasoc_cpu_subsystem/megasoc_cpu_ss.v new file mode 100644 index 0000000..387800e --- /dev/null +++ b/logical/megasoc_subsystems/megasoc_cpu_subsystem/megasoc_cpu_ss.v @@ -0,0 +1,588 @@ +//----------------------------------------------------------------------------- +// MegaSoC CPU Subsystem +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-4, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +// Purpose: +// CPU subsystem combines CPU (currently only A53 although may be a wrapper for +// different CPU instantiations at some point), interrupt controller and debugger +//----------------------------------------------------------------------------- +// Modules instantiated: +// CortexA53_1 (u_cortexa53) +// DAPLITE (u_daplite) +// megasoc_irq_sync (u_megasoc_irq_sync) +// GIC400 (u_GIC400) + +module megasoc_cpu_ss #( + parameter NUM_GICRID_BITS = 12, + parameter NUM_GICWID_BITS = 12, + parameter NUM_SPIS = 480 + +)( + // Clock and Reset signals + input wire CPU_CLK, + input wire RESETn, + + // ACE Interface; Clock and Configuration Signals + input wire ACLKENM, + input wire ACINACTM, + output wire [ 7: 0] RDMEMATTR, + output wire [ 7: 0] WRMEMATTR, + // ACE Interface; Write Address Channel Signals + input wire AWREADYM, + output wire AWVALIDM, + output wire [ 5: 0] AWIDM, + output wire [ 43: 0] AWADDRM, + output wire [ 7: 0] AWLENM, + output wire [ 2: 0] AWSIZEM, + output wire [ 1: 0] AWBURSTM, + output wire AWLOCKM, + output wire [ 3: 0] AWCACHEM, + output wire [ 2: 0] AWPROTM, + // ACE Interface; Write Data Channel Signals + input wire WREADYM, + output wire WVALIDM, + output wire [ 5: 0] WIDM, + output wire [127: 0] WDATAM, + output wire [ 15: 0] WSTRBM, + output wire WLASTM, + // ACE Interface; Write Response Channel Signals + output wire BREADYM, + input wire BVALIDM, + input wire [ 5: 0] BIDM, + input wire [ 1: 0] BRESPM, + // ACE Interface; Read Address Channel Signals + input wire ARREADYM, + output wire ARVALIDM, + output wire [ 5: 0] ARIDM, + output wire [ 43: 0] ARADDRM, + output wire [ 7: 0] ARLENM, + output wire [ 2: 0] ARSIZEM, + output wire [ 1: 0] ARBURSTM, + output wire ARLOCKM, + output wire [ 3: 0] ARCACHEM, + output wire [ 2: 0] ARPROTM, + // ACE Interface; Read Data Channel Signals + output wire RREADYM, + input wire RVALIDM, + input wire [ 5: 0] RIDM, + input wire [127: 0] RDATAM, + input wire [ 1: 0] RRESPM, + input wire RLASTM, + // APB Interface Signals + input wire nPRESETDBG, + input wire PCLKENDBG, + input wire PSELDBG, + input wire [ 30: 2] PADDRDBG, + input wire PENABLEDBG, + input wire PWRITEDBG, + input wire [ 31: 0] PWDATADBG, + output wire [ 31: 0] PRDATADBG, + output wire PREADYDBG, + output wire PSLVERRDBG, + + // DAP-LITE external signals + input wire nTRST, + input wire SWCLKTCK, + input wire SWDITMS, + input wire TDI, + output wire TDO, + output wire nTDOEN, + output wire SWDO, + output wire SWDOEN, + + // GIC AXI interface signals + // AXI read address channel + input wire [NUM_GICRID_BITS-1:0] GIC_ARID, + input wire [14:0] GIC_ARADDR, + input wire [7:0] GIC_ARLEN, + input wire [2:0] GIC_ARSIZE, + input wire [1:0] GIC_ARBURST, + input wire [2:0] GIC_ARPROT, + input wire [2:0] GIC_ARUSER, + input wire GIC_ARVALID, + output wire GIC_ARREADY, + + // AXI read data channel + output wire [NUM_GICRID_BITS-1:0] GIC_RID, + output wire [31:0] GIC_RDATA, + output wire GIC_RLAST, + output wire [1:0] GIC_RRESP, + output wire GIC_RVALID, + input wire GIC_RREADY, + + // AXI write address channel + input wire [NUM_GICWID_BITS-1:0] GIC_AWID, + input wire [14:0] GIC_AWADDR, + input wire [7:0] GIC_AWLEN, + input wire [2:0] GIC_AWSIZE, + input wire [1:0] GIC_AWBURST, + input wire [2:0] GIC_AWPROT, + input wire [2:0] GIC_AWUSER, + input wire GIC_AWVALID, + output wire GIC_AWREADY, + + // AXI write data channel + input wire [31:0] GIC_WDATA, + input wire [3:0] GIC_WSTRB, + input wire GIC_WVALID, + output wire GIC_WREADY, + + // AXI write response channel + output wire [NUM_GICWID_BITS-1:0] GIC_BID, + output wire [1:0] GIC_BRESP, + output wire GIC_BVALID, + input wire GIC_BREADY, + + input wire [NUM_SPIS-1:0] IRQs + +); + + localparam NUM_CPUS = 1; + localparam NUM_EXP_SHD_INT = 64; + + wire [(NUM_CPUS-1):0] cfg_cfgend; + wire [(NUM_CPUS-1):0] cfg_aa64naa32; + wire [(NUM_CPUS-1):0] cfg_vinithi; + wire [(NUM_CPUS-1):0] cfg_cfgte; + wire [39:18] cfg_periphbase; + wire [7:0] cfg_clusterid; + + + assign cfg_cfgend = {NUM_CPUS{1'b0}}; + assign cfg_aa64naa32 = {NUM_CPUS{1'b1}}; + assign cfg_vinithi = {NUM_CPUS{1'b1}}; + assign cfg_cfgte = {NUM_CPUS{1'b1}}; + assign cfg_periphbase = 22'b0000000001000000000000; + assign cfg_clusterid = 8'h00; + + + wire [(NUM_CPUS-1):0] nFIQCPU; + wire [(NUM_CPUS-1):0] nIRQCPU; + wire [(NUM_CPUS-1):0] nVFIQCPU; + wire [(NUM_CPUS-1):0] nVIRQCPU; + wire [(NUM_CPUS-1):0] nFIQOUT; + wire [(NUM_CPUS-1):0] nIRQOUT; + + wire [(NUM_CPUS-1):0] nCNTPSIRQ; + wire [(NUM_CPUS-1):0] nCNTPNSIRQ; + wire [(NUM_CPUS-1):0] nCNTVIRQ; + wire [(NUM_CPUS-1):0] nCNTHPIRQ; + + wire [31:0] PRDATADBG_CPU; + wire PREADYDBG_CPU; + wire PSLVERRDBG_CPU; + wire [31:2] PADDRDBG_CPU; + wire PSELDBG_CPU; + wire PWRITEDBG_CPU; + wire PENABLEDBG_CPU; + wire [31:0] PWDATADBG_CPU; + + assign AWIDM[5] = 1'b0; + assign WIDM[5] = 1'b0; + assign BIDM[5] = 1'b0; + CortexA53_1 + u_cortexa53 + (// Clocks and resets + .CLKIN (CPU_CLK), + .nCPUPORESET ({NUM_CPUS{RESETn}}), + .nCORERESET ({NUM_CPUS{RESETn}}), + .nPRESETDBG (RESETn), + .nL2RESET (RESETn), + .nMBISTRESET (1'b1), // No MBIST + .L2RSTDISABLE (1'b0), + .WARMRSTREQ (), + + // Configuration signals + .CFGEND (cfg_cfgend), + .VINITHI (cfg_vinithi), + .CFGTE (cfg_cfgte), + .CP15SDISABLE ({NUM_CPUS{1'b0}}), + .CLUSTERIDAFF1 (cfg_clusterid), + .CLUSTERIDAFF2 (8'h00), + .AA64nAA32 (cfg_aa64naa32), + .RVBARADDR0 ({38{1'b0}}), + + // Interrupt signals + .nFIQ (nFIQCPU), + .nIRQ (nIRQCPU), + .nSEI ({NUM_CPUS{1'b1}}), + .nVFIQ (nVFIQCPU), + .nVIRQ (nVIRQCPU), + .nVSEI ({NUM_CPUS{1'b1}}), + .nREI ({NUM_CPUS{1'b1}}), + .nVCPUMNTIRQ (), + .PERIPHBASE (cfg_periphbase), + .GICCDISABLE (1'b1), + .ICDTVALID (1'b0), + .ICDTREADY (), + .ICDTDATA ({16{1'b0}}), + .ICDTLAST (1'b0), + .ICDTDEST (2'b00), + .ICCTVALID (), + .ICCTREADY (1'b0), + .ICCTDATA (), + .ICCTLAST (), + .ICCTID (), + + // Generic timer signals + .CNTVALUEB (64'd0), + .CNTCLKEN (1'b1), + .nCNTPNSIRQ (nCNTPNSIRQ), + .nCNTPSIRQ (nCNTPSIRQ), + .nCNTVIRQ (nCNTVIRQ), + .nCNTHPIRQ (nCNTHPIRQ), + + // Power management signals + .CLREXMONREQ (1'b0), + .CLREXMONACK (), + .EVENTI (1'b0), + .EVENTO (), + .STANDBYWFI (), + .STANDBYWFE (), + .STANDBYWFIL2 (), + .L2FLUSHREQ (1'b0), + .L2FLUSHDONE (), + .SMPEN (), + .CPUQACTIVE (), + .CPUQREQn ({NUM_CPUS{1'b1}}), + .CPUQDENY (), + .CPUQACCEPTn (), + .NEONQACTIVE (), + .NEONQREQn ({NUM_CPUS{1'b1}}), + .NEONQDENY (), + .NEONQACCEPTn (), + .L2QACTIVE (), + .L2QREQn (1'b1), + .L2QDENY (), + .L2QACCEPTn (), + + + // ACE/Skyros interface signals + // NB. the execution testbench bus model is a simple single master, + // single slave system and therefore cache maintenance operations are + // disabled. The bus model is a simple in-order device so barriers are + // also disabled in the ACE configuration. However, in a Skyros system + // SYSBARDISABLE must be HIGH, so the Skyros subsystem does process + // barriers. + .nEXTERRIRQ (), + .BROADCASTCACHEMAINT (1'b0), + .BROADCASTINNER (1'b0), + .BROADCASTOUTER (1'b0), + .SYSBARDISABLE (1'b1), + + // ACE interface + // - Clock and configuration signals + .ACLKENM (1'b1), + .ACINACTM (1'b1), + .RDMEMATTR (), + .WRMEMATTR (), + // - Write address channel signals + .AWREADYM (AWREADYM), + .AWVALIDM (AWVALIDM), + .AWIDM (AWIDM[4:0]), + .AWADDRM (AWADDRM), + .AWLENM (AWLENM), + .AWSIZEM (AWSIZEM), + .AWBURSTM (AWBURSTM), + .AWBARM (), + .AWDOMAINM (), + + .AWLOCKM (AWLOCKM), + .AWCACHEM (AWCACHEM), + .AWPROTM (AWPROTM), + .AWSNOOPM (), + .AWUNIQUEM (), + // - Write data channel signals + .WREADYM (WREADYM), + .WVALIDM (WVALIDM), + .WIDM (WIDM[4:0]), + .WDATAM (WDATAM), + .WSTRBM (WSTRBM), + .WLASTM (WLASTM), + // - Write response channel signals + .BREADYM (BREADYM), + .BVALIDM (BVALIDM), + .BIDM (BIDM[4:0]), + .BRESPM (BRESPM), + // - Read address channel signals + .ARREADYM (ARREADYM), + .ARVALIDM (ARVALIDM), + .ARIDM (ARIDM), + .ARADDRM (ARADDRM), + .ARLENM (ARLENM), + .ARSIZEM (ARSIZEM), + .ARBURSTM (ARBURSTM), + .ARBARM (), + .ARDOMAINM (), + + .ARLOCKM (ARLOCKM), + .ARCACHEM (ARCACHEM), + .ARPROTM (ARPROTM), + .ARSNOOPM (), + // - Read data channel signals + .RREADYM (RREADYM), + .RVALIDM (RVALIDM), + .RIDM (RIDM), + .RDATAM (RDATAM), + .RRESPM ({2'b00, RRESPM}), + .RLASTM (RLASTM), + // - Coherency address channel signals + .ACREADYM (), + .ACVALIDM (1'b0), + .ACADDRM (44'h0), + .ACPROTM (3'b000), + .ACSNOOPM (4'h0), + // - Coherency response channel signals + .CRREADYM (1'b0), + .CRVALIDM (), + .CRRESPM (), + // - Coherency data channel signals + .CDREADYM (1'b0), + .CDVALIDM (), + .CDDATAM (), + .CDLASTM (), + // - Read/write acknowledge signals + .RACKM (), + .WACKM (), + + // Debug APB interface signals + .PCLKENDBG (1'b1), + .PSELDBG (PSELDBG_CPU), + .PADDRDBG (PADDRDBG_CPU[21:2]), + .PADDRDBG31 (PADDRDBG_CPU[31]), + .PENABLEDBG (PENABLEDBG_CPU), + .PWRITEDBG (PWRITEDBG_CPU), + .PWDATADBG (PWDATADBG_CPU), + .PRDATADBG (PRDATADBG_CPU), + .PREADYDBG (PREADYDBG_CPU), + .PSLVERRDBG (PSLVERRDBG_CPU), + + // Miscellaneous debug signals + .DBGROMADDR ({28{1'b0}}), + .DBGROMADDRV (1'b0), + .DBGACK (), + .nCOMMIRQ (), + .COMMRX (), + .COMMTX (), + .EDBGRQ ({NUM_CPUS{1'b0}}), + .DBGEN ({NUM_CPUS{1'b1}}), + .NIDEN ({NUM_CPUS{1'b1}}), + .SPIDEN ({NUM_CPUS{1'b1}}), + .SPNIDEN ({NUM_CPUS{1'b1}}), + .DBGRSTREQ (), + .DBGNOPWRDWN (), + .DBGPWRDUP ({NUM_CPUS{1'b1}}), + .DBGPWRUPREQ (), + .DBGL1RSTDISABLE (1'b0), + + // ATB interface signals + .ATCLKEN (1'b1), + .ATREADYM0 (1'b1), + .AFVALIDM0 (1'b0), + .ATDATAM0 (), + .ATVALIDM0 (), + .ATBYTESM0 (), + .AFREADYM0 (), + .ATIDM0 (), + + + // Miscellaneous ETM signals + .SYNCREQM0 (1'b0), + .TSVALUEB (64'd0), + + // CTI interface signals: + .CTICHIN (4'h0), + .CTICHOUTACK (4'h0), + .CTICHOUT (), + .CTICHINACK (), + .CISBYPASS (1'b1), + .CIHSBYPASS ({4{1'b1}}), + .CTIIRQ (), + .CTIIRQACK ({NUM_CPUS{1'b1}}), + + // PMU signals + .nPMUIRQ (), + .PMUEVENT0 (), + + // DFT signals + .DFTSE (1'b0), + .DFTRSTDISABLE (1'b0), + .DFTRAMHOLD (1'b0), + .DFTMCPHOLD (1'b0), + + // MBIST interface signals + .MBISTREQ (1'b0) + ); + + +DAPLITE u_daplite( +// External power-on reset + + .nPOTRST(RESETn), // Power-on reset + +// External JTAG/SW connections to SWJDP-DP + + .nTRST(nTRST), // TAP Reset (Asynchronous) + .SWCLKTCK(SWCLKTCK), // TAP Clock + .SWDITMS(SWDITMS), // TAP Mode/ SW Data In + .TDI(TDI), // JTAG TAP Data In . + .TDO(TDO), // Asynchronous JTAG TAP Data Out + .nTDOEN(nTDOEN), // Asynchronous JTAG TAP Data Out Enable + .SWDO(SWDO), // SW Data Out + .SWDOEN(SWDOEN), // SW Data Out Enable + +// SWJ-DP Status + .JTAGNSW(), // Current TAP Mode of operation + .JTAGTOP(), // JTAG TAP controller in one of top 4 states; + // i.e. TLR, RTI, Sel-DR or Sel-IR + +// SWJ-DP power and reset controller interface + + .CDBGPWRUPACK(1'b1), // Debug Power Domain power-up acknowledge + .CSYSPWRUPACK(1'b1), // System Power Domain power-up acknowledge + .CDBGRSTACK(1'b1), // Debug reset acknowledge from reset controller + .CDBGPWRUPREQ(), // Debug Power Domain power-up request + .CSYSPWRUPREQ(), // System Power Domain power-up request + .CDBGRSTREQ(), // Debug reset request to reset controller + +// Power Domain controls + + .nCDBGPWRDN(1'b1), // Debug infrastructure power-down control + .nCSOCPWRDN(1'b1), // External system (SOC domain) + // power-down control + + // APB-AP device enable input + .DEVICEEN(1'b1), // Device enable + + // Software Access Enable + .DBGSWENABLE(), // Provided to block/grant software access to + // things other than the APB-Mux + + // APB-MUX port connections + // System APB port + + // System Clock / Reset Pins + .PCLKSYS(CPU_CLK), // System APB clock (typically HCLK) + .PCLKENSYS(1'b1), // Enable term for PCLKSYS domain + .PRESETSYSn(RESETn), // Resets the APB interface connected to + // the system bus + + // System Slave port (driven by system APB) + .PADDRSYS(PADDRDBG), // System APB address bus + .PSELSYS(PSELDBG), // System APB select + .PWRITESYS(PWRITEDBG), // System APB write access + .PENABLESYS(PENABLEDBG), // System APB enable signal - indicates second + // and subsequent cycles of an APB transfer + .PWDATASYS(PWDATADBG), // System APB Write data bus + .PRDATASYS(PRDATADBG), // System APB write data bus + .PREADYSYS(PREADYDBG), // System APB Ready signal + .PSLVERRSYS(PSLVERRDBG), // System APB transfer error signal + + //Debug APB port + + .PCLKDBG(CPU_CLK), // Debug APB clock + .PCLKENDBG(1'b1), // Enable term for PCLKDBG domain + .PRESETDBGn(RESETn), // Reset for arbitration logic, + // APB-AP slave port and + // Debug-APB master port + .PRDATADBG(PRDATADBG_CPU), // CoreSight Peripheral Read data bus + .PREADYDBG(PREADYDBG_CPU), // Debug-APB Ready signal + .PSLVERRDBG(PSLVERRDBG_CPU), // Debug-APB transfer error signal + .PADDRDBG(PADDRDBG_CPU), // Debug-APB address bus + .PSELDBG(PSELDBG_CPU), // Debug-APB select + .PWRITEDBG(PWRITEDBG_CPU), // Debug-APB write access + .PENABLEDBG(PENABLEDBG_CPU), // Debug-APB enable signal + .PWDATADBG(PWDATADBG_CPU), // Debug-APB write data bus + +// Scan enable connection + + .SE(1'b0) // Scan Enable + +); + +wire [NUM_SPIS-1:0] IRQs_ss; + +megasoc_irq_sync #(.NUM_SPIS(NUM_SPIS)) u_megasoc_irq_sync( + .CLK(CPU_CLK), + .RESETn(RESETn), + .SPI_i(IRQs), + .SPI_o(IRQs_ss) +); + + +GIC400 #( + .NUM_CPUS(NUM_CPUS), + .NUM_SPIS(NUM_SPIS), + .NUM_WID_BITS(NUM_GICWID_BITS), + .NUM_RID_BITS(NUM_GICRID_BITS) +) u_GIC400( + .CLK(CPU_CLK), + .nRESET(RESETn), + .DFTRSTDISABLE(1'b0), + .DFTSE(1'b0), + + .CFGSDISABLE(1'b0), + + .ARID(GIC_ARID), + .ARADDR(GIC_ARADDR), + .ARLEN(GIC_ARLEN), + .ARSIZE(GIC_ARSIZE), + .ARBURST(GIC_ARBURST), + .ARPROT(GIC_ARPROT), + .ARUSER(GIC_ARUSER), + .ARVALID(GIC_ARVALID), + .ARREADY(GIC_ARREADY), + + .RID(GIC_RID), + .RDATA(GIC_RDATA), + .RLAST(GIC_RLAST), + .RRESP(GIC_RRESP), + .RVALID(GIC_RVALID), + .RREADY(GIC_RREADY), + + .AWID(GIC_AWID), + .AWADDR(GIC_AWADDR), + .AWLEN(GIC_AWLEN), + .AWSIZE(GIC_AWSIZE), + .AWBURST(GIC_AWBURST), + .AWPROT(GIC_AWPROT), + .AWUSER(GIC_AWUSER), + .AWVALID(GIC_AWVALID), + .AWREADY(GIC_AWREADY), + + .WDATA(GIC_WDATA), + .WSTRB(GIC_WSTRB), + .WVALID(GIC_WVALID), + .WREADY(GIC_WREADY), + + .BID(GIC_BID), + .BRESP(GIC_BRESP), + .BVALID(GIC_BVALID), + .BREADY(GIC_BREADY), + + .IRQS(IRQs_ss), + + .nLEGACYFIQ(1'b1), + .nLEGACYIRQ(1'b1), + .nCNTPSIRQ(nCNTPSIRQ), + .nCNTPNSIRQ(nCNTPNSIRQ), + .nCNTVIRQ(nCNTVIRQ), + .nCNTHPIRQ(nCNTHPIRQ), + + .nIRQCPU(nIRQCPU), + .nFIQCPU(nFIQCPU), + .nVIRQCPU(nVIRQCPU), + .nVFIQCPU(nVFIQCPU), + + .nIRQOUT(nIRQOUT), + .nFIQOUT(nFIQOUT) +); + + +endmodule \ No newline at end of file diff --git a/logical/megasoc_subsystems/megasoc_cpu_subsystem/megasoc_irq_sync.v b/logical/megasoc_subsystems/megasoc_cpu_subsystem/megasoc_irq_sync.v new file mode 100644 index 0000000..7729c37 --- /dev/null +++ b/logical/megasoc_subsystems/megasoc_cpu_subsystem/megasoc_irq_sync.v @@ -0,0 +1,34 @@ +//----------------------------------------------------------------------------- +// MegaSoC Interrupt synchronizer +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-4, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +// Purpose: +// Synchronize interrupts to input clock. Needed as GIC has no internal synchronizers +//----------------------------------------------------------------------------- +// Modules instantiated: +// none + + +module megasoc_irq_sync #( + parameter NUM_SPIS=240 + )( + input wire CLK, + input wire RESETn, + input wire [NUM_SPIS-1:0] SPI_i, + output reg [NUM_SPIS-1:0] SPI_o +); + +always @(posedge CLK or negedge RESETn) begin + if(~RESETn) begin + SPI_o<={NUM_SPIS{1'b0}}; + end else begin + SPI_o<=SPI_i; + end +end +endmodule \ No newline at end of file diff --git a/logical/megasoc_subsystems/peripheral_subsystem/megasoc_peripheral_subsystem.v b/logical/megasoc_subsystems/peripheral_subsystem/megasoc_peripheral_subsystem.v new file mode 100644 index 0000000..b038d88 --- /dev/null +++ b/logical/megasoc_subsystems/peripheral_subsystem/megasoc_peripheral_subsystem.v @@ -0,0 +1,227 @@ +//----------------------------------------------------------------------------- +// MegaSoC Peripheral Subsystem +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-4, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +// Purpose: +// APB peripheral subsystem for SoC. Takes an APB input (subordinate port) and +// muxes to several peripherals including UART and timers +//----------------------------------------------------------------------------- +// Modules instantiated: +// cmsdk_apb_slave_mux (u_apb_slave_mux) +// cmsdk_apb_uart (u_apb_uart_0) +// cmsdk_apb_timer (u_apb_timer0) + +module megasoc_peripheral_subsystem( + input wire PCLK, + input wire PRESETn, + + // APB bus interface + input wire [31:0] PADDR, + input wire PENABLE, + input wire PWRITE, + input wire [31:0] PWDATA, + input wire PSEL, + output wire [31:0] PRDATA, + output wire PREADY, + output wire PSLVERR, + + input wire UARTRXD, + output wire UARTTXD, + output wire UARTTXEN, + + output wire [5:0] PERI_IRQS // Peripheral interrupts to GIC +); + +wire UARTCLK; +assign UARTCLK=PCLK; // TODO generate UARTCLK from elsewhere + +// Internal APB signals for UART0 +wire PSEL_UART0; +wire PREADY_UART0; +wire [31:0] PRDATA_UART0; +wire PSLVERR_UART0; + +// Internal APB signals for Timer0 +wire PSEL_TIMER0; +wire PREADY_TIMER0; +wire [31:0] PRDATA_TIMER0; +wire PSLVERR_TIMER0; + +// CMSDK APB Slave Mux (from Corstone 101) +cmsdk_apb_slave_mux #( + .PORT0_ENABLE(1), + .PORT1_ENABLE(1), + .PORT2_ENABLE(0), + .PORT3_ENABLE(0), + .PORT4_ENABLE(0), + .PORT5_ENABLE(0), + .PORT6_ENABLE(0), + .PORT7_ENABLE(0), + .PORT8_ENABLE(0), + .PORT9_ENABLE(0), + .PORT10_ENABLE(0), + .PORT11_ENABLE(0), + .PORT12_ENABLE(0), + .PORT13_ENABLE(0), + .PORT14_ENABLE(0), + .PORT15_ENABLE(0) + ) u_apb_slave_mux ( + .DECODE4BIT(PADDR[15:12]), + .PSEL(PSEL), + + .PSEL0(PSEL_UART0), + .PREADY0(PREADY_UART0), + .PRDATA0(PRDATA_UART0), + .PSLVERR0(PSLVERR_UART0), + + .PSEL1(PSEL_TIMER0), + .PREADY1(PREADY_TIMER0), + .PRDATA1(PRDATA_TIMER0), + .PSLVERR1(PSLVERR_TIMER0), + + .PSEL2(), + .PREADY2(1'b0), + .PRDATA2(32'd0), + .PSLVERR2(1'b0), + + .PSEL3(), + .PREADY3(1'b0), + .PRDATA3(32'd0), + .PSLVERR3(1'b0), + + .PSEL4(), + .PREADY4(1'b0), + .PRDATA4(32'd0), + .PSLVERR4(1'b0), + + .PSEL5(), + .PREADY5(1'b0), + .PRDATA5(32'd0), + .PSLVERR5(1'b0), + + .PSEL6(), + .PREADY6(1'b0), + .PRDATA6(32'd0), + .PSLVERR6(1'b0), + + .PSEL7(), + .PREADY7(1'b0), + .PRDATA7(32'd0), + .PSLVERR7(1'b0), + + .PSEL8(), + .PREADY8(1'b0), + .PRDATA8(32'd0), + .PSLVERR8(1'b0), + + .PSEL9(), + .PREADY9(1'b0), + .PRDATA9(32'd0), + .PSLVERR9(1'b0), + + .PSEL10(), + .PREADY10(1'b0), + .PRDATA10(32'd0), + .PSLVERR10(1'b0), + + .PSEL11(), + .PREADY11(1'b0), + .PRDATA11(32'd0), + .PSLVERR11(1'b0), + + .PSEL12(), + .PREADY12(1'b0), + .PRDATA12(32'd0), + .PSLVERR12(1'b0), + + .PSEL13(), + .PREADY13(1'b0), + .PRDATA13(32'd0), + .PSLVERR13(1'b0), + + .PSEL14(), + .PREADY14(1'b0), + .PRDATA14(32'd0), + .PSLVERR14(1'b0), + + .PSEL15(), + .PREADY15(1'b0), + .PRDATA15(32'd0), + .PSLVERR15(1'b0), + + .PREADY(PREADY), + .PRDATA(PRDATA), + .PSLVERR(PSLVERR) +); + +wire uart0_txint; +wire uart0_rxint; +wire uart0_txovrint; +wire uart0_rxovrint; +wire uart0_combined_int; + +cmsdk_apb_uart u_apb_uart_0( + .PCLK (PCLK), // Peripheral clock + .PCLKG (PCLK), // Gated PCLK for bus + .PRESETn (PRESETn), // Reset + + .PSEL (PSEL_UART0), // APB interface inputs + .PADDR (PADDR[11:2]), + .PENABLE (PENABLE), + .PWRITE (PWRITE), + .PWDATA (PWDATA), + + .PRDATA (PRDATA_UART0), // APB interface outputs + .PREADY (PREADY_UART0), + .PSLVERR (PSLVERR_UART0), + + .ECOREVNUM (4'h0),// Engineering-change-order revision bits + + .RXD (UARTRXD), // Receive data + + .TXD (UARTTXD), // Transmit data + .TXEN (UARTTXEN), // Transmit Enabled + + .BAUDTICK (), // Baud rate x16 tick output (for testing) + + .TXINT (uart0_txint), // Transmit Interrupt + .RXINT (uart0_rxint), // Receive Interrupt + .TXOVRINT (uart0_txovrint), // Transmit Overrun Interrupt + .RXOVRINT (uart0_rxovrint), // Receive Overrun Interrupt + .UARTINT (uart0_combined_int) // Combined Interrupt +); + +assign PERI_IRQS[0] = uart0_txint; +assign PERI_IRQS[1] = uart0_rxint; +assign PERI_IRQS[2] = uart0_txovrint; +assign PERI_IRQS[3] = uart0_rxovrint; +assign PERI_IRQS[4] = uart0_combined_int; + +wire timer0_int; + +cmsdk_apb_timer u_apb_timer0( + .PCLK(PCLK), // PCLK for timer operation + .PCLKG(PCLK), // Gated clock + .PRESETn(PRESETn), // Reset + .PSEL(PSEL_TIMER0), // Device select + .PADDR(PADDR[11:2]), // Address + .PENABLE(PENABLE), // Transfer control + .PWRITE(PWRITE), // Write control + .PWDATA(PWDATA), // Write data + .ECOREVNUM(4'h0),// Engineering-change-order revision bits + .PRDATA(PRDATA_TIMER0), // Read data + .PREADY(PREADY_TIMER0), // Device ready + .PSLVERR(PSLVERR_TIMER0), // Device error response + .EXTIN(1'b1), // Extenal input + .TIMERINT(timer0_int) +); + +assign PERI_IRQS[5] = timer0_int; + +endmodule \ No newline at end of file diff --git a/logical/sl_ahb_qspi b/logical/sl_ahb_qspi new file mode 160000 index 0000000..88b0cf6 --- /dev/null +++ b/logical/sl_ahb_qspi @@ -0,0 +1 @@ +Subproject commit 88b0cf65a75e05d52ef742745cce1c903753f7fd diff --git a/logical/top_megasoc_tech/megasoc_tech_system_wrapper.v b/logical/top_megasoc_tech/megasoc_tech_system_wrapper.v new file mode 100644 index 0000000..f03dbf6 --- /dev/null +++ b/logical/top_megasoc_tech/megasoc_tech_system_wrapper.v @@ -0,0 +1,28 @@ +//----------------------------------------------------------------------------- +// MegaSoC Tech System Wrapper +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// Daniel Newbrook (d.newbrook@soton.ac.uk) +// +// Copyright � 2021-4, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- +// Purpose: +// Top level wrapper for the megasoc "System" subsystem. This subsystem includes +// the filesystem and similar peripherals (ethernet/PCIe). Uses DMA to move from +// these peripherals to DRAM +//----------------------------------------------------------------------------- +// Modules instantiated: +// +//----------------------------------------------------------------------------- +// To Do +// - Everything + +module megasoc_tech_system_wrapper( + +); + + + +endmodule \ No newline at end of file diff --git a/logical/top_megasoc_tech/megasoc_tech_wrapper.v b/logical/top_megasoc_tech/megasoc_tech_wrapper.v index 7799519..93349ac 100644 --- a/logical/top_megasoc_tech/megasoc_tech_wrapper.v +++ b/logical/top_megasoc_tech/megasoc_tech_wrapper.v @@ -8,7 +8,22 @@ // // Copyright � 2021-4, SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- +// Purpose: +// Top level wrapper for the megasoc CPU subsystem. +//----------------------------------------------------------------------------- // Modules instantiated: +// megasoc_cpu_ss (u_megasoc_cpu_ss) +// nic400_megasoc_main (u_nic400_megasoc_main) +// ROM_wrapper (u_ROM_wrapper) +// sl_ahb_sram (u_sl_ahb_sram) +// SRAM_wrapper (u_SRAM_wrapper) +// megasoc_peripheral_subsystem (u_megasoc_peripheral_subsystem) +// +//----------------------------------------------------------------------------- +// To Do +// - Replace sl_ahb_sram with QSPI controller to use external flash +// - Add DDR controller for DRAM port on NIC400 + `timescale 1ns/1ps @@ -90,8 +105,785 @@ module megasoc_tech_wrapper( output wire [1:0] AXI_EXP_SYS_rresp, output wire AXI_EXP_SYS_rlast, output wire AXI_EXP_SYS_rvalid, - input wire AXI_EXP_SYS_rready + input wire AXI_EXP_SYS_rready, + + // QSPI Signals + output wire QSPI_SCLK, + output wire QSPI_nCS, + output wire [3:0] QSPI_IO_o, + input wire [3:0] QSPI_IO_i, + output wire [3:0] QSPI_IO_e, + + // UART signals + input wire UARTRXD, + output wire UARTTXD, + output wire UARTTXEN, + + // DAP-LITE external signals + input wire nTRST, + input wire SWCLKTCK, + input wire SWDITMS, + input wire TDI, + output wire TDO, + output wire nTDOEN, + output wire SWDO, + output wire SWDOEN +); + + +parameter ID_W=6; +parameter NUM_SPIS=480; + +wire CPU_AWREADYM; +wire CPU_AWVALIDM; +wire [ 5: 0] CPU_AWIDM; +wire [ 43: 0] CPU_AWADDRM; +wire [ 7: 0] CPU_AWLENM; +wire [ 2: 0] CPU_AWSIZEM; +wire [ 1: 0] CPU_AWBURSTM; +wire CPU_AWLOCKM; +wire [ 3: 0] CPU_AWCACHEM; +wire [ 2: 0] CPU_AWPROTM; +wire CPU_WREADYM; +wire CPU_WVALIDM; +wire [ 5: 0] CPU_WIDM; +wire [127: 0] CPU_WDATAM; +wire [ 15: 0] CPU_WSTRBM; +wire CPU_WLASTM; +wire CPU_BREADYM; +wire CPU_BVALIDM; +wire [ 4: 0] CPU_BIDM; +wire [ 1: 0] CPU_BRESPM; +wire CPU_ARREADYM; +wire CPU_ARVALIDM; +wire [ 5: 0] CPU_ARIDM; +wire [ 43: 0] CPU_ARADDRM; +wire [ 7: 0] CPU_ARLENM; +wire [ 2: 0] CPU_ARSIZEM; +wire [ 1: 0] CPU_ARBURSTM; +wire CPU_ARLOCKM; +wire [ 3: 0] CPU_ARCACHEM; +wire [ 2: 0] CPU_ARPROTM; +wire CPU_RREADYM; +wire CPU_RVALIDM; +wire [ 5: 0] CPU_RIDM; +wire [127: 0] CPU_RDATAM; +wire [ 1: 0] CPU_RRESPM; +wire CPU_RLASTM; + +wire [ID_W-1:0] GIC_ARID; +wire [14:0] GIC_ARADDR; +wire [7:0] GIC_ARLEN; +wire [2:0] GIC_ARSIZE; +wire [1:0] GIC_ARBURST; +wire [2:0] GIC_ARPROT; +wire [2:0] GIC_ARUSER; +wire GIC_ARVALID; +wire GIC_ARREADY; +wire [ID_W-1:0] GIC_RID; +wire [31:0] GIC_RDATA; +wire GIC_RLAST; +wire [1:0] GIC_RRESP; +wire GIC_RVALID; +wire GIC_RREADY; +wire [ID_W-1:0] GIC_AWID; +wire [14:0] GIC_AWADDR; +wire [7:0] GIC_AWLEN; +wire [2:0] GIC_AWSIZE; +wire [1:0] GIC_AWBURST; +wire [2:0] GIC_AWPROT; +wire [2:0] GIC_AWUSER; +wire GIC_AWVALID; +wire GIC_AWREADY; +wire [31:0] GIC_WDATA; +wire [3:0] GIC_WSTRB; +wire GIC_WVALID; +wire GIC_WREADY; +wire [ID_W-1:0] GIC_BID; +wire [1:0] GIC_BRESP; +wire GIC_BVALID; +wire GIC_BREADY; + +assign GIC_ARUSER=3'h0; +assign GIC_AWUSER=3'h0; + + +wire [ID_W-1:0] AWID_DRAM; +wire [31:0] AWADDR_DRAM; +wire [7:0] AWLEN_DRAM; +wire [2:0] AWSIZE_DRAM; +wire [1:0] AWBURST_DRAM; +wire AWLOCK_DRAM; +wire [3:0] AWCACHE_DRAM; +wire [2:0] AWPROT_DRAM; +wire AWVALID_DRAM; +wire AWREADY_DRAM; +wire [63:0] WDATA_DRAM; +wire [7:0] WSTRB_DRAM; +wire WLAST_DRAM; +wire WVALID_DRAM; +wire WREADY_DRAM; +wire [ID_W-1:0] BID_DRAM; +wire [1:0] BRESP_DRAM; +wire BVALID_DRAM; +wire BREADY_DRAM; +wire [ID_W-1:0] ARID_DRAM; +wire [31:0] ARADDR_DRAM; +wire [7:0] ARLEN_DRAM; +wire [2:0] ARSIZE_DRAM; +wire [1:0] ARBURST_DRAM; +wire ARLOCK_DRAM; +wire [3:0] ARCACHE_DRAM; +wire [2:0] ARPROT_DRAM; +wire ARVALID_DRAM; +wire ARREADY_DRAM; +wire [ID_W-1:0] RID_DRAM; +wire [63:0] RDATA_DRAM; +wire [1:0] RRESP_DRAM; +wire RLAST_DRAM; +wire RVALID_DRAM; +wire RREADY_DRAM; + +wire [31:0] HADDR_FLASH; +wire [1:0] HTRANS_FLASH; +wire HWRITE_FLASH; +wire [2:0] HSIZE_FLASH; +wire [2:0] HBURST_FLASH; +wire [3:0] HPROT_FLASH; +wire [31:0] HWDATA_FLASH; +wire [31:0] HRDATA_FLASH; +wire HREADY_FLASH; +wire HRESP_FLASH; + +wire [31:0] PADDR_PERIPHERAL; +wire [31:0] PWDATA_PERIPHERAL; +wire PWRITE_PERIPHERAL; +wire PENABLE_PERIPHERAL; +wire PSELx_PERIPHERAL; +wire [31:0] PRDATA_PERIPHERAL; +wire PSLVERR_PERIPHERAL; +wire PREADY_PERIPHERAL; + +wire [ID_W-1:0] AWID_RAM; +wire [31:0] AWADDR_RAM; +wire [7:0] AWLEN_RAM; +wire [2:0] AWSIZE_RAM; +wire [1:0] AWBURST_RAM; +wire AWLOCK_RAM; +wire [3:0] AWCACHE_RAM; +wire [2:0] AWPROT_RAM; +wire AWVALID_RAM; +wire AWREADY_RAM; +wire [63:0] WDATA_RAM; +wire [7:0] WSTRB_RAM; +wire WLAST_RAM; +wire WVALID_RAM; +wire WREADY_RAM; +wire [ID_W-1:0] BID_RAM; +wire [1:0] BRESP_RAM; +wire BVALID_RAM; +wire BREADY_RAM; +wire [ID_W-1:0] ARID_RAM; +wire [31:0] ARADDR_RAM; +wire [7:0] ARLEN_RAM; +wire [2:0] ARSIZE_RAM; +wire [1:0] ARBURST_RAM; +wire ARLOCK_RAM; +wire [3:0] ARCACHE_RAM; +wire [2:0] ARPROT_RAM; +wire ARVALID_RAM; +wire ARREADY_RAM; +wire [ID_W-1:0] RID_RAM; +wire [63:0] RDATA_RAM; +wire [1:0] RRESP_RAM; +wire RLAST_RAM; +wire RVALID_RAM; +wire RREADY_RAM; + +wire [ID_W-1:0] AWID_ROM; +wire [31:0] AWADDR_ROM; +wire [7:0] AWLEN_ROM; +wire [2:0] AWSIZE_ROM; +wire [1:0] AWBURST_ROM; +wire AWLOCK_ROM; +wire [3:0] AWCACHE_ROM; +wire [2:0] AWPROT_ROM; +wire AWVALID_ROM; +wire AWREADY_ROM; +wire [63:0] WDATA_ROM; +wire [7:0] WSTRB_ROM; +wire WLAST_ROM; +wire WVALID_ROM; +wire WREADY_ROM; +wire [ID_W-1:0] BID_ROM; +wire [1:0] BRESP_ROM; +wire BVALID_ROM; +wire BREADY_ROM; +wire [ID_W-1:0] ARID_ROM; +wire [31:0] ARADDR_ROM; +wire [7:0] ARLEN_ROM; +wire [2:0] ARSIZE_ROM; +wire [1:0] ARBURST_ROM; +wire ARLOCK_ROM; +wire [3:0] ARCACHE_ROM; +wire [2:0] ARPROT_ROM; +wire ARVALID_ROM; +wire ARREADY_ROM; +wire [ID_W-1:0] RID_ROM; +wire [63:0] RDATA_ROM; +wire [1:0] RRESP_ROM; +wire RLAST_ROM; +wire RVALID_ROM; +wire RREADY_ROM; + +wire [31:0] PADDR_FLASH_CTRL; +wire [31:0] PWDATA_FLASH_CTRL; +wire PWRITE_FLASH_CTRL; +wire [2:0] PPROT_FLASH_CTRL; +wire [3:0] PSTRB_FLASH_CTRL; +wire PENABLE_FLASH_CTRL; +wire PSELx_FLASH_CTRL; +wire [31:0] PRDATA_FLASH_CTRL; +wire PSLVERR_FLASH_CTRL; +wire PREADY_FLASH_CTRL; + +wire CPU_nPRESETDBG; +wire CPU_PCLKENDBG; +wire CPU_PSELDBG; +wire [ 31: 0] CPU_PADDRDBG; +wire CPU_PADDRDBG31; +wire CPU_PENABLEDBG; +wire CPU_PWRITEDBG; +wire [ 31: 0] CPU_PWDATADBG; +wire [ 31: 0] CPU_PRDATADBG; +wire CPU_PREADYDBG; +wire CPU_PSLVERRDBG; + +assign CPU_nPRESETDBG = SYS_RESETn; +assign CPU_PCLKENDBG = 1'b1; +assign CPU_PADDRDBG31 = 1'b0; + +wire [(NUM_SPIS-1):0] CPU_IRQS; +wire [5:0] PERI_IRQS; + +assign CPU_IRQS={{(NUM_SPIS-38){1'b0}}, PERI_IRQS}; + +megasoc_cpu_ss #( + .NUM_GICRID_BITS(ID_W), + .NUM_GICWID_BITS(ID_W), + .NUM_SPIS(NUM_SPIS) + ) u_megasoc_cpu_ss( + .CPU_CLK(SYS_CLK), + .RESETn(SYS_RESETn), + + .ACLKENM(1'b1), + .ACINACTM(), + .RDMEMATTR(), + .WRMEMATTR(), + + .AWREADYM(CPU_AWREADYM), + .AWVALIDM(CPU_AWVALIDM), + .AWIDM(CPU_AWIDM), + .AWADDRM(CPU_AWADDRM), + .AWLENM(CPU_AWLENM), + .AWSIZEM(CPU_AWSIZEM), + .AWBURSTM(CPU_AWBURSTM), + .AWLOCKM(CPU_AWLOCKM), + .AWCACHEM(CPU_AWCACHEM), + .AWPROTM(CPU_AWPROTM), + + .WREADYM(CPU_WREADYM), + .WVALIDM(CPU_WVALIDM), + .WIDM(CPU_WIDM), + .WDATAM(CPU_WDATAM), + .WSTRBM(CPU_WSTRBM), + .WLASTM(CPU_WLASTM), + + .BREADYM(CPU_BREADYM), + .BVALIDM(CPU_BVALIDM), + .BIDM(CPU_BIDM), + .BRESPM(CPU_BRESPM), + + .ARREADYM(CPU_ARREADYM), + .ARVALIDM(CPU_ARVALIDM), + .ARIDM(CPU_ARIDM), + .ARADDRM(CPU_ARADDRM), + .ARLENM(CPU_ARLENM), + .ARSIZEM(CPU_ARSIZEM), + .ARBURSTM(CPU_ARBURSTM), + .ARLOCKM(CPU_ARLOCKM), + .ARCACHEM(CPU_ARCACHEM), + .ARPROTM(CPU_ARPROTM), + + .RREADYM(CPU_RREADYM), + .RVALIDM(CPU_RVALIDM), + .RIDM(CPU_RIDM), + .RDATAM(CPU_RDATAM), + .RRESPM(CPU_RRESPM), + .RLASTM(CPU_RLASTM), + + .nPRESETDBG(CPU_nPRESETDBG), + .PCLKENDBG(CPU_PCLKENDBG), + .PSELDBG(CPU_PSELDBG), + .PADDRDBG(CPU_PADDRDBG[30:2]), + .PENABLEDBG(CPU_PENABLEDBG), + .PWRITEDBG(CPU_PWRITEDBG), + .PWDATADBG(CPU_PWDATADBG), + .PRDATADBG(CPU_PRDATADBG), + .PREADYDBG(CPU_PREADYDBG), + .PSLVERRDBG(CPU_PSLVERRDBG), + + .nTRST(nTRST), + .SWCLKTCK(SWCLKTCK), + .SWDITMS(SWDITMS), + .TDI(TDI), + .TDO(TDO), + .nTDOEN(nTDOEN), + .SWDO(SWDO), + .SWDOEN(SWDOEN), + + .GIC_ARID(GIC_ARID), + .GIC_ARADDR(GIC_ARADDR), + .GIC_ARLEN(GIC_ARLEN), + .GIC_ARSIZE(GIC_ARSIZE), + .GIC_ARBURST(GIC_ARBURST), + .GIC_ARPROT(GIC_ARPROT), + .GIC_ARUSER(GIC_ARUSER), + .GIC_ARVALID(GIC_ARVALID), + .GIC_ARREADY(GIC_ARREADY), + + .GIC_RID(GIC_RID), + .GIC_RDATA(GIC_RDATA), + .GIC_RLAST(GIC_RLAST), + .GIC_RRESP(GIC_RRESP), + .GIC_RVALID(GIC_RVALID), + .GIC_RREADY(GIC_RREADY), + + .GIC_AWID(GIC_AWID), + .GIC_AWADDR(GIC_AWADDR), + .GIC_AWLEN(GIC_AWLEN), + .GIC_AWSIZE(GIC_AWSIZE), + .GIC_AWBURST(GIC_AWBURST), + .GIC_AWPROT(GIC_AWPROT), + .GIC_AWUSER(GIC_AWUSER), + .GIC_AWVALID(GIC_AWVALID), + .GIC_AWREADY(GIC_AWREADY), + + .GIC_WDATA(GIC_WDATA), + .GIC_WSTRB(GIC_WSTRB), + .GIC_WVALID(GIC_WVALID), + .GIC_WREADY(GIC_WREADY), + + .GIC_BID(GIC_BID), + .GIC_BRESP(GIC_BRESP), + .GIC_BVALID(GIC_BVALID), + .GIC_BREADY(GIC_BREADY), + + .IRQs(CPU_IRQS) +); + + +nic400_megasoc_main u_nic400_megasoc_main( + .AWID_DRAM(), + .AWADDR_DRAM(), + .AWLEN_DRAM(), + .AWSIZE_DRAM(), + .AWBURST_DRAM(), + .AWLOCK_DRAM(), + .AWCACHE_DRAM(), + .AWPROT_DRAM(), + .AWVALID_DRAM(), + .AWREADY_DRAM(), + .WDATA_DRAM(), + .WSTRB_DRAM(), + .WLAST_DRAM(), + .WVALID_DRAM(), + .WREADY_DRAM(), + .BID_DRAM(), + .BRESP_DRAM(), + .BVALID_DRAM(), + .BREADY_DRAM(), + .ARID_DRAM(), + .ARADDR_DRAM(), + .ARLEN_DRAM(), + .ARSIZE_DRAM(), + .ARBURST_DRAM(), + .ARLOCK_DRAM(), + .ARCACHE_DRAM(), + .ARPROT_DRAM(), + .ARVALID_DRAM(), + .ARREADY_DRAM(), + .RID_DRAM(), + .RDATA_DRAM(), + .RRESP_DRAM(), + .RLAST_DRAM(), + .RVALID_DRAM(), + .RREADY_DRAM(), + + .HADDR_FLASH(HADDR_FLASH), + .HTRANS_FLASH(HTRANS_FLASH), + .HWRITE_FLASH(HWRITE_FLASH), + .HSIZE_FLASH(HSIZE_FLASH), + .HBURST_FLASH(HBURST_FLASH), + .HPROT_FLASH(HPROT_FLASH), + .HWDATA_FLASH(HWDATA_FLASH), + .HRDATA_FLASH(HRDATA_FLASH), + .HREADY_FLASH(HREADY_FLASH), + .HRESP_FLASH(HRESP_FLASH), + + .AWID_GIC(GIC_AWID), + .AWADDR_GIC(GIC_AWADDR), + .AWLEN_GIC(GIC_AWLEN), + .AWSIZE_GIC(GIC_AWSIZE), + .AWBURST_GIC(GIC_AWBURST), + .AWLOCK_GIC(GIC_AWLOCK), + .AWCACHE_GIC(GIC_AWCACHE), + .AWPROT_GIC(GIC_AWPROT), + .AWVALID_GIC(GIC_AWVALID), + .AWREADY_GIC(GIC_AWREADY), + .WDATA_GIC(GIC_WDATA), + .WSTRB_GIC(GIC_WSTRB), + .WLAST_GIC(GIC_WLAST), + .WVALID_GIC(GIC_WVALID), + .WREADY_GIC(GIC_WREADY), + .BID_GIC(GIC_BID), + .BRESP_GIC(GIC_BRESP), + .BVALID_GIC(GIC_BVALID), + .BREADY_GIC(GIC_BREADY), + .ARID_GIC(GIC_ARID), + .ARADDR_GIC(GIC_ARADDR), + .ARLEN_GIC(GIC_ARLEN), + .ARSIZE_GIC(GIC_ARSIZE), + .ARBURST_GIC(GIC_ARBURST), + .ARLOCK_GIC(GIC_ARLOCK), + .ARCACHE_GIC(GIC_ARCACHE), + .ARPROT_GIC(GIC_ARPROT), + .ARVALID_GIC(GIC_ARVALID), + .ARREADY_GIC(GIC_ARREADY), + .RID_GIC(GIC_RID), + .RDATA_GIC(GIC_RDATA), + .RRESP_GIC(GIC_RRESP), + .RLAST_GIC(GIC_RLAST), + .RVALID_GIC(GIC_RVALID), + .RREADY_GIC(GIC_RREADY), + + .PADDR_PERIPHERAL(PADDR_PERIPHERAL), + .PWDATA_PERIPHERAL(PWDATA_PERIPHERAL), + .PWRITE_PERIPHERAL(PWRITE_PERIPHERAL), + .PENABLE_PERIPHERAL(PENABLE_PERIPHERAL), + .PSELx_PERIPHERAL(PSELx_PERIPHERAL), + .PRDATA_PERIPHERAL(PRDATA_PERIPHERAL), + .PSLVERR_PERIPHERAL(PSLVERR_PERIPHERAL), + .PREADY_PERIPHERAL(PREADY_PERIPHERAL), + + + .AWID_RAM(AWID_RAM), + .AWADDR_RAM(AWADDR_RAM), + .AWLEN_RAM(AWLEN_RAM), + .AWSIZE_RAM(AWSIZE_RAM), + .AWBURST_RAM(AWBURST_RAM), + .AWLOCK_RAM(AWLOCK_RAM), + .AWCACHE_RAM(AWCACHE_RAM), + .AWPROT_RAM(AWPROT_RAM), + .AWVALID_RAM(AWVALID_RAM), + .AWREADY_RAM(AWREADY_RAM), + .WDATA_RAM(WDATA_RAM), + .WSTRB_RAM(WSTRB_RAM), + .WLAST_RAM(WLAST_RAM), + .WVALID_RAM(WVALID_RAM), + .WREADY_RAM(WREADY_RAM), + .BID_RAM(BID_RAM), + .BRESP_RAM(BRESP_RAM), + .BVALID_RAM(BVALID_RAM), + .BREADY_RAM(BREADY_RAM), + .ARID_RAM(ARID_RAM), + .ARADDR_RAM(ARADDR_RAM), + .ARLEN_RAM(ARLEN_RAM), + .ARSIZE_RAM(ARSIZE_RAM), + .ARBURST_RAM(ARBURST_RAM), + .ARLOCK_RAM(ARLOCK_RAM), + .ARCACHE_RAM(ARCACHE_RAM), + .ARPROT_RAM(ARPROT_RAM), + .ARVALID_RAM(ARVALID_RAM), + .ARREADY_RAM(ARREADY_RAM), + .RID_RAM(RID_RAM), + .RDATA_RAM(RDATA_RAM), + .RRESP_RAM(RRESP_RAM), + .RLAST_RAM(RLAST_RAM), + .RVALID_RAM(RVALID_RAM), + .RREADY_RAM(RREADY_RAM), + + .AWID_ROM(AWID_ROM), + .AWADDR_ROM(AWADDR_ROM), + .AWLEN_ROM(AWLEN_ROM), + .AWSIZE_ROM(AWSIZE_ROM), + .AWBURST_ROM(AWBURST_ROM), + .AWLOCK_ROM(AWLOCK_ROM), + .AWCACHE_ROM(AWCACHE_ROM), + .AWPROT_ROM(AWPROT_ROM), + .AWVALID_ROM(AWVALID_ROM), + .AWREADY_ROM(AWREADY_ROM), + .WDATA_ROM(WDATA_ROM), + .WSTRB_ROM(WSTRB_ROM), + .WLAST_ROM(WLAST_ROM), + .WVALID_ROM(WVALID_ROM), + .WREADY_ROM(WREADY_ROM), + .BID_ROM(BID_ROM), + .BRESP_ROM(BRESP_ROM), + .BVALID_ROM(BVALID_ROM), + .BREADY_ROM(BREADY_ROM), + .ARID_ROM(ARID_ROM), + .ARADDR_ROM(ARADDR_ROM), + .ARLEN_ROM(ARLEN_ROM), + .ARSIZE_ROM(ARSIZE_ROM), + .ARBURST_ROM(ARBURST_ROM), + .ARLOCK_ROM(ARLOCK_ROM), + .ARCACHE_ROM(ARCACHE_ROM), + .ARPROT_ROM(ARPROT_ROM), + .ARVALID_ROM(ARVALID_ROM), + .ARREADY_ROM(ARREADY_ROM), + .RID_ROM(RID_ROM), + .RDATA_ROM(RDATA_ROM), + .RRESP_ROM(RRESP_ROM), + .RLAST_ROM(RLAST_ROM), + .RVALID_ROM(RVALID_ROM), + .RREADY_ROM(RREADY_ROM), + + .PADDR_DEBUG(CPU_PADDRDBG), + .PWDATA_DEBUG(CPU_PWDATADBG), + .PWRITE_DEBUG(CPU_PWRITEDBG), + .PENABLE_DEBUG(CPU_PENABLEDBG), + .PSELx_DEBUG(CPU_PSELDBG), + .PRDATA_DEBUG(CPU_PRDATADBG), + .PSLVERR_DEBUG(CPU_PSLVERRDBG), + .PREADY_DEBUG(CPU_PREADYDBG), + + + .PADDR_FLASH_CTRL(PADDR_FLASH_CTRL), + .PWDATA_FLASH_CTRL(PWDATA_FLASH_CTRL), + .PWRITE_FLASH_CTRL(PWRITE_FLASH_CTRL), + .PPROT_FLASH_CTRL(PPROT_FLASH_CTRL), + .PSTRB_FLASH_CTRL(PSTRB_FLASH_CTRL), + .PENABLE_FLASH_CTRL(PENABLE_FLASH_CTRL), + .PSELx_FLASH_CTRL(PSELx_FLASH_CTRL), + .PRDATA_FLASH_CTRL(PRDATA_FLASH_CTRL), + .PSLVERR_FLASH_CTRL(PSLVERR_FLASH_CTRL), + .PREADY_FLASH_CTRL(PREADY_FLASH_CTRL), + + .AWID_A53(CPU_AWIDM), + .AWADDR_A53(CPU_AWADDRM), + .AWLEN_A53(CPU_AWLENM), + .AWSIZE_A53(CPU_AWSIZEM), + .AWBURST_A53(CPU_AWBURSTM), + .AWLOCK_A53(CPU_AWLOCKM), + .AWCACHE_A53(CPU_AWCACHEM), + .AWPROT_A53(CPU_AWPROTM), + .AWVALID_A53(CPU_AWVALIDM), + .AWREADY_A53(CPU_AWREADYM), + .WDATA_A53(CPU_WDATAM), + .WSTRB_A53(CPU_WSTRBM), + .WLAST_A53(CPU_WLASTM), + .WVALID_A53(CPU_WVALIDM), + .WREADY_A53(CPU_WREADYM), + .BID_A53(CPU_BIDM), + .BRESP_A53(CPU_BRESPM), + .BVALID_A53(CPU_BVALIDM), + .BREADY_A53(CPU_BREADYM), + .ARID_A53(CPU_ARIDM), + .ARADDR_A53(CPU_ARADDRM), + .ARLEN_A53(CPU_ARLENM), + .ARSIZE_A53(CPU_ARSIZEM), + .ARBURST_A53(CPU_ARBURSTM), + .ARLOCK_A53(CPU_ARLOCKM), + .ARCACHE_A53(CPU_ARCACHEM), + .ARPROT_A53(CPU_ARPROTM), + .ARVALID_A53(CPU_ARVALIDM), + .ARREADY_A53(CPU_ARREADYM), + .RID_A53(CPU_RIDM), + .RDATA_A53(CPU_RDATAM), + .RRESP_A53(CPU_RRESPM), + .RLAST_A53(CPU_RLASTM), + .RVALID_A53(CPU_RVALIDM), + .RREADY_A53(CPU_RREADYM), + + .clk0clk(SYS_CLK), + .clk0clken(SYS_CLKEN), + .clk0resetn(SYS_RESETn) + +); + +ROM_wrapper u_ROM_wrapper( + .ACLK(SYS_CLK), + .ARESETn(SYS_RESETn), + .AWVALID(AWVALID_ROM), + .AWREADY(AWREADY_ROM), + .AWID(AWID_ROM), + .AWADDR(AWADDR_ROM), + .AWLEN(AWLEN_ROM), + .AWSIZE(AWSIZE_ROM), + .AWBURST(AWBURST_ROM), + .AWLOCK(AWLOCK_ROM), + .AWPROT(AWPROT_ROM), + .AWQOS(4'h0), + .WVALID(WVALID_ROM), + .WREADY(WREADY_ROM), + .WDATA(WDATA_ROM), + .WSTRB(WSTRB_ROM), + .WLAST(WLAST_ROM), + .WPOISON(1'b0), + .BVALID(BVALID_ROM), + .BREADY(BREADY_ROM), + .BID(BID_ROM), + .BRESP(BRESP_ROM), + .ARVALID(ARVALID_ROM), + .ARREADY(ARREADY_ROM), + .ARID(ARID_ROM), + .ARADDR(ARADDR_ROM), + .ARLEN(ARLEN_ROM), + .ARSIZE(ARSIZE_ROM), + .ARBURST(ARBURST_ROM), + .ARLOCK(ARLOCK_ROM), + .ARPROT(ARPROT_ROM), + .ARQOS(4'h0), + .RVALID(RVALID_ROM), + .RREADY(RREADY_ROM), + .RID(RID_ROM), + .RDATA(RDATA_ROM), + .RRESP(RRESP_ROM), + .RLAST(RLAST_ROM), + .RPOISON(), + .AWAKEUP(1'b1), + .clk_qreqn(SYS_CLKEN), + .clk_qacceptn(), + .clk_qdeny(), + .clk_qactive(), + .pwr_qreqn(SYS_CLKEN), + .pwr_qacceptn(), + .pwr_qdeny(), + .pwr_qactive(), + .ext_gt_qreqn(1'b1), + .ext_gt_qacceptn(), + .cfg_gate_resp(1'b0) +); + +// top_ahb_qspi #(.DATA_W(32)) u_sl_ahb_qspi( +// .HCLK(SYS_CLK), +// .HRESETn(SYS_RESETn), +// .PCLK(SYS_CLK), +// .PRESETn(SYS_RESETn), +// .HADDR(HADDR_FLASH), +// .HTRANS(HTRANS_FLASH), +// .HWRITE(HWRITE_FLASH), +// .HSIZE(HSIZE_FLASH), +// .HBURST(HBURST_FLASH), +// .HPROT(HPROT_FLASH), +// .HWDATA(HWDATA_FLASH), +// .HSELx(1'b1), +// .HRDATA(HRDATA_FLASH), +// .HREADY(1'b1), +// .HREADYOUT(HREADY_FLASH), +// .HRESP(HRESP_FLASH), +// .PADDR(PADDR_FLASH_CTRL), +// .PPROT(PPROT_FLASH_CTRL), +// .PSEL(PSELx_FLASH_CTRL), +// .PENABLE(PENABLE_FLASH_CTRL), +// .PWRITE(PWRITE_FLASH_CTRL), +// .PWDATA(PWDATA_FLASH_CTRL), +// .PSTRB(PSTRB_FLASH_CTRL), +// .PRDATA(PRDATA_FLASH_CTRL), +// .PREADY(PREADY_FLASH_CTRL), +// .PSLVERR(PSLVERR_FLASH_CTRL), +// .QSPI_SCLK(QSPI_SCLK), +// .QSPI_nCS(QSPI_nCS), +// .QSPI_IO_o(QSPI_IO_o), +// .QSPI_IO_i(QSPI_IO_i), +// .QSPI_IO_e(QSPI_IO_e) +// ); + +sl_ahb_sram u_sl_ahb_sram( + .HCLK(SYS_CLK), + .HRESETn(SYS_RESETn), + .HSEL(1'b1), + .HREADY(1'b1), + .HTRANS(HTRANS_FLASH), + .HSIZE(HSIZE_FLASH), + .HWRITE(HWRITE_FLASH), + .HADDR(HADDR_FLASH), + .HWDATA(HWDATA_FLASH), + .HREADYOUT(HREADY_FLASH), + .HRESP(HRESP_FLASH), + .HRDATA(HRDATA_FLASH) +); + +SRAM_wrapper u_SRAM_wrapper( + .ACLK(SYS_CLK), + .ARESETn(SYS_RESETn), + .AWVALID(AWVALID_RAM), + .AWREADY(AWREADY_RAM), + .AWID(AWID_RAM), + .AWADDR(AWADDR_RAM), + .AWLEN(AWLEN_RAM), + .AWSIZE(AWSIZE_RAM), + .AWBURST(AWBURST_RAM), + .AWLOCK(AWLOCK_RAM), + .AWPROT(AWPROT_RAM), + .AWQOS(4'h0), + .WVALID(WVALID_RAM), + .WREADY(WREADY_RAM), + .WDATA(WDATA_RAM), + .WSTRB(WSTRB_RAM), + .WLAST(WLAST_RAM), + .WPOISON(1'b0), + .BVALID(BVALID_RAM), + .BREADY(BREADY_RAM), + .BID(BID_RAM), + .BRESP(BRESP_RAM), + .ARVALID(ARVALID_RAM), + .ARREADY(ARREADY_RAM), + .ARID(ARID_RAM), + .ARADDR(ARADDR_RAM), + .ARLEN(ARLEN_RAM), + .ARSIZE(ARSIZE_RAM), + .ARBURST(ARBURST_RAM), + .ARLOCK(ARLOCK_RAM), + .ARPROT(ARPROT_RAM), + .ARQOS(4'h0), + .RVALID(RVALID_RAM), + .RREADY(RREADY_RAM), + .RID(RID_RAM), + .RDATA(RDATA_RAM), + .RRESP(RRESP_RAM), + .RLAST(RLAST_RAM), + .RPOISON(), + .AWAKEUP(1'b1), + .clk_qreqn(SYS_CLKEN), + .clk_qacceptn(), + .clk_qdeny(), + .clk_qactive(), + .pwr_qreqn(SYS_CLKEN), + .pwr_qacceptn(), + .pwr_qdeny(), + .pwr_qactive(), + .ext_gt_qreqn(1'b1), + .ext_gt_qacceptn(), + .cfg_gate_resp(1'b0) +); +megasoc_peripheral_subsystem u_megasoc_peripheral_subsystem( + .PCLK(SYS_CLK), + .PRESETn(SYS_RESETn), + .PADDR(PADDR_PERIPHERAL), + .PENABLE(PENABLE_PERIPHERAL), + .PWRITE(PWRITE_PERIPHERAL), + .PWDATA(PWDATA_PERIPHERAL), + .PSEL(PSELx_PERIPHERAL), + .PRDATA(PRDATA_PERIPHERAL), + .PREADY(PREADY_PERIPHERAL), + .PSLVERR(PSLVERR_PERIPHERAL), + .UARTRXD(UARTRXD), + .UARTTXD(UARTTXD), + .UARTTXEN(UARTTXEN), + .PERI_IRQS(PERI_IRQS) ); endmodule \ No newline at end of file diff --git a/makefile b/makefile index cdf4b48..ee5fde8 100644 --- a/makefile +++ b/makefile @@ -12,15 +12,13 @@ include ./make.cfg -build_m55: - $(Cortex_M55_IP_DIR)/yamin/logical/generate -config ./socrates/Cortex-M55/yamin.yaml -output ./logical/Cortex-M55 -daplite2 $(Cortex_M55_IP_DIR)/daplite2 -tpium $(Cortex_M55_IP_DIR)/tpium -verbose -pmc100 none -soc600m $(SOC600_IP_DIR)/ build_pck600: socrates_cli --project megasoc_tech -data ../ --flow build.configured.component configuredComponentName=pck600_clk_ctrl_1 socrates_cli --project megasoc_tech -data ../ --flow build.configured.component configuredComponentName=pck600_ppu_1 build_sie300_sram_ctrl: @$(SIE300_IP_LOGICAL_DIR)/generate --config ./socrates/BP301_SRAM/config/SRAM_ctrl.yaml --output ./logical/SMC build_nic400: - socrates_cli --project megasoc_tech -data ../ --flow build.configured.component configuredComponentName=nic400_millisoc_system + socrates_cli --project megasoc_tech -data ../ --flow build.configured.component configuredComponentName=nic400_megasoc_main build_ip: make_project: diff --git a/socrates/BP301_SRAM/config/SRAM_ctrl.yaml b/socrates/BP301_SRAM/config/SRAM_ctrl.yaml index 22a2341..e4838bb 100644 --- a/socrates/BP301_SRAM/config/SRAM_ctrl.yaml +++ b/socrates/BP301_SRAM/config/SRAM_ctrl.yaml @@ -63,7 +63,7 @@ DATA_WIDTH: 64 # ID_WIDTH: AXI5 ID width for all channels # Valid values: # 2-32 -ID_WIDTH: 4 +ID_WIDTH: 5 # diff --git a/socrates/CortexA53_1/CortexA53_1.xml b/socrates/CortexA53_1/CortexA53_1.xml index 4044349..df65dfd 100644 --- a/socrates/CortexA53_1/CortexA53_1.xml +++ b/socrates/CortexA53_1/CortexA53_1.xml @@ -17,11 +17,11 @@ </Parameter> <Parameter> <Name>ACP</Name> - <Value>TRUE</Value> + <Value>FALSE</Value> </Parameter> <Parameter> <Name>ACE</Name> - <Value>FALSE</Value> + <Value>TRUE</Value> </Parameter> <Parameter> <Name>L1_ICACHE_SIZE</Name> @@ -49,7 +49,7 @@ </Parameter> <Parameter> <Name>L2_CACHE_SIZE</Name> - <Value>512KB</Value> + <Value>256KB</Value> </Parameter> <Parameter> <Name>L2_INPUT_LATENCY</Name> @@ -57,7 +57,7 @@ </Parameter> <Parameter> <Name>NUM_CPUS</Name> - <Value>2</Value> + <Value>1</Value> </Parameter> <Parameter> <Name>CRYPTO</Name> @@ -100,14 +100,6 @@ <ProtocolRef>interrupt</ProtocolRef> </Protocol> </Interface> - <Interface> - <Name>interrupt_master_VCPU_MNT_IRQ1</Name> - <UID>IF-interrupt_master_VCPU_MNT_IRQ1</UID> - <Requester/> - <Protocol> - <ProtocolRef>interrupt</ProtocolRef> - </Protocol> - </Interface> <Interface> <Name>TimerEventInterface_master_CPU0</Name> <UID>IF-TimerEventInterface_master_CPU0</UID> @@ -116,14 +108,6 @@ <ProtocolRef>TimerEventInterface</ProtocolRef> </Protocol> </Interface> - <Interface> - <Name>TimerEventInterface_master_CPU1</Name> - <UID>IF-TimerEventInterface_master_CPU1</UID> - <Requester/> - <Protocol> - <ProtocolRef>TimerEventInterface</ProtocolRef> - </Protocol> - </Interface> <Interface> <Name>ATB_master_CPU0</Name> <UID>IF-ATB_master_CPU0</UID> @@ -143,15 +127,6 @@ </Protocol> <ClockDomainRef>CD-CLKIN</ClockDomainRef> </Interface> - <Interface> - <Name>CHI-RNF_master</Name> - <UID>IF-CHI-RNF_master</UID> - <Requester/> - <Protocol> - <ProtocolRef>CHI-RNF-r0p0_0</ProtocolRef> - </Protocol> - <ClockDomainRef>CD-CLKIN</ClockDomainRef> - </Interface> <Interface> <Name>AXI4Stream_master_PROCESSOR</Name> <UID>IF-AXI4Stream_master_PROCESSOR</UID> @@ -198,28 +173,47 @@ <ClockDomainRef>CD-CLKIN</ClockDomainRef> </Interface> <Interface> - <Name>Channel_master_CTI_IRQ1</Name> - <UID>IF-Channel_master_CTI_IRQ1</UID> + <Name>ACE_master</Name> + <UID>IF-ACE_master</UID> <Requester/> <Protocol> - <ProtocolRef>Channel</ProtocolRef> - </Protocol> - <ClockDomainRef>CD-CLKIN</ClockDomainRef> - </Interface> - <Interface> - <Name>ATB_master_CPU1</Name> - <UID>IF-ATB_master_CPU1</UID> - <Requester/> - <Protocol> - <ProtocolRef>ATB4</ProtocolRef> + <ProtocolRef>ACE</ProtocolRef> <Parameters> <Parameter> - <Name>ATBDataWidth</Name> - <Value>32</Value> + <Name>ADDR_WIDTH</Name> + <Value>44</Value> </Parameter> <Parameter> - <Name>ATBytesWidth</Name> - <Value>2</Value> + <Name>DATA_WIDTH</Name> + <Value>128</Value> + </Parameter> + <Parameter> + <Name>ID_R_WIDTH</Name> + <Value>5</Value> + </Parameter> + <Parameter> + <Name>ID_W_WIDTH</Name> + <Value>5</Value> + </Parameter> + <Parameter> + <Name>AWUSER_WIDTH</Name> + <Value>0</Value> + </Parameter> + <Parameter> + <Name>ARUSER_WIDTH</Name> + <Value>0</Value> + </Parameter> + <Parameter> + <Name>WUSER_WIDTH</Name> + <Value>0</Value> + </Parameter> + <Parameter> + <Name>RUSER_WIDTH</Name> + <Value>0</Value> + </Parameter> + <Parameter> + <Name>BUSER_WIDTH</Name> + <Value>0</Value> </Parameter> </Parameters> </Protocol> @@ -233,14 +227,6 @@ <ProtocolRef>interrupt</ProtocolRef> </Protocol> </Interface> - <Interface> - <Name>interrupt_master_COMMIRQ1</Name> - <UID>IF-interrupt_master_COMMIRQ1</UID> - <Requester/> - <Protocol> - <ProtocolRef>interrupt</ProtocolRef> - </Protocol> - </Interface> <Interface> <Name>interrupt_master_PMU_IRQ0</Name> <UID>IF-interrupt_master_PMU_IRQ0</UID> @@ -249,14 +235,6 @@ <ProtocolRef>interrupt</ProtocolRef> </Protocol> </Interface> - <Interface> - <Name>interrupt_master_PMU_IRQ1</Name> - <UID>IF-interrupt_master_PMU_IRQ1</UID> - <Requester/> - <Protocol> - <ProtocolRef>interrupt</ProtocolRef> - </Protocol> - </Interface> <Interface> <Name>interrupt_master_EXTERRIRQ</Name> <UID>IF-interrupt_master_EXTERRIRQ</UID> @@ -265,20 +243,6 @@ <ProtocolRef>interrupt</ProtocolRef> </Protocol> </Interface> - <Interface> - <Name>Staticcfg_slave_SAMADDRMAP13</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP13</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> <Interface> <Name>interrupt_slave_FIQ0</Name> <UID>IF-interrupt_slave_FIQ0</UID> @@ -287,14 +251,6 @@ <ProtocolRef>interrupt</ProtocolRef> </Protocol> </Interface> - <Interface> - <Name>interrupt_slave_FIQ1</Name> - <UID>IF-interrupt_slave_FIQ1</UID> - <Completer/> - <Protocol> - <ProtocolRef>interrupt</ProtocolRef> - </Protocol> - </Interface> <Interface> <Name>AXI4Stream_slave_DISTRIBUTOR</Name> <UID>IF-AXI4Stream_slave_DISTRIBUTOR</UID> @@ -322,20 +278,6 @@ </Protocol> <ClockDomainRef>CD-CLKIN</ClockDomainRef> </Interface> - <Interface> - <Name>Staticcfg_slave_SAMHNF1_NODEID</Name> - <UID>IF-Staticcfg_slave_SAMHNF1_NODEID</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> <Interface> <Name>RESET_slave_CPU_PORESET0</Name> <UID>IF-RESET_slave_CPU_PORESET0</UID> @@ -344,14 +286,6 @@ <ProtocolRef>RESET</ProtocolRef> </Protocol> </Interface> - <Interface> - <Name>RESET_slave_CPU_PORESET1</Name> - <UID>IF-RESET_slave_CPU_PORESET1</UID> - <Completer/> - <Protocol> - <ProtocolRef>RESET</ProtocolRef> - </Protocol> - </Interface> <Interface> <Name>APB_slave_DEBUG</Name> <UID>IF-APB_slave_DEBUG</UID> @@ -393,20 +327,6 @@ </Parameters> </Protocol> </Interface> - <Interface> - <Name>Staticcfg_slave_CFGEND1</Name> - <UID>IF-Staticcfg_slave_CFGEND1</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> <Interface> <Name>Q-Channel_slave_NEON0</Name> <UID>IF-Q-Channel_slave_NEON0</UID> @@ -415,14 +335,6 @@ <ProtocolRef>Q-Channel-generic</ProtocolRef> </Protocol> </Interface> - <Interface> - <Name>Q-Channel_slave_NEON1</Name> - <UID>IF-Q-Channel_slave_NEON1</UID> - <Completer/> - <Protocol> - <ProtocolRef>Q-Channel-generic</ProtocolRef> - </Protocol> - </Interface> <Interface> <Name>Staticcfg_slave_CFGTE0</Name> <UID>IF-Staticcfg_slave_CFGTE0</UID> @@ -437,20 +349,6 @@ </Parameters> </Protocol> </Interface> - <Interface> - <Name>Staticcfg_slave_CFGTE1</Name> - <UID>IF-Staticcfg_slave_CFGTE1</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> <Interface> <Name>interrupt_slave_VIRQ0</Name> <UID>IF-interrupt_slave_VIRQ0</UID> @@ -460,16 +358,16 @@ </Protocol> </Interface> <Interface> - <Name>interrupt_slave_VIRQ1</Name> - <UID>IF-interrupt_slave_VIRQ1</UID> + <Name>interrupt_slave_VFIQ0</Name> + <UID>IF-interrupt_slave_VFIQ0</UID> <Completer/> <Protocol> <ProtocolRef>interrupt</ProtocolRef> </Protocol> </Interface> <Interface> - <Name>Staticcfg_slave_SAMADDRMAP4</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP4</UID> + <Name>Staticcfg_slave_VINITHI0</Name> + <UID>IF-Staticcfg_slave_VINITHI0</UID> <Completer/> <Protocol> <ProtocolRef>Staticcfg</ProtocolRef> @@ -482,8 +380,16 @@ </Protocol> </Interface> <Interface> - <Name>Staticcfg_slave_SAMADDRMAP10</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP10</UID> + <Name>RESET_slave_MBIST</Name> + <UID>IF-RESET_slave_MBIST</UID> + <Completer/> + <Protocol> + <ProtocolRef>RESET</ProtocolRef> + </Protocol> + </Interface> + <Interface> + <Name>Staticcfg_slave_CLUSTERIDAFF1</Name> + <UID>IF-Staticcfg_slave_CLUSTERIDAFF1</UID> <Completer/> <Protocol> <ProtocolRef>Staticcfg</ProtocolRef> @@ -496,24 +402,16 @@ </Protocol> </Interface> <Interface> - <Name>interrupt_slave_VFIQ0</Name> - <UID>IF-interrupt_slave_VFIQ0</UID> - <Completer/> - <Protocol> - <ProtocolRef>interrupt</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>interrupt_slave_VFIQ1</Name> - <UID>IF-interrupt_slave_VFIQ1</UID> + <Name>Authentication_slave_CPU0</Name> + <UID>IF-Authentication_slave_CPU0</UID> <Completer/> <Protocol> - <ProtocolRef>interrupt</ProtocolRef> + <ProtocolRef>Authentication</ProtocolRef> </Protocol> </Interface> <Interface> - <Name>Staticcfg_slave_SAMADDRMAP9</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP9</UID> + <Name>Staticcfg_slave_CP15SDISABLE0</Name> + <UID>IF-Staticcfg_slave_CP15SDISABLE0</UID> <Completer/> <Protocol> <ProtocolRef>Staticcfg</ProtocolRef> @@ -526,16 +424,17 @@ </Protocol> </Interface> <Interface> - <Name>ACP_slave</Name> - <UID>IF-ACP_slave</UID> + <Name>Channel_slave_CTI_CHIN</Name> + <UID>IF-Channel_slave_CTI_CHIN</UID> <Completer/> <Protocol> - <ProtocolRef>ACP4</ProtocolRef> + <ProtocolRef>Channel</ProtocolRef> </Protocol> + <ClockDomainRef>CD-CLKIN</ClockDomainRef> </Interface> <Interface> - <Name>Staticcfg_slave_SAMMN_BASE</Name> - <UID>IF-Staticcfg_slave_SAMMN_BASE</UID> + <Name>Staticcfg_slave_SYSBARDISABLE</Name> + <UID>IF-Staticcfg_slave_SYSBARDISABLE</UID> <Completer/> <Protocol> <ProtocolRef>Staticcfg</ProtocolRef> @@ -548,8 +447,8 @@ </Protocol> </Interface> <Interface> - <Name>Staticcfg_slave_SAMADDRMAP5</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP5</UID> + <Name>Staticcfg_slave_GICC_DISABLE</Name> + <UID>IF-Staticcfg_slave_GICC_DISABLE</UID> <Completer/> <Protocol> <ProtocolRef>Staticcfg</ProtocolRef> @@ -562,8 +461,8 @@ </Protocol> </Interface> <Interface> - <Name>Staticcfg_slave_SAMMN_NODEID</Name> - <UID>IF-Staticcfg_slave_SAMMN_NODEID</UID> + <Name>Staticcfg_slave_AA64nAA32_CPU0</Name> + <UID>IF-Staticcfg_slave_AA64nAA32_CPU0</UID> <Completer/> <Protocol> <ProtocolRef>Staticcfg</ProtocolRef> @@ -576,8 +475,8 @@ </Protocol> </Interface> <Interface> - <Name>Staticcfg_slave_SAMADDRMAP0</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP0</UID> + <Name>Staticcfg_slave_BROADCASTINNER</Name> + <UID>IF-Staticcfg_slave_BROADCASTINNER</UID> <Completer/> <Protocol> <ProtocolRef>Staticcfg</ProtocolRef> @@ -590,36 +489,24 @@ </Protocol> </Interface> <Interface> - <Name>Staticcfg_slave_VINITHI0</Name> - <UID>IF-Staticcfg_slave_VINITHI0</UID> + <Name>RESET_slave_CORE_RESET0</Name> + <UID>IF-RESET_slave_CORE_RESET0</UID> <Completer/> <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> + <ProtocolRef>RESET</ProtocolRef> </Protocol> </Interface> <Interface> - <Name>Staticcfg_slave_VINITHI1</Name> - <UID>IF-Staticcfg_slave_VINITHI1</UID> + <Name>interrupt_slave_SEI0</Name> + <UID>IF-interrupt_slave_SEI0</UID> <Completer/> <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> + <ProtocolRef>interrupt</ProtocolRef> </Protocol> </Interface> <Interface> - <Name>Staticcfg_slave_SAMADDRMAP12</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP12</UID> + <Name>Staticcfg_slave_CLUSTERIDAFF2</Name> + <UID>IF-Staticcfg_slave_CLUSTERIDAFF2</UID> <Completer/> <Protocol> <ProtocolRef>Staticcfg</ProtocolRef> @@ -632,16 +519,8 @@ </Protocol> </Interface> <Interface> - <Name>RESET_slave_MBIST</Name> - <UID>IF-RESET_slave_MBIST</UID> - <Completer/> - <Protocol> - <ProtocolRef>RESET</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_CLUSTERIDAFF1</Name> - <UID>IF-Staticcfg_slave_CLUSTERIDAFF1</UID> + <Name>Staticcfg_slave_BROADCASTCACHEMAINT</Name> + <UID>IF-Staticcfg_slave_BROADCASTCACHEMAINT</UID> <Completer/> <Protocol> <ProtocolRef>Staticcfg</ProtocolRef> @@ -654,38 +533,41 @@ </Protocol> </Interface> <Interface> - <Name>Authentication_slave_CPU0</Name> - <UID>IF-Authentication_slave_CPU0</UID> + <Name>interrupt_slave_IRQ0</Name> + <UID>IF-interrupt_slave_IRQ0</UID> <Completer/> <Protocol> - <ProtocolRef>Authentication</ProtocolRef> + <ProtocolRef>interrupt</ProtocolRef> </Protocol> </Interface> <Interface> - <Name>Authentication_slave_CPU1</Name> - <UID>IF-Authentication_slave_CPU1</UID> + <Name>RESET_slave_L2</Name> + <UID>IF-RESET_slave_L2</UID> <Completer/> <Protocol> - <ProtocolRef>Authentication</ProtocolRef> + <ProtocolRef>RESET</ProtocolRef> </Protocol> </Interface> <Interface> - <Name>Staticcfg_slave_CP15SDISABLE0</Name> - <UID>IF-Staticcfg_slave_CP15SDISABLE0</UID> + <Name>interrupt_slave_VSEI0</Name> + <UID>IF-interrupt_slave_VSEI0</UID> <Completer/> <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> + <ProtocolRef>interrupt</ProtocolRef> + </Protocol> + </Interface> + <Interface> + <Name>EVENT_slave_EDBGRQ0</Name> + <UID>IF-EVENT_slave_EDBGRQ0</UID> + <Completer/> + <Protocol> + <ProtocolRef>EVENT</ProtocolRef> </Protocol> + <ClockDomainRef>CD-CLKIN</ClockDomainRef> </Interface> <Interface> - <Name>Staticcfg_slave_CP15SDISABLE1</Name> - <UID>IF-Staticcfg_slave_CP15SDISABLE1</UID> + <Name>Staticcfg_slave_DBGROMADDR</Name> + <UID>IF-Staticcfg_slave_DBGROMADDR</UID> <Completer/> <Protocol> <ProtocolRef>Staticcfg</ProtocolRef> @@ -698,17 +580,16 @@ </Protocol> </Interface> <Interface> - <Name>Channel_slave_CTI_CHIN</Name> - <UID>IF-Channel_slave_CTI_CHIN</UID> + <Name>Q-Channel_slave_CPU0</Name> + <UID>IF-Q-Channel_slave_CPU0</UID> <Completer/> <Protocol> - <ProtocolRef>Channel</ProtocolRef> + <ProtocolRef>Q-Channel-generic</ProtocolRef> </Protocol> - <ClockDomainRef>CD-CLKIN</ClockDomainRef> </Interface> <Interface> - <Name>Staticcfg_slave_NODEID</Name> - <UID>IF-Staticcfg_slave_NODEID</UID> + <Name>Staticcfg_slave_BROADCASTOUTER</Name> + <UID>IF-Staticcfg_slave_BROADCASTOUTER</UID> <Completer/> <Protocol> <ProtocolRef>Staticcfg</ProtocolRef> @@ -721,8 +602,8 @@ </Protocol> </Interface> <Interface> - <Name>Staticcfg_slave_SAMADDRMAP8</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP8</UID> + <Name>Staticcfg_slave_RVB_ARADDR0</Name> + <UID>IF-Staticcfg_slave_RVB_ARADDR0</UID> <Completer/> <Protocol> <ProtocolRef>Staticcfg</ProtocolRef> @@ -735,520 +616,8 @@ </Protocol> </Interface> <Interface> - <Name>Staticcfg_slave_SAMADDRMAP2</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP2</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMHNF0_NODEID</Name> - <UID>IF-Staticcfg_slave_SAMHNF0_NODEID</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_RVB_ARADDR1</Name> - <UID>IF-Staticcfg_slave_RVB_ARADDR1</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMHNF5_NODEID</Name> - <UID>IF-Staticcfg_slave_SAMHNF5_NODEID</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SYSBARDISABLE</Name> - <UID>IF-Staticcfg_slave_SYSBARDISABLE</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_GICC_DISABLE</Name> - <UID>IF-Staticcfg_slave_GICC_DISABLE</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMADDRMAP1</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP1</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMHNF2_NODEID</Name> - <UID>IF-Staticcfg_slave_SAMHNF2_NODEID</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_AA64nAA32_CPU0</Name> - <UID>IF-Staticcfg_slave_AA64nAA32_CPU0</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_AA64nAA32_CPU1</Name> - <UID>IF-Staticcfg_slave_AA64nAA32_CPU1</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_BROADCASTINNER</Name> - <UID>IF-Staticcfg_slave_BROADCASTINNER</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>RESET_slave_CORE_RESET0</Name> - <UID>IF-RESET_slave_CORE_RESET0</UID> - <Completer/> - <Protocol> - <ProtocolRef>RESET</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>RESET_slave_CORE_RESET1</Name> - <UID>IF-RESET_slave_CORE_RESET1</UID> - <Completer/> - <Protocol> - <ProtocolRef>RESET</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>interrupt_slave_SEI0</Name> - <UID>IF-interrupt_slave_SEI0</UID> - <Completer/> - <Protocol> - <ProtocolRef>interrupt</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>interrupt_slave_SEI1</Name> - <UID>IF-interrupt_slave_SEI1</UID> - <Completer/> - <Protocol> - <ProtocolRef>interrupt</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_CLUSTERIDAFF2</Name> - <UID>IF-Staticcfg_slave_CLUSTERIDAFF2</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMHNI0_NODID</Name> - <UID>IF-Staticcfg_slave_SAMHNI0_NODID</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_BROADCASTCACHEMAINT</Name> - <UID>IF-Staticcfg_slave_BROADCASTCACHEMAINT</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMHNF4_NODEID</Name> - <UID>IF-Staticcfg_slave_SAMHNF4_NODEID</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMADDRMAP6</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP6</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SCLKEN</Name> - <UID>IF-Staticcfg_slave_SCLKEN</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMADDRMAP3</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP3</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMHNF6_NODEID</Name> - <UID>IF-Staticcfg_slave_SAMHNF6_NODEID</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>interrupt_slave_IRQ0</Name> - <UID>IF-interrupt_slave_IRQ0</UID> - <Completer/> - <Protocol> - <ProtocolRef>interrupt</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>interrupt_slave_IRQ1</Name> - <UID>IF-interrupt_slave_IRQ1</UID> - <Completer/> - <Protocol> - <ProtocolRef>interrupt</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMADDRMAP15</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP15</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMHNF_MODE</Name> - <UID>IF-Staticcfg_slave_SAMHNF_MODE</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>RESET_slave_L2</Name> - <UID>IF-RESET_slave_L2</UID> - <Completer/> - <Protocol> - <ProtocolRef>RESET</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>interrupt_slave_VSEI0</Name> - <UID>IF-interrupt_slave_VSEI0</UID> - <Completer/> - <Protocol> - <ProtocolRef>interrupt</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>interrupt_slave_VSEI1</Name> - <UID>IF-interrupt_slave_VSEI1</UID> - <Completer/> - <Protocol> - <ProtocolRef>interrupt</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMHNF7_NODEID</Name> - <UID>IF-Staticcfg_slave_SAMHNF7_NODEID</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMADDRMAP7</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP7</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMHNI1_NODID</Name> - <UID>IF-Staticcfg_slave_SAMHNI1_NODID</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>EVENT_slave_EDBGRQ0</Name> - <UID>IF-EVENT_slave_EDBGRQ0</UID> - <Completer/> - <Protocol> - <ProtocolRef>EVENT</ProtocolRef> - </Protocol> - <ClockDomainRef>CD-CLKIN</ClockDomainRef> - </Interface> - <Interface> - <Name>EVENT_slave_EDBGRQ1</Name> - <UID>IF-EVENT_slave_EDBGRQ1</UID> - <Completer/> - <Protocol> - <ProtocolRef>EVENT</ProtocolRef> - </Protocol> - <ClockDomainRef>CD-CLKIN</ClockDomainRef> - </Interface> - <Interface> - <Name>Staticcfg_slave_DBGROMADDR</Name> - <UID>IF-Staticcfg_slave_DBGROMADDR</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Q-Channel_slave_CPU0</Name> - <UID>IF-Q-Channel_slave_CPU0</UID> - <Completer/> - <Protocol> - <ProtocolRef>Q-Channel-generic</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>Q-Channel_slave_CPU1</Name> - <UID>IF-Q-Channel_slave_CPU1</UID> - <Completer/> - <Protocol> - <ProtocolRef>Q-Channel-generic</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SINACT</Name> - <UID>IF-Staticcfg_slave_SINACT</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_BROADCASTOUTER</Name> - <UID>IF-Staticcfg_slave_BROADCASTOUTER</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_RVB_ARADDR0</Name> - <UID>IF-Staticcfg_slave_RVB_ARADDR0</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMADDRMAP14</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP14</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> - <Interface> - <Name>WTimestamp_slave</Name> - <UID>IF-WTimestamp_slave</UID> + <Name>WTimestamp_slave</Name> + <UID>IF-WTimestamp_slave</UID> <Completer/> <Protocol> <ProtocolRef>WTimestamp</ProtocolRef> @@ -1275,20 +644,6 @@ </Parameters> </Protocol> </Interface> - <Interface> - <Name>Staticcfg_slave_SAMADDRMAP11</Name> - <UID>IF-Staticcfg_slave_SAMADDRMAP11</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> <Interface> <Name>Staticcfg_slave_PERIPHBASE</Name> <UID>IF-Staticcfg_slave_PERIPHBASE</UID> @@ -1311,28 +666,6 @@ <ProtocolRef>interrupt</ProtocolRef> </Protocol> </Interface> - <Interface> - <Name>interrupt_slave_REI1</Name> - <UID>IF-interrupt_slave_REI1</UID> - <Completer/> - <Protocol> - <ProtocolRef>interrupt</ProtocolRef> - </Protocol> - </Interface> - <Interface> - <Name>Staticcfg_slave_SAMHNF3_NODEID</Name> - <UID>IF-Staticcfg_slave_SAMHNF3_NODEID</UID> - <Completer/> - <Protocol> - <ProtocolRef>Staticcfg</ProtocolRef> - <Parameters> - <Parameter> - <Name>CONFIGURATION_WIDTH</Name> - <Value>1</Value> - </Parameter> - </Parameters> - </Protocol> - </Interface> </Interfaces> </Specification> </ConfiguredComponent> diff --git a/socrates/nic400_megasoc_main/nic400_megasoc_main.xml b/socrates/nic400_megasoc_main/nic400_megasoc_main.xml new file mode 100644 index 0000000..f263cea --- /dev/null +++ b/socrates/nic400_megasoc_main/nic400_megasoc_main.xml @@ -0,0 +1,2003 @@ +<?xml version="1.0" encoding="UTF-8"?> +<ConfiguredComponent> + <Name>nic400_megasoc_main</Name> + <Suffix>megasoc_main</Suffix> + <ConfigurableComponentRef> + <Vendor>arm.com</Vendor> + <Library>CoreLink</Library> + <Name>nic400</Name> + <Version>r1p2</Version> + </ConfigurableComponentRef> + <Specification> + <Parameters> + <AWUSERWidth>0</AWUSERWidth> + <ARUSERWidth>0</ARUSERWidth> + <WUSERWidth>0</WUSERWidth> + <BUSERWidth>0</BUSERWidth> + <RUSERWidth>0</RUSERWidth> + <GlobalIDWidth>6</GlobalIDWidth> + <HierarchicalClockGating>false</HierarchicalClockGating> + <ClockControllerImplementation>asynchronous</ClockControllerImplementation> + <RSBCentralRing>false</RSBCentralRing> + <DefaultProtocol>axi4</DefaultProtocol> + <UppercaseRTLSignals>true</UppercaseRTLSignals> + <Taxonomy>master_slave</Taxonomy> + <QoSEnabled>false</QoSEnabled> + <QVNEnabled>false</QVNEnabled> + <DPEEnabled>false</DPEEnabled> + <SystemDataWidth>128</SystemDataWidth> + </Parameters> + <Domains> + <VoltageDomains> + <VoltageDomain> + <Name>vd0</Name> + </VoltageDomain> + </VoltageDomains> + <PowerDomains> + <PowerDomain> + <Name>pd0</Name> + <PowerDomainType>AlwaysOn</PowerDomainType> + <VoltageDomainRef>vd0</VoltageDomainRef> + </PowerDomain> + </PowerDomains> + <ClockDomains> + <ClockDomain> + <Name>clk0</Name> + <ClockDomainType>physical</ClockDomainType> + <PowerDomainRef>pd0</PowerDomainRef> + </ClockDomain> + </ClockDomains> + <ClockRelations/> + </Domains> + <Groups> + <ExternalGroups/> + <APBGroups> + <APBGroup> + <Name>apb_group0</Name> + <ClockRef>clk0</ClockRef> + <ReadIssuingAPB>1</ReadIssuingAPB> + <WriteIssuingAPB>1</WriteIssuingAPB> + <TotalIssuingAPB>1</TotalIssuingAPB> + <LockSupport>false</LockSupport> + </APBGroup> + </APBGroups> + </Groups> + <Interfaces> + <SlaveInterface> + <Name>A53</Name> + <AXI4SlaveProtocol> + <AddressWidth>44</AddressWidth> + <DataWidth>128</DataWidth> + <RUSEREnabled>false</RUSEREnabled> + <WUSEREnabled>false</WUSEREnabled> + <VIDWidth>6</VIDWidth> + <MultiRegion>false</MultiRegion> + <TrustZoneSlave>secure</TrustZoneSlave> + <ReadAcceptance>4</ReadAcceptance> + <WriteAcceptance>4</WriteAcceptance> + <QoSTypeAXI>fixed</QoSTypeAXI> + <QoSValue>0</QoSValue> + <TransactionRateRegulation>false</TransactionRateRegulation> + <OutstandingTransactionRegulation>false</OutstandingTransactionRegulation> + <LatencyPeriodRegulation>false</LatencyPeriodRegulation> + <VNExternal>false</VNExternal> + </AXI4SlaveProtocol> + <GeographicDomainRef>gd0</GeographicDomainRef> + <ClockRef>clk0</ClockRef> + <MultiPorted>false</MultiPorted> + <CyclicDependencyAvoidanceScheme>slave_per_id</CyclicDependencyAvoidanceScheme> + <LowLatency>false</LowLatency> + </SlaveInterface> + <MasterInterface> + <Name>ROM</Name> + <AXI4MasterProtocol> + <AddressWidth>32</AddressWidth> + <DataWidth>64</DataWidth> + <IDWidth>0</IDWidth> + <MultiRegion>false</MultiRegion> + <RUSEREnabled>false</RUSEREnabled> + <WUSEREnabled>false</WUSEREnabled> + <TrustZoneMaster>non_secure</TrustZoneMaster> + <ReadIssuing>1</ReadIssuing> + <WriteIssuing>1</WriteIssuing> + <TotalIssuing>1</TotalIssuing> + <MultiPorted>false</MultiPorted> + <IDWidthReduction>true</IDWidthReduction> + <OutputSignals>false</OutputSignals> + <VNExternal>false</VNExternal> + </AXI4MasterProtocol> + <GeographicDomainRef>gd0</GeographicDomainRef> + <ClockRef>clk0</ClockRef> + </MasterInterface> + <MasterInterface> + <Name>FLASH</Name> + <AHBLiteInitiatorMasterProtocol> + <AddressWidth>32</AddressWidth> + <DataWidth>32</DataWidth> + <RUSEREnabled>false</RUSEREnabled> + <WUSEREnabled>false</WUSEREnabled> + <ReadIssuing>1</ReadIssuing> + <WriteIssuing>1</WriteIssuing> + <TotalIssuing>1</TotalIssuing> + <TrustZoneMaster>non_secure</TrustZoneMaster> + <MultiPorted>false</MultiPorted> + <LockSupport>false</LockSupport> + </AHBLiteInitiatorMasterProtocol> + <GeographicDomainRef>gd0</GeographicDomainRef> + <ClockRef>clk0</ClockRef> + </MasterInterface> + <MasterInterface> + <Name>RAM</Name> + <AXI4MasterProtocol> + <AddressWidth>32</AddressWidth> + <DataWidth>64</DataWidth> + <IDWidth>0</IDWidth> + <MultiRegion>false</MultiRegion> + <RUSEREnabled>false</RUSEREnabled> + <WUSEREnabled>false</WUSEREnabled> + <TrustZoneMaster>non_secure</TrustZoneMaster> + <ReadIssuing>1</ReadIssuing> + <WriteIssuing>1</WriteIssuing> + <TotalIssuing>1</TotalIssuing> + <MultiPorted>false</MultiPorted> + <IDWidthReduction>true</IDWidthReduction> + <OutputSignals>false</OutputSignals> + <VNExternal>false</VNExternal> + </AXI4MasterProtocol> + <GeographicDomainRef>gd0</GeographicDomainRef> + <ClockRef>clk0</ClockRef> + </MasterInterface> + <MasterInterface> + <Name>PERIPHERAL</Name> + <APBMasterProtocol> + <AddressWidth>32</AddressWidth> + <DataWidth>32</DataWidth> + <TrustZoneMasterAPB>non_secure</TrustZoneMasterAPB> + <APBGroupRef>apb_group0</APBGroupRef> + </APBMasterProtocol> + <GeographicDomainRef>gd0</GeographicDomainRef> + <ClockRef>clk0</ClockRef> + </MasterInterface> + <MasterInterface> + <Name>DRAM</Name> + <AXI4MasterProtocol> + <AddressWidth>32</AddressWidth> + <DataWidth>64</DataWidth> + <IDWidth>0</IDWidth> + <MultiRegion>false</MultiRegion> + <RUSEREnabled>false</RUSEREnabled> + <WUSEREnabled>false</WUSEREnabled> + <TrustZoneMaster>non_secure</TrustZoneMaster> + <ReadIssuing>1</ReadIssuing> + <WriteIssuing>1</WriteIssuing> + <TotalIssuing>1</TotalIssuing> + <MultiPorted>false</MultiPorted> + <IDWidthReduction>true</IDWidthReduction> + <OutputSignals>false</OutputSignals> + <VNExternal>false</VNExternal> + </AXI4MasterProtocol> + <GeographicDomainRef>gd0</GeographicDomainRef> + <ClockRef>clk0</ClockRef> + </MasterInterface> + <MasterInterface> + <Name>FLASH_CTRL</Name> + <APB4MasterProtocol> + <AddressWidth>32</AddressWidth> + <DataWidth>32</DataWidth> + <TrustZoneMasterAPB>non_secure</TrustZoneMasterAPB> + <APBGroupRef>apb_group0</APBGroupRef> + </APB4MasterProtocol> + <GeographicDomainRef>gd0</GeographicDomainRef> + <ClockRef>clk0</ClockRef> + </MasterInterface> + <MasterInterface> + <Name>DEBUG</Name> + <APBMasterProtocol> + <AddressWidth>32</AddressWidth> + <DataWidth>32</DataWidth> + <TrustZoneMasterAPB>non_secure</TrustZoneMasterAPB> + <APBGroupRef>apb_group0</APBGroupRef> + </APBMasterProtocol> + <GeographicDomainRef>gd0</GeographicDomainRef> + <ClockRef>clk0</ClockRef> + </MasterInterface> + <MasterInterface> + <Name>GIC</Name> + <AXI4MasterProtocol> + <AddressWidth>32</AddressWidth> + <DataWidth>32</DataWidth> + <IDWidth>0</IDWidth> + <MultiRegion>false</MultiRegion> + <ARUSEREnabled>false</ARUSEREnabled> + <AWUSEREnabled>false</AWUSEREnabled> + <RUSEREnabled>false</RUSEREnabled> + <WUSEREnabled>false</WUSEREnabled> + <BUSEREnabled>false</BUSEREnabled> + <TrustZoneMaster>secure</TrustZoneMaster> + <ReadIssuing>1</ReadIssuing> + <WriteIssuing>1</WriteIssuing> + <TotalIssuing>1</TotalIssuing> + <MultiPorted>false</MultiPorted> + <IDWidthReduction>true</IDWidthReduction> + <OutputSignals>false</OutputSignals> + <VNExternal>false</VNExternal> + </AXI4MasterProtocol> + <GeographicDomainRef>gd0</GeographicDomainRef> + <ClockRef>clk0</ClockRef> + </MasterInterface> + </Interfaces> + <MemoryMaps> + <MemoryMap> + <Name>mm0</Name> + <MemoryMapSource> + <InterfaceRef>A53</InterfaceRef> + </MemoryMapSource> + <MappedBlock> + <InterfaceRef>ROM</InterfaceRef> + <Offset>0</Offset> + <Range>65536</Range> + <Visibility>true</Visibility> + </MappedBlock> + <MappedBlock> + <InterfaceRef>FLASH</InterfaceRef> + <Offset>4194304</Offset> + <Range>4194304</Range> + <Visibility>true</Visibility> + </MappedBlock> + <MappedBlock> + <InterfaceRef>RAM</InterfaceRef> + <Offset>8388608</Offset> + <Range>65536</Range> + <Visibility>true</Visibility> + </MappedBlock> + <MappedBlock> + <InterfaceRef>PERIPHERAL</InterfaceRef> + <Offset>1073741824</Offset> + <Range>536870912</Range> + <Visibility>true</Visibility> + </MappedBlock> + <MappedBlock> + <InterfaceRef>DRAM</InterfaceRef> + <Offset>2147483648</Offset> + <Range>2147483648</Range> + <Visibility>true</Visibility> + </MappedBlock> + <MappedBlock> + <InterfaceRef>FLASH_CTRL</InterfaceRef> + <Offset>16777216</Offset> + <Range>65536</Range> + <Visibility>true</Visibility> + </MappedBlock> + <MappedBlock> + <InterfaceRef>DEBUG</InterfaceRef> + <Offset>1610612736</Offset> + <Range>536870912</Range> + <Visibility>true</Visibility> + </MappedBlock> + <MappedBlock> + <InterfaceRef>GIC</InterfaceRef> + <Offset>17825792</Offset> + <Range>32768</Range> + <Visibility>true</Visibility> + </MappedBlock> + </MemoryMap> + </MemoryMaps> + <Paths> + <Path> + <Source> + <InterfaceRef>A53</InterfaceRef> + </Source> + <Targets> + <Target> + <InterfaceRef>ROM</InterfaceRef> + </Target> + <Target> + <InterfaceRef>FLASH</InterfaceRef> + </Target> + <Target> + <InterfaceRef>RAM</InterfaceRef> + </Target> + <Target> + <InterfaceRef>PERIPHERAL</InterfaceRef> + </Target> + <Target> + <InterfaceRef>DRAM</InterfaceRef> + </Target> + <Target> + <InterfaceRef>FLASH_CTRL</InterfaceRef> + </Target> + <Target> + <InterfaceRef>DEBUG</InterfaceRef> + </Target> + <Target> + <InterfaceRef>GIC</InterfaceRef> + </Target> + </Targets> + </Path> + </Paths> + <VirtualNetworks/> + </Specification> + <Architecture> + <NICConfigFile><periph> + <product_version_info major_group="bu" major_revision="1" major_version="00" minor_code="50000" minor_revision="2" minor_version="0" part_quality="rel" product_code="nic400" /> + <validator_version_info major_revision="22" minor_revision="1" /> + <global> + <address0x0 def="true">bottom</address0x0> + <aruser_width>0</aruser_width> + <awuser_width>0</awuser_width> + <buser_width>0</buser_width> + <cc_type>async</cc_type> + <default_protocol>axi4</default_protocol> + <dpe_glb_enable def="true">false</dpe_glb_enable> + <dpe_status>false</dpe_status> + <dpe_width def="true">5</dpe_width> + <gen_caps>true</gen_caps> + <hcg_en>false</hcg_en> + <license_status>unlicensed_nic</license_status> + <periph_id3 def="true">0</periph_id3> + <pl_id_width>6</pl_id_width> + <qos_status>false</qos_status> + <rsb_arch_central_ring>false</rsb_arch_central_ring> + <ruser_width>0</ruser_width> + <sas_visible def="true">false</sas_visible> + <start_iid>0</start_iid> + <taxonomy>masterslave</taxonomy> + <thin_links_status def="true">false</thin_links_status> + <uppercase_ext_sig>true</uppercase_ext_sig> + <virtual_networks /> + <virtual_networks_status>false</virtual_networks_status> + <wuser_width>0</wuser_width> + </global> + <clocks> + <domain freq="100">clk0</domain> + </clocks> + <asib> + <address_ranges> + <name>mm0</name> + <range> + <addr_max>0xFFFF</addr_max> + <addr_min>0x0</addr_min> + <remap> + <bit>default</bit> + <present>true</present> + <region>0</region> + <target>ROM</target> + </remap> + </range> + <range> + <addr_max>0x7FFFFF</addr_max> + <addr_min>0x400000</addr_min> + <remap> + <bit>default</bit> + <present>true</present> + <region>0</region> + <target>FLASH</target> + </remap> + </range> + <range> + <addr_max>0x80FFFF</addr_max> + <addr_min>0x800000</addr_min> + <remap> + <bit>default</bit> + <present>true</present> + <region>0</region> + <target>RAM</target> + </remap> + </range> + <range> + <addr_max>0x5FFFFFFF</addr_max> + <addr_min>0x40000000</addr_min> + <remap> + <bit>default</bit> + <present>true</present> + <region>0</region> + <target>PERIPHERAL</target> + </remap> + </range> + <range> + <addr_max>0xFFFFFFFF</addr_max> + <addr_min>0x80000000</addr_min> + <remap> + <bit>default</bit> + <present>true</present> + <region>0</region> + <target>DRAM</target> + </remap> + </range> + <range> + <addr_max>0x100FFFF</addr_max> + <addr_min>0x1000000</addr_min> + <remap> + <bit>default</bit> + <present>true</present> + <region>0</region> + <target>FLASH_CTRL</target> + </remap> + </range> + <range> + <addr_max>0x7FFFFFFF</addr_max> + <addr_min>0x60000000</addr_min> + <remap> + <bit>default</bit> + <present>true</present> + <region>0</region> + <target>DEBUG</target> + </remap> + </range> + <range> + <addr_max>0x1107FFF</addr_max> + <addr_min>0x1100000</addr_min> + <remap> + <bit>default</bit> + <present>true</present> + <region>0</region> + <target>GIC</target> + </remap> + </range> + </address_ranges> + <apb_config>false</apb_config> + <apb_slave_no def="true">2</apb_slave_no> + <cds>slaveperid</cds> + <clock_boundary>none</clock_boundary> + <clock_domain_name_master_if>clk0</clock_domain_name_master_if> + <clock_domain_name_slave_if>clk0</clock_domain_name_slave_if> + <master_if_data_width>128</master_if_data_width> + <multi_ported>false</multi_ported> + <multi_region>false</multi_region> + <name>A53</name> + <protocol>axi4</protocol> + <qos_config> + <hard>disable</hard> + <lqv>disable</lqv> + <pot>disable</pot> + </qos_config> + <qv> + <type>fixed</type> + <value>0</value> + </qv> + <reg> + <impl>present</impl> + <location>slave_port</location> + <name>aw</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>slave_port</location> + <name>w</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>slave_port</location> + <name>ar</name> + <type>rev</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>aw</name> + <type>fifo</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>ar</name> + <type>fifo</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>r</name> + <type>fifo</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>w</name> + <type>fifo</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>b</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>b</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>b</name> + <type def="true">full</type> + </reg> + <slave_if_addr_width>44</slave_if_addr_width> + <slave_if_data_width>128</slave_if_data_width> + <token_prerequest def="true">false</token_prerequest> + <token_prerequest_bridge def="true">false</token_prerequest_bridge> + <trustzone>sec</trustzone> + <vid_width>6</vid_width> + <vn_external>none</vn_external> + <vn_external_bridge>none</vn_external_bridge> + <x>0</x> + <y>20</y> + <master_if_port_name>A53_m</master_if_port_name> + <slave_if_port_name>A53_s</slave_if_port_name> + </asib> + <amib> + <apb_config>false</apb_config> + <apb_slave_no>65</apb_slave_no> + <clock_boundary>none</clock_boundary> + <clock_domain_name_master_if>clk0</clock_domain_name_master_if> + <clock_domain_name_slave_if>clk0</clock_domain_name_slave_if> + <compress_id>true</compress_id> + <dest_type>peripheral</dest_type> + <master_if_addr_width>32</master_if_addr_width> + <master_if_data_width>64</master_if_data_width> + <multi_ported>false</multi_ported> + <multi_region>false</multi_region> + <name>ROM</name> + <protocol>axi4</protocol> + <qv_out>false</qv_out> + <reg> + <impl>present</impl> + <location>master_port</location> + <name>w</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>master_port</location> + <name>b</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>master_port</location> + <name>r</name> + <type>rev</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>aw</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>ar</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>r</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>w</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>b</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>b</name> + <type>fifo</type> + </reg> + <slave_if_data_width>64</slave_if_data_width> + <token_prerequest def="true">false</token_prerequest> + <token_prerequest_bridge def="true">false</token_prerequest_bridge> + <trustzone>nsec</trustzone> + <vn_external>none</vn_external> + <vn_external_bridge>none</vn_external_bridge> + <x>0</x> + <y>20</y> + <master_if_port_name>ROM_m</master_if_port_name> + <slave_if_port_name>ROM_s</slave_if_port_name> + </amib> + <amib> + <apb_config>false</apb_config> + <apb_slave_no>64</apb_slave_no> + <clock_boundary>none</clock_boundary> + <clock_domain_name_master_if>clk0</clock_domain_name_master_if> + <clock_domain_name_slave_if>clk0</clock_domain_name_slave_if> + <compress_id def="true">false</compress_id> + <dest_type>peripheral</dest_type> + <master_if_addr_width>32</master_if_addr_width> + <master_if_data_width>32</master_if_data_width> + <multi_ported>false</multi_ported> + <multi_region>false</multi_region> + <name>FLASH</name> + <protocol>ahb_m</protocol> + <qv_out>false</qv_out> + <reg> + <impl>present</impl> + <location>slave_port</location> + <name>aw</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>slave_port</location> + <name>ar</name> + <type>rev</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>b</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>a</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>d</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>a</name> + <type>fifo</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>d</name> + <type>fifo</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>w</name> + <type>fifo</type> + </reg> + <slave_if_data_width>128</slave_if_data_width> + <token_prerequest def="true">false</token_prerequest> + <token_prerequest_bridge def="true">false</token_prerequest_bridge> + <trustzone>nsec</trustzone> + <vn_external>none</vn_external> + <vn_external_bridge>none</vn_external_bridge> + <x>0</x> + <y>40</y> + <master_if_port_name>FLASH_m</master_if_port_name> + <slave_if_port_name>FLASH_s</slave_if_port_name> + </amib> + <amib> + <apb_config>false</apb_config> + <apb_slave_no>63</apb_slave_no> + <clock_boundary>none</clock_boundary> + <clock_domain_name_master_if>clk0</clock_domain_name_master_if> + <clock_domain_name_slave_if>clk0</clock_domain_name_slave_if> + <compress_id>true</compress_id> + <dest_type>peripheral</dest_type> + <master_if_addr_width>32</master_if_addr_width> + <master_if_data_width>64</master_if_data_width> + <multi_ported>false</multi_ported> + <multi_region>false</multi_region> + <name>RAM</name> + <protocol>axi4</protocol> + <qv_out>false</qv_out> + <reg> + <impl>present</impl> + <location>master_port</location> + <name>w</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>master_port</location> + <name>b</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>master_port</location> + <name>r</name> + <type>rev</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>aw</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>ar</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>r</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>w</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>b</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>b</name> + <type>fifo</type> + </reg> + <slave_if_data_width>64</slave_if_data_width> + <token_prerequest def="true">false</token_prerequest> + <token_prerequest_bridge def="true">false</token_prerequest_bridge> + <trustzone>nsec</trustzone> + <vn_external>none</vn_external> + <vn_external_bridge>none</vn_external_bridge> + <x>0</x> + <y>60</y> + <master_if_port_name>RAM_m</master_if_port_name> + <slave_if_port_name>RAM_s</slave_if_port_name> + </amib> + <amib> + <apb_config>false</apb_config> + <apb_slave_no>62</apb_slave_no> + <clock_boundary>none</clock_boundary> + <clock_domain_name_master_if>clk0</clock_domain_name_master_if> + <clock_domain_name_slave_if>clk0</clock_domain_name_slave_if> + <compress_id>true</compress_id> + <dest_type>peripheral</dest_type> + <master_if_addr_width>32</master_if_addr_width> + <master_if_data_width>64</master_if_data_width> + <multi_ported>false</multi_ported> + <multi_region>false</multi_region> + <name>DRAM</name> + <protocol>axi4</protocol> + <qv_out>false</qv_out> + <reg> + <impl>present</impl> + <location>master_port</location> + <name>w</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>master_port</location> + <name>b</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>master_port</location> + <name>r</name> + <type>rev</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>aw</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>ar</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>r</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>w</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>b</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>b</name> + <type>fifo</type> + </reg> + <slave_if_data_width>64</slave_if_data_width> + <token_prerequest def="true">false</token_prerequest> + <token_prerequest_bridge def="true">false</token_prerequest_bridge> + <trustzone>nsec</trustzone> + <vn_external>none</vn_external> + <vn_external_bridge>none</vn_external_bridge> + <x>0</x> + <y>80</y> + <master_if_port_name>DRAM_m</master_if_port_name> + <slave_if_port_name>DRAM_s</slave_if_port_name> + </amib> + <amib> + <apb_config>false</apb_config> + <apb_slave_no>61</apb_slave_no> + <clock_boundary>none</clock_boundary> + <clock_domain_name_master_if>clk0</clock_domain_name_master_if> + <clock_domain_name_slave_if>clk0</clock_domain_name_slave_if> + <compress_id>true</compress_id> + <dest_type>peripheral</dest_type> + <master_if_addr_width>32</master_if_addr_width> + <master_if_data_width>32</master_if_data_width> + <multi_ported>false</multi_ported> + <multi_region>false</multi_region> + <name>GIC</name> + <protocol>axi4</protocol> + <qv_out>false</qv_out> + <reg> + <impl>present</impl> + <location>master_port</location> + <name>w</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>master_port</location> + <name>b</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>master_port</location> + <name>r</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>slave_port</location> + <name>aw</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>slave_port</location> + <name>ar</name> + <type>rev</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>aw</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>ar</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>r</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>w</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>b</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>b</name> + <type>fifo</type> + </reg> + <slave_if_data_width>128</slave_if_data_width> + <token_prerequest def="true">false</token_prerequest> + <token_prerequest_bridge def="true">false</token_prerequest_bridge> + <trustzone>sec</trustzone> + <vn_external>none</vn_external> + <vn_external_bridge>none</vn_external_bridge> + <x>0</x> + <y>100</y> + <master_if_port_name>GIC_m</master_if_port_name> + <slave_if_port_name>GIC_s</slave_if_port_name> + </amib> + <amib> + <apb_config>false</apb_config> + <apb_port> + <clock_domain>clk0</clock_domain> + <name>PERIPHERAL</name> + <trustzone>nsec</trustzone> + <x>0</x> + <y>0</y> + </apb_port> + <apb_port> + <clock_domain>clk0</clock_domain> + <name>FLASH_CTRL</name> + <trustzone>nsec</trustzone> + <x>0</x> + <y>0</y> + </apb_port> + <apb_port> + <clock_domain>clk0</clock_domain> + <name>DEBUG</name> + <trustzone>nsec</trustzone> + <x>0</x> + <y>0</y> + </apb_port> + <apb_slave_no>60</apb_slave_no> + <clock_boundary>none</clock_boundary> + <clock_domain_name_master_if>clk0</clock_domain_name_master_if> + <clock_domain_name_slave_if>clk0</clock_domain_name_slave_if> + <compress_id def="true">false</compress_id> + <dest_type>peripheral</dest_type> + <master_if_addr_width>32</master_if_addr_width> + <master_if_data_width>32</master_if_data_width> + <multi_ported>false</multi_ported> + <multi_region>true</multi_region> + <name>apb_group0</name> + <protocol>apb</protocol> + <qv_out>false</qv_out> + <reg> + <impl>present</impl> + <location>slave_port</location> + <name>aw</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>slave_port</location> + <name>ar</name> + <type>rev</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>b</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>a</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>d</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>a</name> + <type>fifo</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>d</name> + <type>fifo</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>w</name> + <type>fifo</type> + </reg> + <slave_if_data_width>128</slave_if_data_width> + <token_prerequest def="true">false</token_prerequest> + <token_prerequest_bridge def="true">false</token_prerequest_bridge> + <trustzone>nsec</trustzone> + <vn_external def="true">none</vn_external> + <vn_external_bridge def="true">none</vn_external_bridge> + <x>0</x> + <y>120</y> + <master_if_port_name>PERIPHERAL,FLASH_CTRL,DEBUG</master_if_port_name> + <slave_if_port_name>apb_group0_s</slave_if_port_name> + </amib> + <inter> + <clock_domain>clk0</clock_domain> + <data_width>64</data_width> + <expanded>false</expanded> + <height>40</height> + <impl>mlayer</impl> + <master_if> + <name>axi_m_0</name> + <post_arb_reg>absent</post_arb_reg> + <x>0</x> + <y>63</y> + </master_if> + <master_if> + <name>axi_m_1</name> + <post_arb_reg>absent</post_arb_reg> + <x>0</x> + <y>83</y> + </master_if> + <master_if> + <name>axi_m_2</name> + <post_arb_reg>absent</post_arb_reg> + <x>0</x> + <y>103</y> + </master_if> + <name>bm0</name> + <protocol>axi4</protocol> + <slave_if> + <name>axi_s_0</name> + <x>0</x> + <y>63</y> + </slave_if> + <sparse> + <cds>slaveperid</cds> + <sas def="true">false</sas> + <slave_if_port>axi_s_0</slave_if_port> + <master_if_port> + <name>axi_m_0</name> + <reg> + <impl def="true">absent</impl> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>b</name> + <type def="true">full</type> + </reg> + </master_if_port> + <master_if_port> + <name>axi_m_1</name> + <reg> + <impl def="true">absent</impl> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>b</name> + <type def="true">full</type> + </reg> + </master_if_port> + <master_if_port> + <name>axi_m_2</name> + <reg> + <impl def="true">absent</impl> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>b</name> + <type def="true">full</type> + </reg> + </master_if_port> + </sparse> + <type>busmatrix</type> + <width>0</width> + <x>500</x> + <y>45</y> + <master_if_port_name>axi_m_0,axi_m_1,axi_m_2</master_if_port_name> + <slave_if_port_name>axi_s_0</slave_if_port_name> + </inter> + <inter> + <clock_domain>clk0</clock_domain> + <data_width>128</data_width> + <expanded>false</expanded> + <height>80</height> + <impl>mlayer</impl> + <master_if> + <name>axi_m_0</name> + <post_arb_reg>absent</post_arb_reg> + <x>0</x> + <y>108</y> + </master_if> + <master_if> + <name>axi_m_1</name> + <post_arb_reg>absent</post_arb_reg> + <x>0</x> + <y>128</y> + </master_if> + <master_if> + <name>axi_m_2</name> + <post_arb_reg>absent</post_arb_reg> + <x>0</x> + <y>148</y> + </master_if> + <master_if> + <name>axi_m_3</name> + <post_arb_reg>absent</post_arb_reg> + <x>0</x> + <y>168</y> + </master_if> + <master_if> + <name>axi_m_4</name> + <post_arb_reg>absent</post_arb_reg> + <x>0</x> + <y>188</y> + </master_if> + <name>bm1</name> + <protocol>axi4</protocol> + <slave_if> + <name>axi_s_0</name> + <x>0</x> + <y>108</y> + </slave_if> + <sparse> + <cds>slaveperid</cds> + <sas def="true">false</sas> + <slave_if_port>axi_s_0</slave_if_port> + <master_if_port> + <name>axi_m_0</name> + <reg> + <impl def="true">absent</impl> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>b</name> + <type def="true">full</type> + </reg> + </master_if_port> + <master_if_port> + <name>axi_m_1</name> + <reg> + <impl def="true">absent</impl> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>b</name> + <type def="true">full</type> + </reg> + </master_if_port> + <master_if_port> + <name>axi_m_2</name> + <reg> + <impl def="true">absent</impl> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>b</name> + <type def="true">full</type> + </reg> + </master_if_port> + <master_if_port> + <name>axi_m_3</name> + <reg> + <impl def="true">absent</impl> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>b</name> + <type def="true">full</type> + </reg> + </master_if_port> + <master_if_port> + <name>axi_m_4</name> + <reg> + <impl def="true">absent</impl> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <name>b</name> + <type def="true">full</type> + </reg> + </master_if_port> + </sparse> + <type>busmatrix</type> + <width>0</width> + <x>500</x> + <y>90</y> + <master_if_port_name>axi_m_0,axi_m_1,axi_m_2,axi_m_3,axi_m_4</master_if_port_name> + <slave_if_port_name>axi_s_0</slave_if_port_name> + </inter> + <inter> + <apb_config def="true">false</apb_config> + <apb_slave_no def="true">2</apb_slave_no> + <clock_boundary>none</clock_boundary> + <clock_domain_name_master_if>clk0</clock_domain_name_master_if> + <clock_domain_name_slave_if>clk0</clock_domain_name_slave_if> + <master_if> + <name>ib2_m</name> + <x>0</x> + <y>0</y> + </master_if> + <master_if_data_width>64</master_if_data_width> + <name>ib2</name> + <qos_config> + <hard>disable</hard> + <lqv>disable</lqv> + <pot>disable</pot> + </qos_config> + <reg> + <impl>present</impl> + <location>slave_port</location> + <name>aw</name> + <type>rev</type> + </reg> + <reg> + <impl>present</impl> + <location>slave_port</location> + <name>ar</name> + <type>rev</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>aw</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>aw</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>ar</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>ar</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>r</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>r</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>w</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>w</name> + <type def="true">full</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>slave_port</location> + <name>b</name> + <type def="true">full</type> + </reg> + <reg> + <depth def="true">2</depth> + <impl def="true">absent</impl> + <location>boundary</location> + <name>b</name> + <type>fifo</type> + </reg> + <reg> + <impl def="true">absent</impl> + <location>master_port</location> + <name>b</name> + <type def="true">full</type> + </reg> + <slave_if> + <name>ib2_s</name> + <x>0</x> + <y>0</y> + </slave_if> + <slave_if_data_width>128</slave_if_data_width> + <type>ib</type> + <x>0</x> + <y>0</y> + <master_if_port_name>ib2_m</master_if_port_name> + <slave_if_port_name>ib2_s</slave_if_port_name> + </inter> + <inter> + <name>ds_3</name> + <slave_if> + <name>axi_s_0</name> + <x>0</x> + <y>0</y> + </slave_if> + <type>default_slave</type> + <x>500</x> + <y>500</y> + <master_if_port_name /> + <slave_if_port_name>axi_s_0</slave_if_port_name> + </inter> + <connect> + <aruser>false</aruser> + <awuser>false</awuser> + <buser>false</buser> + <dest>A53</dest> + <dest_port>A53_s</dest_port> + <lock>false</lock> + <out_reads>4</out_reads> + <out_trans>8</out_trans> + <out_writes>4</out_writes> + <protocol>axi4</protocol> + <ruser>false</ruser> + <src>external</src> + <src_port>A53</src_port> + <wuser>false</wuser> + </connect> + <connect> + <aruser>false</aruser> + <awuser>false</awuser> + <buser>false</buser> + <dest>external</dest> + <dest_port>ROM</dest_port> + <lock>false</lock> + <out_reads>1</out_reads> + <out_trans>1</out_trans> + <out_writes>1</out_writes> + <protocol>axi4</protocol> + <ruser>false</ruser> + <src>ROM</src> + <src_port>ROM_m</src_port> + <wuser>false</wuser> + </connect> + <connect> + <aruser>false</aruser> + <awuser>false</awuser> + <buser>false</buser> + <dest>external</dest> + <dest_port>FLASH</dest_port> + <lock>false</lock> + <out_reads>1</out_reads> + <out_trans>1</out_trans> + <out_writes>1</out_writes> + <protocol>ahb_m</protocol> + <ruser>false</ruser> + <src>FLASH</src> + <src_port>FLASH_m</src_port> + <wuser>false</wuser> + </connect> + <connect> + <aruser>false</aruser> + <awuser>false</awuser> + <buser>false</buser> + <dest>external</dest> + <dest_port>RAM</dest_port> + <lock>false</lock> + <out_reads>1</out_reads> + <out_trans>1</out_trans> + <out_writes>1</out_writes> + <protocol>axi4</protocol> + <ruser>false</ruser> + <src>RAM</src> + <src_port>RAM_m</src_port> + <wuser>false</wuser> + </connect> + <connect> + <aruser>false</aruser> + <awuser>false</awuser> + <buser>false</buser> + <dest>external</dest> + <dest_port>DRAM</dest_port> + <lock>false</lock> + <out_reads>1</out_reads> + <out_trans>1</out_trans> + <out_writes>1</out_writes> + <protocol>axi4</protocol> + <ruser>false</ruser> + <src>DRAM</src> + <src_port>DRAM_m</src_port> + <wuser>false</wuser> + </connect> + <connect> + <aruser>false</aruser> + <awuser>false</awuser> + <buser>false</buser> + <dest>external</dest> + <dest_port>GIC</dest_port> + <lock>false</lock> + <out_reads>1</out_reads> + <out_trans>1</out_trans> + <out_writes>1</out_writes> + <protocol>axi4</protocol> + <ruser>false</ruser> + <src>GIC</src> + <src_port>GIC_m</src_port> + <wuser>false</wuser> + </connect> + <connect> + <aruser>false</aruser> + <awuser>false</awuser> + <buser>false</buser> + <dest>external</dest> + <dest_port>PERIPHERAL</dest_port> + <lock>false</lock> + <out_reads>1</out_reads> + <out_trans>1</out_trans> + <out_writes>1</out_writes> + <protocol>apb3</protocol> + <ruser>false</ruser> + <src>apb_group0</src> + <src_port>PERIPHERAL</src_port> + <wuser>false</wuser> + </connect> + <connect> + <aruser>false</aruser> + <awuser>false</awuser> + <buser>false</buser> + <dest>external</dest> + <dest_port>FLASH_CTRL</dest_port> + <lock>false</lock> + <out_reads>1</out_reads> + <out_trans>1</out_trans> + <out_writes>1</out_writes> + <protocol>apb4</protocol> + <ruser>false</ruser> + <src>apb_group0</src> + <src_port>FLASH_CTRL</src_port> + <wuser>false</wuser> + </connect> + <connect> + <aruser>false</aruser> + <awuser>false</awuser> + <buser>false</buser> + <dest>external</dest> + <dest_port>DEBUG</dest_port> + <lock>false</lock> + <out_reads>1</out_reads> + <out_trans>1</out_trans> + <out_writes>1</out_writes> + <protocol>apb3</protocol> + <ruser>false</ruser> + <src>apb_group0</src> + <src_port>DEBUG</src_port> + <wuser>false</wuser> + </connect> + <connect> + <dest>ROM</dest> + <dest_port>ROM_s</dest_port> + <lock>false</lock> + <out_reads>1</out_reads> + <out_trans>1</out_trans> + <out_writes>1</out_writes> + <protocol>axi4</protocol> + <src>bm0</src> + <src_port>axi_m_0</src_port> + </connect> + <connect> + <dest>DRAM</dest> + <dest_port>DRAM_s</dest_port> + <lock>false</lock> + <out_reads>1</out_reads> + <out_trans>1</out_trans> + <out_writes>1</out_writes> + <protocol>axi4</protocol> + <src>bm0</src> + <src_port>axi_m_1</src_port> + </connect> + <connect> + <dest>RAM</dest> + <dest_port>RAM_s</dest_port> + <lock>false</lock> + <out_reads>1</out_reads> + <out_trans>1</out_trans> + <out_writes>1</out_writes> + <protocol>axi4</protocol> + <src>bm0</src> + <src_port>axi_m_2</src_port> + </connect> + <connect> + <dest>bm1</dest> + <dest_port>axi_s_0</dest_port> + <lock>false</lock> + <out_reads>4</out_reads> + <out_trans>8</out_trans> + <out_writes>4</out_writes> + <protocol>axi4</protocol> + <src>A53</src> + <src_port>A53_m</src_port> + </connect> + <connect> + <dest>FLASH</dest> + <dest_port>FLASH_s</dest_port> + <lock>false</lock> + <out_reads def="true">2</out_reads> + <out_trans def="true">4</out_trans> + <out_writes def="true">2</out_writes> + <protocol>axi4</protocol> + <src>bm1</src> + <src_port>axi_m_0</src_port> + </connect> + <connect> + <dest>apb_group0</dest> + <dest_port>apb_group0_s</dest_port> + <lock>false</lock> + <out_reads def="true">2</out_reads> + <out_trans def="true">2</out_trans> + <out_writes def="true">2</out_writes> + <protocol>axi4</protocol> + <src>bm1</src> + <src_port>axi_m_1</src_port> + </connect> + <connect> + <dest>GIC</dest> + <dest_port>GIC_s</dest_port> + <lock>false</lock> + <out_reads def="true">1</out_reads> + <out_trans def="true">1</out_trans> + <out_writes def="true">1</out_writes> + <protocol>axi4</protocol> + <src>bm1</src> + <src_port>axi_m_2</src_port> + </connect> + <connect> + <dest>ib2</dest> + <dest_port>ib2_s</dest_port> + <lock>false</lock> + <out_reads def="true">3</out_reads> + <out_trans>6</out_trans> + <out_writes def="true">3</out_writes> + <protocol>axi4</protocol> + <src>bm1</src> + <src_port>axi_m_3</src_port> + </connect> + <connect> + <dest>bm0</dest> + <dest_port>axi_s_0</dest_port> + <lock>false</lock> + <out_reads def="true">3</out_reads> + <out_trans>6</out_trans> + <out_writes def="true">3</out_writes> + <protocol>axi4</protocol> + <src>ib2</src> + <src_port>ib2_m</src_port> + </connect> + <connect> + <dest>ds_3</dest> + <dest_port>axi_s_0</dest_port> + <lock>false</lock> + <out_reads>1</out_reads> + <out_trans>2</out_trans> + <out_writes>1</out_writes> + <protocol>axi4</protocol> + <src>bm1</src> + <src_port>axi_m_4</src_port> + </connect> + <architecture> + <link> + <slave_if> + <name>A53</name> + <master_if>apb_group0</master_if> + <master_if>DRAM</master_if> + <master_if>FLASH</master_if> + <master_if>DEBUG<parent>apb_group0</parent> + </master_if> + <master_if>PERIPHERAL<parent>apb_group0</parent> + </master_if> + <master_if>FLASH_CTRL<parent>apb_group0</parent> + </master_if> + <master_if>GIC</master_if> + <master_if>RAM</master_if> + <master_if>ROM</master_if> + </slave_if> + </link> + </architecture> +</periph> +</NICConfigFile> + </Architecture> + <Deliverables> + <IPXACT/> + <RTL/> + <TestBench/> + <Reports/> + </Deliverables> +</ConfiguredComponent> \ No newline at end of file diff --git a/socrates/sie300/sie300_axi5_sram_ctrl_1/sie300_axi5_sram_ctrl_1.xml b/socrates/sie300/sie300_axi5_sram_ctrl_1/sie300_axi5_sram_ctrl_1.xml new file mode 100644 index 0000000..1e38efd --- /dev/null +++ b/socrates/sie300/sie300_axi5_sram_ctrl_1/sie300_axi5_sram_ctrl_1.xml @@ -0,0 +1,111 @@ +<?xml version='1.0' encoding='utf-8'?> +<ConfiguredComponent version="r1p0"> + <Name>sie300_axi5_sram_ctrl_1</Name> + <Suffix>1</Suffix> + <ConfigurationGroupName>sie300</ConfigurationGroupName> + <ConfigurableComponentRef> + <Vendor>arm.com</Vendor> + <Library>CoreLink</Library> + <Name>sie300_axi5_sram_ctrl</Name> + <Version>r1p0_0</Version> + </ConfigurableComponentRef> + <Specification> + <Parameters> + <Parameter> + <Name>ADDR_WIDTH</Name> + <Value>20</Value> + </Parameter> + <Parameter> + <Name>DATA_WIDTH</Name> + <Value>64</Value> + </Parameter> + <Parameter> + <Name>ID_WIDTH</Name> + <Value>6</Value> + </Parameter> + <Parameter> + <Name>QCLK_SYNC_EN</Name> + <Value>1</Value> + </Parameter> + <Parameter> + <Name>QPWR_SYNC_EN</Name> + <Value>1</Value> + </Parameter> + <Parameter> + <Name>QEXT_SYNC_EN</Name> + <Value>1</Value> + </Parameter> + <Parameter> + <Name>EXCLUSIVE_MONITORS</Name> + <Value>2</Value> + </Parameter> + <Parameter> + <Name>AR_BUF_SIZE</Name> + <Value>1</Value> + </Parameter> + <Parameter> + <Name>AW_BUF_SIZE</Name> + <Value>2</Value> + </Parameter> + <Parameter> + <Name>W_BUF_SIZE</Name> + <Value>8</Value> + </Parameter> + <Parameter> + <Name>AXI5_POISON_EN</Name> + <Value>1</Value> + </Parameter> + <Parameter> + <Name>REGISTER_AXI_AR</Name> + <Value>BYPASS</Value> + </Parameter> + <Parameter> + <Name>REGISTER_AXI_R</Name> + <Value>BYPASS</Value> + </Parameter> + <Parameter> + <Name>STRB_WIDTH</Name> + <Value>8</Value> + </Parameter> + <Parameter> + <Name>POIS_WIDTH</Name> + <Value>1</Value> + </Parameter> + <Parameter> + <Name>AW_CNTR_WIDTH</Name> + <Value>5</Value> + </Parameter> + <Parameter> + <Name>MDAT_WIDTH</Name> + <Value>1</Value> + </Parameter> + <Parameter> + <Name>MSTR_WIDTH</Name> + <Value>1</Value> + </Parameter> + </Parameters> + <Domains> + <VoltageDomains> + <VoltageDomain> + <Name>vd0</Name> + <UID>VD-vd0</UID> + </VoltageDomain> + </VoltageDomains> + <PowerDomains> + <PowerDomain> + <Name>pd0</Name> + <UID>PD-pd0</UID> + <Type>AlwaysOn</Type> + <VoltageDomainRef>VD-vd0</VoltageDomainRef> + </PowerDomain> + </PowerDomains> + <ClockDomains> + <ClockDomain> + <Name>clk0</Name> + <UID>CD-clk0</UID> + <PowerDomainRef>PD-pd0</PowerDomainRef> + </ClockDomain> + </ClockDomains> + </Domains> + </Specification> +</ConfiguredComponent> diff --git a/software/lib/common/Makefile.c_host b/software/lib/common/Makefile.c_host new file mode 100644 index 0000000..6e6ef35 --- /dev/null +++ b/software/lib/common/Makefile.c_host @@ -0,0 +1,229 @@ +##------------------------------------------------------------------------------ +## The confidential and proprietary information contained in this file may +## only be used by a person authorised under and to the extent permitted +## by a subsisting licensing agreement from Arm Limited or its affiliates. +## +## (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +## ALL RIGHTS RESERVED +## +## This entire notice must be reproduced on all copies of this file +## and copies of this file may only be made by a person if such person is +## permitted to do so under the terms of a subsisting license agreement +## from Arm Limited or its affiliates. +## +## Release Information : SSE710-r0p0-00rel0 +## +##------------------------------------------------------------------------------ +## Purpose : C test Makefile +##------------------------------------------------------------------------------ + +SW_LIB_PATH := $(SOCLABS_MEGASOC_TECH_DIR)/software/lib/sw_lib +LOCAL_SW_LIB_PATH := $(SW_LIB_PATH)/host +BUILD_DIR_LIB := $(BUILD_DIR)/obj/lib + +# ------------------------------------------------------------------------------ +# Default build target +# ------------------------------------------------------------------------------ + +OUTPUT_FILES = $(BUILD_DIR)/app_ram.v8-a.hex \ + $(BUILD_DIR)/app_flash.v8-a.hex + +all: disp build $(OUTPUT_FILES) +disp: + @echo -e "\n------------------- Executing make target $@ -------------------" + @echo "Running from $(CURDIR)" + @echo "TEST_PATH = $(TEST_PATH)" + @echo "TEST_ID = $(TEST_ID)" + @echo "SW_LIB_PATH = $(SW_LIB_PATH)" + @echo "BUILD_DIR = $(BUILD_DIR)" + @echo "AARCH64 = $(AARCH64)" + +build: + mkdir -p $(BUILD_DIR) + +clean: + @echo "Cleaning all build files" + rm -rf $(BUILD_DIR) + +all_bootloader: disp build $(BUILD_DIR)/bootloader.hex + +# ------------------------------------------------------------------------------ +# Tool setup +# ------------------------------------------------------------------------------ +EM = $(MEM_IMG_PATH)/cg071_elf2mem +FE = fromelf + +ifeq ($(COMPILE_GCC), 0) + AS = armclang + CC = armclang + LD = armlink +else + ifeq ($(AARCH64), 1) + AS = aarch64-none-elf-gcc + CC = aarch64-none-elf-gcc + LD = aarch64-none-elf-gcc + OD = aarch64-none-elf-objdump + else + AS = arm-none-eabi-gcc + CC = arm-none-eabi-gcc + LD = arm-none-eabi-gcc + OD = arm-none-eabi-objdump + endif +endif + +# ------------------------------------------------------------------------------ +# Build Host processor executable image +# ------------------------------------------------------------------------------ +BOOT_CODE ?=0 +# List of object files +ifeq ($(BOOT_CODE), 1) + APP_SRC += $(SW_LIB_PATH)/apps/src/system.c + APP_SRC += $(SW_LIB_PATH)/apps/src/boot.s + APP_SRC += $(SW_LIB_PATH)/apps/src/platform.c + APP_SRC += $(SW_LIB_PATH)/apps/src/cpu_asm_codes.c + APP_SRC += $(SW_LIB_PATH)/apps/src/ipc.s + APP_SRC += $(SW_LIB_PATH)/apps/src/irq.s + APP_SRC += $(SW_LIB_PATH)/apps/src/vect_64.s + APP_SRC += $(SW_LIB_PATH)/apps/src/page_table.s +endif +APP_SRC += $(SW_LIB_PATH)/apps/src/system.c +APP_SRC += $(SW_LIB_PATH)/apps/src/boot.s +APP_SRC += $(SW_LIB_PATH)/apps/src/platform.c +APP_SRC += $(SW_LIB_PATH)/apps/src/cpu_asm_codes.c +APP_SRC += $(SW_LIB_PATH)/apps/src/ipc.s +APP_SRC += $(SW_LIB_PATH)/apps/src/irq.s +APP_SRC += $(SW_LIB_PATH)/apps/src/vect_64.s +APP_SRC += $(SW_LIB_PATH)/apps/src/page_table.s + +# APP_SRC += $(SW_LIB_PATH)/devices/src/dma_350_command_lib.c +# APP_SRC += $(SW_LIB_PATH)/devices/src/pl011_uart.c +# APP_SRC += $(SW_LIB_PATH)/devices/src/intr_rtr_1.c +# APP_SRC += $(SW_LIB_PATH)/devices/src/firewall_1.c +APP_SRC += $(SW_LIB_PATH)/devices/src/gic400.c +# APP_SRC += $(SW_LIB_PATH)/devices/src/watchdog_generic.c +APP_SRC += $(SW_LIB_PATH)/host/src/sys_utils.c +# APP_SRC += $(SW_LIB_PATH)/devices/src/qspi_flash.c +APP_SRC += $(SW_LIB_PATH)/common/src/system_level_functions.c +APP_SRC += $(SW_LIB_PATH)/devices/src/uart_stdout.c +CPU := -mcpu=cortex-a53 +CPU := $(CPU)+nocrypto + +# Cortex-A class ASM flags +APP_AS_FLAGS += -Os -x assembler-with-cpp -mlittle-endian $(CPU) -DAARCH_V8 +ifeq ($(COMPILE_GCC), 0) + APP_AS_FLAGS += -mno-unaligned-access + ifeq ($(AARCH64), 1) + APP_AS_FLAGS += --target=aarch64-arm-none-eabi -DAARCH_V8_64 -D__V8_ARCH__ -D__ARMCC_VERSION__ + else + APP_AS_FLAGS += --target=arm-arm-none-eabi -mfpu=neon -marm -DARM_V8_32BIT + endif +else + APP_AS_FLAGS += -c -std=c99 -D__GCC_COMPILER__ + ifeq ($(AARCH64), 1) + APP_AS_FLAGS += -DARM_V8_64 -D__V8_ARCH__ + else + APP_AS_FLAGS += -DARM_V8_32BIT + endif +endif + +# Cortex-A class ASM include files and directories +APP_AS_INC_DIR += $(LOCAL_SW_LIB_PATH)/include $(SW_LIB_PATH)/common/include $(SW_LIB_PATH)/devices/include/ +ifeq ($(AARCH64), 1) + APP_AS_INC_DIR += $(SW_LIB_PATH)/apps/include/ +else + APP_AS_INC_DIR += $(SW_LIB_PATH)/apps_v8_32/include/ +endif + +# Cortex-A class C flags +APP_C_FLAGS += -Os -mlittle-endian $(CPU) -DAARCH_V8 -DSYNC_CPU_HOST -DTB_UART +ifeq ($(COMPILE_GCC), 0) + APP_C_FLAGS += -mno-unaligned-access + ifeq ($(AARCH64), 1) + APP_C_FLAGS += --target=aarch64-arm-none-eabi -DAARCH_V8_64 -D__V8_ARCH__ + else + APP_C_FLAGS += --target=arm-arm-none-eabi -mfpu=neon -marm -DARM_V8_32BIT + endif +else + APP_C_FLAGS += -c --specs=nosys.specs -std=c99 -D__GCC_COMPILER__ + ifeq ($(AARCH64), 1) + APP_C_FLAGS += -DARM_V8_64 -D__V8_ARCH__ + else + APP_C_FLAGS += -DARM_V8_32BIT + endif +endif + +# Cortex-A class C include files and directories +APP_C_INC_DIR += $(LOCAL_SW_LIB_PATH)/include $(SW_LIB_PATH)/common/include $(SW_LIB_PATH)/devices/include/ +ifeq ($(AARCH64), 1) + APP_C_INC_DIR += $(SW_LIB_PATH)/apps/include/ +else + APP_C_INC_DIR += $(SW_LIB_PATH)/apps_v8_32/include/ +endif + +# Cortex-A class linker scripts / scatter files +APP_SCAT ?= default + +# Cortex-A class linker flags +ifeq ($(COMPILE_GCC), 0) + APP_LD_FLAGS += --no_remove --map --show_parent_lib --show_full_path --list=function_list.v8-a.txt + ifeq ($(AARCH64), 1) + APP_LD_FLAGS += --cpu=8-A.64 + else + APP_LD_FLAGS += --cpu=8.2-A.32 + endif + APP_SCAT_LOAD := --scatter $(LOCAL_SW_LIB_PATH)/scat/$(APP_SCAT).scat +else + APP_LD_FLAGS += --specs=nosys.specs -T $(LOCAL_SW_LIB_PATH)/scat/$(APP_SCAT).ld -static -lc -nostartfiles +endif + +# Auto variable +APP_OBJ = $(addprefix $(BUILD_DIR_LIB)/,$(addsuffix .v8-a.o,$(APP_SRC))) +APP_ASINCLUDES = $(filter .hs, $(APP_AS_INC_DIR)) +APP_CINCLUDES = $(filter .h, $(APP_C_INC_DIR)) + + +# Compile Cortex-A class ASM code +$(BUILD_DIR_LIB)/%.s.v8-a.o: %.s ${APP_ASINCLUDES} + @echo -e "\n------------------- Executing make target $(@F) -------------------" + mkdir -p `dirname $@` + $(AS) $(APP_AS_FLAGS) $(APP_AS_INC_DIR:%=-I%) -c $< -o $@ + +# Compile Cortex-A class C code +$(BUILD_DIR_LIB)/%.c.v8-a.o: %.c ${APP_CINCLUDES} + @echo -e "\n------------------- Executing make target $(@F) -------------------" + mkdir -p `dirname $@` + $(CC) $(APP_C_FLAGS) $(APP_C_INC_DIR:%=-I%) -c $< -o $@ + +# Linking Cortex-A class object files +ifeq ($(COMPILE_GCC), 0) +$(BUILD_DIR)/app.v8-a.elf: $(APP_OBJ) + @echo -e "\n------------------- Executing make target $(@F) -------------------" + $(LD) --debug --verbose $(APP_LD_FLAGS) -o $@ $(filter %.o, $(^)) $(APP_SCAT_LOAD) + $(FE) -c --output $@.disass $@ +else +$(BUILD_DIR)/app.v8-a.elf: $(APP_OBJ) + @echo -e "\n------------------- Executing make target $(@F) -------------------" + $(LD) --debug --verbose $(APP_LD_FLAGS) -o $@ $(filter %.o, $(^)) + $(OD) -a -x -d -w -S $@ > $@.disass +endif + +# ------------------------------------------------------------------------------ +# Build memory device images +# ------------------------------------------------------------------------------ + +$(BUILD_DIR)/app_ram.v8-a.hex: $(BUILD_DIR)/app.v8-a.elf + @echo -e "\n------------------- Executing make target $(@F) -------------------" + $(EM) window,0x00800000,0x600000,0x00800000:vmem,64,1 $^ --compact -v 3 --output $@ + +$(BUILD_DIR)/app_flash.v8-a.hex: $(BUILD_DIR)/app.v8-a.elf + @echo -e "\n------------------- Executing make target $(@F) -------------------" + fromelf --vhx -a --output $@ $^ + # $(EM) window,0x00000000,0x400000,0x00000000:vmem,8,1 $^ --compact --output $@ + +$(BUILD_DIR)/bootloader.hex: $(BUILD_DIR)/app.v8-a.elf + @echo -e "\n------------------- Executing make target $(@F) -------------------" + $(EM) window,0x00000000,0x400000,0x00000000:vmem,64,1 $^ --compact --output $@ + +# ------------------------------------------------------------------------------ +# End of Makefile +# ------------------------------------------------------------------------------ diff --git a/software/lib/common/Makefile.sim b/software/lib/common/Makefile.sim new file mode 100755 index 0000000..4d1d80c --- /dev/null +++ b/software/lib/common/Makefile.sim @@ -0,0 +1,60 @@ +##------------------------------------------------------------------------------ +## The confidential and proprietary information contained in this file may +## only be used by a person authorised under and to the extent permitted +## by a subsisting licensing agreement from Arm Limited or its affiliates. +## +## (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +## ALL RIGHTS RESERVED +## +## This entire notice must be reproduced on all copies of this file +## and copies of this file may only be made by a person if such person is +## permitted to do so under the terms of a subsisting license agreement +## from Arm Limited or its affiliates. +## +## Release Information : SSE710-r0p0-00rel0 +## +##------------------------------------------------------------------------------ +## Purpose : C test Makefile +##------------------------------------------------------------------------------ +TESTCODES_BUILD_DIR = $(SOCLABS_MEGASOC_TECH_DIR)/software/build +BUILD_DIR = $(TESTCODES_BUILD_DIR)/$(TEST_ID) +SCRIPTS_DIR = $(SOCLABS_MEGASOC_TECH_DIR)/software/shared/tools/bin +MEM_IMG_PATH = $(SCRIPTS_DIR)/memory_image_scripts + +export BUILD_DIR +export MEM_IMG_PATH + +# ------------------------------------------------------------------------------ +# Default build target +# ------------------------------------------------------------------------------ +.PHONY: all +all: print get_host_image + ln -sf $(SOCLABS_MEGASOC_TECH_DIR)/software/shared/bin/cxdt_empty.bin $(SOCLABS_MEGASOC_TECH_DIR)/software/shared/bin/cxdt.bin + +boot_build: print get_boot_image + ln -sf $(SOCLABS_MEGASOC_TECH_DIR)/software/shared/bin/cxdt_empty.bin $(SOCLABS_MEGASOC_TECH_DIR)/software/shared/bin/cxdt.bin + +.PHONY: get_host_image +get_host_image: + @echo -e "\n------------------- Executing make target $@ -------------------" + make all -f $(SOCLABS_MEGASOC_TECH_DIR)/software/lib/common/Makefile.c_host + @rm -rf $(BUILD_DIR)/host0; mkdir -p $(BUILD_DIR)/host0; mv $(BUILD_DIR)/*.v8-a.* $(BUILD_DIR)/obj $(BUILD_DIR)/host0 + +.PHONY: get_boot_image +get_boot_image: + @echo -e "\n------------------- Executing make target $@ -------------------" + make all_bootloader -f $(SOCLABS_MEGASOC_TECH_DIR)/software/lib/common/Makefile.c_host + @rm -rf $(BUILD_DIR)/host0; mkdir -p $(BUILD_DIR)/host0; mv $(BUILD_DIR)/*.v8-a.* $(BUILD_DIR)/obj $(BUILD_DIR)/host0 + +.PHONY: print +print: + @echo -e "\n------------------- Executing make target $@ -------------------" + @echo "CURDIR = $(CURDIR)" + @echo "TEST_PATH = $(TEST_PATH)" + @echo "TEST_ID = $(TEST_ID)" + +.PHONY: clean +clean: + @echo -e "\n------------------- Executing make target $@ -------------------" + @echo "Cleaning all build files" + rm -rf $(BUILD_DIR) diff --git a/software/lib/sw_lib/apps/include/cpu_asm_codes.h b/software/lib/sw_lib/apps/include/cpu_asm_codes.h new file mode 100755 index 0000000..bc3ba95 --- /dev/null +++ b/software/lib/sw_lib/apps/include/cpu_asm_codes.h @@ -0,0 +1,56 @@ +/*------------------------------------------------------------------------------ + * The confidential and proprietary information contained in this file may + * only be used by a person authorised under and to the extent permitted + * by a subsisting licensing agreement from Arm Limited or its affiliates. + * + * (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. + * ALL RIGHTS RESERVED + * + * This entire notice must be reproduced on all copies of this file + * and copies of this file may only be made by a person if such person is + * permitted to do so under the terms of a subsisting license agreement + * from Arm Limited or its affiliates. + * + * Release Information : SSE710-r0p0-00rel0 + * + *------------------------------------------------------------------------------ + * Purpose : V8/V8.2 CPU ASM Functions Defines + * + * ----------------------------------------------------------------------------- +*/ + +#ifndef __CPUINFO_H__ +#define __CPUINFO_H__ + +#ifdef __cplusplus + extern "C" { +#endif + +#include <stdint.h> + +void enable_caches(void); +void enable_caches_el1(void); +void invalidate_caches(void); +void invalidateclean_cacheline(uint32_t *ptr); +uint32_t get_cpu_core_number(void); +void spin_lock_init(volatile unsigned short *mutex); +void spin_lock_s(volatile unsigned short *mutex); +void spin_unlock_s(volatile unsigned short *mutex); +void power_down_cpu_core(void); +void enable_smp(void); +void cpu_ret_control(uint32_t val); +void l2_retention(void); +void cpu_warm_reset_AArch64(uint32_t); +uint32_t get_cluster_id(void); +uint32_t get_cpu_thread_number(void); +void cluster_pwr_cntrl(uint32_t val); +void cluster_pwr_down(uint32_t val); +void switch_el1_ns(uint32_t stack_addr, uint32_t el1_exc_addr); +void tlb_invalidate_by_va(uint32_t *ptr); +uint32_t get_core_id(void); + +#ifdef __cplusplus +} +#endif + +#endif // __CPUINFO_H__ diff --git a/software/lib/sw_lib/apps/include/intrinsics.h b/software/lib/sw_lib/apps/include/intrinsics.h new file mode 100755 index 0000000..b911e7b --- /dev/null +++ b/software/lib/sw_lib/apps/include/intrinsics.h @@ -0,0 +1,57 @@ +/*------------------------------------------------------------------------------ + * The confidential and proprietary information contained in this file may + * only be used by a person authorised under and to the extent permitted + * by a subsisting licensing agreement from Arm Limited or its affiliates. + * + * (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. + * ALL RIGHTS RESERVED + * + * This entire notice must be reproduced on all copies of this file + * and copies of this file may only be made by a person if such person is + * permitted to do so under the terms of a subsisting license agreement + * from Arm Limited or its affiliates. + * + * Release Information : SSE710-r0p0-00rel0 + * + *------------------------------------------------------------------------------ + * Purpose : INTRINSICS for gcc and RCVT + * + * ----------------------------------------------------------------------------- +*/ + +#ifndef _INTRINSICS_H_ +#define _INTRINSICS_H_ + +/* GCC Specific Intrinsics */ +#ifdef __GCC_COMPILER__ + + +#define __nop() __asm__ __volatile__ ( " nop\n" ) + +#define __dsb(TYPE) __asm__ __volatile__ (" dsb %0" \ + : \ + : "I" (TYPE) ) + +#define __dmb(TYPE) __asm__ __volatile__ (" dmb %0" \ + : \ + : "I" (TYPE) ) + +#define __isb(TYPE) __asm__ __volatile__ (" isb %0" \ + : \ + : "I" (TYPE) ) + + +#define __sev() __asm__ __volatile__ ( " sev\n" ) +#define __sevl() __asm__ __volatile__ ( " sevl\n" ) + +#define __ASM __asm__ + +#else + +/* RVCT Specific Intrinsics */ +#define __ASM asm + +#endif + + +#endif diff --git a/software/lib/sw_lib/apps/include/ipc.h b/software/lib/sw_lib/apps/include/ipc.h new file mode 100755 index 0000000..cb0f25b --- /dev/null +++ b/software/lib/sw_lib/apps/include/ipc.h @@ -0,0 +1,65 @@ +/*------------------------------------------------------------------------------ + * The confidential and proprietary information contained in this file may + * only be used by a person authorised under and to the extent permitted + * by a subsisting licensing agreement from Arm Limited or its affiliates. + * + * (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. + * ALL RIGHTS RESERVED + * + * This entire notice must be reproduced on all copies of this file + * and copies of this file may only be made by a person if such person is + * permitted to do so under the terms of a subsisting license agreement + * from Arm Limited or its affiliates. + * + * Release Information : SSE710-r0p0-00rel0 + * + *------------------------------------------------------------------------------ + * Purpose : Common Header File + * + * ----------------------------------------------------------------------------- +*/ + +#ifndef __IPC_H__ +#define __IPC_H__ + +#ifdef __cplusplus + extern "C" { +#endif + +/* Function prototype - get cpu id */ +extern unsigned get_cpuid(void); + +/* Function prototype - instruction synchronization barrier */ +extern void instr_sync_barrier(void); + +/* Function prototype - data synchronization barrier */ +extern void data_sync_barrier(void); + +/* Function prototype - checks for uniprocessor system */ +extern int is_uniprocessor(void); + +/* Function prototype - wait for event */ +extern void wait_for_event(void); + +/* Function prototype - wait for event */ +extern void call_wfe(void); + +/* Function prototype - send event */ +extern void send_event(void); + +/* Function prototype - call nop */ +extern void call_nop(void); + +/* ----------------------------------------------------------------------------- + * End of ipc.h + * ----------------------------------------------------------------------------- + */ + + +#ifdef __cplusplus +} +#endif + + +#endif /* __IPC_H__ */ + diff --git a/software/lib/sw_lib/apps/include/irq.h b/software/lib/sw_lib/apps/include/irq.h new file mode 100755 index 0000000..9382c46 --- /dev/null +++ b/software/lib/sw_lib/apps/include/irq.h @@ -0,0 +1,49 @@ +/*------------------------------------------------------------------------------ + * The confidential and proprietary information contained in this file may + * only be used by a person authorised under and to the extent permitted + * by a subsisting licensing agreement from Arm Limited or its affiliates. + * + * (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. + * ALL RIGHTS RESERVED + * + * This entire notice must be reproduced on all copies of this file + * and copies of this file may only be made by a person if such person is + * permitted to do so under the terms of a subsisting license agreement + * from Arm Limited or its affiliates. + * + * Release Information : SSE710-r0p0-00rel0 + * + *------------------------------------------------------------------------------ + * Purpose : IRQ Header File + * + * ----------------------------------------------------------------------------- +*/ + +#ifndef __IRQ_H__ +#define __IRQ_H__ + +#ifdef __cplusplus + extern "C" { +#endif + +/* Function prototype - wfi function */ +extern void call_wfi(void); + +/* Function prototype - enable the irq flag in cpu */ +extern void enable_irq(void); + +/* Function prototype - disable the irq flag in cpu */ +extern void disable_irq(void); + +/* ----------------------------------------------------------------------------- + * End of irq.h + * ----------------------------------------------------------------------------- + */ + + +#ifdef __cplusplus +} +#endif + +#endif /* __IRQ_H__ */ + diff --git a/software/lib/sw_lib/apps/include/system.h b/software/lib/sw_lib/apps/include/system.h new file mode 100755 index 0000000..44061ee --- /dev/null +++ b/software/lib/sw_lib/apps/include/system.h @@ -0,0 +1,73 @@ +/*------------------------------------------------------------------------------ + * The confidential and proprietary information contained in this file may + * only be used by a person authorised under and to the extent permitted + * by a subsisting licensing agreement from Arm Limited or its affiliates. + * + * (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. + * ALL RIGHTS RESERVED + * + * This entire notice must be reproduced on all copies of this file + * and copies of this file may only be made by a person if such person is + * permitted to do so under the terms of a subsisting license agreement + * from Arm Limited or its affiliates. + * + * Release Information : SSE710-r0p0-00rel0 + * + *------------------------------------------------------------------------------ + * Purpose : Application processor system peripheral base, irq and common functions defines + * + * ----------------------------------------------------------------------------- + */ + +#ifndef __SYSTEM_APP_H__ +#define __SYSTEM_APP_H__ + +#ifdef __cplusplus + extern "C" { +#endif + +#include <arm_acle.h> +#include "irq.h" +#include "ipc.h" +#include "cpu_asm_codes.h" + +/* Simple IO macro + * Given a interger type `base' pointer and `offset', the HW_REG returns de- + * referenced pointer. Note that since `base' is 4byte size 32bit system, the + * `offset' needs to be divided by 4 to give correct increment + */ +#define HW_REG(base,offset) *(((volatile unsigned int *)((unsigned long long)base)) + (offset >> 2)) +#define MEM_RW(base,offset) *(((volatile unsigned int *)((unsigned long long)base)) + (offset >> 2)) + +#define __WFI() call_wfi() +#define __wfi() call_wfi() + +#define __WFE() call_wfe() +#define __wfe() call_wfe() + +extern void abort_handler(void); + +void TEST_PASS(void); +void TEST_FAIL(void); + +int c_print_str(const char * fmt); +void c_print_char(const char ch); + +int c_print(const char * fmt, ...); + +int access_addr_wdata(unsigned long int base_address, unsigned int num_accesses, unsigned int write_data); + + +/* Fast Printf */ +#define printf c_print + +/* ----------------------------------------------------------------------------- + * End of system.h + * ----------------------------------------------------------------------------- + */ + +#ifdef __cplusplus +} +#endif + +#endif // __SYSTEM_APP_H__ diff --git a/software/lib/sw_lib/apps/src/boot.s b/software/lib/sw_lib/apps/src/boot.s new file mode 100755 index 0000000..6ab03f8 --- /dev/null +++ b/software/lib/sw_lib/apps/src/boot.s @@ -0,0 +1,162 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +//------------------------------------------------------------------------------ +// Purpose : v8 cortex-A class processor 1st stage boot +// +// ----------------------------------------------------------------------------- + + + .section SECURE_ROM_BOOT, "ax" + .balign 8 + + + .global Image$$ARM_LIB_STACK$$ZI$$Limit + .global __main + .global monitor_vectors + // .global __stack_multi_cpu_init + + .weak monitor_vectors +// ------------------------------------------------------------------------------ +// Core initialisation from reset state +// ------------------------------------------------------------------------------ + .global app_bl1_entry + .type app_bl1_entry, @function + + + +app_bl1_entry: + + MOV x0,#0x0 + MOV x1,x0 + MOV x2,x0 + MOV x3,x0 + MOV x4,x0 + MOV x5,x0 + MOV x6,x0 + MOV x7,x0 + MOV x8,x0 + MOV x9,x0 + MOV x10,x0 + MOV x11,x0 + MOV x12,x0 + MOV x13,x0 + MOV x14,x0 + MOV x15,x0 + MOV x16,x0 + MOV x17,x0 + MOV x18,x0 + MOV x19,x0 + MOV x20,x0 + MOV x21,x0 + MOV x22,x0 + MOV x23,x0 + MOV x24,x0 + MOV x25,x0 + MOV x26,x0 + MOV x27,x0 + MOV x28,x0 + MOV x29,x0 + MOV x30,x0 + + MSR SP_EL0,x0 + MSR SP_EL1,x0 + MSR SP_EL2,x0 + MOV sp,x0 + MSR ELR_EL1,x0 + MSR ELR_EL2,x0 + MSR ELR_EL3,x0 + MSR SPSR_EL1,x0 + MSR SPSR_EL2,x0 + MSR SPSR_EL3,x0 + + +//=================================================================== +// Set Vector Base Address Register (VBAR) to point to this application's vector table +//=================================================================== + LDR x0, =monitor_vectors + MSR VBAR_EL3, x0 // EL3 sets vector base address + +//=================================================================== +// IRQ/FIQ/External Abort and SError Interrupt Routing taken in Monitor mode +//=================================================================== + MRS x0, scr_el3 + ORR x0, x0, #0xe + MSR scr_el3, x0 +// + MRS x1, CPACR_EL1 + ORR x1, x1, #0xf00000 //// co-pro access for VFP/Neon + MSR CPACR_EL1, x1 +// + MRS x1, CPTR_EL3 + AND x1, x1, # ~ ( 1 << 10 ) //// clear TFP bit + MSR CPTR_EL3, x1 + +//=================================================================== +// Clear the PSTATE.A fpr enabling SError Aborts (Posion Error) +//=================================================================== + + MSR DAIFCLR, #0x4 + ISB + + +//=================================================================== +// Enable NEON and initialize the register bank +//=================================================================== + MRS x0, ID_AA64PFR0_EL1 + SBFX x5, x0, #16, #4 // Extract the floating-point field + + MOV x1, #(0x3 << 20) + MSR cpacr_el1, x1 + MRS x1, cptr_el3 + + BIC x1, x1, #(0x1 << 10) // Ensure that CPTR_EL3.TFP is clear + MSR cptr_el3, x1 + ISB sy +#ifndef NOFP + FMOV d0, xzr + FMOV d1, xzr + FMOV d2, xzr + FMOV d3, xzr + FMOV d4, xzr + FMOV d5, xzr + FMOV d6, xzr + FMOV d7, xzr + FMOV d8, xzr + FMOV d9, xzr + FMOV d10, xzr + FMOV d11, xzr + FMOV d12, xzr + FMOV d13, xzr + FMOV d14, xzr + FMOV d15, xzr + FMOV d16, xzr + FMOV d17, xzr + FMOV d18, xzr + FMOV d19, xzr + FMOV d20, xzr + FMOV d21, xzr + FMOV d22, xzr + FMOV d23, xzr + FMOV d24, xzr + FMOV d25, xzr + FMOV d26, xzr + FMOV d27, xzr + FMOV d28, xzr + FMOV d29, xzr + FMOV d30, xzr + FMOV d31, xzr +#endif + B __main diff --git a/software/lib/sw_lib/apps/src/cpu_asm_codes.c b/software/lib/sw_lib/apps/src/cpu_asm_codes.c new file mode 100755 index 0000000..626d496 --- /dev/null +++ b/software/lib/sw_lib/apps/src/cpu_asm_codes.c @@ -0,0 +1,458 @@ +/*------------------------------------------------------------------------------ + * The confidential and proprietary information contained in this file may + * only be used by a person authorised under and to the extent permitted + * by a subsisting licensing agreement from Arm Limited or its affiliates. + * + * (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. + * ALL RIGHTS RESERVED + * + * This entire notice must be reproduced on all copies of this file + * and copies of this file may only be made by a person if such person is + * permitted to do so under the terms of a subsisting license agreement + * from Arm Limited or its affiliates. + * + * Release Information : SSE710-r0p0-00rel0 + * + * ------------------------------------------------------------------------------*/ + +/** @file cpu_asm_codes.c + * @brief Helper functions to query/configure various features of the ARMv8/8.2 CORE + * + * These functions are used in various tests to enable MMU/CACHES, invalidate caches, + * power down core, switch core to EL2 and generate exclusive access using spin lock + * + * + */ + +#include <arm_acle.h> +#include "cpu_asm_codes.h" +#include "system.h" +#include "intrinsics.h" + +/** @brief synchronous external abort handler, default functionality is TEST_FAIL(), unless overriden by test owner <br> + * @return void + */ + +__attribute__((weak)) void sync_ext_abort_handler(void) +{ + TEST_FAIL(); + +} + +/** @brief Test Execution entry point for all slave CPU's, default functionality is execute __wfe, unless overriden by test owner <br> + * @return void + */ + +__attribute__((weak)) void cpu_test(void) +{ + c_print_str("&\n"); + while(1) { + __wfe(); + } +} + +/** @brief Identify Main Core ID Register, function reads MIDR register and returns Main Core ID <br> + * @return uint32_t + */ + +uint32_t get_core_id(void) +{ + uint32_t cpu_core_id; + __ASM volatile ( "MRS %x[output], midr_el1 \n\t" + "MOV x1, 0xffff \n\t" + "AND %x[output], %x[output], x1 \n\t" :[output] "=r" (cpu_core_id)::); + + return cpu_core_id; +} + +/** @brief Identify Core number, function reads MPIDR register and returns Core number <br> + * @return uint32_t + */ + +uint32_t get_cpu_core_number(void) +{ + uint32_t cpu_core_no; + +#ifndef __V8_ARCH__ + __ASM volatile ( "MRS %x[output], mpidr_el1 \n\t" + "MOV x1, 0xf00 \n\t" + "AND %x[output], %x[output], x1 \n\t" + "UBFX %w[output],%w[output],#8,#4\n\t" :[output] "=r" (cpu_core_no)::); +#else + __ASM volatile ( "MRS %x[output], mpidr_el1 \n\t" + "MOV x1, 0xf \n\t" + "AND %x[output], %x[output], x1 \n\t" :[output] "=r" (cpu_core_no)::); +#endif + + return cpu_core_no; +} + +/** @brief cpu thread number, function reads MPIDR register and returns thread number in multi_threaded CPU <br> + * @return uint32_t + */ + +uint32_t get_cpu_thread_number(void) +{ + uint32_t cpu_thread_no; + __ASM volatile ( "MRS %x[output], mpidr_el1 \n\t" + "MOV x1, 0xff \n\t" + "AND %x[output], %x[output], x1 \n\t" :[output] "=r" (cpu_thread_no)::); + return cpu_thread_no; +} + +/** @brief cluster id, function reads MPIDR register and returns cluster number <br> + * @return uint32_t + */ + +uint32_t get_cluster_id(void) +{ + uint32_t cluster_id; + +#ifndef __V8_ARCH__ + __ASM volatile ("mrs %x[output], mpidr_el1 \n\t" + "lsr %x[output], %x[output], #16 \n\t" + "and %x[output], %x[output], #0xFF\n\t" : [output] "=r" (cluster_id)::); +#else + __ASM volatile ("mrs %x[output], mpidr_el1 \n\t" + "lsr %x[output], %x[output], #8 \n\t" + "and %x[output], %x[output], #0xFF\n\t" : [output] "=r" (cluster_id)::); +#endif + + return cluster_id; +} + +/** @brief socket id, function reads MPIDR register and returns socket number <br> + * @return uint32_t + */ + +uint32_t get_socket_id(void) +{ + uint64_t socket_id; + + __ASM volatile ("mrs %x[output], mpidr_el1 \n\t" + "lsr %x[output], %x[output], #32 \n\t" + "and %x[output], %x[output], #0xFF\n\t" : [output] "=r" (socket_id)::); + return socket_id; +} + +// /** @brief enable_mmu, function to enable_mmu in el3 <br> +// * @return void +// */ + +// void enable_mmu(void) +// { +// __ASM volatile ( "ldr x0, =pgtbl1 \n\t" +// "msr TTBR0_EL3, x0 \n\t" +// "mov x1, #0x00FF \n\t" // Normal & Device memory attributes +// "msr mair_el3, x1 \n\t" +// "ldr x1, =0x00102F20 \n\t" +// "msr tcr_el3, x1 \n\t" +// "ic iallu \n\t" +// "tlbi alle3 \n\t" +// "mrs x0, sctlr_el3 \n\t" +// "mov x1, #0x0001 \n\t" // Turn on MMU +// "orr x0, x0, x1 \n\t" +// "msr SCTLR_EL3, x0 \n\t" +// "isb \n\t" :::"x0", "x1"); +// } + +// /** @brief enable_mmu in el1, function to enable_mmu in el1 <br> +// * @return void +// */ + +// void enable_mmu_el1(void) +// { +// __ASM volatile ( "ldr x0, =pgtbl1 \n\t" +// "msr TTBR0_EL1, x0 \n\t" +// "mov x1, #0x00FF \n\t" // Normal & Device memory attributes +// "msr mair_el1, x1 \n\t" +// "ldr x1, =0x00102F20 \n\t" +// "msr tcr_el1, x1 \n\t" +// "ic iallu \n\t" +// "mrs x0, sctlr_el1 \n\t" +// "mov x1, #0x0001 \n\t" // Turn on MMU +// "orr x0, x0, x1 \n\t" +// "msr SCTLR_EL1, x0 \n\t" +// "isb \n\t" :::"x0", "x1"); +// } + +/** @brief enable_caches, function to enable caches <br> + * @return void + */ + +void enable_caches(void) +{ + __ASM volatile ( "ic iallu \n\t" //; Invalidate I cache and BTAC + "dsb sy \n\t" + "isb \n\t" + "mrs x0, sctlr_el3 \n\t" + "mov x1, #0x1004 \n\t" //; Turn on caches + "orr x0, x0, x1 \n\t" + "msr SCTLR_EL3, x0 \n\t" + "isb \n\t" ::: "x0", "x1"); +} + +/** @brief enable_caches_el1, function to enable caches in el1 <br> + * @return void + */ + +void enable_caches_el1(void) +{ + __ASM volatile ( "ic iallu \n\t" + "dsb sy \n\t" + "isb \n\t" + "MRS x0, SCTLR_EL1 \n\t" + "MOV X1, #0x1004 \n\t" //; Turn on caches + "ORR x0, x0, x1 \n\t" + "MSR SCTLR_EL1, x0 \n\t" + "ISB \n\t" ::: "x0", "x1"); +} + +/** @brief instr_cache_line_invalidate, invalidate instruction cache line by address <br> + * @return void + */ + +void instr_cache_line_invalidate(uint32_t *ptr) +{ + __ASM volatile ( "ic ivau, %x[input] \n\t" + "dsb sy \n\t" ::[input] "r" (ptr):); +} + +/** @brief invalidateclean_cacheline, invalidate data cache line by address <br> + * @return void + */ + +void invalidateclean_cacheline(uint32_t *ptr) +{ + __ASM volatile ( "dc civac, %x[input] \n\t" //; IVAC = Invalidate, by Virtual Adress, to point of Coherence + "dsb sy \n\t" ::[input] "r" (ptr):); //; Dont want no funky order +} + +/** @brief tlb_invalidate_by_va, invalidate TLB by VA <br> + * @return void + */ + +void tlb_invalidate_by_va(uint32_t *ptr) +{ + __ASM volatile ( "tlbi vaae1is, %x[input] \n\t" + "dsb sy \n\t" + "isb \n\t" ::[input] "r" (ptr):); +} + +/** @brief invalidate_caches, invalidate Level-1 Data cache <br> + * @return void + */ + +void invalidate_caches(void) +{ + __ASM volatile ( " mrs x0, clidr_el1 \n\t" //; read clidr + " ands w3, w0, #0x7000000 \n\t" //; extract loc from clidr + " lsr w3, w3, #23 \n\t" //; left align loc bit field + " b.eq finished \n\t" //; if loc is 0, then no need to clean + " mov w10, #0 \n\t" //; start clean at cache level 0 (in x10) + "loop1: \n\t" + " add w2, w10, w10, lsr #1 \n\t" //; work out 3x current cache level + " lsr w12, w0, w2 \n\t" //; extract cache type bits from clidr + " and w12, w12, #7 \n\t" //; mask of the bits for current cache only + " cmp w12, #2 \n\t" //; see what cache we have at this level + " b.lt skip \n\t" //; skip if no cache, or just i-cache + " msr csselr_el1, x10 \n\t" //; select current cache level in cssr + " isb \n\t" //; sync the new context + " mrs x12, ccsidr_el1 \n\t" // ; read the new csidr + " and w2, w12, #7 \n\t" //; extract the length of the cache lines + " add w2, w2, #4 \n\t" //; add 4 (line length offset) + " mov w6, #0x3ff \n\t" // + " ands w6, w6, w12, lsr #3 \n\t" //; find maximum number on the way size + " clz w5, w6 \n\t" //; find bit position of way size increment + " mov w7, #0x7fff \n\t" + " ands w7, w7, w12, lsr #13 \n\t" //; extract max number of the index size + "loop2: \n\t" + " mov w8, w6 \n\t" //; create working copy of max way size + "loop3: \n\t" + " lsl w9, w8, w5 \n\t" + " lsl w13, w7, w2 \n\t" + " orr w11, w10, w9 \n\t" //; factor way and cache number into x11 + " orr w11, w11, w13 \n\t" //; factor index number into x11 + " dc cisw, x11 \n\t" // ; invalidate by set/way + " subs w8, w8, #1 \n\t" //; decrement the way + " b.ge loop3 \n\t" + " subs w7, w7, #1 \n\t" //; decrement the index + " b.ge loop2 \n\t" + "skip: \n\t" + " //add w10, w10, #2 \n\t" //; increment cache number + " //cmp w3, x10 \n\t" // + " //b.gt loop1 \n\t" // + "finished: \n\t" // + " mov x0, #0 \n\t" // + " msr csselr_el1, x0 \n\t" // + " dsb sy \n\t" // + " isb \n\t" :::"x0", "x1", "x2", "x3", "x4", "x5", "x6", "x7", "x8", "x9", "x10", "x11", "x12"); +} + +/** @brief spin_lock_init, initialization of spinlock routine <br> + * @return void + */ + +void spin_lock_init(volatile unsigned short *mutex) +{ + *mutex = 0; +} + +/** @brief spin_lock_s, locks the spinlock <br> + * @return void + */ + +void spin_lock_s(volatile unsigned short *mutex) +{ + __ASM volatile ( " mov w2, #0x1 \n" + "spin: \n\t" + " ldxrh w1, [%x[input]] \n\t" //; see what state the lock is in + " cmp w1, #0x1 \n\t" //; locked already? + " b.eq 1f \n\t" + " wfe \n\t" //; wait if it's locked + " b.ne spin \n" + "1: \n\t" + " stxr w1, w2, [%x[input]] \n\t" //; try to lock it, if it's unlocked + " cbnz w1, spin \n\t" //; did we fail? //; if any failure, loop + " dmb #0x3 \n\t"::[input] "r" (mutex): "w1", "w2"); //; make sure subsequent accesses appear after the lock +} + +/** @brief spin_unlock_s, unlocks the spinlock <br> + * @return void + */ + +void spin_unlock_s(volatile unsigned short *mutex) +{ + __ASM volatile ("dmb #0x3 \n\t" + "mov w1, #0x1 \n\t" + "strh w1, [%x[input]] \n\t" //; release spin lock + "dsb #0x3 \n\t" //; ensure completion of the store to clear the lock + "sev \n\t" ::[input] "r" (mutex): "w1"); //; unlock any spinlock +} + +/** @brief enable_smp, enables SMP bit, only applicable for v8 Cores <br> + * @return void + */ + +void enable_smp(void) +{ +#ifdef __V8_ARCH__ + __ASM volatile ( "mov x1, #0x40 \n\t" + "mrs x0, S3_1_c15_c2_1 \n\t" + "orr x0, x0, x1 \n\t" + "msr S3_1_c15_c2_1, x0 \n\t" :::"x0", "x1"); +#endif +} + +/** @brief power_down_cpu_core, Power downs individual cores, Only applicable for v8 Cores <br> + * @return void + */ + +void power_down_cpu_core(void) +{ + + __ASM volatile ("isb \n\t" + "dsb sy \n\t" + "mov x1, #0x40 \n\t" /* Switch the processor from Symmetric Multiprocessing (SMP) mode to Asymmetric Multiprocessing (AMP) */ + "mrs x0, s3_1_c15_c2_1 \n\t" + "bic x0, x0, x1 \n\t" + "msr s3_1_c15_c2_1, x0 \n\t" + "mov x1, #0x40 \n\t" /* Set the DBGOSDLR.DLK bit */ + "mrs x0, osdlr_el1 \n\t" + "orr x0, x0, #0x1 \n\t" + "msr osdlr_el1, x0 \n\t" + "isb \n\t" + "dsb sy \n\t" + "wfi \n\t" :::"x0", "x1", "x2"); +} + +/** @brief cpu_ret_control, configures cpu in retention <br> + * @return void + */ + +void cpu_ret_control(uint32_t val) +{ +#ifndef __V8_ARCH__ + __ASM volatile ("msr s3_0_c15_c2_7, %x[input] \n\t" ::[input] "r" (val)); +#else + /* CPU Retention control with 512 Architecture Timer ticks */ + __ASM volatile ("mov x0, #0x7 \n\t" + "mrs x1, s3_1_c15_c2_1 \n\t" + "orr x1, x1, x0 \n\t" + "msr s3_1_c15_c2_1, x1 \n\t" :::"x0", "x1"); +#endif +} + +/** @brief l2_retention, enables l2 retention, only applicable for v8 Processor <br> + * @return void + */ + +void l2_retention(void) +{ +#ifdef __V8_ARCH__ + __ASM volatile ("mrs x0, s3_1_c11_c0_3 \n\t" + "orr x0, x0, #0x7 \n\t" /* 512 Generic Timer ticks required before retention entry */ + "orr x0, x0, #0x7 \n\t" + "msr s3_1_c11_c0_3, x0 \n\t" :: :"x0"); +#endif +} + +/** @brief cpu_warm_reset_AArch64, generates warm reset <br> + * @return void + */ + +void cpu_warm_reset_AArch64(uint32_t val) +{ + /* Generate WARM reset */ + __ASM volatile ("msr rmr_el3, %x[input] \n\t" + "isb \n\t" + "wfi \n\t" ::[input] "r" (val):); +} + +/** @brief cluster_pwr_cntrl, Cluster Power Control Register for L3 data RAM retention <br> + * @return void + */ + +void cluster_pwr_cntrl(uint32_t val) +{ + /* Generate WARM reset */ + __ASM volatile ("msr s3_0_c15_c3_5, %x[input] \n\t" + "isb \n\t" ::[input] "r" (val):); +} + + +/** @brief cluster_pwr_down, cluster power down Register <br> + * @return void + */ + +void cluster_pwr_down(uint32_t val) +{ + __ASM volatile ("msr s3_0_c15_c3_6, %x[input] \n\t" + "isb \n\t" ::[input] "r" (val):); +} + +/** @brief switch_el1_ns, witch EL1 in non-secure mode <br> + * @return void + */ + +void switch_el1_ns(uint32_t stack_addr, uint32_t el1_exc_addr) +{ + __ASM volatile ( + " MRS x1, scr_el3 \n\t" + " MOV x2, #0xC01 \n\t" + " ORR x1, x1, x2 \n\t" + " MSR scr_el3, x1 \n\t" + " MSR SP_EL1, %x[input0] \n\t" + " MSR ELR_EL3, %x[input1] \n\t" + " mov x0, #0x5 \n\t" + " MSR SPSR_EL3, x0 \n\t" + " MOV x0, #0x0 \n\t" + " ORR x0, x0, #(1 << 31) \n\t" + " MSR HCR_EL2, x0 \n\t" + " ISB \n\t" + " ERET \n\t" ::[input0] "r" (stack_addr), [input1] "r" (el1_exc_addr) : "x0", "x1", "x3"); + +} + + + diff --git a/software/lib/sw_lib/apps/src/ipc.s b/software/lib/sw_lib/apps/src/ipc.s new file mode 100755 index 0000000..7371152 --- /dev/null +++ b/software/lib/sw_lib/apps/src/ipc.s @@ -0,0 +1,61 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +//------------------------------------------------------------------------------ +// Purpose : Lowlevel routines for Inter-Processor communication +// ----------------------------------------------------------------------------- + .section helpers_mp + .text + .align 8 + + + .global wait_for_event + .global call_wfe + .global call_nop + .global send_event + + .global instr_sync_barrier + .global data_sync_barrier + + .type wait_for_event, @function +wait_for_event : + WFE + RET + + .type call_wfe, @function +call_wfe : + WFE + RET + + .type call_nop, @function +call_nop : + NOP + RET + + .type send_event, @function +send_event : + SEV + RET + + + .type instr_sync_barrier, @function +instr_sync_barrier : + ISB SY + RET + + .type data_sync_barrier, @function +data_sync_barrier : + DSB SY + RET diff --git a/software/lib/sw_lib/apps/src/irq.s b/software/lib/sw_lib/apps/src/irq.s new file mode 100755 index 0000000..cb5f2d3 --- /dev/null +++ b/software/lib/sw_lib/apps/src/irq.s @@ -0,0 +1,53 @@ +//; ------------------------------------------------------------------------------ +//; The confidential and proprietary information contained in this file may +//; only be used by a person authorised under and to the extent permitted +//; by a subsisting licensing agreement from Arm Limited or its affiliates. +//; +//; (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +//; ALL RIGHTS RESERVED +//; +//; This entire notice must be reproduced on all copies of this file +//; and copies of this file may only be made by a person if such person is +//; permitted to do so under the terms of a subsisting license agreement +//; from Arm Limited or its affiliates. +//; +//; Release Information : SSE710-r0p0-00rel0 +//; +//; ------------------------------------------------------------------------------ +//; Purpose : Low level helper functions for Exception handling +//; +//; ----------------------------------------------------------------------------- + +// ; Lowlevel routines systembench environment + .section irq_helper + .text + .align 8 + + .global call_wfi + .global enable_irq + .global enable_fiq + .global disable_irq + + .type call_wfi, @function +call_wfi: + WFI + RET + + + .type enable_irq, @function +enable_irq: + MSR DAIFCLR, #0x2 + RET + + .type enable_fiq, @function +enable_fiq: + MSR DAIFCLR, #0x1 + RET + + + .type disable_irq, @function +disable_irq: + MSR DAIFSET, #0x2 + RET + + diff --git a/software/lib/sw_lib/apps/src/page_table.s b/software/lib/sw_lib/apps/src/page_table.s new file mode 100755 index 0000000..6d43fbf --- /dev/null +++ b/software/lib/sw_lib/apps/src/page_table.s @@ -0,0 +1,1091 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +//------------------------------------------------------------------------------- +// Description: Generates standard level one MMU descriptors for cache tests. +// This file uses the 1MB section format. +// So each entry in this file will map to a 1MB Area. +// Description of important fields: +// +// 31:20 = Section, Top bits of the 1MB area to map. +// 14:12 = TEX +// 3 = Cacheable +// 2 = Bufferable +// +// Examples: +// +// 1c110c02 = Address 1C100000 - 1C200000, Strongly Ordered. Data will not be cached. +// 9ad01c0e = Address 9AD00000 - 9AE00000, Normal, data will be cached. +// +//------------------------------------------------------------------------------- + +/* + SSE-710 Memory Map: + 0x00_0000_0000 16MB Boot register + reserved + 0x00_0100_0000 16MB Reserved + 0x00_0200_0000 32MB Volatile Memory + 0x00_0400_0000 64MB Reserved + 0x00_0800_0000 128MB Non-Volatile Memory + 0x00_1000_0000 160MB Debug + 0x00_1A00_0000 608MB Host Peripherals + 0x00_4000_0000 1GB Host Master Expansion + 0x00_8000_0000 2GB Off-chip Volatile Memory + 0x01_0000_0000 1020GB Reserved +*/ + +// level 1 table +// 512 entry +// 1 entry covers 1 GB + .section PAGE_TABLE_1 + .text + .align 12 + .global pgtbl1 + +pgtbl1 : + .quad (0x0000000000000003 + pgtbl2) //0- 1GB (next table address) + .quad (0x0000000040000401) //1- 2GB (output address) Host Master Expansion - Normal, Inner/Outer WB/WA/RA + .quad (0x0000000080000401) //2- 3GB (output address) Off-chip Volatile Memory - Normal, Inner/Outer WB/WA/RA + .quad (0x00000000c0000401) //3- 4GB (output address) Off-chip Volatile Memory - Normal, Inner/Outer WB/WA/RA + .quad (0x0060000100000409) //4- 5GB (output address) Reserved - MAIR: Device-nGnRnE - XN - AF + .quad (0x0060000140000409) //5- 6GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000180000409) //6- 7GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600001c0000409) //7- 8GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000200000409) //8- 9GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000240000409) //9- 10GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000280000409) //11- 12GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600002c0000409) //12- 13GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000300000409) //13- 14GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000340000409) //14- 15GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000380000409) //15- 16GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600003c0000409) //16- 17GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000400000409) //17- 18GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000440000409) //18- 19GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000480000409) //19- 20GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600004c0000409) //20- 21GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000500000409) //21- 22GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000540000409) //22- 23GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000580000409) //23- 24GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600005c0000409) //24- 25GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000600000409) //25- 26GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000640000409) //26- 27GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000680000409) //27- 28GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600006c0000409) //28- 29GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000700000409) //29- 30GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000740000409) //30- 31GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000780000409) //31- 32GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600007c0000409) //32- 33GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000800000409) //33- 34GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000840000409) //34- 35GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000880000409) //35- 36GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600008c0000409) //36- 37GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000900000409) //37- 38GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000940000409) //38- 39GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000980000409) //39- 40GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600009c0000409) //40- 41GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000a00000409) //41- 42GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000a40000409) //42- 43GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000a80000409) //43- 44GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000ac0000409) //44- 45GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000b00000409) //45- 46GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000b40000409) //46- 47GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000b80000409) //47- 48GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000bc0000409) //48- 49GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000c00000409) //49- 50GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000c40000409) //50- 51GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000c80000409) //51- 52GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000cc0000409) //52- 53GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000d00000409) //53- 54GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000d40000409) //54- 55GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000d80000409) //55- 56GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000dc0000409) //56- 57GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000e00000409) //57- 58GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000e40000409) //58- 59GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000e80000409) //59- 60GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000ec0000409) //60- 61GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000f00000409) //61- 62GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000f40000409) //62- 63GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000f80000409) //63- 64GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060000fc0000409) //64- 65GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001000000409) //65- 66GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001040000409) //66- 67GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001080000409) //67- 68GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600010c0000409) //68- 69GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001100000409) //69- 70GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001140000409) //70- 71GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001180000409) //71- 72GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600011c0000409) //72- 73GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001200000409) //73- 74GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001240000409) //74- 75GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001280000409) //75- 76GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600012c0000409) //76- 77GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001300000409) //77- 78GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001340000409) //78- 79GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001380000409) //79- 80GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600013c0000409) //80- 81GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001400000409) //81- 82GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001440000409) //82- 83GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001480000409) //83- 84GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600014c0000409) //84- 85GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001500000409) //85- 86GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001540000409) //86- 87GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001580000409) //87- 88GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600015c0000409) //88- 89GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001600000409) //89- 90GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001640000409) //90- 91GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001680000409) //91- 92GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600016c0000409) //92- 93GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001700000409) //93- 94GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001740000409) //94- 95GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001780000409) //95- 96GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600017c0000409) //96- 97GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001800000409) //97- 98GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001840000409) //98- 99GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001880000409) //99- 100GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600018c0000409) //100- 101GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001900000409) //101- 102GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001940000409) //102- 103GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001980000409) //103- 104GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600019c0000409) //104- 105GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001a00000409) //105- 106GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001a40000409) //106- 107GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001a80000409) //107- 108GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001ac0000409) //108- 109GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001b00000409) //109- 110GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001b40000409) //110- 111GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001b80000409) //111- 112GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001bc0000409) //112- 113GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001c00000409) //113- 114GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001c40000409) //114- 115GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001c80000409) //115- 116GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001cc0000409) //116- 117GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001d00000409) //117- 118GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001d40000409) //118- 119GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001d80000409) //119- 120GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001dc0000409) //120- 121GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001e00000409) //121- 122GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001e40000409) //122- 123GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001e80000409) //123- 124GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001ec0000409) //124- 125GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001f00000409) //125- 126GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001f40000409) //126- 127GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001f80000409) //127- 128GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060001fc0000409) //128- 129GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002000000409) //129- 130GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002040000409) //130- 131GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002080000409) //131- 132GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600020c0000409) //132- 133GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002100000409) //133- 134GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002140000409) //134- 135GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002180000409) //135- 136GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600021c0000409) //136- 137GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002200000409) //137- 138GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002240000409) //138- 139GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002280000409) //139- 140GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600022c0000409) //140- 141GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002300000409) //141- 142GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002340000409) //142- 143GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002380000409) //143- 144GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600023c0000409) //144- 145GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002400000409) //145- 146GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002440000409) //146- 147GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002480000409) //147- 148GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600024c0000409) //148- 149GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002500000409) //149- 150GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002540000409) //150- 151GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002580000409) //151- 152GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600025c0000409) //152- 153GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002600000409) //153- 154GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002640000409) //154- 155GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002680000409) //155- 156GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600026c0000409) //156- 157GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002700000409) //157- 158GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002740000409) //158- 159GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002780000409) //159- 160GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600027c0000409) //160- 161GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002800000409) //161- 162GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002840000409) //162- 163GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002880000409) //163- 164GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600028c0000409) //164- 165GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002900000409) //165- 166GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002940000409) //166- 167GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002980000409) //167- 168GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600029c0000409) //168- 169GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002a00000409) //169- 170GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002a40000409) //170- 171GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002a80000409) //171- 172GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002ac0000409) //172- 173GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002b00000409) //173- 174GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002b40000409) //174- 175GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002b80000409) //175- 176GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002bc0000409) //176- 177GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002c00000409) //177- 178GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002c40000409) //178- 179GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002c80000409) //179- 180GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002cc0000409) //180- 181GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002d00000409) //181- 182GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002d40000409) //182- 183GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002d80000409) //183- 184GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002dc0000409) //184- 185GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002e00000409) //185- 186GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002e40000409) //186- 187GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002e80000409) //187- 188GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002ec0000409) //188- 189GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002f00000409) //189- 190GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002f40000409) //190- 191GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002f80000409) //191- 192GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060002fc0000409) //192- 193GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003000000409) //193- 194GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003040000409) //194- 195GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003080000409) //195- 196GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600030c0000409) //196- 197GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003100000409) //197- 198GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003140000409) //198- 199GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003180000409) //199- 200GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600031c0000409) //200- 201GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003200000409) //201- 202GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003240000409) //202- 203GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003280000409) //203- 204GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600032c0000409) //204- 205GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003300000409) //205- 206GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003340000409) //206- 207GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003380000409) //207- 208GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600033c0000409) //208- 209GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003400000409) //209- 210GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003440000409) //210- 211GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003480000409) //211- 212GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600034c0000409) //212- 213GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003500000409) //213- 214GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003540000409) //214- 215GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003580000409) //215- 216GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600035c0000409) //216- 217GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003600000409) //217- 218GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003640000409) //218- 219GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003680000409) //219- 220GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600036c0000409) //220- 221GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003700000409) //221- 222GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003740000409) //222- 223GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003780000409) //223- 224GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600037c0000409) //224- 225GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003800000409) //225- 226GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003840000409) //226- 227GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003880000409) //227- 228GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600038c0000409) //228- 229GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003900000409) //229- 230GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003940000409) //230- 231GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003980000409) //231- 232GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600039c0000409) //232- 233GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003a00000409) //233- 234GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003a40000409) //234- 235GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003a80000409) //235- 236GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003ac0000409) //236- 237GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003b00000409) //237- 238GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003b40000409) //238- 239GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003b80000409) //239- 240GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003bc0000409) //240- 241GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003c00000409) //241- 242GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003c40000409) //242- 243GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003c80000409) //243- 244GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003cc0000409) //244- 245GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003d00000409) //245- 246GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003d40000409) //246- 247GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003d80000409) //247- 248GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003dc0000409) //248- 249GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003e00000409) //249- 250GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003e40000409) //250- 251GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003e80000409) //251- 252GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003ec0000409) //252- 253GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003f00000409) //253- 254GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003f40000409) //254- 255GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003f80000409) //255- 256GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060003fc0000409) //256- 257GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004000000409) //257- 258GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004040000409) //258- 259GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004080000409) //259- 260GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600040c0000409) //260- 261GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004100000409) //261- 262GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004140000409) //262- 263GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004180000409) //263- 264GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600041c0000409) //264- 265GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004200000409) //265- 266GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004240000409) //266- 267GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004280000409) //267- 268GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600042c0000409) //268- 269GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004300000409) //269- 270GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004340000409) //270- 271GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004380000409) //271- 272GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600043c0000409) //272- 273GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004400000409) //273- 274GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004440000409) //274- 275GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004480000409) //275- 276GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600044c0000409) //276- 277GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004500000409) //277- 278GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004540000409) //278- 279GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004580000409) //279- 280GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600045c0000409) //280- 281GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004600000409) //281- 282GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004640000409) //282- 283GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004680000409) //283- 284GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600046c0000409) //284- 285GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004700000409) //285- 286GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004740000409) //286- 287GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004780000409) //287- 288GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600047c0000409) //288- 289GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004800000409) //289- 290GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004840000409) //290- 291GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004880000409) //291- 292GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600048c0000409) //292- 293GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004900000409) //293- 294GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004940000409) //294- 295GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004980000409) //295- 296GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600049c0000409) //296- 297GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004a00000409) //297- 298GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004a40000409) //298- 299GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004a80000409) //299- 300GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004ac0000409) //300- 301GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004b00000409) //301- 302GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004b40000409) //302- 303GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004b80000409) //303- 304GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004bc0000409) //304- 305GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004c00000409) //305- 306GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004c40000409) //306- 307GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004c80000409) //307- 308GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004cc0000409) //308- 309GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004d00000409) //309- 310GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004d40000409) //310- 311GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004d80000409) //311- 312GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004dc0000409) //312- 313GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004e00000409) //313- 314GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004e40000409) //314- 315GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004e80000409) //315- 316GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004ec0000409) //316- 317GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004f00000409) //317- 318GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004f40000409) //318- 319GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004f80000409) //319- 320GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060004fc0000409) //320- 321GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005000000409) //321- 322GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005040000409) //322- 323GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005080000409) //323- 324GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600050c0000409) //324- 325GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005100000409) //325- 326GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005140000409) //326- 327GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005180000409) //327- 328GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600051c0000409) //328- 329GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005200000409) //329- 330GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005240000409) //330- 331GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005280000409) //331- 332GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600052c0000409) //332- 333GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005300000409) //333- 334GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005340000409) //334- 335GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005380000409) //335- 336GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600053c0000409) //336- 337GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005400000409) //337- 338GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005440000409) //338- 339GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005480000409) //339- 340GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600054c0000409) //340- 341GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005500000409) //341- 342GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005540000409) //342- 343GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005580000409) //343- 344GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600055c0000409) //344- 345GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005600000409) //345- 346GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005640000409) //346- 347GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005680000409) //347- 348GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600056c0000409) //348- 349GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005700000409) //349- 350GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005740000409) //350- 351GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005780000409) //351- 352GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600057c0000409) //352- 353GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005800000409) //353- 354GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005840000409) //354- 355GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005880000409) //355- 356GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600058c0000409) //356- 357GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005900000409) //357- 358GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005940000409) //358- 359GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005980000409) //359- 360GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600059c0000409) //360- 361GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005a00000409) //361- 362GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005a40000409) //362- 363GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005a80000409) //363- 364GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005ac0000409) //364- 365GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005b00000409) //365- 366GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005b40000409) //366- 367GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005b80000409) //367- 368GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005bc0000409) //368- 369GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005c00000409) //369- 370GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005c40000409) //370- 371GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005c80000409) //371- 372GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005cc0000409) //372- 373GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005d00000409) //373- 374GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005d40000409) //374- 375GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005d80000409) //375- 376GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005dc0000409) //376- 377GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005e00000409) //377- 378GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005e40000409) //378- 379GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005e80000409) //379- 380GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005ec0000409) //380- 381GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005f00000409) //381- 382GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005f40000409) //382- 383GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005f80000409) //383- 384GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060005fc0000409) //384- 385GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006000000409) //385- 386GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006040000409) //386- 387GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006080000409) //387- 388GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600060c0000409) //388- 389GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006100000409) //389- 390GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006140000409) //390- 391GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006180000409) //391- 392GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600061c0000409) //392- 393GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006200000409) //393- 394GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006240000409) //394- 395GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006280000409) //395- 396GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600062c0000409) //396- 397GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006300000409) //397- 398GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006340000409) //398- 399GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006380000409) //399- 400GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600063c0000409) //400- 401GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006400000409) //401- 402GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006440000409) //402- 403GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006480000409) //403- 404GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600064c0000409) //404- 405GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006500000409) //405- 406GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006540000409) //406- 407GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006580000409) //407- 408GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600065c0000409) //408- 409GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006600000409) //409- 410GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006640000409) //410- 411GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006680000409) //411- 412GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600066c0000409) //412- 413GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006700000409) //413- 414GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006740000409) //414- 415GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006780000409) //415- 416GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600067c0000409) //416- 417GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006800000409) //417- 418GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006840000409) //418- 419GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006880000409) //419- 420GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600068c0000409) //420- 421GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006900000409) //421- 422GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006940000409) //422- 423GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006980000409) //423- 424GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600069c0000409) //424- 425GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006a00000409) //425- 426GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006a40000409) //426- 427GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006a80000409) //427- 428GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006ac0000409) //428- 429GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006b00000409) //429- 430GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006b40000409) //430- 431GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006b80000409) //431- 432GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006bc0000409) //432- 433GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006c00000409) //433- 434GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006c40000409) //434- 435GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006c80000409) //435- 436GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006cc0000409) //436- 437GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006d00000409) //437- 438GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006d40000409) //438- 439GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006d80000409) //439- 440GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006dc0000409) //440- 441GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006e00000409) //441- 442GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006e40000409) //442- 443GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006e80000409) //443- 444GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006ec0000409) //444- 445GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006f00000409) //445- 446GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006f40000409) //446- 447GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006f80000409) //447- 448GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060006fc0000409) //448- 449GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007000000409) //449- 450GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007040000409) //450- 451GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007080000409) //451- 452GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600070c0000409) //452- 453GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007100000409) //453- 454GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007140000409) //454- 455GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007180000409) //455- 456GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600071c0000409) //456- 457GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007200000409) //457- 458GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007240000409) //458- 459GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007280000409) //459- 460GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600072c0000409) //460- 461GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007300000409) //461- 462GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007340000409) //462- 463GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007380000409) //463- 464GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600073c0000409) //464- 465GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007400000409) //465- 466GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007440000409) //466- 467GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007480000409) //467- 468GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600074c0000409) //468- 469GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007500000409) //469- 470GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007540000409) //470- 471GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007580000409) //471- 472GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600075c0000409) //472- 473GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007600000409) //473- 474GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007640000409) //474- 475GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007680000409) //475- 476GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600076c0000409) //476- 477GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007700000409) //477- 478GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007740000409) //478- 479GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007780000409) //479- 480GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600077c0000409) //480- 481GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007800000409) //481- 482GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007840000409) //482- 483GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007880000409) //483- 484GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600078c0000409) //484- 485GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007900000409) //485- 486GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007940000409) //486- 487GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007980000409) //487- 488GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x00600079c0000409) //488- 489GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007a00000409) //489- 490GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007a40000409) //490- 491GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007a80000409) //491- 492GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007ac0000409) //492- 493GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007b00000409) //493- 494GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007b40000409) //494- 495GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007b80000409) //495- 496GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007bc0000409) //496- 497GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007c00000409) //497- 498GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007c40000409) //498- 499GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007c80000409) //499- 500GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007cc0000409) //500- 501GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007d00000409) //501- 502GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007d40000409) //502- 503GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007d80000409) //502- 503GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007dc0000409) //503- 504GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007e00000409) //504- 505GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007e40000409) //505- 506GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007e80000409) //506- 507GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007ec0000409) //507- 508GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007f00000409) //508- 509GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007f40000409) //509- 510GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007f80000409) //510- 511GB (output address) Reserved - MAIR: Device-nGnRnE + .quad (0x0060007fc0000409) //511- 512GB (output address) Reserved - MAIR: Device-nGnRnE + +// level 2 table +// 512 entry +// 1 entry covers 2MB + .section PAGE_TABLE_2 + .text + .align 12 + .global pgtbl2 + +pgtbl2: + .quad (0x0000000000000401) // 0-2MB boot register only occupaies 4k! + .quad (0x0040000000200409) // 2-4MB Reseved -Execute never - MAIR: Device-nGnRnE + .quad (0x0040000000400409) // 4-6MB + .quad (0x0040000000600409) // 6-8MB + .quad (0x0040000000800409) // 8-10MB + .quad (0x0040000000a00409) // 10-12MB + .quad (0x0040000000c00409) // 12-14MB + .quad (0x0040000000e00409) // 14-16MB + .quad (0x0040000001000409) // 16-18MB + .quad (0x0040000001200409) // 18-20MB + .quad (0x0040000001400409) // 20-22MB + .quad (0x0040000001600409) // 22-24MB + .quad (0x0040000001800409) // 24-26MB + .quad (0x0040000001a00409) // 26-28MB + .quad (0x0040000001c00409) // 28-30MB + .quad (0x0040000001e00409) // 30-32MB + .quad (0x0000000002000401) // 32-34MB Volatile Memory - Normal, Inner/Outer WB/WA/RA + .quad (0x0000000002200401) // 34-36MB + .quad (0x0000000002400401) // 36-38MB + .quad (0x0000000002600401) // 38-40MB + .quad (0x0000000002800401) // 40-42MB + .quad (0x0000000002a00401) // 42-44MB + .quad (0x0000000002c00401) // 44-46MB + .quad (0x0000000002e00401) // 46-48MB + .quad (0x0000000003000401) // 48-50MB + .quad (0x0000000003200401) // 50-52MB + .quad (0x0000000003400401) // 52-54MB + .quad (0x0000000003600401) // 54-56MB + .quad (0x0000000003800401) // 56-58MB + .quad (0x0000000003a00401) // 58-60MB + .quad (0x0000000003c00401) // 60-62MB + .quad (0x0000000003e00401) // 62-64MB + .quad (0x0040000004000409) // 64-66MB Reserved + .quad (0x0040000004200409) // 66-68MB + .quad (0x0040000004400409) // 68-70MB + .quad (0x0040000004600409) // 70-72MB + .quad (0x0040000004800409) // 72-74MB + .quad (0x0040000004a00409) // 74-76MB + .quad (0x0040000004c00409) // 76-78MB + .quad (0x0040000004e00409) // 78-80MB + .quad (0x0040000005000409) // 80-82MB + .quad (0x0040000005200409) // 82-84MB + .quad (0x0040000005400409) // 84-86MB + .quad (0x0040000005600409) // 86-88MB + .quad (0x0040000005800409) // 88-90MB + .quad (0x0040000005a00409) // 90-92MB + .quad (0x0040000005c00409) // 92-94MB + .quad (0x0040000005e00409) // 94-96MB + .quad (0x0040000006000409) // 96-98MB + .quad (0x0040000006200409) // 98-100MB + .quad (0x0040000006400409) // 100-102MB + .quad (0x0040000006600409) // 102-104MB + .quad (0x0040000006800409) // 104-106MB + .quad (0x0040000006a00409) // 106-108MB + .quad (0x0040000006c00409) // 108-110MB + .quad (0x0040000006e00409) // 110-112MB + .quad (0x0040000007000409) // 112-114MB + .quad (0x0040000007200409) // 114-116MB + .quad (0x0040000007400409) // 116-118MB + .quad (0x0040000007600409) // 118-120MB + .quad (0x0040000007800409) // 120-122MB + .quad (0x0040000007a00409) // 122-124MB + .quad (0x0040000007c00409) // 124-126MB + .quad (0x0040000007e00409) // 126-128MB + .quad (0x0000000008000401) // 128-130MB Non_volatile Memory + .quad (0x0000000008200401) // 130-132MB + .quad (0x0000000008400401) // 132-134MB + .quad (0x0000000008600401) // 134-136MB + .quad (0x0000000008800401) // 136-138MB + .quad (0x0000000008a00401) // 138-140MB + .quad (0x0000000008c00401) // 140-142MB + .quad (0x0000000008e00401) // 142-144MB + .quad (0x0000000009000401) // 144-146MB + .quad (0x0000000009200401) // 146-148MB + .quad (0x0000000009400401) // 148-150MB + .quad (0x0000000009600401) // 150-152MB + .quad (0x0000000009800401) // 152-154MB + .quad (0x0000000009a00401) // 154-156MB + .quad (0x0000000009c00401) // 156-158MB + .quad (0x0000000009e00401) // 158-160MB + .quad (0x000000000a000401) // 160-162MB + .quad (0x000000000a200401) // 162-164MB + .quad (0x000000000a400401) // 164-166MB + .quad (0x000000000a600401) // 166-168MB + .quad (0x000000000a800401) // 168-170MB + .quad (0x000000000aa00401) // 170-172MB + .quad (0x000000000ac00401) // 172-174MB + .quad (0x000000000ae00401) // 174-176MB + .quad (0x000000000b000401) // 176-178MB + .quad (0x000000000b200401) // 178-180MB + .quad (0x000000000b400401) // 180-182MB + .quad (0x000000000b600401) // 182-184MB + .quad (0x000000000b800401) // 184-186MB + .quad (0x000000000ba00401) // 186-188MB + .quad (0x000000000bc00401) // 188-190MB + .quad (0x000000000be00401) // 190-192MB + .quad (0x000000000c000401) // 192-194MB + .quad (0x000000000c200401) // 194-196MB + .quad (0x000000000c400401) // 196-198MB + .quad (0x000000000c600401) // 198-200MB + .quad (0x000000000c800401) // 200-202MB + .quad (0x000000000ca00401) // 202-204MB + .quad (0x000000000cc00401) // 204-206MB + .quad (0x000000000ce00401) // 206-208MB + .quad (0x000000000d000401) // 208-210MB + .quad (0x000000000d200401) // 210-212MB + .quad (0x000000000d400401) // 212-214MB + .quad (0x000000000d600401) // 214-216MB + .quad (0x000000000d800401) // 216-218MB + .quad (0x000000000da00401) // 218-220MB + .quad (0x000000000dc00401) // 220-222MB + .quad (0x000000000de00401) // 222-224MB + .quad (0x000000000e000401) // 224-226MB + .quad (0x000000000e200401) // 226-228MB + .quad (0x000000000e400401) // 228-230MB + .quad (0x000000000e600401) // 230-232MB + .quad (0x000000000e800401) // 232-234MB + .quad (0x000000000ea00401) // 234-236MB + .quad (0x000000000ec00401) // 236-238MB + .quad (0x000000000ee00401) // 238-240MB + .quad (0x000000000f000401) // 240-242MB + .quad (0x000000000f200401) // 242-244MB + .quad (0x000000000f400401) // 244-246MB + .quad (0x000000000f600401) // 246-248MB + .quad (0x000000000f800401) // 248-250MB + .quad (0x000000000fa00401) // 250-252MB + .quad (0x000000000fc00401) // 252-254MB + .quad (0x000000000fe00401) // 254-256MB + .quad (0x0000000010000409) // 256-258MB Debug + .quad (0x0040000010200409) // 258-260MB + .quad (0x0040000010400409) // 260-262MB + .quad (0x0040000010600409) // 262-264MB + .quad (0x0040000010800409) // 264-266MB + .quad (0x0040000010a00409) // 266-268MB + .quad (0x0040000010c00409) // 268-270MB + .quad (0x0040000010e00409) // 270-272MB + .quad (0x0040000011000409) // 272-274MB + .quad (0x0040000011200409) // 274-276MB + .quad (0x0040000011400409) // 276-278MB + .quad (0x0040000011600409) // 278-280MB + .quad (0x0040000011800409) // 280-282MB + .quad (0x0040000011a00409) // 282-284MB + .quad (0x0040000011c00409) // 284-286MB + .quad (0x0040000011e00409) // 286-288MB + .quad (0x0040000012000409) // 288-290MB + .quad (0x0040000012200409) // 290-292MB + .quad (0x0040000012400409) // 292-294MB + .quad (0x0040000012600409) // 294-296MB + .quad (0x0040000012800409) // 296-298MB + .quad (0x0040000012a00409) // 298-300MB + .quad (0x0040000012c00409) // 300-302MB + .quad (0x0040000012e00409) // 302-304MB + .quad (0x0040000013000409) // 304-306MB + .quad (0x0040000013200409) // 306-308MB + .quad (0x0040000013400409) // 308-310MB + .quad (0x0040000013600409) // 310-312MB + .quad (0x0040000013800409) // 312-314MB + .quad (0x0040000013a00409) // 314-316MB + .quad (0x0040000013c00409) // 316-318MB + .quad (0x0040000013e00409) // 318-320MB + .quad (0x0040000014000409) // 320-322MB + .quad (0x0040000014200409) // 322-324MB + .quad (0x0040000014400409) // 324-326MB + .quad (0x0040000014600409) // 326-328MB + .quad (0x0040000014800409) // 328-330MB + .quad (0x0040000014a00409) // 330-332MB + .quad (0x0040000014c00409) // 332-334MB + .quad (0x0040000014e00409) // 334-336MB + .quad (0x0040000015000409) // 336-338MB + .quad (0x0040000015200409) // 338-340MB + .quad (0x0040000015400409) // 340-342MB + .quad (0x0040000015600409) // 342-344MB + .quad (0x0040000015800409) // 344-346MB + .quad (0x0040000015a00409) // 346-348MB + .quad (0x0040000015c00409) // 348-350MB + .quad (0x0040000015e00409) // 350-352MB + .quad (0x0040000016000409) // 352-354MB + .quad (0x0040000016200409) // 354-356MB + .quad (0x0040000016400409) // 356-358MB + .quad (0x0040000016600409) // 358-360MB + .quad (0x0040000016800409) // 360-362MB + .quad (0x0040000016a00409) // 362-364MB + .quad (0x0040000016c00409) // 364-366MB + .quad (0x0040000016e00409) // 366-368MB + .quad (0x0040000017000409) // 368-370MB + .quad (0x0040000017200409) // 370-372MB + .quad (0x0040000017400409) // 372-374MB + .quad (0x0040000017600409) // 374-376MB + .quad (0x0040000017800409) // 376-378MB + .quad (0x0040000017a00409) // 378-380MB + .quad (0x0040000017c00409) // 380-382MB + .quad (0x0040000017e00409) // 382-384MB + .quad (0x0040000018000409) // 384-386MB + .quad (0x0040000018200409) // 386-388MB + .quad (0x0040000018400409) // 388-390MB + .quad (0x0040000018600409) // 390-392MB + .quad (0x0040000018800409) // 392-394MB + .quad (0x0040000018a00409) // 394-396MB + .quad (0x0040000018c00409) // 396-398MB + .quad (0x0040000018e00409) // 398-400MB + .quad (0x0040000019000409) // 400-402MB + .quad (0x0040000019200409) // 402-404MB + .quad (0x0040000019400409) // 404-406MB + .quad (0x0040000019600409) // 406-408MB + .quad (0x0040000019800409) // 408-410MB + .quad (0x0040000019a00409) // 410-412MB + .quad (0x0040000019c00409) // 412-414MB + .quad (0x0040000019e00409) // 414-416MB + .quad (0x004000001a000409) // 416-418MB Host Preipherials + .quad (0x004000001a200409) // 418-420MB + .quad (0x004000001a400409) // 420-422MB + .quad (0x004000001a600409) // 422-424MB + .quad (0x004000001a800409) // 424-426MB + .quad (0x004000001aa00409) // 426-428MB + .quad (0x004000001ac00409) // 428-430MB + .quad (0x004000001ae00409) // 430-432MB + .quad (0x004000001b000409) // 432-434MB + .quad (0x004000001b200409) // 434-436MB + .quad (0x004000001b400409) // 436-438MB + .quad (0x004000001b600409) // 438-440MB + .quad (0x004000001b800409) // 440-442MB + .quad (0x004000001ba00409) // 442-444MB + .quad (0x004000001bc00409) // 444-446MB + .quad (0x004000001be00409) // 446-448MB + .quad (0x004000001c000409) // 448-450MB + .quad (0x004000001c200409) // 450-452MB + .quad (0x004000001c400409) // 452-454MB + .quad (0x004000001c600409) // 454-456MB + .quad (0x004000001c800409) // 456-458MB + .quad (0x004000001ca00409) // 458-460MB + .quad (0x004000001cc00409) // 460-462MB + .quad (0x004000001ce00409) // 462-464MB + .quad (0x004000001d000409) // 464-466MB + .quad (0x004000001d200409) // 466-468MB + .quad (0x004000001d400409) // 468-470MB + .quad (0x004000001d600409) // 470-472MB + .quad (0x004000001d800409) // 472-474MB + .quad (0x004000001da00409) // 474-476MB + .quad (0x004000001dc00409) // 476-478MB + .quad (0x004000001de00409) // 478-480MB + .quad (0x004000001e000409) // 480-482MB + .quad (0x004000001e200409) // 482-484MB + .quad (0x004000001e400409) // 484-486MB + .quad (0x004000001e600409) // 486-488MB + .quad (0x004000001e800409) // 488-490MB + .quad (0x004000001ea00409) // 490-492MB + .quad (0x004000001ec00409) // 492-494MB + .quad (0x004000001ee00409) // 494-496MB + .quad (0x004000001f000409) // 496-498MB + .quad (0x004000001f200409) // 498-500MB + .quad (0x004000001f400409) // 500-502MB + .quad (0x004000001f600409) // 502-504MB + .quad (0x004000001f800409) // 504-506MB + .quad (0x004000001fa00409) // 506-508MB + .quad (0x004000001fc00409) // 508-510MB + .quad (0x004000001fe00409) // 510-512MB + .quad (0x0040000020000409) // 512-514MB + .quad (0x0040000020200409) // 514-516MB + .quad (0x0040000020400409) // 516-518MB + .quad (0x0040000020600409) // 518-520MB + .quad (0x0040000020800409) // 520-522MB + .quad (0x0040000020a00409) // 522-524MB + .quad (0x0040000020c00409) // 524-526MB + .quad (0x0040000020e00409) // 526-528MB + .quad (0x0040000021000409) // 528-530MB + .quad (0x0040000021200409) // 530-532MB + .quad (0x0040000021400409) // 532-534MB + .quad (0x0040000021600409) // 534-536MB + .quad (0x0040000021800409) // 536-538MB + .quad (0x0040000021a00409) // 538-540MB + .quad (0x0040000021c00409) // 540-542MB + .quad (0x0040000021e00409) // 542-544MB + .quad (0x0040000022000409) // 544-546MB + .quad (0x0040000022200409) // 546-548MB + .quad (0x0040000022400409) // 548-550MB + .quad (0x0040000022600409) // 550-552MB + .quad (0x0040000022800409) // 552-554MB + .quad (0x0040000022a00409) // 554-556MB + .quad (0x0040000022c00409) // 556-558MB + .quad (0x0040000022e00409) // 558-560MB + .quad (0x0040000023000409) // 560-562MB + .quad (0x0040000023200409) // 562-564MB + .quad (0x0040000023400409) // 564-566MB + .quad (0x0040000023600409) // 566-568MB + .quad (0x0040000023800409) // 568-570MB + .quad (0x0040000023a00409) // 570-572MB + .quad (0x0040000023c00409) // 572-574MB + .quad (0x0040000023e00409) // 574-576MB + .quad (0x0040000024000409) // 576-578MB + .quad (0x0040000024200409) // 578-580MB + .quad (0x0040000024400409) // 580-582MB + .quad (0x0040000024600409) // 582-584MB + .quad (0x0040000024800409) // 584-586MB + .quad (0x0040000024a00409) // 586-588MB + .quad (0x0040000024c00409) // 588-590MB + .quad (0x0040000024e00409) // 590-592MB + .quad (0x0040000025000409) // 592-594MB + .quad (0x0040000025200409) // 594-596MB + .quad (0x0040000025400409) // 596-598MB + .quad (0x0040000025600409) // 598-600MB + .quad (0x0040000025800409) // 600-602MB + .quad (0x0040000025a00409) // 602-604MB + .quad (0x0040000025c00409) // 604-606MB + .quad (0x0040000025e00409) // 606-608MB + .quad (0x0040000026000409) // 608-610MB + .quad (0x0040000026200409) // 610-612MB + .quad (0x0040000026400409) // 612-614MB + .quad (0x0040000026600409) // 614-616MB + .quad (0x0040000026800409) // 616-618MB + .quad (0x0040000026a00409) // 618-620MB + .quad (0x0040000026c00409) // 620-622MB + .quad (0x0040000026e00409) // 622-624MB + .quad (0x0040000027000409) // 624-626MB + .quad (0x0040000027200409) // 626-628MB + .quad (0x0040000027400409) // 628-630MB + .quad (0x0040000027600409) // 630-632MB + .quad (0x0040000027800409) // 632-634MB + .quad (0x0040000027a00409) // 634-636MB + .quad (0x0040000027c00409) // 636-638MB + .quad (0x0040000027e00409) // 638-640MB + .quad (0x0040000028000409) // 640-642MB + .quad (0x0040000028200409) // 642-644MB + .quad (0x0040000028400409) // 644-646MB + .quad (0x0040000028600409) // 646-648MB + .quad (0x0040000028800409) // 648-650MB + .quad (0x0040000028a00409) // 650-652MB + .quad (0x0040000028c00409) // 652-654MB + .quad (0x0040000028e00409) // 654-656MB + .quad (0x0040000029000409) // 656-658MB + .quad (0x0040000029200409) // 658-660MB + .quad (0x0040000029400409) // 660-662MB + .quad (0x0040000029600409) // 662-664MB + .quad (0x0040000029800409) // 664-666MB + .quad (0x0040000029a00409) // 666-668MB + .quad (0x0040000029c00409) // 668-670MB + .quad (0x0040000029e00409) // 670-672MB + .quad (0x004000002a000409) // 672-674MB + .quad (0x004000002a200409) // 674-676MB + .quad (0x004000002a400409) // 676-678MB + .quad (0x004000002a600409) // 678-680MB + .quad (0x004000002a800409) // 680-682MB + .quad (0x004000002aa00409) // 682-684MB + .quad (0x004000002ac00409) // 684-686MB + .quad (0x004000002ae00409) // 686-688MB + .quad (0x004000002b000409) // 688-690MB + .quad (0x004000002b200409) // 690-692MB + .quad (0x004000002b400409) // 692-694MB + .quad (0x004000002b600409) // 694-696MB + .quad (0x004000002b800409) // 696-698MB + .quad (0x004000002ba00409) // 698-700MB + .quad (0x004000002bc00409) // 700-702MB + .quad (0x004000002be00409) // 702-704MB + .quad (0x004000002c000409) // 704-706MB + .quad (0x004000002c200409) // 706-708MB + .quad (0x004000002c400409) // 708-710MB + .quad (0x004000002c600409) // 710-712MB + .quad (0x004000002c800409) // 712-714MB + .quad (0x004000002ca00409) // 714-716MB + .quad (0x004000002cc00409) // 716-718MB + .quad (0x004000002ce00409) // 718-720MB + .quad (0x004000002d000409) // 720-722MB + .quad (0x004000002d200409) // 722-724MB + .quad (0x004000002d400409) // 724-726MB + .quad (0x004000002d600409) // 726-728MB + .quad (0x004000002d800409) // 728-730MB + .quad (0x004000002da00409) // 730-732MB + .quad (0x004000002dc00409) // 732-734MB + .quad (0x004000002de00409) // 734-736MB + .quad (0x004000002e000409) // 736-738MB + .quad (0x004000002e200409) // 738-740MB + .quad (0x004000002e400409) // 740-742MB + .quad (0x004000002e600409) // 742-744MB + .quad (0x004000002e800409) // 744-746MB + .quad (0x004000002ea00409) // 746-748MB + .quad (0x004000002ec00409) // 748-750MB + .quad (0x004000002ee00409) // 750-752MB + .quad (0x004000002f000409) // 752-754MB + .quad (0x004000002f200409) // 754-756MB + .quad (0x004000002f400409) // 756-758MB + .quad (0x004000002f600409) // 758-760MB + .quad (0x004000002f800409) // 760-762MB + .quad (0x004000002fa00409) // 762-764MB + .quad (0x004000002fc00409) // 764-766MB + .quad (0x004000002fe00409) // 766-768MB + .quad (0x0040000030000409) // 768-770MB + .quad (0x0040000030200409) // 770-772MB + .quad (0x0040000030400409) // 772-774MB + .quad (0x0040000030600409) // 774-776MB + .quad (0x0040000030800409) // 776-778MB + .quad (0x0040000030a00409) // 778-780MB + .quad (0x0040000030c00409) // 780-782MB + .quad (0x0040000030e00409) // 782-784MB + .quad (0x0040000031000409) // 784-786MB + .quad (0x0040000031200409) // 786-788MB + .quad (0x0040000031400409) // 788-790MB + .quad (0x0040000031600409) // 790-792MB + .quad (0x0040000031800409) // 792-794MB + .quad (0x0040000031a00409) // 794-796MB + .quad (0x0040000031c00409) // 796-798MB + .quad (0x0040000031e00409) // 798-800MB + .quad (0x0040000032000409) // 800-802MB + .quad (0x0040000032200409) // 802-804MB + .quad (0x0040000032400409) // 804-806MB + .quad (0x0040000032600409) // 806-808MB + .quad (0x0040000032800409) // 808-810MB + .quad (0x0040000032a00409) // 810-812MB + .quad (0x0040000032c00409) // 812-814MB + .quad (0x0040000032e00409) // 814-816MB + .quad (0x0040000033000409) // 816-818MB + .quad (0x0040000033200409) // 818-820MB + .quad (0x0040000033400409) // 820-822MB + .quad (0x0040000033600409) // 822-824MB + .quad (0x0040000033800409) // 824-826MB + .quad (0x0040000033a00409) // 826-828MB + .quad (0x0040000033c00409) // 828-830MB + .quad (0x0040000033e00409) // 830-832MB + .quad (0x0040000034000409) // 832-834MB + .quad (0x0040000034200409) // 834-836MB + .quad (0x0040000034400409) // 836-838MB + .quad (0x0040000034600409) // 838-840MB + .quad (0x0040000034800409) // 840-842MB + .quad (0x0040000034a00409) // 842-844MB + .quad (0x0040000034c00409) // 844-846MB + .quad (0x0040000034e00409) // 846-848MB + .quad (0x0040000035000409) // 848-850MB + .quad (0x0040000035200409) // 850-852MB + .quad (0x0040000035400409) // 852-854MB + .quad (0x0040000035600409) // 854-856MB + .quad (0x0040000035800409) // 856-858MB + .quad (0x0040000035a00409) // 858-860MB + .quad (0x0040000035c00409) // 860-862MB + .quad (0x0040000035e00409) // 862-864MB + .quad (0x0040000036000409) // 864-866MB + .quad (0x0040000036200409) // 866-868MB + .quad (0x0040000036400409) // 868-870MB + .quad (0x0040000036600409) // 870-872MB + .quad (0x0040000036800409) // 872-874MB + .quad (0x0040000036a00409) // 874-876MB + .quad (0x0040000036c00409) // 876-878MB + .quad (0x0040000036e00409) // 878-880MB + .quad (0x0040000037000409) // 880-882MB + .quad (0x0040000037200409) // 882-884MB + .quad (0x0040000037400409) // 884-886MB + .quad (0x0040000037600409) // 886-888MB + .quad (0x0040000037800409) // 888-890MB + .quad (0x0040000037a00409) // 890-892MB + .quad (0x0040000037c00409) // 892-894MB + .quad (0x0040000037e00409) // 894-896MB + .quad (0x0040000038000409) // 896-898MB + .quad (0x0040000038200409) // 898-900MB + .quad (0x0040000038400409) // 900-902MB + .quad (0x0040000038600409) // 902-904MB + .quad (0x0040000038800409) // 904-906MB + .quad (0x0040000038a00409) // 906-908MB + .quad (0x0040000038c00409) // 908-910MB + .quad (0x0040000038e00409) // 910-912MB + .quad (0x0040000039000409) // 912-914MB + .quad (0x0040000039200409) // 914-916MB + .quad (0x0040000039400409) // 916-918MB + .quad (0x0040000039600409) // 918-920MB + .quad (0x0040000039800409) // 920-922MB + .quad (0x0040000039a00409) // 922-924MB + .quad (0x0040000039c00409) // 924-926MB + .quad (0x0040000039e00409) // 926-928MB + .quad (0x004000003a000409) // 928-930MB + .quad (0x004000003a200409) // 930-932MB + .quad (0x004000003a400409) // 932-934MB + .quad (0x004000003a600409) // 934-936MB + .quad (0x004000003a800409) // 936-938MB + .quad (0x004000003aa00409) // 938-940MB + .quad (0x004000003ac00409) // 940-942MB + .quad (0x004000003ae00409) // 942-944MB + .quad (0x004000003b000409) // 944-946MB + .quad (0x004000003b200409) // 946-948MB + .quad (0x004000003b400409) // 948-950MB + .quad (0x004000003b600409) // 950-952MB + .quad (0x004000003b800409) // 952-954MB + .quad (0x004000003ba00409) // 954-956MB + .quad (0x004000003bc00409) // 956-958MB + .quad (0x004000003be00409) // 958-960MB + .quad (0x004000003c000409) // 960-962MB + .quad (0x004000003c200409) // 962-964MB + .quad (0x004000003c400409) // 964-966MB + .quad (0x004000003c600409) // 966-968MB + .quad (0x004000003c800409) // 968-970MB + .quad (0x004000003ca00409) // 970-972MB + .quad (0x004000003cc00409) // 972-974MB + .quad (0x004000003ce00409) // 974-976MB + .quad (0x004000003d000409) // 976-978MB + .quad (0x004000003d200409) // 978-980MB + .quad (0x004000003d400409) // 980-982MB + .quad (0x004000003d600409) // 982-984MB + .quad (0x004000003d800409) // 984-986MB + .quad (0x004000003da00409) // 986-988MB + .quad (0x004000003dc00409) // 988-990MB + .quad (0x004000003de00409) // 990-992MB + .quad (0x004000003e000409) // 992-994MB + .quad (0x004000003e200409) // 994-996MB + .quad (0x004000003e400409) // 996-998MB + .quad (0x004000003e600409) // 998-1000MB + .quad (0x004000003e800409) // 1000-1002MB + .quad (0x004000003ea00409) // 1002-1004MB + .quad (0x004000003ec00409) // 1004-1006MB + .quad (0x004000003ee00409) // 1006-1008MB + .quad (0x004000003f000409) // 1008-1010MB + .quad (0x004000003f200409) // 1010-1012MB + .quad (0x004000003f400409) // 1012-1014MB + .quad (0x004000003f600409) // 1014-1016MB + .quad (0x004000003f800409) // 1016-1018MB + .quad (0x004000003fa00409) // 1018-1020MB + .quad (0x004000003fc00409) // 1020-1022MB + .quad (0x004000003fe00409) // 1022-1024MB + diff --git a/software/lib/sw_lib/apps/src/platform.c b/software/lib/sw_lib/apps/src/platform.c new file mode 100755 index 0000000..b8d05f6 --- /dev/null +++ b/software/lib/sw_lib/apps/src/platform.c @@ -0,0 +1,139 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + +#include "system.h" +#include "platform.h" +#include "intrinsics.h" + +// This function handles the aarch64 exec. state async abort +void sync_ext_abort_handler(void) +{ + abort_handler(); +} + +// Enable instruction cache in aarch64 state (EL3) +void enable_icaches(void){ + + __ASM volatile ( "ic iallu \n\t" //; Invalidate I cache and BTAC + "dsb sy \n\t" + "isb \n\t" + "mrs x0, sctlr_el3 \n\t" + "mov x1, #0x1000 \n\t" //; Turn on caches + "orr x0, x0, x1 \n\t" + "msr SCTLR_EL3, x0 \n\t" + "isb \n\t" ::: "x0", "x1"); + +} + +// Disable caches in aarch64 state (EL3) +void disable_caches(void){ + __ASM volatile( + "mrs x0, sctlr_el3 \n\t" + "mov x1, #0x1004 \n\t" //; Turn on caches + "BIC x0, x0, x1 \n\t" + "msr SCTLR_EL3, x0 \n\t" + "ISB \n\t" ::: "x0", "x1"); +} + +/**----------------------------------------------------------------------------- + Function name : disable_smp + Input Parameters : void + Return Type : void + Descritpion : Disables SMP bit, only applicable for v8 Cores +------------------------------------------------------------------------------*/ +void disable_smp(void) +{ + __ASM volatile ( "mov x1, #0x40 \n\t" + "mrs x0, S3_1_c15_c2_1 \n\t" + "bic x0, x0, x1 \n\t" + "msr S3_1_c15_c2_1, x0 \n\t" :::"x0", "x1"); +} + +void invalidate_l2_caches(void){ +// invalidate_caches(); +//Programmer's Guide for ARMv8-A, +//Example 11-3 Cleaning to Point of Coherency +__ASM volatile ( "MRS X0, CLIDR_EL1 \n\t" + "AND W3, W0, #0x07000000 \n\t" // Get 2 x Level of Coherence + "LSR W3, W3, #23 \n\t" + "CBZ W3, Finished \n\t" + "MOV W10, #0 \n\t" // W10 = 2 x cache level + "MOV W8, #1 \n\t" // W8 = constant 0b1 + "Loop1: ADD W2, W10, W10, LSR #1 \n\t"// Calculate 3 x cache level + "LSR W1, W0, W2 \n\t" // extract 3-bit cache type for this level + "AND W1, W1, #0x7 \n\t" + "CMP W1, #2 \n\t" + "BLT Skip \n\t" // No data or unified cache at this level + "MSR CSSELR_EL1, X10 \n\t" // Select this cache level + "ISB \n\t" // Synchronize change of CSSELR + "MRS X1, CCSIDR_EL1 \n\t" // Read CCSIDR + "AND W2, W1, #7 \n\t" // W2 = log2(linelen)-4 + "ADD W2, W2, #4 \n\t" // W2 = log2(linelen) + "UBFX W4, W1, #3, #10 \n\t" // W4 = max way number, right aligned + "CLZ W5, W4 \n\t" /* W5 = 32-log2(ways), bit position of way in DC operand */ + "LSL W9, W4, W5 \n\t" /* W9 = max way number, aligned to position in DC operand */ + "LSL W16, W8, W5 \n\t" // W16 = amount to decrement way number per iteration + "Loop2: UBFX W7, W1, #13, #15 \n\t" // W7 = max set number, right aligned + "LSL W7, W7, W2 \n\t" /* W7 = max set number, aligned to position in DC operand */ + "LSL W17, W8, W2 \n\t" // W17 = amount to decrement set number per iteration + "Loop3: ORR W11, W10, W9 \n\t" // W11 = combine way number and cache number... + "ORR W11, W11, W7 \n\t" // ... and set number for DC operand + "DC CSW, X11 \n\t" // Do data cache clean by set and way + "SUBS W7, W7, W17 \n\t" // Decrement set number + "BGE Loop3 \n\t" + "SUBS X9, X9, X16 \n\t" // Decrement way number + "BGE Loop2 \n\t" + "Skip: ADD W10, W10, #2 \n\t" // Increment 2 x cache level + "CMP W3, W10 \n\t" + "DSB sy \n\t" /* Ensure completion of previous cache maintenance operation */ + "BGT Loop1 \n\t" + "Finished: \n\t":::"x0", "x1", "x2", "x3", "x4", "x5", "x6", "x7", "x8", "x9", "x10", "x11", "x12"); +} + + +uint32_t get_sctlr_val(void){ + uint32_t sctlr_val; + __ASM volatile("mrs %x[output], sctlr_el3\n\t" + :[output] "=r" (sctlr_val)::); + + return sctlr_val; +} + + + + +void write_sctlr_val(uint32_t sctlr_val){ + + __ASM volatile("msr SCTLR_EL3, %x[input] \n\t" + "dsb SY \n\t" + "isb \n\t" ::[input] "r" (sctlr_val):); + +} + +/**----------------------------------------------------------------------------- + Function name : clear_OS_LOCK + Input Parameters : void + Return Type : void + Descritpion : Set OS lock to 0 + ------------------------------------------------------------------------------*/ +void clear_OS_LOCK(void){ + + __ASM volatile ( "MOV x0, 0x0 \n\t" + "MSR OSLAR_EL1, x0 \n\t" ::: "x0"); + +} + diff --git a/software/lib/sw_lib/apps/src/system.c b/software/lib/sw_lib/apps/src/system.c new file mode 100755 index 0000000..28de726 --- /dev/null +++ b/software/lib/sw_lib/apps/src/system.c @@ -0,0 +1,339 @@ +/*------------------------------------------------------------------------------ + * The confidential and proprietary information contained in this file may + * only be used by a person authorised under and to the extent permitted + * by a subsisting licensing agreement from Arm Limited or its affiliates. + * + * (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. + * ALL RIGHTS RESERVED + * + * This entire notice must be reproduced on all copies of this file + * and copies of this file may only be made by a person if such person is + * permitted to do so under the terms of a subsisting license agreement + * from Arm Limited or its affiliates. + * + * Release Information : SSE710-r0p0-00rel0 + * + *------------------------------------------------------------------------------ + */ + +#include <stdio.h> +#include <stdarg.h> +#include "system.h" +#include "platform.h" +#include "uart_stdout.h" +#include "sys_memory_map.h" +#include "intrinsics.h" + + +// void irq_handler(){ +// int source, interrupt, raw_interrupt; + +// } + +/** @file system.c + * @brief System Print, TEST_PASS/TEST_FAIL and placeholder for other helper functions + */ + +/* ----------------------------------------------------------------------------- + * Default exception handler function + * ----------------------------------------------------------------------------- + */ + +/* Abort */ +__attribute__((weak)) void abort_handler (void) { + printf ("Unexpected abort exception.\n"); + TEST_FAIL(); +} + +/* ----------------------------------------------------------------------------- + * C library retarget + * ----------------------------------------------------------------------------- + */ + +#ifndef SEMIHOST +__ASM(".global __use_no_semihosting"); +__ASM(".global __use_no_heap"); + +/* +* These must be defined to avoid linking in stdio.o from the +* C Library +*/ + +struct __FILE { int handle; /* Add whatever you need here */}; +FILE __stdout; +FILE __stdin; +FILE __stdout; + +/* +* __backspace must return the last char read to the stream +* fgetc() needs to keep a record of whether __backspace was +* called directly before it +*/ +int last_char_read; +int backspace_called; + + +/** @brief fuptc, print redirction function, characters written to UART or TBENCH component + * @return int + */ + +int fputc(int ch, FILE* f) { + unsigned char tempch = ch; + UartPutc(ch); + return ch; +} + +#ifdef __ARMCC_VERSION__ +/** @brief ferror, Empty function + * @return int + */ + +int ferror(FILE* f) { + return EOF; +} +#endif + +/** @brief sys_exit, Overrides sys_exit to print ^D (EOT) to the Tube to end the simulation + * @return int + */ + +void _sys_exit(int return_code) { + __wfi(); +} + +/** @brief _sys_command_string, Required for RVCT6 + * @return char * + */ + +char *_sys_command_string(char *cmd, int len) +{ + return NULL; +} + +/** @brief _ttywrch, Required for RVCT6 + * @return void + */ + +void _ttywrch(int ch) +{ + +} + +/** @brief __backspace, Required for RVCT6 + * @return int + */ + +int __backspace(FILE *f) +{ + backspace_called = 0X1; + return 1; +} + +#endif + + + +/* ----------------------------------------------------------------------------- + * Fast printing functions + * ----------------------------------------------------------------------------- + */ + +/** @brief c_print, This is fast print function where checks for % in input string, + * if no % found, then calls c_print_str to save execution time of + * full print function. + * @return int + */ + +int c_print(const char * fmt, ...) { + va_list args; + int tmp, count = 0; + char buffer[160]; + const char *parse_str = fmt; + int flag = 0; + + while ((*parse_str != '\0') || (count++)) { + if ((*parse_str == '%') || (count >= 160)) { + if (count == 160) { + c_print_str("String too long for c_print function\n"); + } + flag = 1; + break; + } + parse_str++; + } + + if (flag == 0) { + c_print_str(fmt); + return 0; + } + + va_start(args,fmt); + tmp = vsprintf(buffer, fmt, args); + va_end(args); + + count = 0; + do{ + UartPutc((unsigned int)buffer[count]); + count++; + } while(count<79 && buffer[count]!='\0'); + + return tmp; +} + + +/** @brief c_print_char, Fast print function to print char on UART + * @return void + */ + +void c_print_char(const char ch) +{ + UartPutc(ch); +} + + +/** @brief c_print_str, Fast print function to print char on UART + * @return int + */ + +int c_print_str(const char * fmt) { + int i = 0; + do{ + UartPutc(fmt[i]); + i++; + } while(i<79 && fmt[i]!='\0'); + + return 1; +} + + +/* ----------------------------------------------------------------------------- + * Default test pass fail functions + * ----------------------------------------------------------------------------- + */ + +/** @brief TEST_PASS, Terminates Test by printing test pass message + * @return void + */ + +__attribute__((weak)) void TEST_PASS(void) { + // Halt simulation + char *tube_addr = (char *)SYS_UART0_BASE; + c_print_str("TEST PASSED OK\n"); + *tube_addr = (char )4; + __wfi(); + +} + +/** @brief TEST_FAIL, Terminates Test by printing test FAIL message + * @return void + */ + +__attribute__((weak)) void TEST_FAIL(void) { + // Halt simulation + char *tube_addr = (char *)SYS_UART0_BASE; + c_print_str("TEST FAILED\n"); + *tube_addr = (char )4; + __wfi(); + +} + +/** @brief access_addr, function to read/write num_locations from base address + * @return int + */ + +int access_addr(unsigned long int base_address, unsigned int num_accesses) +{ + volatile unsigned int read_data; + volatile unsigned int i; + volatile unsigned int offset; + volatile unsigned int write_data; + volatile unsigned int error_count = 0; + + offset = 0x0; + write_data = 0xA5A50000; + + for(i = 0; i < num_accesses; i++) { + + printf("Writing address \n"); + + *(volatile unsigned long *)base_address = write_data; + + printf("Reading address \n"); + read_data = *(volatile unsigned long *)base_address; + if (read_data != write_data) { + printf("Read value does not match Written value\n"); + error_count++; + } + else { + printf("Read the right value\n"); + } + + offset += 0x4; + write_data++; + } + + return(error_count); +} + +/** @brief access_addr_wdata, function to read/write num_locations from base addres with given data + * @return int + */ + +int access_addr_wdata(unsigned long int base_address, unsigned int num_accesses, unsigned int write_data) +{ + volatile unsigned int read_data; + volatile unsigned int i; + volatile unsigned int offset; + volatile unsigned int error_count = 0; + + offset = 0x0; + + for(i = 0; i < num_accesses; i++) { + + printf("WA \n"); + + *(volatile unsigned long *)base_address = write_data; + + __dsb(0xf); + __sev(); + + printf("RA \n"); + read_data = *(volatile unsigned long *)base_address; + if (read_data != write_data) { + printf("No MA \n"); + error_count++; + } + else { + printf("MA \n"); + } + + offset += 0x4; + write_data++; + } + + return(error_count); +} + + +/** @brief access_addr_writes, function to read/write num_locations from base addres with given data + * @return int + */ + +void access_addr_writes(unsigned long int base_address, unsigned int num_accesses, unsigned int write_data) +{ + volatile unsigned int i; + volatile unsigned int offset; + + offset = 0x0; + + for(i = 0; i < num_accesses; i++) { + + printf("WA \n"); + + *(volatile unsigned long *)base_address = write_data; + + offset += 0x4; + write_data++; + } +} + diff --git a/software/lib/sw_lib/apps/src/vect_64.s b/software/lib/sw_lib/apps/src/vect_64.s new file mode 100755 index 0000000..c30ce7f --- /dev/null +++ b/software/lib/sw_lib/apps/src/vect_64.s @@ -0,0 +1,180 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- +// Purpose : Exception vector +// ----------------------------------------------------------------------------- + + .section VECTORS + .text + .balign 2048 + + .global monitor_vectors + + +// PADDING + .macro pad_zero from=0, to=16 + .word 0 + .if \to-\from + pad_zero "(\from+1)",\to + .endif + .endm + + .macro SAVE_X1_X30 + STP x29, x30, [sp, #-0x10]! + STP x27, x28, [sp, #-0x10]! + STP x25, x26, [sp, #-0x10]! + STP x23, x24, [sp, #-0x10]! + STP x21, x22, [sp, #-0x10]! + STP x19, x20, [sp, #-0x10]! + STP x17, x18, [sp, #-0x10]! + STP x15, x16, [sp, #-0x10]! + STP x13, x14, [sp, #-0x10]! + STP x11, x12, [sp, #-0x10]! + STP x9, x10, [sp, #-0x10]! + STP x7, x8, [sp, #-0x10]! + STP x5, x6, [sp, #-0x10]! + STP x3, x4, [sp, #-0x10]! + STP x1, x2, [sp, #-0x10]! + .endm + + .macro RESTORE_X1_X30 + LDP x1, x2, [sp], #0x10 + LDP x3, x4, [sp], #0x10 + LDP x5, x6, [sp], #0x10 + LDP x7, x8, [sp], #0x10 + LDP x9, x10, [sp], #0x10 + LDP x11, x12, [sp], #0x10 + LDP x13, x14, [sp], #0x10 + LDP x15, x16, [sp], #0x10 + LDP x17, x18, [sp], #0x10 + LDP x19, x20, [sp], #0x10 + LDP x21, x22, [sp], #0x10 + LDP x23, x24, [sp], #0x10 + LDP x25, x26, [sp], #0x10 + LDP x27, x28, [sp], #0x10 + LDP x29, x30, [sp], #0x10 + .endm + + //================================================================== +// EL3 VECTOR TABLE +//================================================================== + +monitor_vectors : + B . // Current EL 32bits: Synchronous + .balign 128 + B . //IRQ/vIRQ + .balign 128 + B . //FIQ/vFIQ + .balign 128 + B . // //Error/vError + .balign 128 + + + + //B . // Current EL 64bits: Synchronous + b sync_abort_handler + .balign 128 + B el1_irq_handler // IRQ/vIRQ + .balign 128 + B el1_irq_handler //FIQ/vFIQ + .balign 128 + B sync_abort_handler //Error/vError + + .balign 128 + B . //Lower EL SPx: //Synchronous + .balign 128 + B el1_irq_handler //IRQ/vIRQ + .balign 128 + B . //FIQ/vFIQ + .balign 128 + B . //Error/vError + + .balign 128 + B . //Lower EL SP0: Synchronous + .balign 128 + B . //IRQ/vIRQ + .balign 128 + B . //FIQ/vFIQ + .balign 128 + B . //Error/vError + + +// + .type el1_irq_handler, @function +el1_irq_handler: + + SAVE_X1_X30 + + mrs x1, sp_el0 + mrs x2, elr_el1 + mrs x3, spsr_el1 + + // save x0 and stack pointer + stp x1, x0, [sp, #-0x10]! + + // save elr and spsr + stp x2, x3, [sp, #-0x10]! + + bl irq_handler + + ldp x0, x1, [sp], #0x10 + + msr elr_el1, x0 + msr spsr_el1, x1 + + ldp x1, x0, [sp], #0x10 + msr sp_el0, x1 + + RESTORE_X1_X30 + + eret + + +sync_abort_handler : + SAVE_X1_X30 + + mrs x1, sp_el0 + mrs x2, elr_el1 + mrs x3, spsr_el1 + + // save x0 and stack pointer + stp x1, x0, [sp, #-0x10]! + + // save elr and spsr + stp x2, x3, [sp, #-0x10]! + + bl sync_ext_abort_handler + + ldp x0, x1, [sp], #0x10 + + msr elr_el1, x0 + msr spsr_el1, x1 + + ldp x1, x0, [sp], #0x10 + msr sp_el0, x1 + + mrs x1, elr_el3 + add x1, x1, #0x4 + msr elr_el3, x1 + + RESTORE_X1_X30 + + eret + + +// Padding + pad_zero 0,16 + pad_zero 0,16 diff --git a/software/lib/sw_lib/common/include/host_chassis_control.h b/software/lib/sw_lib/common/include/host_chassis_control.h new file mode 100755 index 0000000..fbca58b --- /dev/null +++ b/software/lib/sw_lib/common/include/host_chassis_control.h @@ -0,0 +1,599 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + +#include "global_defines.h" + +#ifndef HOST_CHASSIS_CTRL_DEF_H +#define HOST_CHASSIS_CTRL_DEF_H + +#define HOST_CHASSIS_CTRL_RES0_SIZE 3 +#define HOST_CHASSIS_CTRL_RES1_SIZE 1 +#define HOST_CHASSIS_CTRL_RES2_SIZE 1 +#define HOST_CHASSIS_CTRL_RES3_SIZE 1 +#define HOST_CHASSIS_CTRL_RES4_SIZE 109 +#define HOST_CHASSIS_CTRL_RES5_SIZE 63 +#define HOST_CHASSIS_CTRL_RES6_SIZE 2 +#define HOST_CHASSIS_CTRL_RES7_SIZE 52 +#define HOST_CHASSIS_CTRL_RES8_SIZE 62 +#define HOST_CHASSIS_CTRL_RES9_SIZE 189 +#define HOST_CHASSIS_CTRL_RES10_SIZE 1 +#define HOST_CHASSIS_CTRL_RES11_SIZE 2 +#define HOST_CHASSIS_CTRL_RES12_SIZE 2 +#define HOST_CHASSIS_CTRL_RES13_SIZE 2 +#define HOST_CHASSIS_CTRL_RES14_SIZE 2 +#define HOST_CHASSIS_CTRL_RES15_SIZE 59 +#define HOST_CHASSIS_CTRL_RES16_SIZE 307 +#define HOST_CHASSIS_CTRL_RES19_SIZE 103 + +typedef union{ + struct + { + uint32_t CRYPTODISABLE:1; + uint32_t RESERVED:31; + } B; + uint32_t W; +} CLUSTER_CONFIG_Type; + +typedef union{ + struct + { + uint32_t CFGEND:1; + uint32_t CFGTE:1; + uint32_t VINITHI:1; + uint32_t AA64nAA32:1; + uint32_t RESERVED:28; + } B; + uint32_t W; +} PEn_CONFIG_Type; + +typedef union{ + struct + { + uint32_t RESERVED:2; + uint32_t RVBAR31_2:30; + } B; + uint32_t W; +} PEn_RVBARADDR_LW_Type; + +typedef union{ + struct + { + uint32_t RVBAR43_32:12; + uint32_t RESERVED:20; + } B; + uint32_t W; +} PEn_RVBARADDR_UP_Type; + +typedef union{ + struct + { + uint32_t POR:1; + uint32_t nSRST:1; + uint32_t SDC:1; + uint32_t HOST:1; + uint32_t RESERVED:28; + } B; + uint32_t W; +} HOST_RST_SYN_Type; + +typedef union{ + struct + { + uint32_t BOOT_MSK:4; + uint32_t RESERVED:28; + } B; + uint32_t W; +} HOST_CPU_BOOT_MSK_Type; + +typedef union{ + struct + { + uint32_t PWR_REQ:1; + uint32_t MEM_RET_REQ:1; + uint32_t RESERVED:30; + } B; + uint32_t W; +} HOST_CPU_CLUS_PWR_REQ_Type; + +typedef union{ + struct + { + uint32_t CORE0_WAKEUP:1; + uint32_t CORE1_WAKEUP:1; + uint32_t CORE2_WAKEUP:1; + uint32_t CORE3_WAKEUP:1; + uint32_t RESERVED:28; + } B; + uint32_t W; +} HOST_CPU_WAKEUP_Type; + +typedef union{ + struct + { + uint32_t CPUWAIT:1; + uint32_t RST_REQ:1; + uint32_t RESERVED:30; + } B; + uint32_t W; +} EXT_SYSn_RST_CTRL_Type; + +typedef union{ + struct + { + uint32_t RESERVED0:1; + uint32_t RST_ACK:2; + uint32_t RESERVED1:29; + } B; + uint32_t W; +} EXT_SYSn_RST_ST_Type; + +typedef union{ + struct + { + uint32_t WAKEUP_EN:1; + uint32_t REFCLK_REQ:1; + uint32_t DBGTOP_PWR_REQ:1; + uint32_t SYSTOP_PWR_REQ:3; + uint32_t RESERVED:26; + } B; + uint32_t W; +} CHS_PWR_REQ_Type; + +typedef union{ + struct + { + uint32_t RESERVED0:2; + uint32_t DBGTOP_PWR_ST:1; + uint32_t SYSTOP_PWR_ST:3; + uint32_t RESERVED1:26; + } B; + uint32_t W; +} CHS_PWR_ST_Type; + +typedef union{ + struct + { + uint32_t HOST_FW_LOCK:1; + uint32_t INT_RTR_LOCK:1; + uint32_t HOST_CPU0_LOCK:1; + uint32_t HOST_CPU1_LOCK:1; + uint32_t HOST_CPU2_LOCK:1; + uint32_t HOST_CPU3_LOCK:1; + uint32_t HOST_GIC_LOCK:1; + uint32_t HOST_CHS_LOCK:1; + uint32_t RESERVED:23; + uint32_t WOR:1; + } B; + uint32_t W; +} HOST_SYS_LCTRL_Type; + +typedef union{ + struct + { + uint32_t CLKSELECT:8; + uint32_t CLKSELECT_CUR:8; + uint32_t RESERVED:16; + } B; + uint32_t W; +} HOSTCPUCLK_CTRL_Type; + +typedef union{ + struct + { + uint32_t CLKDIV:5; + uint32_t RESERVED0:11; + uint32_t CLKDIV_CUR:5; + uint32_t RESERVED1:11; + } B; + uint32_t W; +} HOSTCPUCLK_DIV0_Type; + +typedef union{ + struct + { + uint32_t CLKDIV:5; + uint32_t RESERVED0:11; + uint32_t CLKDIV_CUR:5; + uint32_t RESERVED1:11; + } B; + uint32_t W; +} HOSTCPUCLK_DIV1_Type; + +typedef union{ + struct + { + uint32_t CLKSELECT:8; + uint32_t CLKSELECT_CUR:8; + uint32_t RESERVED:16; + } B; + uint32_t W; +} GICCLK_CTRL_Type; + +typedef union{ + struct + { + uint32_t CLKDIV:5; + uint32_t RESERVED0:11; + uint32_t CLKDIV_CUR:5; + uint32_t RESERVED1:11; + } B; + uint32_t W; +} GICCLK_DIV0_Type; + +typedef union{ + struct + { + uint32_t CLKSELECT:8; + uint32_t CLKSELECT_CUR:8; + uint32_t RESERVED:8; + uint32_t ENTRY_DELAY:8; + } B; + uint32_t W; +} ACLK_CTRL_Type; + +typedef union{ + struct + { + uint32_t CLKDIV:5; + uint32_t RESERVED0:11; + uint32_t CLKDIV_CUR:5; + uint32_t RESERVED1:11; + } B; + uint32_t W; +} ACLK_DIV0_Type; + +typedef union{ + struct + { + uint32_t CLKSELECT:8; + uint32_t CLKSELECT_CUR:8; + uint32_t RESERVED:8; + uint32_t ENTRY_DELAY:8; + } B; + uint32_t W; +} CTRLCLK_CTRL_Type; + +typedef union{ + struct + { + uint32_t CLKDIV:5; + uint32_t RESERVED0:11; + uint32_t CLKDIV_CUR:5; + uint32_t RESERVED1:11; + } B; + uint32_t W; +} CTRLCLK_DIV0_Type; + +typedef union{ + struct + { + uint32_t CLKSELECT:8; + uint32_t CLKSELECT_CUR:8; + uint32_t RESERVED:8; + uint32_t ENTRY_DELAY:8; + } B; + uint32_t W; +} DBGCLK_CTRL_Type; + +typedef union{ + struct + { + uint32_t CLKDIV:5; + uint32_t RESERVED0:11; + uint32_t CLKDIV_CUR:5; + uint32_t RESERVED1:11; + } B; + uint32_t W; +} DBGCLK_DIV0_Type; + +typedef union{ + struct + { + uint32_t CLKSELECT:8; + uint32_t CLKSELECT_CUR:8; + uint32_t RESERVED:16; + } B; + uint32_t W; +} HOSTUARTCLK_CTRL_Type; + +typedef union{ + struct + { + uint32_t CLKDIV:5; + uint32_t RESERVED0:11; + uint32_t CLKDIV_CUR:5; + uint32_t RESERVED1:11; + } B; + uint32_t W; +} HOSTUARTCLK_DIV0_Type; + +typedef union{ + struct + { + uint32_t CLKSELECT:8; + uint32_t CLKSELECT_CUR:8; + uint32_t RESERVED:8; + uint32_t ENTRY_DELAY:8; + } B; + uint32_t W; +} REFCLK_CTRL_Type; + +typedef union{ + struct + { + uint32_t RESERVED0:1; + uint32_t GICCLK_FORCE_ST:1; + uint32_t ACLK_FORCE_ST:1; + uint32_t CTRLCLK_FORCE_ST:1; + uint32_t DBGCLK_FORCE_ST:1; + uint32_t RESERVED1:27; + } B; + uint32_t W; +} CLKFORCE_ST_Type; + +typedef union{ + struct + { + uint32_t RESERVED0:1; + uint32_t GICCLK_FORCE_SET:1; + uint32_t ACLK_FORCE_SET:1; + uint32_t CTRL_CLK_FORCE_SET:1; + uint32_t DBGCLK_FORCE_SET:1; + uint32_t RESERVED1:27; + } B; + uint32_t W; +} CLKFORCE_SET_Type; + +typedef union{ + struct + { + uint32_t RESERVED0:1; + uint32_t GICCLK_FORCE_CLR:1; + uint32_t ACLK_FORCE_CLR:1; + uint32_t CTRL_CLK_FORCE_CLR:1; + uint32_t DBGCLK_FORCE_CLR:1; + uint32_t RESERVED1:27; + } B; + uint32_t W; +} CLKFORCE_CLR_Type; + +typedef union{ + struct + { + uint32_t SYSPLLLOCK_ST:1; + uint32_t CPUPLLLOCK_ST:1; + uint32_t RESERVED:30; + } B; + uint32_t W; +} PLL_ST_Type; + +typedef union{ + struct + { + uint32_t FW_INT_ST:1; + uint32_t DBGTOP_INT_ST:1; + uint32_t SYSTOP_INT_ST:1; + uint32_t CLUSTOP_INT_ST:1; + uint32_t CORE0_INT_ST:1; + uint32_t CORE1_INT_ST:1; + uint32_t CORE2_INT_ST:1; + uint32_t CORE3_INT_ST:1; + uint32_t RESERVED:24; + } B; + uint32_t W; +} HOST_PPU_INT_ST_Type; + +typedef union{ + struct + { + uint32_t DES_2:4; + uint32_t Size:4; + uint32_t RESERVED:24; + } B; + uint32_t W; +} HOST_CH_PID4_Type; + +typedef union{ + struct + { + uint32_t RESERVED:32; + } B; + uint32_t W; +} HOST_CH_PID5_Type; + +typedef union{ + struct + { + uint32_t RESERVED:32; + } B; + uint32_t W; +} HOST_CH_PID6_Type; + +typedef union{ + struct + { + uint32_t RESERVED:32; + } B; + uint32_t W; +} HOST_CH_PID7_Type; + +typedef union{ + struct + { + uint32_t PART_0:8; + uint32_t RESERVED:24; + } B; + uint32_t W; +} HOST_CH_PID0_Type; + +typedef union{ + struct + { + uint32_t PART_1:4; + uint32_t DES_0:4; + uint32_t RESERVED:24; + } B; + uint32_t W; +} HOST_CH_PID1_Type; + +typedef union{ + struct + { + uint32_t DES_1:3; + uint32_t JEDEC:1; + uint32_t REVISION:4; + uint32_t RESERVED:24; + } B; + uint32_t W; +} HOST_CH_PID2_Type; + +typedef union{ + struct + { + uint32_t CMOD:4; + uint32_t REVAD:4; + uint32_t RESERVED:24; + } B; + uint32_t W; +} HOST_CH_PID3_Type; + +typedef union{ + struct + { + uint32_t PRMBL_0:8; + uint32_t RESERVED:24; + } B; + uint32_t W; +} HOST_CH_CID0_Type; + +typedef union{ + struct + { + uint32_t PRMBL_1:4; + uint32_t CLASS:4; + uint32_t RESERVED:24; + } B; + uint32_t W; +} HOST_CH_CID1_Type; + +typedef union{ + struct + { + uint32_t PRMBL_2:8; + uint32_t RESERVED:24; + } B; + uint32_t W; +} HOST_CH_CID2_Type; + +typedef union{ + struct + { + uint32_t PRMBL_3:8; + uint32_t RESERVED:24; + } B; + uint32_t W; +} HOST_CH_CID3_Type; + + +typedef struct{ + __IO CLUSTER_CONFIG_Type CLUSTER_CONFIG; /*!< Offset: 0x000 */ + __I uint32_t RESERVED0[HOST_CHASSIS_CTRL_RES0_SIZE]; /*!< Offset: 0x004-0x00C */ + __IO PEn_CONFIG_Type PE0_CONFIG; /*!< Offset: 0x010 */ + __IO PEn_RVBARADDR_LW_Type PE0_RVBARADDR_LW; /*!< Offset: 0x014 */ + __IO PEn_RVBARADDR_UP_Type PE0_RVBARADDR_UP; /*!< Offset: 0x018 */ + __IO uint32_t RESERVED1[HOST_CHASSIS_CTRL_RES1_SIZE]; /*!< Offset: 0x01C */ + __IO PEn_CONFIG_Type PE1_CONFIG; /*!< Offset: 0x020 */ + __IO PEn_RVBARADDR_LW_Type PE1_RVBARADDR_LW; /*!< Offset: 0x024 */ + __IO PEn_RVBARADDR_UP_Type PE1_RVBARADDR_UP; /*!< Offset: 0x028 */ + __IO uint32_t RESERVED2[HOST_CHASSIS_CTRL_RES2_SIZE]; /*!< Offset: 0x02C */ + __IO PEn_CONFIG_Type PE2_CONFIG; /*!< Offset: 0x030 */ + __IO PEn_RVBARADDR_LW_Type PE2_RVBARADDR_LW; /*!< Offset: 0x034 */ + __IO PEn_RVBARADDR_UP_Type PE2_RVBARADDR_UP; /*!< Offset: 0x038 */ + __IO uint32_t RESERVED3[HOST_CHASSIS_CTRL_RES3_SIZE]; /*!< Offset: 0x03C */ + __IO PEn_CONFIG_Type PE3_CONFIG; /*!< Offset: 0x040 */ + __IO PEn_RVBARADDR_LW_Type PE3_RVBARADDR_LW; /*!< Offset: 0x044 */ + __IO PEn_RVBARADDR_UP_Type PE3_RVBARADDR_UP; /*!< Offset: 0x048 */ + __IO uint32_t RESERVED4[HOST_CHASSIS_CTRL_RES4_SIZE]; /*!< Offset: 0x04C-0x1FC */ + __I HOST_RST_SYN_Type HOST_RST_SYN; /*!< Offset: 0x200 */ + __IO uint32_t RESERVED5[HOST_CHASSIS_CTRL_RES5_SIZE]; /*!< Offset: 0x204-0x2FC */ + __IO HOST_CPU_BOOT_MSK_Type HOST_CPU_BOOT_MSK_Type; /*!< Offset: 0x300 */ + __IO HOST_CPU_CLUS_PWR_REQ_Type HOST_CPU_CLUS_PWR_REQ; /*!< Offset: 0x304 */ + __O HOST_CPU_WAKEUP_Type HOST_CPU_WAKEUP; /*!< Offset: 0x308 */ + __IO uint32_t RESERVED6[HOST_CHASSIS_CTRL_RES1_SIZE]; /*!< Offset: 0x30C */ + __IO EXT_SYSn_RST_CTRL_Type EXT_SYS0_RST_CTRL; /*!< Offset: 0x310 */ + __IO EXT_SYSn_RST_ST_Type EXT_SYS0_RST_ST; /*!< Offset: 0x314 */ + __IO EXT_SYSn_RST_CTRL_Type EXT_SYS1_RST_CTRL; /*!< Offset: 0x318 */ + __IO EXT_SYSn_RST_ST_Type EXT_SYS1_RST_ST; /*!< Offset: 0x31C */ + __IO EXT_SYSn_RST_CTRL_Type EXT_SYS2_RST_CTRL; /*!< Offset: 0x320 */ + __IO EXT_SYSn_RST_ST_Type EXT_SYS2_RST_ST; /*!< Offset: 0x324 */ + __IO EXT_SYSn_RST_CTRL_Type EXT_SYS3_RST_CTRL; /*!< Offset: 0x328 */ + __IO EXT_SYSn_RST_ST_Type EXT_SYS3_RST_ST; /*!< Offset: 0x32C */ + __IO uint32_t RESERVED7[HOST_CHASSIS_CTRL_RES7_SIZE]; /*!< Offset: 0x330-0x3FC */ + __IO CHS_PWR_REQ_Type CHS_PWR_REQ; /*!< Offset: 0x400 */ + __I CHS_PWR_ST_Type CHS_PWR_ST; /*!< Offset: 0x404 */ + __IO uint32_t RESERVED8[HOST_CHASSIS_CTRL_RES8_SIZE]; /*!< Offset: 0x408-0x4FC */ + __I HOST_SYS_LCTRL_Type HOST_SYS_LCTRL_ST; /*!< Offset: 0x500 */ + __O HOST_SYS_LCTRL_Type HOST_SYS_LCTRL_SET; /*!< Offset: 0x504 */ + __O HOST_SYS_LCTRL_Type HOST_SYS_LCTRL_CLR; /*!< Offset: 0x508 */ + __IO uint32_t RESERVED9[HOST_CHASSIS_CTRL_RES9_SIZE]; /*!< Offset: 0x504-0x7FC */ + __IO HOSTCPUCLK_CTRL_Type HOSTCPUCLK_CTRL; /*!< Offset: 0x800 */ + __IO HOSTCPUCLK_DIV0_Type HOSTCPUCLK_DIV0; /*!< Offset: 0x804 */ + __IO HOSTCPUCLK_DIV1_Type HOSTCPUCLK_DIV1; /*!< Offset: 0x808 */ + __IO uint32_t RESERVED10[HOST_CHASSIS_CTRL_RES10_SIZE]; /*!< Offset: 0x80C */ + __IO GICCLK_CTRL_Type GICCLK_CTRL; /*!< Offset: 0x810 */ + __IO GICCLK_DIV0_Type GICCLK_DIV0; /*!< Offset: 0x814 */ + __IO uint32_t RESERVED11[HOST_CHASSIS_CTRL_RES11_SIZE]; /*!< Offset: 0x818-0x81C */ + __IO ACLK_CTRL_Type ACLK_CTRL; /*!< Offset: 0x820 */ + __IO ACLK_DIV0_Type ACLK_DIV0; /*!< Offset: 0x824 */ + __IO uint32_t RESERVED12[HOST_CHASSIS_CTRL_RES12_SIZE]; /*!< Offset: 0x828-0x82C */ + __IO CTRLCLK_CTRL_Type CTRLCLK_CTRL; /*!< Offset: 0x830 */ + __IO CTRLCLK_DIV0_Type CTRLCLK_DIV0; /*!< Offset: 0x834 */ + __IO uint32_t RESERVED13[HOST_CHASSIS_CTRL_RES13_SIZE]; /*!< Offset: 0x838-0x83C */ + __IO DBGCLK_CTRL_Type DBGCLK_CTRL; /*!< Offset: 0x840 */ + __IO DBGCLK_DIV0_Type DBGCLK_DIV0; /*!< Offset: 0x844 */ + __IO uint32_t RESERVED17[HOST_CHASSIS_CTRL_RES13_SIZE]; /*!< Offset: 0x848-0x84C */ + __IO HOSTUARTCLK_CTRL_Type HOSTUARTCLK_CTRL; /*!< Offset: 0x850 */ + __IO HOSTUARTCLK_DIV0_Type HOSTUARTCLK_DIV0; /*!< Offset: 0x854 */ + __IO uint32_t RESERVED14[HOST_CHASSIS_CTRL_RES14_SIZE]; /*!< Offset: 0x858-0x85C */ + __IO REFCLK_CTRL_Type REFCLK_CTRL; /*!< Offset: 0x860 */ + __IO uint32_t RESERVED19[HOST_CHASSIS_CTRL_RES19_SIZE]; /*!< Offset: 0x864-0x9FC */ + __I CLKFORCE_ST_Type CLKFORCE_ST; /*!< Offset: 0xA00 */ + __O CLKFORCE_SET_Type CLKFORCE_SET; /*!< Offset: 0xA04 */ + __O CLKFORCE_CLR_Type CLKFORCE_CLR; /*!< Offset: 0xA08 */ + __IO uint32_t RESERVED18[HOST_CHASSIS_CTRL_RES1_SIZE]; /*!< Offset: 0xA0C */ + __I PLL_ST_Type PLL_ST; /*!< Offset: 0xA10 */ + __IO uint32_t RESERVED15[HOST_CHASSIS_CTRL_RES15_SIZE]; /*!< Offset: 0xA14-0xAFC */ + __I HOST_PPU_INT_ST_Type HOST_PPU_INT_ST; /*!< Offset: 0xB00 */ + __IO uint32_t RESERVED16[HOST_CHASSIS_CTRL_RES16_SIZE]; /*!< Offset: 0xB04-0xFCC */ + __IO HOST_CH_PID4_Type PID4; /*!< Offset: 0xFD0 */ + __IO HOST_CH_PID5_Type PID5; /*!< Offset: 0xFD4 */ + __IO HOST_CH_PID6_Type PID6; /*!< Offset: 0xFD8 */ + __IO HOST_CH_PID7_Type PID7; /*!< Offset: 0xFDC */ + __IO HOST_CH_PID0_Type PID0; /*!< Offset: 0xFE0 */ + __IO HOST_CH_PID1_Type PID1; /*!< Offset: 0xFE4 */ + __IO HOST_CH_PID2_Type PID2; /*!< Offset: 0xFE8 */ + __IO HOST_CH_PID3_Type PID3; /*!< Offset: 0xFEC */ + __IO HOST_CH_CID0_Type CID0; /*!< Offset: 0xFF0 */ + __IO HOST_CH_CID1_Type CID1; /*!< Offset: 0xFF4 */ + __IO HOST_CH_CID2_Type CID2; /*!< Offset: 0xFF8 */ + __IO HOST_CH_CID3_Type CID3; /*!< Offset: 0xFFC */ + + +} HOST_CHASSIS_CTRL_TypeDef; + + +#endif /* HOST_CHASSIS_CTRL_DEF_H */ diff --git a/software/lib/sw_lib/common/include/system_level_functions.h b/software/lib/sw_lib/common/include/system_level_functions.h new file mode 100755 index 0000000..c4d568e --- /dev/null +++ b/software/lib/sw_lib/common/include/system_level_functions.h @@ -0,0 +1,140 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + +#ifndef __SYSTEM_LEVEL_FUNCTIONS_H__ +#define __SYSTEM_LEVEL_FUNCTIONS_H__ + +// Every "register" should have a separate memory line +// 64 bit aligned wait offsets for Secure Enclave +#define CPUSYNC_SECENC_SE_OFFSET 0x0 +#define CPUSYNC_SECENC_ES0_OFFSET 0x8 +#define CPUSYNC_SECENC_ES1_OFFSET 0x10 +#define CPUSYNC_SECENC_HS0_OFFSET 0x18 +// 64 bit aligned wait offsets for Secure Enclave +#define CPUSYNC_EXTSYS0_SE_OFFSET 0x20 +#define CPUSYNC_EXTSYS0_ES0_OFFSET 0x28 +#define CPUSYNC_EXTSYS0_ES1_OFFSET 0x30 +#define CPUSYNC_EXTSYS0_HS0_OFFSET 0x38 +// 64 bit aligned wait offsets for Secure Enclave +#define CPUSYNC_EXTSYS1_SE_OFFSET 0x40 +#define CPUSYNC_EXTSYS1_ES0_OFFSET 0x48 +#define CPUSYNC_EXTSYS1_ES1_OFFSET 0x50 +#define CPUSYNC_EXTSYS1_HS0_OFFSET 0x58 +// 64 bit aligned wait offsets for Secure Enclave +#define CPUSYNC_HOST0_SE_OFFSET 0x60 +#define CPUSYNC_HOST0_ES0_OFFSET 0x68 +#define CPUSYNC_HOST0_ES1_OFFSET 0x70 +#define CPUSYNC_HOST0_HS0_OFFSET 0x78 + +//Include for Common memory tester functions +#include <stdio.h> +#include <inttypes.h> +#include "stdlib.h" + +#include "system.h" +// CPU_CM3 should be defined in the makfile by adding -DSYNC_CPU_CM3_<0,1>, -DSYNC_CPU_CM0, -DSYNC_CPU_HOST to the C flags +#if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) || defined(SYNC_CPU_CM0) + #include "mem_map.h" +#endif +#if defined(SYNC_CPU_HOST) + #include "sys_memory_map.h" +#endif + +///////////////////////////////////// +// CPU synchronising functions +///////////////////////////////////// + +// Each CPU has its own set of "registers" for each other masters that can wait for it CPUSYNC_*_OFFSET +// Each line has the following structure: +// Self "registers" determines that the functionality activated or not: +// CPUSYNC_SECENC_SE_OFFSET = 0x1 -> Secure Enclave CPUSync functionality is ACTIVATED +// CPUSYNC_SECENC_SE_OFFSET = 0x0 -> Secure Enclave CPUSync functionality is DEACTIVATED +// Other "registers" can tell that another master is waiting for the current CPU: +// CPUSYNC_SECENC_ES0_OFFSET = 0x1 -> External System 0 is waiting for Secure Enclave +// CPUSYNC_SECENC_ES0_OFFSET = 0x0 -> External System 0 is NOT waiting for Secure Enclave + +// Init function to use by the CM0 when after reset +#if defined(SYNC_CPU_CM0) + void CPUSync_Init(); +#endif + +// Wait functions can be called by each CPU to wait for another +// A CPU can not call a wait function to itself (does not exists because of the defines) +// Each CPU registers itself as "currently waiting" at the target CPU's proper "register" +// When the wait is finished the "currently waiting" status is cleared by the CPU it was waiting for +// by calling CPUSync_Done() +#if !defined(SYNC_CPU_CM0) + void CPUSync_WaitForSecEnc(); +#endif +#if !defined(SYNC_CPU_CM3_0) + void CPUSync_WaitForExtSys0(); +#endif +#if !defined(SYNC_CPU_CM3_1) + void CPUSync_WaitForExtSys1(); +#endif +#if !defined(SYNC_CPU_HOST) + void CPUSync_WaitForHost0(); +#endif + +// Use this on the CPU which is working to indicate job done +// If the working CPU has finished then it clears all its status "register" to let other waiting CPUs continue +void CPUSync_Done(); + +// Activate functionality +// Activate syncing functionality, by default it is active +void CPUSync_Activate(); +// DeActivate syncing functionality, by default it is active +void CPUSync_DeActivate(); + +// Status functions +// Get the currently waiting CPUs vector: +// [0] - SECENC is '1' wating or '0' not +// [1] - EXTSYS0 is '1' wating or '0' not +// [2] - EXTSYS1 is '1' wating or '0' not +// [3] - HOST0 is '1' wating or '0' not +// Self bits are always '0'. It is impossible for a CPU to wait for itself +int get_CPUSync_SecEncWaitList(); +int get_CPUSync_ExtSys0WaitList(); +int get_CPUSync_ExtSys1WaitList(); +int get_CPUSync_Host0WaitList(); + +///////////////////////////////////// +// Common memory tester functions +///////////////////////////////////// +#ifndef HW_REG_BYTE +#define HW_REG_BYTE(base,offset) (*(volatile uint8_t *)(uintptr_t)((base) + (offset))) +#endif +#ifndef HW_REG_WORD +#define HW_REG_WORD(base,offset) (*(volatile uint32_t *)(uintptr_t)((base) + (offset))) +#endif +#ifndef HW_REG_HALF +#define HW_REG_HALF(base,offset) (*(volatile unsigned short int *)(uintptr_t)((base) + (offset))) +#endif +uint32_t DataBusWalking(uint32_t BaseAddr, uint32_t Offset); +uint32_t AddressWalking(uint32_t BaseAddr, uint32_t TopAddr,uint32_t StartPos, uint32_t* RbAddr); +uint32_t AddressWalkingP(uint32_t BaseAddr, uint32_t TopAddr, uint32_t BackupAddr, uint32_t Preserve, uint32_t StartPos, uint32_t* RbAddr); +uint32_t UnalignedAccess(uint32_t BaseAddr, uint32_t TopAddr, uint32_t MaxStep, uint32_t MinOffset, uint32_t* RbAddr); +uint32_t UnalignedAccessP(uint32_t BaseAddr, uint32_t TopAddr, uint32_t BackupAddr, uint32_t Preserve, uint32_t MaxStep, uint32_t MinOffset, uint32_t* RbAddr); +uint32_t WordHalfWordAccess(uint32_t BaseAddr, uint32_t TopAddr, uint32_t MaxStep, uint32_t MinOffset, uint32_t* RbAddr); +uint32_t WordHalfWordAccessP(uint32_t BaseAddr, uint32_t TopAddr, uint32_t BackupAddr, uint32_t Preserve, uint32_t MaxStep, uint32_t MinOffset, uint32_t* RbAddr); + + +#endif //__SYSTEM_LEVEL_FUNCTIONS_H__ + + + + diff --git a/software/lib/sw_lib/common/src/system_level_functions.c b/software/lib/sw_lib/common/src/system_level_functions.c new file mode 100755 index 0000000..9b97412 --- /dev/null +++ b/software/lib/sw_lib/common/src/system_level_functions.c @@ -0,0 +1,878 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + +#ifndef __SYSTEM_LEVEL_FUNCTIONS_C__ +#define __SYSTEM_LEVEL_FUNCTIONS_C__ + +#include "system_level_functions.h" + +#if defined(SYNC_CPU_CM0) + void CPUSync_Init() { + for(int i=0x0; i < 0x80; i+=0x4){ + MEM_RW(CPUSYNC_BASE,i) = 0x0; + } + } +#endif + +#if !defined(SYNC_CPU_CM0) + void CPUSync_WaitForSecEnc() { + // wait only if the deactive bit is not set + if((MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_SE_OFFSET) & 0x1) == 0x0) { + // first register the current waiting CPU in its proper location + #if defined(SYNC_CPU_CM0) + // Not allowed to call for itself + #elif defined(SYNC_CPU_CM3_0) + MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_ES0_OFFSET) = 0x1; + // wait until it is finished or deactivated + while ( + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_ES0_OFFSET) & 0x1) != 0x0) && + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_SE_OFFSET) & 0x1) != 0x1) + ) {} + #elif defined(SYNC_CPU_CM3_1) + MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_ES1_OFFSET) = 0x1; + // wait until it is finished or deactivated + while ( + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_ES1_OFFSET) & 0x1) != 0x0) && + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_SE_OFFSET) & 0x1) != 0x1) + ) {} + #elif defined (SYNC_CPU_HOST) + MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_HS0_OFFSET) = 0x1; + // wait until it is finished or deactivated + while ( + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_HS0_OFFSET) & 0x1) != 0x0) && + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_SE_OFFSET) & 0x1) != 0x1) + ) {} + #endif + } + } +#endif + +#if !defined(SYNC_CPU_CM3_0) + void CPUSync_WaitForExtSys0() { + // wait only if the deactive bit is not set + if((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_ES0_OFFSET) & 0x1) == 0x0) { + // first register the current waiting CPU in its proper location + #if defined(SYNC_CPU_CM0) + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_SE_OFFSET) = 0x1; + // wait until it is finished or deactivated + while ( + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_SE_OFFSET) & 0x1) != 0x0) && + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_ES0_OFFSET) & 0x1) != 0x1) + ) {} + #elif defined(SYNC_CPU_CM3_0) + // Not allowed to call for itself + #elif defined(SYNC_CPU_CM3_1) + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_ES1_OFFSET) = 0x1; + // wait until it is finished or deactivated + while ( + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_ES1_OFFSET) & 0x1) != 0x0) && + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_ES0_OFFSET) & 0x1) != 0x1) + ) {} + #elif defined (SYNC_CPU_HOST) + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_HS0_OFFSET) = 0x1; + // wait until it is finished or deactivated + while ( + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_HS0_OFFSET) & 0x1) != 0x0) && + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_ES0_OFFSET) & 0x1) != 0x1) + ){} + #endif + } + } +#endif + +#if !defined(SYNC_CPU_CM3_1) + void CPUSync_WaitForExtSys1() { + // wait only if the deactive bit is not set + if((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_ES1_OFFSET) & 0x1) == 0x0) { + // first register the current waiting CPU in its proper location + #if defined(SYNC_CPU_CM0) + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_SE_OFFSET) = 0x1; + // wait until it is finished or deactivated + while ( + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_SE_OFFSET) & 0x1) != 0x0) && + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_ES1_OFFSET) & 0x1) != 0x1) + ) {} + #elif defined(SYNC_CPU_CM3_0) + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_ES0_OFFSET) = 0x1; + // wait until it is finished or deactivated + while ( + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_ES0_OFFSET) & 0x1) != 0x0) && + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_ES1_OFFSET) & 0x1) != 0x1) + ) {} + #elif defined(SYNC_CPU_CM3_1) + // Not allowed to call for itself + #elif defined (SYNC_CPU_HOST) + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_HS0_OFFSET) = 0x1; + // wait until it is finished or deactivated + while ( + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_HS0_OFFSET) & 0x1) != 0x0) && + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_ES1_OFFSET) & 0x1) != 0x1) + ) {} + #endif + } + } +#endif + +#if !defined(SYNC_CPU_HOST) + void CPUSync_WaitForHost0() { + // wait only if the deactive bit is not set + if((MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_HS0_OFFSET) & 0x1) == 0x0) { + // first register the current waiting CPU in its proper location + #if defined(SYNC_CPU_CM0) + MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_SE_OFFSET) = 0x1; + // wait until it is finished or deactivated + while ( + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_SE_OFFSET) & 0x1) != 0x0) && + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_HS0_OFFSET) & 0x1) != 0x1) + ) {} + #elif defined(SYNC_CPU_CM3_0) + MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_ES0_OFFSET) = 0x1; + // wait until it is finished or deactivated + while ( + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_ES0_OFFSET) & 0x1) != 0x0) && + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_HS0_OFFSET) & 0x1) != 0x1) + ) {} + #elif defined(SYNC_CPU_CM3_1) + MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_ES1_OFFSET) = 0x1; + // wait until it is finished or deactivated + while ( + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_ES1_OFFSET) & 0x1) != 0x0) && + ((MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_HS0_OFFSET) & 0x1) != 0x1) + ) {} + #elif defined (SYNC_CPU_HOST) + // Not allowed to call for itself + #endif + } + } +#endif + +// Clear all pending wait "register" for the actual CPU +void CPUSync_Done() { +#if defined(SYNC_CPU_CM0) + MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_ES0_OFFSET) = 0x0; + MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_ES1_OFFSET) = 0x0; + MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_HS0_OFFSET) = 0x0; +#elif defined(SYNC_CPU_CM3_0) + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_SE_OFFSET) = 0x0; + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_ES1_OFFSET) = 0x0; + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_HS0_OFFSET) = 0x0; +#elif defined(SYNC_CPU_CM3_1) + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_SE_OFFSET) = 0x0; + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_ES0_OFFSET) = 0x0; + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_HS0_OFFSET) = 0x0; +#elif defined(SYNC_CPU_HOST) + MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_SE_OFFSET) = 0x0; + MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_ES0_OFFSET) = 0x0; + MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_ES1_OFFSET) = 0x0; +#else + // undefined CPU +#endif +} + +// To deactivate write 0x1 to the self "register" +void CPUSync_DeActivate() { +#if defined(SYNC_CPU_CM0) + MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_SE_OFFSET) = 0x1; +#elif defined(SYNC_CPU_CM3_0) + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_ES0_OFFSET) = 0x1; +#elif defined(SYNC_CPU_CM3_1) + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_ES1_OFFSET) = 0x1; +#elif defined(SYNC_CPU_HOST) + MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_HS0_OFFSET) = 0x1; +#else + // undefined CPU +#endif +} + +// To activate write 0x0 to the self "register" (by default it is activated) +void CPUSync_Activate() { +#if defined(SYNC_CPU_CM0) + MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_SE_OFFSET) = 0x0; +#elif defined(SYNC_CPU_CM3_0) + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_ES0_OFFSET) = 0x0; +#elif defined(SYNC_CPU_CM3_1) + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_ES1_OFFSET) = 0x0; +#elif defined(SYNC_CPU_HOST) + MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_HS0_OFFSET) = 0x0; +#else + // undefined CPU +#endif +} + +// Get the currently waiting CPUs vector: +// [0] - SECENC is '1' wating or '0' not +// [1] - EXTSYS0 is '1' wating or '0' not +// [2] - EXTSYS1 is '1' wating or '0' not +// [3] - HOST0 is '1' wating or '0' not +// Self bits are always '0'. It is impossible for a CPU to wait for itself +int get_CPUSync_SecEncWaitList() { + return ( + (MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_ES0_OFFSET) << 1) | + (MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_ES1_OFFSET) << 2) | + (MEM_RW(CPUSYNC_BASE,CPUSYNC_SECENC_HS0_OFFSET) << 3) + ); +} +int get_CPUSync_ExtSys0WaitList() { + return ( + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_SE_OFFSET) | + (MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_ES1_OFFSET) << 2) | + (MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS0_HS0_OFFSET) << 3) + ); +} +int get_CPUSync_ExtSys1WaitList() { + return ( + MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_SE_OFFSET) | + (MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_ES0_OFFSET) << 1) | + (MEM_RW(CPUSYNC_BASE,CPUSYNC_EXTSYS1_HS0_OFFSET) << 3) + ); +} +int get_CPUSync_Host0WaitList() { + return ( + MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_SE_OFFSET) | + (MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_ES0_OFFSET) << 1) | + (MEM_RW(CPUSYNC_BASE,CPUSYNC_HOST0_ES1_OFFSET) << 2) + ); +} + +// ----------------------------------------------------------------------------- +// Common memory tester functions ********************************************** +// ----------------------------------------------------------------------------- + + +//-------------------------------------------------------------------------- +// Data Bus Walking, write same address with walking 1's data +//-------------------------------------------------------------------------- +uint32_t DataBusWalking(uint32_t BaseAddr, uint32_t Offset) { + uint32_t pattern = 0x0; + uint32_t rd_word = 0x0; + uint32_t ErrCnt = 0; + int i = 0; + + #ifdef TEST_VERBOSITY_HIGH + c_print("DataBusWalking at Addr=0x%08x\n", BaseAddr + Offset); + #endif + + for (i=0; i<32; i++) { + pattern = (1<<i); + HW_REG_WORD(BaseAddr, Offset) = pattern; + //c_print("wr(addr=0x%08x)=0x%08x (1 << %2d) \n", BaseAddr + Offset, pattern, i); + rd_word = HW_REG_WORD(BaseAddr, Offset); + if (rd_word != pattern) { + c_print("ERROR: read value not equal write pattern at Addr 0x%08x\n", BaseAddr + Offset); + ErrCnt++; + } + } + //reset memory content + HW_REG_WORD(BaseAddr, Offset) = 0x0; + + return ErrCnt; +} + + +// ------------------------------------------------- +// Function for walking ones across address bus (also checks start and end address) +// All writes followed by all reads +// ------------------------------------------------- +uint32_t AddressWalking(uint32_t BaseAddr, uint32_t TopAddr, uint32_t StartPos, uint32_t* RbAddr) { + uint32_t ErrCnt = 0; + uint32_t i = 0; + uint8_t Data_8 = 0; + uint32_t Data_32 = 0; + uint32_t Actual, addr, addr_actual; + uint32_t SEED; + + // Generate random seed with SV $urand function: + +#if (defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1)) && !defined(NO_TBOX) + TRICKBOX_IL->RND_MAX = 0xFFFF; + SEED = TRICKBOX_IL->RND_VAL; + srand(SEED); +#endif + + // Write data to memory. Walk 1 across address bus + c_print("Walking 1s on addr bus. Range 0x%08x - 0x%08x\n",BaseAddr,TopAddr); + + //check start address + c_print("Check start address.\n"); + Data_8 = (rand()%0x00FF); + //c_print("Wr 0x%08x to 0x%08x \n", Data_8, (BaseAddr)); + addr = (BaseAddr); + HW_REG_BYTE(addr, 0) = Data_8; + //c_print("Rd 0x%08x from 0x%08x \n",Data_8, (BaseAddr)); + Actual = HW_REG_BYTE(addr, 0); + if(Actual != Data_8) { + c_print("ERROR: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(BaseAddr), Data_8, Actual); + ErrCnt++; + } +#if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } +#endif + + c_print("Moving 1s.\n"); + for(i=(1<<StartPos); ((BaseAddr|i)+4)<TopAddr; i=(i<<1)) { + + + if(0x4>i) + { + Data_8 = (rand()%0x00FF); + //c_print("Wr 0x%08x to 0x%08x \n", Data_8, (BaseAddr|i)); + addr = (BaseAddr|i); + HW_REG_BYTE(addr, 0) = Data_8; + //c_print("Rd 0x%08x from 0x%08x \n",Data_8, (BaseAddr|i)); + Actual = HW_REG_BYTE(addr, 0); + if(Actual != Data_8) { + c_print("ERROR: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(BaseAddr|i), Data_8, Actual); + ErrCnt++; + } + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + } + else { + Data_32 = (rand()%0xFFFF)|(rand()%0xFFFF)<<16; + //c_print("Wr 0x%08x to 0x%08x \n", Data_32, (BaseAddr|i)); + addr = (BaseAddr|i); + HW_REG_WORD(addr, 0) = Data_32; + //c_print("Rd 0x%08x from 0x%08x \n",Data_32, (BaseAddr|i)); + Actual = HW_REG_WORD(addr, 0); + if(Actual != Data_32) { + c_print("ERROR: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(BaseAddr|i), Data_32, Actual); + ErrCnt++; + } + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + } + } + + //check end address + c_print("Check end address.\n"); + Data_8 = (rand()%0x00FF); + //c_print("Wr 0x%08x to 0x%08x \n", Data_8, (TopAddr)); + addr = (TopAddr); + HW_REG_BYTE(addr, 0) = Data_8; + //c_print("Rd 0x%08x from 0x%08x \n",Data_8, (TopAddr)); + Actual = HW_REG_BYTE(addr, 0); + if(Actual != Data_8) { + c_print("ERROR: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(TopAddr), Data_8, Actual); + ErrCnt++; + } + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + + return(ErrCnt); +} + +// ------------------------------------------------- +// Function for walking ones across address bus (protected) +// All writes followed by all reads +// ------------------------------------------------- +uint32_t AddressWalkingP(uint32_t BaseAddr, uint32_t TopAddr, uint32_t BackupAddr, uint32_t Preserve, uint32_t StartPos, uint32_t* RbAddr) { + uint32_t ErrCnt = 0; + uint32_t i = 0, k = 0; + uint8_t Data_8 = 0; + uint32_t Data_32 = 0; + uint32_t Actual, addr, addr_actual; + uint32_t SEED; + + // Write data to memory. Walk 1 across address bus + c_print("Walking 1s on addr bus. Range 0x%08x - 0x%08x.\n",BaseAddr,TopAddr); + c_print("Backup the targeted mem range\n"); + + + // Backup the targeted mem range + if (Preserve == 1) { + for(i=1, k = 0; (BaseAddr|i)<TopAddr; i=(i<<1), k++) { + HW_REG_BYTE(BackupAddr, k) = HW_REG_BYTE((BaseAddr|i), 0); + } + } + + // Generate random seed with SV $urand function: + +#if (defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1)) && !defined(NO_TBOX) + TRICKBOX_IL->RND_MAX = 0xFFFF; + SEED = TRICKBOX_IL->RND_VAL; + srand(SEED); +#endif + + // Write data to memory. Walk 1 across address bus + c_print("Walking 1s on addr bus. Range 0x%08x - 0x%08x\n",BaseAddr,TopAddr); + + //check start address + c_print("Check start address.\n"); + Data_8 = (rand()%0x00FF); + //c_print("Wr 0x%08x to 0x%08x \n", Data_8, (BaseAddr)); + addr = (BaseAddr); + HW_REG_BYTE(addr, 0) = Data_8; + //c_print("Rd 0x%08x from 0x%08x \n",Data_8, (BaseAddr)); + Actual = HW_REG_BYTE(addr, 0); + if(Actual != Data_8) { + c_print("ERROR: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(BaseAddr), Data_8, Actual); + ErrCnt++; + } + + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + + + c_print("Moving 1s.\n"); + for(i=(1<<StartPos); ((BaseAddr|i)+4)<TopAddr; i=(i<<1)) { + + if(0x4>i) //byte access + { + Data_8 = (rand()%0x00FF); + //c_print("Wr 0x%08x to 0x%08x \n", Data_8, (BaseAddr|i)); + addr = (BaseAddr|i); + HW_REG_BYTE(addr, 0) = Data_8; + //c_print("Rd 0x%08x from 0x%08x \n",Data_8, (BaseAddr|i)); + Actual = HW_REG_BYTE(addr, 0); + if(Actual != Data_8) { + c_print("ERROR: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(BaseAddr|i), Data_8, Actual); + ErrCnt++; + } + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + + + + + + + } + #endif + } + else + { //word access + Data_32 = (rand()%0xFFFF)|(rand()%0xFFFF)<<16; + //c_print("Wr 0x%08x to 0x%08x \n", Data_32, (BaseAddr|i)); + addr = (BaseAddr|i); + HW_REG_WORD(addr, 0) = Data_32; + //c_print("Rd 0x%08x from 0x%08x \n",Data_32, (BaseAddr|i)); + Actual = HW_REG_WORD(addr, 0); + if(Actual != Data_32) { + c_print("ERROR: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(BaseAddr|i), Data_32, Actual); + ErrCnt++; + } + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + + } + } + + //check end address + c_print("Check end address.\n"); + Data_8 = (rand()%0x00FF); + //c_print("Wr 0x%08x to 0x%08x \n", Data_8, (TopAddr)); + addr = (TopAddr); + HW_REG_BYTE(addr, 0) = Data_8; + //c_print("Rd 0x%08x from 0x%08x \n",Data_8, (TopAddr)); + Actual = HW_REG_BYTE(addr, 0); + if(Actual != Data_8) { + c_print("ERROR: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(TopAddr), Data_8, Actual); + ErrCnt++; + } + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + + + // Restore the targeted mem range + if (Preserve == 1) { + for(i=1, k=0; (BaseAddr|i)<TopAddr; i=(i<<1), k++) { + HW_REG_BYTE((BaseAddr|i), 0) = HW_REG_BYTE(BackupAddr, k); + } + } + + return(ErrCnt); +} + +// ------------------------------------------------- +// Word and half word accesses +// All writes followed by all reads +// ------------------------------------------------- +uint32_t WordHalfWordAccess(uint32_t BaseAddr, uint32_t TopAddr, uint32_t MaxStep, uint32_t MinOffset, uint32_t* RbAddr) { + uint32_t ErrCnt = 0; + uint32_t i = 0; + uint16_t Data_16_0 = 0, Data_16_1 = 0; + uint32_t Data_32 = 0; + uint32_t Actual_32; + uint16_t Actual_16_0, Actual_16_1; + uint32_t Temp_data, addr_actual, addr; + uint32_t SEED; + + if ((TopAddr-BaseAddr) < MaxStep ) MaxStep = (TopAddr-BaseAddr)%MaxStep; + + // Generate random seed with SV $urand function: + +#if (defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1)) && !defined(NO_TBOX) + TRICKBOX_IL->RND_MAX = 0xFFFF; + SEED = TRICKBOX_IL->RND_VAL; + srand(SEED); +#endif + + c_print("Write/readback Words and Halfwords. Range 0x%08x - 0x%08x\n",BaseAddr,TopAddr); + i = (rand()<<2)%MaxStep; + i = i - (i%4); //aligned + while((i+8)<(TopAddr-BaseAddr)) { + Data_16_0 = (rand()%0xFFFF); + Data_16_1 = (rand()%0xFFFF); + Data_32 = (rand()%0xFFFF)|(rand()%0xFFFF)<<16; + + HW_REG_HALF(BaseAddr, i) = Data_16_0; //HALFWORD write + addr = BaseAddr + i; + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + + HW_REG_HALF(BaseAddr, i+2) = Data_16_1; //HALFWORD write + addr = BaseAddr + i +2; + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + + HW_REG_WORD(BaseAddr, i+4) = Data_32; //WORD write + addr = BaseAddr + i +4; + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + + Actual_32 = HW_REG_WORD(BaseAddr, i); //WORD read + Temp_data = (Data_16_1<<16)|Data_16_0; + if(Actual_32 != Temp_data) { + c_print("ERROR word rd: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(BaseAddr+i), Temp_data, Actual_32); + ErrCnt++; + } + + Actual_16_0 = HW_REG_HALF(BaseAddr, i+4); //HALFWORD read + Actual_16_1 = HW_REG_HALF(BaseAddr, i+6); //HALFWORD read + Temp_data = (Actual_16_1<<16)|Actual_16_0; + if(Data_32 != Temp_data) { + c_print("ERROR half rd: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(BaseAddr+i+4), Data_32, Temp_data); + ErrCnt++; + } + + i += (((rand()%0xFFFF)|(rand()%0xFFFF)<<16)%MaxStep)+MinOffset; + i = i - (i%4); //aligned + + } + + return(ErrCnt); +} + +uint32_t WordHalfWordAccessP(uint32_t BaseAddr, uint32_t TopAddr, uint32_t BackupAddr, uint32_t Preserve, uint32_t MaxStep, uint32_t MinOffset, uint32_t* RbAddr){ + uint32_t ErrCnt = 0; + uint32_t i = 0; + uint16_t Data_16_0 = 0, Data_16_1 = 0; + uint32_t Data_32 = 0; + uint32_t Actual_32; + uint16_t Actual_16_0, Actual_16_1; + uint32_t Temp_data, addr_actual, addr; + uint32_t SEED; + + if ((TopAddr-BaseAddr) < MaxStep ) MaxStep = (TopAddr-BaseAddr)%MaxStep; + + // Generate random seed with SV $urand function: + +#if (defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1)) && !defined(NO_TBOX) + TRICKBOX_IL->RND_MAX = 0xFFFF; + SEED = TRICKBOX_IL->RND_VAL; + srand(SEED); +#endif + + c_print("Write/readback Words and Halfwords. Range 0x%08x - 0x%08x\n",BaseAddr,TopAddr); + i = (rand()<<2)%MaxStep; + i = i - (i%4); //aligned + while((i+8)<(TopAddr-BaseAddr)) { + Data_16_0 = (rand()%0xFFFF); + Data_16_1 = (rand()%0xFFFF); + Data_32 = (rand()%0xFFFF)|(rand()%0xFFFF)<<16; + if(Preserve) + {// Backup the targeted mem range + HW_REG_WORD(BackupAddr, 0) = HW_REG_WORD(BaseAddr, i); + HW_REG_WORD(BackupAddr, 4) = HW_REG_WORD(BaseAddr, i+4); + } + HW_REG_HALF(BaseAddr, i) = Data_16_0; //HALFWORD write + addr = BaseAddr + i; + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + + HW_REG_HALF(BaseAddr, i+2) = Data_16_1; //HALFWORD write + addr = BaseAddr + i +2; + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + + HW_REG_WORD(BaseAddr, i+4) = Data_32; //WORD write + addr = BaseAddr + i +4; + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + + Actual_32 = HW_REG_WORD(BaseAddr, i); //WORD read + Temp_data = (Data_16_1<<16)|Data_16_0; + if(Actual_32 != Temp_data) { + c_print("ERROR word rd: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(BaseAddr+i), Temp_data, Actual_32); + ErrCnt++; + } + + Actual_16_0 = HW_REG_HALF(BaseAddr, i+4); //HALFWORD read + Actual_16_1 = HW_REG_HALF(BaseAddr, i+6); //HALFWORD read + Temp_data = (Actual_16_1<<16)|Actual_16_0; + if(Data_32 != Temp_data) { + c_print("ERROR half rd: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(BaseAddr+i+4), Data_32, Temp_data); + ErrCnt++; + } + if(Preserve) {// Restore the targeted mem range + HW_REG_WORD(BaseAddr, i) = HW_REG_WORD(BackupAddr, 0); + HW_REG_WORD(BaseAddr, i+4) = HW_REG_WORD(BackupAddr, 4); + } + i += (((rand()%0xFFFF)|(rand()%0xFFFF)<<16)%MaxStep)+MinOffset; + i = i - (i%4); //aligned + + } + + return(ErrCnt); +} + + +// ------------------------------------------------- +// unaligned word accesses at each boundary +// All word writes followed by all reads one byte at a time +// ------------------------------------------------- +uint32_t UnalignedAccess(uint32_t BaseAddr, uint32_t TopAddr, uint32_t MaxStep, uint32_t MinOffset, uint32_t* RbAddr) { + uint32_t ErrCnt = 0; + uint32_t i = 0; + uint32_t Data = 0; + uint32_t Actual, addr, addr_actual; + uint32_t SEED; + + if ((TopAddr-BaseAddr) < MaxStep ) MaxStep = (TopAddr-BaseAddr)%MaxStep; + + // Generate random seed with SV $urand function: + +#if (defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1)) && !defined(NO_TBOX) + TRICKBOX_IL->RND_MAX = 0xFFFF; + SEED = TRICKBOX_IL->RND_VAL; + srand(SEED); +#endif + + c_print("Write/readback unaligned words. Range 0x%08x - 0x%08x\n",BaseAddr,TopAddr); + i = rand()%MaxStep; + while((i+4)<(TopAddr-BaseAddr)) { + Data = (rand()%0xFFFF)|(rand()%0xFFFF)<<16; + //c_print("Wr 0x%08x to 0x%08x \n", Data, (BaseAddr+i)); + HW_REG_WORD(BaseAddr, i) = Data; + //c_print("Rd 0x%08x from 0x%08x \n", Data, (BaseAddr+i)); + Actual = HW_REG_WORD(BaseAddr, i); + if(Actual != Data) { + c_print("ERROR: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(BaseAddr+i), Data, Actual); + ErrCnt++; + } + addr = BaseAddr + i; + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + i += 4+(((rand()%0xFFFF)|(rand()%0xFFFF)<<16)%MaxStep)+MinOffset; + + + } + + return(ErrCnt); +} + + +uint32_t UnalignedAccessP(uint32_t BaseAddr, uint32_t TopAddr, uint32_t BackupAddr, uint32_t Preserve, uint32_t MaxStep, uint32_t MinOffset, uint32_t* RbAddr) { + uint32_t ErrCnt = 0; + uint32_t i = 0; + uint32_t Data = 0; + uint32_t Actual, addr, addr_actual; + uint32_t SEED; + + c_print("Write/readback unaligned words. Range 0x%08x - 0x%08x.\n",BaseAddr,TopAddr); + c_print("Backup the targeted mem range\n"); + + if ((TopAddr-BaseAddr) < MaxStep ) MaxStep = (TopAddr-BaseAddr)%MaxStep; + + // Generate random seed with SV $urand function: + +#if (defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1)) && !defined(NO_TBOX) + TRICKBOX_IL->RND_MAX = 0xFFFF; + SEED = TRICKBOX_IL->RND_VAL; + srand(SEED); +#endif + + c_print("Write/readback unaligned words. Range 0x%08x - 0x%08x\n",BaseAddr,TopAddr); + i = rand()%MaxStep; + while((i+4)<(TopAddr-BaseAddr)) { + if(Preserve) HW_REG_WORD(BackupAddr, 0) = HW_REG_WORD(BaseAddr, i);// Backup the targeted mem range + Data = (rand()%0xFFFF)|(rand()%0xFFFF)<<16; + //c_print("Wr 0x%08x to 0x%08x \n", Data, (BaseAddr+i)); + HW_REG_WORD(BaseAddr, i) = Data; + //c_print("Rd 0x%08x from 0x%08x \n", Data, (BaseAddr+i)); + Actual = HW_REG_WORD(BaseAddr, i); + if(Actual != Data) { + c_print("ERROR: Addr 0x%08x, expected - 0x%08x actual - 0x%08x\n",(BaseAddr+i), Data, Actual); + ErrCnt++; + } + #if defined(SYNC_CPU_CM3_0) || defined(SYNC_CPU_CM3_1) + addr = BaseAddr + i; + //read back address + if(RbAddr != NULL) + { + addr_actual = *(RbAddr); + if(addr_actual != addr){ + c_print("ERROR: Addr read back, expected - 0x%08x actual - 0x%08x\n", addr, addr_actual); + ErrCnt++; + } + } + #endif + + if(Preserve) HW_REG_WORD(BaseAddr, i) = HW_REG_WORD(BackupAddr, 0);// Restore the targeted mem range + i += 4+(((rand()%0xFFFF)|(rand()%0xFFFF)<<16)%MaxStep)+MinOffset; + } + + return(ErrCnt); +} + +#endif //__SYSTEM_LEVEL_FUNCTIONS_C__ + + + + diff --git a/software/lib/sw_lib/devices/include/CMSDK.h b/software/lib/sw_lib/devices/include/CMSDK.h new file mode 100644 index 0000000..10e6fab --- /dev/null +++ b/software/lib/sw_lib/devices/include/CMSDK.h @@ -0,0 +1,140 @@ +#include "sys_memory_map.h" + +#if defined ( __CC_ARM ) +#pragma anon_unions +#endif + + +/*------------- Universal Asynchronous Receiver Transmitter (UART) -----------*/ +/** @addtogroup CMSDK_UART CMSDK Universal Asynchronous Receiver/Transmitter + memory mapped structure for CMSDK_UART + @{ +*/ +typedef struct +{ + volatile uint32_t DATA; /*!< Offset: 0x000 Data Register (R/W) */ + volatile uint32_t STATE; /*!< Offset: 0x004 Status Register (R/W) */ + volatile uint32_t CTRL; /*!< Offset: 0x008 Control Register (R/W) */ + union { + volatile uint32_t INTSTATUS; /*!< Offset: 0x00C Interrupt Status Register (R/ ) */ + volatile uint32_t INTCLEAR; /*!< Offset: 0x00C Interrupt Clear Register ( /W) */ + }; + volatile uint32_t BAUDDIV; /*!< Offset: 0x010 Baudrate Divider Register (R/W) */ + +} CMSDK_UART_TypeDef; + +/* CMSDK_UART DATA Register Definitions */ + +#define CMSDK_UART_DATA_Pos 0 /*!< CMSDK_UART_DATA_Pos: DATA Position */ +#define CMSDK_UART_DATA_Msk (0xFFul << CMSDK_UART_DATA_Pos) /*!< CMSDK_UART DATA: DATA Mask */ + +#define CMSDK_UART_STATE_RXOR_Pos 3 /*!< CMSDK_UART STATE: RXOR Position */ +#define CMSDK_UART_STATE_RXOR_Msk (0x1ul << CMSDK_UART_STATE_RXOR_Pos) /*!< CMSDK_UART STATE: RXOR Mask */ + +#define CMSDK_UART_STATE_TXOR_Pos 2 /*!< CMSDK_UART STATE: TXOR Position */ +#define CMSDK_UART_STATE_TXOR_Msk (0x1ul << CMSDK_UART_STATE_TXOR_Pos) /*!< CMSDK_UART STATE: TXOR Mask */ + +#define CMSDK_UART_STATE_RXBF_Pos 1 /*!< CMSDK_UART STATE: RXBF Position */ +#define CMSDK_UART_STATE_RXBF_Msk (0x1ul << CMSDK_UART_STATE_RXBF_Pos) /*!< CMSDK_UART STATE: RXBF Mask */ + +#define CMSDK_UART_STATE_TXBF_Pos 0 /*!< CMSDK_UART STATE: TXBF Position */ +#define CMSDK_UART_STATE_TXBF_Msk (0x1ul << CMSDK_UART_STATE_TXBF_Pos ) /*!< CMSDK_UART STATE: TXBF Mask */ + +#define CMSDK_UART_CTRL_HSTM_Pos 6 /*!< CMSDK_UART CTRL: HSTM Position */ +#define CMSDK_UART_CTRL_HSTM_Msk (0x01ul << CMSDK_UART_CTRL_HSTM_Pos) /*!< CMSDK_UART CTRL: HSTM Mask */ + +#define CMSDK_UART_CTRL_RXORIRQEN_Pos 5 /*!< CMSDK_UART CTRL: RXORIRQEN Position */ +#define CMSDK_UART_CTRL_RXORIRQEN_Msk (0x01ul << CMSDK_UART_CTRL_RXORIRQEN_Pos) /*!< CMSDK_UART CTRL: RXORIRQEN Mask */ + +#define CMSDK_UART_CTRL_TXORIRQEN_Pos 4 /*!< CMSDK_UART CTRL: TXORIRQEN Position */ +#define CMSDK_UART_CTRL_TXORIRQEN_Msk (0x01ul << CMSDK_UART_CTRL_TXORIRQEN_Pos) /*!< CMSDK_UART CTRL: TXORIRQEN Mask */ + +#define CMSDK_UART_CTRL_RXIRQEN_Pos 3 /*!< CMSDK_UART CTRL: RXIRQEN Position */ +#define CMSDK_UART_CTRL_RXIRQEN_Msk (0x01ul << CMSDK_UART_CTRL_RXIRQEN_Pos) /*!< CMSDK_UART CTRL: RXIRQEN Mask */ + +#define CMSDK_UART_CTRL_TXIRQEN_Pos 2 /*!< CMSDK_UART CTRL: TXIRQEN Position */ +#define CMSDK_UART_CTRL_TXIRQEN_Msk (0x01ul << CMSDK_UART_CTRL_TXIRQEN_Pos) /*!< CMSDK_UART CTRL: TXIRQEN Mask */ + +#define CMSDK_UART_CTRL_RXEN_Pos 1 /*!< CMSDK_UART CTRL: RXEN Position */ +#define CMSDK_UART_CTRL_RXEN_Msk (0x01ul << CMSDK_UART_CTRL_RXEN_Pos) /*!< CMSDK_UART CTRL: RXEN Mask */ + +#define CMSDK_UART_CTRL_TXEN_Pos 0 /*!< CMSDK_UART CTRL: TXEN Position */ +#define CMSDK_UART_CTRL_TXEN_Msk (0x01ul << CMSDK_UART_CTRL_TXEN_Pos) /*!< CMSDK_UART CTRL: TXEN Mask */ + +#define CMSDK_UART_INTSTATUS_RXORIRQ_Pos 3 /*!< CMSDK_UART CTRL: RXORIRQ Position */ +#define CMSDK_UART_CTRL_RXORIRQ_Msk (0x01ul << CMSDK_UART_INTSTATUS_RXORIRQ_Pos) /*!< CMSDK_UART CTRL: RXORIRQ Mask */ + +#define CMSDK_UART_CTRL_TXORIRQ_Pos 2 /*!< CMSDK_UART CTRL: TXORIRQ Position */ +#define CMSDK_UART_CTRL_TXORIRQ_Msk (0x01ul << CMSDK_UART_CTRL_TXORIRQ_Pos) /*!< CMSDK_UART CTRL: TXORIRQ Mask */ + +#define CMSDK_UART_CTRL_RXIRQ_Pos 1 /*!< CMSDK_UART CTRL: RXIRQ Position */ +#define CMSDK_UART_CTRL_RXIRQ_Msk (0x01ul << CMSDK_UART_CTRL_RXIRQ_Pos) /*!< CMSDK_UART CTRL: RXIRQ Mask */ + +#define CMSDK_UART_CTRL_TXIRQ_Pos 0 /*!< CMSDK_UART CTRL: TXIRQ Position */ +#define CMSDK_UART_CTRL_TXIRQ_Msk (0x01ul << CMSDK_UART_CTRL_TXIRQ_Pos) /*!< CMSDK_UART CTRL: TXIRQ Mask */ + +#define CMSDK_UART_BAUDDIV_Pos 0 /*!< CMSDK_UART BAUDDIV: BAUDDIV Position */ +#define CMSDK_UART_BAUDDIV_Msk (0xFFFFFul << CMSDK_UART_BAUDDIV_Pos) /*!< CMSDK_UART BAUDDIV: BAUDDIV Mask */ + +/*@}*/ /* end of group CMSDK_UART */ + + +#define CMSDK_UART2 ((CMSDK_UART_TypeDef *) SYS_UART0_BASE ) + +/*----------------------------- Timer (TIMER) -------------------------------*/ +/** @addtogroup CMSDK_TIMER CMSDK Timer + @{ +*/ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 Control Register (R/W) */ + __IO uint32_t VALUE; /*!< Offset: 0x004 Current Value Register (R/W) */ + __IO uint32_t RELOAD; /*!< Offset: 0x008 Reload Value Register (R/W) */ + union { + __I uint32_t INTSTATUS; /*!< Offset: 0x00C Interrupt Status Register (R/ ) */ + __O uint32_t INTCLEAR; /*!< Offset: 0x00C Interrupt Clear Register ( /W) */ + }; + uint32_t RESERVED[1008]; // 0x010 - 0xFD0 + __I uint32_t PID4; + __I uint32_t PID5; + __I uint32_t PID6; + __I uint32_t PID7; + __I uint32_t PID0; + __I uint32_t PID1; + __I uint32_t PID2; + __I uint32_t PID3; + __I uint32_t CID0; + __I uint32_t CID1; + __I uint32_t CID2; + __I uint32_t CID3; +} CMSDK_TIMER_TypeDef; + +/* CMSDK_TIMER CTRL Register Definitions */ + +#define CMSDK_TIMER_CTRL_IRQEN_Pos 3 /*!< CMSDK_TIMER CTRL: IRQEN Position */ +#define CMSDK_TIMER_CTRL_IRQEN_Msk (0x01ul << CMSDK_TIMER_CTRL_IRQEN_Pos) /*!< CMSDK_TIMER CTRL: IRQEN Mask */ + +#define CMSDK_TIMER_CTRL_SELEXTCLK_Pos 2 /*!< CMSDK_TIMER CTRL: SELEXTCLK Position */ +#define CMSDK_TIMER_CTRL_SELEXTCLK_Msk (0x01ul << CMSDK_TIMER_CTRL_SELEXTCLK_Pos) /*!< CMSDK_TIMER CTRL: SELEXTCLK Mask */ + +#define CMSDK_TIMER_CTRL_SELEXTEN_Pos 1 /*!< CMSDK_TIMER CTRL: SELEXTEN Position */ +#define CMSDK_TIMER_CTRL_SELEXTEN_Msk (0x01ul << CMSDK_TIMER_CTRL_SELEXTEN_Pos) /*!< CMSDK_TIMER CTRL: SELEXTEN Mask */ + +#define CMSDK_TIMER_CTRL_EN_Pos 0 /*!< CMSDK_TIMER CTRL: EN Position */ +#define CMSDK_TIMER_CTRL_EN_Msk (0x01ul << CMSDK_TIMER_CTRL_EN_Pos) /*!< CMSDK_TIMER CTRL: EN Mask */ + +#define CMSDK_TIMER_VAL_CURRENT_Pos 0 /*!< CMSDK_TIMER VALUE: CURRENT Position */ +#define CMSDK_TIMER_VAL_CURRENT_Msk (0xFFFFFFFFul << CMSDK_TIMER_VAL_CURRENT_Pos) /*!< CMSDK_TIMER VALUE: CURRENT Mask */ + +#define CMSDK_TIMER_RELOAD_VAL_Pos 0 /*!< CMSDK_TIMER RELOAD: RELOAD Position */ +#define CMSDK_TIMER_RELOAD_VAL_Msk (0xFFFFFFFFul << CMSDK_TIMER_RELOAD_VAL_Pos) /*!< CMSDK_TIMER RELOAD: RELOAD Mask */ + +#define CMSDK_TIMER_INTSTATUS_Pos 0 /*!< CMSDK_TIMER INTSTATUS: INTSTATUSPosition */ +#define CMSDK_TIMER_INTSTATUS_Msk (0x01ul << CMSDK_TIMER_INTSTATUS_Pos) /*!< CMSDK_TIMER INTSTATUS: INTSTATUSMask */ + +#define CMSDK_TIMER_INTCLEAR_Pos 0 /*!< CMSDK_TIMER INTCLEAR: INTCLEAR Position */ +#define CMSDK_TIMER_INTCLEAR_Msk (0x01ul << CMSDK_TIMER_INTCLEAR_Pos) /*!< CMSDK_TIMER INTCLEAR: INTCLEAR Mask */ + +/*@}*/ /* end of group CMSDK_TIMER */ + +#define CMSDK_TIMER0 ((CMSDK_TIMER_TypeDef *) TIMER0_BASE ) \ No newline at end of file diff --git a/software/lib/sw_lib/devices/include/arm_bf16.h b/software/lib/sw_lib/devices/include/arm_bf16.h new file mode 100644 index 0000000..329ae39 --- /dev/null +++ b/software/lib/sw_lib/devices/include/arm_bf16.h @@ -0,0 +1,20 @@ +/*===---- arm_bf16.h - ARM BF16 intrinsics -----------------------------------=== + * + * + * Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions. + * See https://llvm.org/LICENSE.txt for license information. + * SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception + * + *===-----------------------------------------------------------------------=== + */ + +#ifndef __ARM_BF16_H +#define __ARM_BF16_H + +typedef __bf16 bfloat16_t; +#define __ai static __inline__ __attribute__((__always_inline__, __nodebug__)) + + +#undef __ai + +#endif diff --git a/software/lib/sw_lib/devices/include/arm_neon.h b/software/lib/sw_lib/devices/include/arm_neon.h new file mode 100644 index 0000000..9aeaf71 --- /dev/null +++ b/software/lib/sw_lib/devices/include/arm_neon.h @@ -0,0 +1,69643 @@ +/*===---- arm_neon.h - ARM Neon intrinsics ---------------------------------=== + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to deal + * in the Software without restriction, including without limitation the rights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN + * THE SOFTWARE. + * + *===-----------------------------------------------------------------------=== + */ + +#ifndef __ARM_NEON_H +#define __ARM_NEON_H + +#ifndef __ARM_FP +#error "NEON intrinsics not available with the soft-float ABI. Please use -mfloat-abi=softfp or -mfloat-abi=hard" +#else + +#if !defined(__ARM_NEON) +#error "NEON support not enabled" +#else + +#include <stdint.h> + +#include <arm_bf16.h> +#include <arm_vector_types.h> +#ifdef __aarch64__ +typedef uint8_t poly8_t; +typedef uint16_t poly16_t; +typedef uint64_t poly64_t; +typedef __uint128_t poly128_t; +#else +typedef int8_t poly8_t; +typedef int16_t poly16_t; +typedef int64_t poly64_t; +#endif +typedef __attribute__((neon_polyvector_type(8))) poly8_t poly8x8_t; +typedef __attribute__((neon_polyvector_type(16))) poly8_t poly8x16_t; +typedef __attribute__((neon_polyvector_type(4))) poly16_t poly16x4_t; +typedef __attribute__((neon_polyvector_type(8))) poly16_t poly16x8_t; +typedef __attribute__((neon_polyvector_type(1))) poly64_t poly64x1_t; +typedef __attribute__((neon_polyvector_type(2))) poly64_t poly64x2_t; + +typedef struct poly8x8x2_t { + poly8x8_t val[2]; +} poly8x8x2_t; + +typedef struct poly8x16x2_t { + poly8x16_t val[2]; +} poly8x16x2_t; + +typedef struct poly16x4x2_t { + poly16x4_t val[2]; +} poly16x4x2_t; + +typedef struct poly16x8x2_t { + poly16x8_t val[2]; +} poly16x8x2_t; + +typedef struct poly64x1x2_t { + poly64x1_t val[2]; +} poly64x1x2_t; + +typedef struct poly64x2x2_t { + poly64x2_t val[2]; +} poly64x2x2_t; + +typedef struct poly8x8x3_t { + poly8x8_t val[3]; +} poly8x8x3_t; + +typedef struct poly8x16x3_t { + poly8x16_t val[3]; +} poly8x16x3_t; + +typedef struct poly16x4x3_t { + poly16x4_t val[3]; +} poly16x4x3_t; + +typedef struct poly16x8x3_t { + poly16x8_t val[3]; +} poly16x8x3_t; + +typedef struct poly64x1x3_t { + poly64x1_t val[3]; +} poly64x1x3_t; + +typedef struct poly64x2x3_t { + poly64x2_t val[3]; +} poly64x2x3_t; + +typedef struct poly8x8x4_t { + poly8x8_t val[4]; +} poly8x8x4_t; + +typedef struct poly8x16x4_t { + poly8x16_t val[4]; +} poly8x16x4_t; + +typedef struct poly16x4x4_t { + poly16x4_t val[4]; +} poly16x4x4_t; + +typedef struct poly16x8x4_t { + poly16x8_t val[4]; +} poly16x8x4_t; + +typedef struct poly64x1x4_t { + poly64x1_t val[4]; +} poly64x1x4_t; + +typedef struct poly64x2x4_t { + poly64x2_t val[4]; +} poly64x2x4_t; + +#define __ai static __inline__ __attribute__((__always_inline__, __nodebug__)) + +#ifdef __LITTLE_ENDIAN__ +#define splat_lane_p8(__p0, __p1) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x8_t __s0 = __p0; \ + __ret = (poly8x8_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 4); \ + __ret; \ +}) +#else +#define splat_lane_p8(__p0, __p1) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x8_t __s0 = __p0; \ + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x8_t) __builtin_neon_splat_lane_v((int8x8_t)__rev0, __p1, 4); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_lane_p8(__p0, __p1) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x8_t __s0 = __p0; \ + __ret = (poly8x8_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 4); \ + __ret; \ +}) +#endif + +#define splat_lane_p64(__p0, __p1) __extension__ ({ \ + poly64x1_t __ret; \ + poly64x1_t __s0 = __p0; \ + __ret = (poly64x1_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define splat_lane_p16(__p0, __p1) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x4_t __s0 = __p0; \ + __ret = (poly16x4_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 5); \ + __ret; \ +}) +#else +#define splat_lane_p16(__p0, __p1) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x4_t __s0 = __p0; \ + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (poly16x4_t) __builtin_neon_splat_lane_v((int8x8_t)__rev0, __p1, 5); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_lane_p16(__p0, __p1) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x4_t __s0 = __p0; \ + __ret = (poly16x4_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 5); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_p8(__p0, __p1) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x8_t __s0 = __p0; \ + __ret = (poly8x16_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 4); \ + __ret; \ +}) +#else +#define splatq_lane_p8(__p0, __p1) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x8_t __s0 = __p0; \ + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x16_t) __builtin_neon_splatq_lane_v((int8x8_t)__rev0, __p1, 4); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_p8(__p0, __p1) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x8_t __s0 = __p0; \ + __ret = (poly8x16_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 4); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_p64(__p0, __p1) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x1_t __s0 = __p0; \ + __ret = (poly64x2_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 6); \ + __ret; \ +}) +#else +#define splatq_lane_p64(__p0, __p1) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x1_t __s0 = __p0; \ + __ret = (poly64x2_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 6); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_p64(__p0, __p1) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x1_t __s0 = __p0; \ + __ret = (poly64x2_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 6); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_p16(__p0, __p1) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x4_t __s0 = __p0; \ + __ret = (poly16x8_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 5); \ + __ret; \ +}) +#else +#define splatq_lane_p16(__p0, __p1) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x4_t __s0 = __p0; \ + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (poly16x8_t) __builtin_neon_splatq_lane_v((int8x8_t)__rev0, __p1, 5); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_p16(__p0, __p1) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x4_t __s0 = __p0; \ + __ret = (poly16x8_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 5); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint8x16_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define splatq_lane_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_splatq_lane_v((int8x8_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint8x16_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 16); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint32x4_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define splatq_lane_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_splatq_lane_v((int8x8_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint32x4_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 18); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x1_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 19); \ + __ret; \ +}) +#else +#define splatq_lane_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x1_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 19); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x1_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 19); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint16x8_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define splatq_lane_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_splatq_lane_v((int8x8_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint16x8_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 17); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int8x16_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 0); \ + __ret; \ +}) +#else +#define splatq_lane_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_splatq_lane_v((int8x8_t)__rev0, __p1, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int8x16_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_f64(__p0, __p1) __extension__ ({ \ + float64x2_t __ret; \ + float64x1_t __s0 = __p0; \ + __ret = (float64x2_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 10); \ + __ret; \ +}) +#else +#define splatq_lane_f64(__p0, __p1) __extension__ ({ \ + float64x2_t __ret; \ + float64x1_t __s0 = __p0; \ + __ret = (float64x2_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 10); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_f64(__p0, __p1) __extension__ ({ \ + float64x2_t __ret; \ + float64x1_t __s0 = __p0; \ + __ret = (float64x2_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 10); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_f32(__p0, __p1) __extension__ ({ \ + float32x4_t __ret; \ + float32x2_t __s0 = __p0; \ + __ret = (float32x4_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 9); \ + __ret; \ +}) +#else +#define splatq_lane_f32(__p0, __p1) __extension__ ({ \ + float32x4_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (float32x4_t) __builtin_neon_splatq_lane_v((int8x8_t)__rev0, __p1, 9); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_f32(__p0, __p1) __extension__ ({ \ + float32x4_t __ret; \ + float32x2_t __s0 = __p0; \ + __ret = (float32x4_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 9); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_f16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + float16x4_t __s0 = __p0; \ + __ret = (float16x8_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 8); \ + __ret; \ +}) +#else +#define splatq_lane_f16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float16x8_t) __builtin_neon_splatq_lane_v((int8x8_t)__rev0, __p1, 8); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_f16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + float16x4_t __s0 = __p0; \ + __ret = (float16x8_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 8); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int32x4_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 2); \ + __ret; \ +}) +#else +#define splatq_lane_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_splatq_lane_v((int8x8_t)__rev0, __p1, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int32x4_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x1_t __s0 = __p0; \ + __ret = (int64x2_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 3); \ + __ret; \ +}) +#else +#define splatq_lane_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x1_t __s0 = __p0; \ + __ret = (int64x2_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 3); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x1_t __s0 = __p0; \ + __ret = (int64x2_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int16x8_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 1); \ + __ret; \ +}) +#else +#define splatq_lane_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_splatq_lane_v((int8x8_t)__rev0, __p1, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int16x8_t) __builtin_neon_splatq_lane_v((int8x8_t)__s0, __p1, 1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_lane_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define splat_lane_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_splat_lane_v((int8x8_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_lane_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 16); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_lane_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define splat_lane_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_splat_lane_v((int8x8_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_lane_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 18); \ + __ret; \ +}) +#endif + +#define splat_lane_u64(__p0, __p1) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x1_t __s0 = __p0; \ + __ret = (uint64x1_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define splat_lane_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define splat_lane_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_splat_lane_v((int8x8_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_lane_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 17); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_lane_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 0); \ + __ret; \ +}) +#else +#define splat_lane_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_splat_lane_v((int8x8_t)__rev0, __p1, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_lane_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 0); \ + __ret; \ +}) +#endif + +#define splat_lane_f64(__p0, __p1) __extension__ ({ \ + float64x1_t __ret; \ + float64x1_t __s0 = __p0; \ + __ret = (float64x1_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 10); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define splat_lane_f32(__p0, __p1) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + __ret = (float32x2_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 9); \ + __ret; \ +}) +#else +#define splat_lane_f32(__p0, __p1) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (float32x2_t) __builtin_neon_splat_lane_v((int8x8_t)__rev0, __p1, 9); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_lane_f32(__p0, __p1) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + __ret = (float32x2_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 9); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_lane_f16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + __ret = (float16x4_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 8); \ + __ret; \ +}) +#else +#define splat_lane_f16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float16x4_t) __builtin_neon_splat_lane_v((int8x8_t)__rev0, __p1, 8); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_lane_f16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + __ret = (float16x4_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 8); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_lane_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 2); \ + __ret; \ +}) +#else +#define splat_lane_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_splat_lane_v((int8x8_t)__rev0, __p1, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_lane_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 2); \ + __ret; \ +}) +#endif + +#define splat_lane_s64(__p0, __p1) __extension__ ({ \ + int64x1_t __ret; \ + int64x1_t __s0 = __p0; \ + __ret = (int64x1_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define splat_lane_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 1); \ + __ret; \ +}) +#else +#define splat_lane_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_splat_lane_v((int8x8_t)__rev0, __p1, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_lane_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_splat_lane_v((int8x8_t)__s0, __p1, 1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_p8(__p0, __p1) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x16_t __s0 = __p0; \ + __ret = (poly8x8_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 36); \ + __ret; \ +}) +#else +#define splat_laneq_p8(__p0, __p1) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x16_t __s0 = __p0; \ + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x8_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 36); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_laneq_p8(__p0, __p1) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x16_t __s0 = __p0; \ + __ret = (poly8x8_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 36); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_p64(__p0, __p1) __extension__ ({ \ + poly64x1_t __ret; \ + poly64x2_t __s0 = __p0; \ + __ret = (poly64x1_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 38); \ + __ret; \ +}) +#else +#define splat_laneq_p64(__p0, __p1) __extension__ ({ \ + poly64x1_t __ret; \ + poly64x2_t __s0 = __p0; \ + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (poly64x1_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 38); \ + __ret; \ +}) +#define __noswap_splat_laneq_p64(__p0, __p1) __extension__ ({ \ + poly64x1_t __ret; \ + poly64x2_t __s0 = __p0; \ + __ret = (poly64x1_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 38); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_p16(__p0, __p1) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x8_t __s0 = __p0; \ + __ret = (poly16x4_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 37); \ + __ret; \ +}) +#else +#define splat_laneq_p16(__p0, __p1) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x8_t __s0 = __p0; \ + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly16x4_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 37); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_laneq_p16(__p0, __p1) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x8_t __s0 = __p0; \ + __ret = (poly16x4_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 37); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_p8(__p0, __p1) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x16_t __s0 = __p0; \ + __ret = (poly8x16_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 36); \ + __ret; \ +}) +#else +#define splatq_laneq_p8(__p0, __p1) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x16_t __s0 = __p0; \ + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x16_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 36); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_p8(__p0, __p1) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x16_t __s0 = __p0; \ + __ret = (poly8x16_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 36); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_p64(__p0, __p1) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s0 = __p0; \ + __ret = (poly64x2_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 38); \ + __ret; \ +}) +#else +#define splatq_laneq_p64(__p0, __p1) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s0 = __p0; \ + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (poly64x2_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 38); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_p64(__p0, __p1) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s0 = __p0; \ + __ret = (poly64x2_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 38); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_p16(__p0, __p1) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x8_t __s0 = __p0; \ + __ret = (poly16x8_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 37); \ + __ret; \ +}) +#else +#define splatq_laneq_p16(__p0, __p1) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x8_t __s0 = __p0; \ + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly16x8_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 37); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_p16(__p0, __p1) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x8_t __s0 = __p0; \ + __ret = (poly16x8_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 37); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + __ret = (uint8x16_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 48); \ + __ret; \ +}) +#else +#define splatq_laneq_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + __ret = (uint8x16_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 48); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint32x4_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 50); \ + __ret; \ +}) +#else +#define splatq_laneq_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint32x4_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 50); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 51); \ + __ret; \ +}) +#else +#define splatq_laneq_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 51); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint16x8_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 49); \ + __ret; \ +}) +#else +#define splatq_laneq_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint16x8_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 49); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + __ret = (int8x16_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 32); \ + __ret; \ +}) +#else +#define splatq_laneq_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + __ret = (int8x16_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 32); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_f64(__p0, __p1) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + __ret = (float64x2_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 42); \ + __ret; \ +}) +#else +#define splatq_laneq_f64(__p0, __p1) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (float64x2_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 42); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_f64(__p0, __p1) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + __ret = (float64x2_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 42); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_f32(__p0, __p1) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + __ret = (float32x4_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 41); \ + __ret; \ +}) +#else +#define splatq_laneq_f32(__p0, __p1) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float32x4_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 41); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_f32(__p0, __p1) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + __ret = (float32x4_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 41); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_f16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + __ret = (float16x8_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 40); \ + __ret; \ +}) +#else +#define splatq_laneq_f16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16x8_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 40); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_f16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + __ret = (float16x8_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 40); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int32x4_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 34); \ + __ret; \ +}) +#else +#define splatq_laneq_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int32x4_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 34); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int64x2_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 35); \ + __ret; \ +}) +#else +#define splatq_laneq_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int64x2_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 35); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int16x8_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 33); \ + __ret; \ +}) +#else +#define splatq_laneq_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_splatq_laneq_v((int8x16_t)__rev0, __p1, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int16x8_t) __builtin_neon_splatq_laneq_v((int8x16_t)__s0, __p1, 33); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x16_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 48); \ + __ret; \ +}) +#else +#define splat_laneq_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_laneq_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x16_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 48); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 50); \ + __ret; \ +}) +#else +#define splat_laneq_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_laneq_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 50); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_u64(__p0, __p1) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint64x1_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 51); \ + __ret; \ +}) +#else +#define splat_laneq_u64(__p0, __p1) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint64x1_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 51); \ + __ret; \ +}) +#define __noswap_splat_laneq_u64(__p0, __p1) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint64x1_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 51); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 49); \ + __ret; \ +}) +#else +#define splat_laneq_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_laneq_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 49); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x16_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 32); \ + __ret; \ +}) +#else +#define splat_laneq_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_laneq_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x16_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 32); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_f64(__p0, __p1) __extension__ ({ \ + float64x1_t __ret; \ + float64x2_t __s0 = __p0; \ + __ret = (float64x1_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 42); \ + __ret; \ +}) +#else +#define splat_laneq_f64(__p0, __p1) __extension__ ({ \ + float64x1_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (float64x1_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 42); \ + __ret; \ +}) +#define __noswap_splat_laneq_f64(__p0, __p1) __extension__ ({ \ + float64x1_t __ret; \ + float64x2_t __s0 = __p0; \ + __ret = (float64x1_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 42); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_f32(__p0, __p1) __extension__ ({ \ + float32x2_t __ret; \ + float32x4_t __s0 = __p0; \ + __ret = (float32x2_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 41); \ + __ret; \ +}) +#else +#define splat_laneq_f32(__p0, __p1) __extension__ ({ \ + float32x2_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float32x2_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 41); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_laneq_f32(__p0, __p1) __extension__ ({ \ + float32x2_t __ret; \ + float32x4_t __s0 = __p0; \ + __ret = (float32x2_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 41); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_f16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + float16x8_t __s0 = __p0; \ + __ret = (float16x4_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 40); \ + __ret; \ +}) +#else +#define splat_laneq_f16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16x4_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 40); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_laneq_f16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + float16x8_t __s0 = __p0; \ + __ret = (float16x4_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 40); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 34); \ + __ret; \ +}) +#else +#define splat_laneq_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_laneq_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 34); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_s64(__p0, __p1) __extension__ ({ \ + int64x1_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int64x1_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 35); \ + __ret; \ +}) +#else +#define splat_laneq_s64(__p0, __p1) __extension__ ({ \ + int64x1_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int64x1_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 35); \ + __ret; \ +}) +#define __noswap_splat_laneq_s64(__p0, __p1) __extension__ ({ \ + int64x1_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int64x1_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 35); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 33); \ + __ret; \ +}) +#else +#define splat_laneq_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_splat_laneq_v((int8x16_t)__rev0, __p1, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_laneq_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_splat_laneq_v((int8x16_t)__s0, __p1, 33); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vabdq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vabdq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vabdq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint8x16_t __noswap_vabdq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vabdq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vabdq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vabdq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint32x4_t __noswap_vabdq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vabdq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vabdq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vabdq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint16x8_t __noswap_vabdq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vabdq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vabdq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vabdq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int8x16_t __noswap_vabdq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vabdq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vabdq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vabdq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vabdq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vabdq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vabdq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vabdq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vabdq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vabdq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vabdq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int16x8_t __noswap_vabdq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vabd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vabd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vabd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint8x8_t __noswap_vabd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vabd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vabd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vabd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint32x2_t __noswap_vabd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vabd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vabd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vabd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint16x4_t __noswap_vabd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vabd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vabd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vabd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int8x8_t __noswap_vabd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vabd_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vabd_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vabd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vabd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vabd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vabd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int32x2_t __noswap_vabd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vabd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vabd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vabd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int16x4_t __noswap_vabd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vabsq_s8(int8x16_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vabsq_v((int8x16_t)__p0, 32); + return __ret; +} +#else +__ai int8x16_t vabsq_s8(int8x16_t __p0) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vabsq_v((int8x16_t)__rev0, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vabsq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vabsq_v((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai float32x4_t vabsq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vabsq_v((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vabsq_s32(int32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vabsq_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vabsq_s32(int32x4_t __p0) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vabsq_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vabsq_s16(int16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vabsq_v((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai int16x8_t vabsq_s16(int16x8_t __p0) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vabsq_v((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vabs_s8(int8x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vabs_v((int8x8_t)__p0, 0); + return __ret; +} +#else +__ai int8x8_t vabs_s8(int8x8_t __p0) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vabs_v((int8x8_t)__rev0, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vabs_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vabs_v((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai float32x2_t vabs_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vabs_v((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vabs_s32(int32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vabs_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vabs_s32(int32x2_t __p0) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vabs_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vabs_s16(int16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vabs_v((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai int16x4_t vabs_s16(int16x4_t __p0) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vabs_v((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vaddq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai uint8x16_t vaddq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vaddq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai uint32x4_t vaddq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vaddq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai uint64x2_t vaddq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vaddq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai uint16x8_t vaddq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vaddq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai int8x16_t vaddq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vaddq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai float32x4_t vaddq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vaddq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai int32x4_t vaddq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vaddq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai int64x2_t vaddq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vaddq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai int16x8_t vaddq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vadd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai uint8x8_t vadd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vadd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai uint32x2_t vadd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vadd_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vadd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai uint16x4_t vadd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vadd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai int8x8_t vadd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vadd_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai float32x2_t vadd_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vadd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai int32x2_t vadd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vadd_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vadd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai int16x4_t vadd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vadd_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vadd_v((int8x8_t)__p0, (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8_t vadd_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai poly64x1_t vadd_p64(poly64x1_t __p0, poly64x1_t __p1) { + poly64x1_t __ret; + __ret = (poly64x1_t) __builtin_neon_vadd_v((int8x8_t)__p0, (int8x8_t)__p1, 6); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vadd_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + __ret = (poly16x4_t) __builtin_neon_vadd_v((int8x8_t)__p0, (int8x8_t)__p1, 5); + return __ret; +} +#else +__ai poly16x4_t vadd_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (poly16x4_t) __builtin_neon_vadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 5); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vaddq_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 36); + return __ret; +} +#else +__ai poly8x16_t vaddq_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly64x2_t vaddq_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + __ret = (poly64x2_t) __builtin_neon_vaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 38); + return __ret; +} +#else +__ai poly64x2_t vaddq_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (poly64x2_t) __builtin_neon_vaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 38); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vaddq_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + __ret = (poly16x8_t) __builtin_neon_vaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 37); + return __ret; +} +#else +__ai poly16x8_t vaddq_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly16x8_t) __builtin_neon_vaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 37); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vaddhn_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vaddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vaddhn_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint16x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vaddhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint16x4_t __noswap_vaddhn_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vaddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 17); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vaddhn_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vaddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vaddhn_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint32x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vaddhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint32x2_t __noswap_vaddhn_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vaddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 18); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vaddhn_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vaddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vaddhn_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint8x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vaddhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint8x8_t __noswap_vaddhn_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vaddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 16); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vaddhn_s32(int32x4_t __p0, int32x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vaddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vaddhn_s32(int32x4_t __p0, int32x4_t __p1) { + int16x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vaddhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int16x4_t __noswap_vaddhn_s32(int32x4_t __p0, int32x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vaddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vaddhn_s64(int64x2_t __p0, int64x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vaddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vaddhn_s64(int64x2_t __p0, int64x2_t __p1) { + int32x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vaddhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int32x2_t __noswap_vaddhn_s64(int64x2_t __p0, int64x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vaddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vaddhn_s16(int16x8_t __p0, int16x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vaddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vaddhn_s16(int16x8_t __p0, int16x8_t __p1) { + int8x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vaddhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int8x8_t __noswap_vaddhn_s16(int16x8_t __p0, int16x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vaddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vandq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai uint8x16_t vandq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vandq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai uint32x4_t vandq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vandq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai uint64x2_t vandq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vandq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai uint16x8_t vandq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vandq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai int8x16_t vandq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vandq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai int32x4_t vandq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vandq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai int64x2_t vandq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vandq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai int16x8_t vandq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vand_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai uint8x8_t vand_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vand_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai uint32x2_t vand_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vand_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vand_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai uint16x4_t vand_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vand_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai int8x8_t vand_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vand_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai int32x2_t vand_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vand_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vand_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __p0 & __p1; + return __ret; +} +#else +__ai int16x4_t vand_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 & __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vbicq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai uint8x16_t vbicq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vbicq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai uint32x4_t vbicq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vbicq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai uint64x2_t vbicq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vbicq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai uint16x8_t vbicq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vbicq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai int8x16_t vbicq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vbicq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai int32x4_t vbicq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vbicq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai int64x2_t vbicq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vbicq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai int16x8_t vbicq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vbic_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai uint8x8_t vbic_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vbic_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai uint32x2_t vbic_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vbic_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vbic_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai uint16x4_t vbic_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vbic_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai int8x8_t vbic_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vbic_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai int32x2_t vbic_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vbic_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vbic_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __p0 & ~__p1; + return __ret; +} +#else +__ai int16x4_t vbic_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 & ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vbsl_p8(uint8x8_t __p0, poly8x8_t __p1, poly8x8_t __p2) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 4); + return __ret; +} +#else +__ai poly8x8_t vbsl_p8(uint8x8_t __p0, poly8x8_t __p1, poly8x8_t __p2) { + poly8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vbsl_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vbsl_p16(uint16x4_t __p0, poly16x4_t __p1, poly16x4_t __p2) { + poly16x4_t __ret; + __ret = (poly16x4_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 5); + return __ret; +} +#else +__ai poly16x4_t vbsl_p16(uint16x4_t __p0, poly16x4_t __p1, poly16x4_t __p2) { + poly16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + poly16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (poly16x4_t) __builtin_neon_vbsl_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 5); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vbslq_p8(uint8x16_t __p0, poly8x16_t __p1, poly8x16_t __p2) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 36); + return __ret; +} +#else +__ai poly8x16_t vbslq_p8(uint8x16_t __p0, poly8x16_t __p1, poly8x16_t __p2) { + poly8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vbslq_p16(uint16x8_t __p0, poly16x8_t __p1, poly16x8_t __p2) { + poly16x8_t __ret; + __ret = (poly16x8_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 37); + return __ret; +} +#else +__ai poly16x8_t vbslq_p16(uint16x8_t __p0, poly16x8_t __p1, poly16x8_t __p2) { + poly16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly16x8_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 37); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vbslq_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 48); + return __ret; +} +#else +__ai uint8x16_t vbslq_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vbslq_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#else +__ai uint32x4_t vbslq_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vbslq_u64(uint64x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 51); + return __ret; +} +#else +__ai uint64x2_t vbslq_u64(uint64x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vbslq_u16(uint16x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 49); + return __ret; +} +#else +__ai uint16x8_t vbslq_u16(uint16x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vbslq_s8(uint8x16_t __p0, int8x16_t __p1, int8x16_t __p2) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 32); + return __ret; +} +#else +__ai int8x16_t vbslq_s8(uint8x16_t __p0, int8x16_t __p1, int8x16_t __p2) { + int8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vbslq_f32(uint32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai float32x4_t vbslq_f32(uint32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vbslq_s32(uint32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#else +__ai int32x4_t vbslq_s32(uint32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vbslq_s64(uint64x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 35); + return __ret; +} +#else +__ai int64x2_t vbslq_s64(uint64x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (int64x2_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vbslq_s16(uint16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 33); + return __ret; +} +#else +__ai int16x8_t vbslq_s16(uint16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vbsl_u8(uint8x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 16); + return __ret; +} +#else +__ai uint8x8_t vbsl_u8(uint8x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vbsl_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vbsl_u32(uint32x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 18); + return __ret; +} +#else +__ai uint32x2_t vbsl_u32(uint32x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vbsl_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vbsl_u64(uint64x1_t __p0, uint64x1_t __p1, uint64x1_t __p2) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vbsl_u16(uint16x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 17); + return __ret; +} +#else +__ai uint16x4_t vbsl_u16(uint16x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vbsl_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vbsl_s8(uint8x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 0); + return __ret; +} +#else +__ai int8x8_t vbsl_s8(uint8x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vbsl_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vbsl_f32(uint32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#else +__ai float32x2_t vbsl_f32(uint32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (float32x2_t) __builtin_neon_vbsl_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vbsl_s32(uint32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 2); + return __ret; +} +#else +__ai int32x2_t vbsl_s32(uint32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (int32x2_t) __builtin_neon_vbsl_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vbsl_s64(uint64x1_t __p0, int64x1_t __p1, int64x1_t __p2) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 3); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vbsl_s16(uint16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 1); + return __ret; +} +#else +__ai int16x4_t vbsl_s16(uint16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vbsl_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcageq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcageq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vcageq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcageq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcage_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcage_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vcage_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcage_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcagtq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcagtq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vcagtq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcagtq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcagt_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcagt_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vcagt_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcagt_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcaleq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcaleq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vcaleq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcaleq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcale_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcale_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vcale_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcale_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcaltq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcaltq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vcaltq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcaltq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcalt_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcalt_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vcalt_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcalt_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vceq_p8(poly8x8_t __p0, poly8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint8x8_t vceq_p8(poly8x8_t __p0, poly8x8_t __p1) { + uint8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vceqq_p8(poly8x16_t __p0, poly8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint8x16_t vceqq_p8(poly8x16_t __p0, poly8x16_t __p1) { + uint8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vceqq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint8x16_t vceqq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vceqq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint32x4_t vceqq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vceqq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint16x8_t vceqq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vceqq_s8(int8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint8x16_t vceqq_s8(int8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vceqq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint32x4_t vceqq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vceqq_s32(int32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint32x4_t vceqq_s32(int32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vceqq_s16(int16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint16x8_t vceqq_s16(int16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vceq_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint8x8_t vceq_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vceq_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint32x2_t vceq_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vceq_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint16x4_t vceq_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vceq_s8(int8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint8x8_t vceq_s8(int8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vceq_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint32x2_t vceq_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vceq_s32(int32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint32x2_t vceq_s32(int32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vceq_s16(int16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint16x4_t vceq_s16(int16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcgeq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint8x16_t vcgeq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcgeq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint32x4_t vcgeq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vcgeq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint16x8_t vcgeq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcgeq_s8(int8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint8x16_t vcgeq_s8(int8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcgeq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint32x4_t vcgeq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcgeq_s32(int32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint32x4_t vcgeq_s32(int32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vcgeq_s16(int16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint16x8_t vcgeq_s16(int16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vcge_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint8x8_t vcge_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcge_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint32x2_t vcge_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vcge_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint16x4_t vcge_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vcge_s8(int8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint8x8_t vcge_s8(int8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcge_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint32x2_t vcge_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcge_s32(int32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint32x2_t vcge_s32(int32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vcge_s16(int16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint16x4_t vcge_s16(int16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcgtq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint8x16_t vcgtq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcgtq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint32x4_t vcgtq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vcgtq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint16x8_t vcgtq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcgtq_s8(int8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint8x16_t vcgtq_s8(int8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcgtq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint32x4_t vcgtq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcgtq_s32(int32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint32x4_t vcgtq_s32(int32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vcgtq_s16(int16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint16x8_t vcgtq_s16(int16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vcgt_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint8x8_t vcgt_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcgt_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint32x2_t vcgt_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vcgt_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint16x4_t vcgt_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vcgt_s8(int8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint8x8_t vcgt_s8(int8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcgt_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint32x2_t vcgt_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcgt_s32(int32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint32x2_t vcgt_s32(int32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vcgt_s16(int16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint16x4_t vcgt_s16(int16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcleq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint8x16_t vcleq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcleq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint32x4_t vcleq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vcleq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint16x8_t vcleq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcleq_s8(int8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint8x16_t vcleq_s8(int8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcleq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint32x4_t vcleq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcleq_s32(int32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint32x4_t vcleq_s32(int32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vcleq_s16(int16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint16x8_t vcleq_s16(int16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vcle_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint8x8_t vcle_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcle_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint32x2_t vcle_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vcle_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint16x4_t vcle_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vcle_s8(int8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint8x8_t vcle_s8(int8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcle_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint32x2_t vcle_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcle_s32(int32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint32x2_t vcle_s32(int32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vcle_s16(int16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint16x4_t vcle_s16(int16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vclsq_u8(uint8x16_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vclsq_v((int8x16_t)__p0, 32); + return __ret; +} +#else +__ai int8x16_t vclsq_u8(uint8x16_t __p0) { + int8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vclsq_v((int8x16_t)__rev0, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vclsq_u32(uint32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vclsq_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vclsq_u32(uint32x4_t __p0) { + int32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vclsq_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vclsq_u16(uint16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vclsq_v((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai int16x8_t vclsq_u16(uint16x8_t __p0) { + int16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vclsq_v((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vclsq_s8(int8x16_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vclsq_v((int8x16_t)__p0, 32); + return __ret; +} +#else +__ai int8x16_t vclsq_s8(int8x16_t __p0) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vclsq_v((int8x16_t)__rev0, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vclsq_s32(int32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vclsq_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vclsq_s32(int32x4_t __p0) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vclsq_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vclsq_s16(int16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vclsq_v((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai int16x8_t vclsq_s16(int16x8_t __p0) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vclsq_v((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vcls_u8(uint8x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vcls_v((int8x8_t)__p0, 0); + return __ret; +} +#else +__ai int8x8_t vcls_u8(uint8x8_t __p0) { + int8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vcls_v((int8x8_t)__rev0, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vcls_u32(uint32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vcls_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vcls_u32(uint32x2_t __p0) { + int32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vcls_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vcls_u16(uint16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vcls_v((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai int16x4_t vcls_u16(uint16x4_t __p0) { + int16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vcls_v((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vcls_s8(int8x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vcls_v((int8x8_t)__p0, 0); + return __ret; +} +#else +__ai int8x8_t vcls_s8(int8x8_t __p0) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vcls_v((int8x8_t)__rev0, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vcls_s32(int32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vcls_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vcls_s32(int32x2_t __p0) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vcls_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vcls_s16(int16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vcls_v((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai int16x4_t vcls_s16(int16x4_t __p0) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vcls_v((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcltq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint8x16_t vcltq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcltq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint32x4_t vcltq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vcltq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint16x8_t vcltq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcltq_s8(int8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint8x16_t vcltq_s8(int8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcltq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint32x4_t vcltq_f32(float32x4_t __p0, float32x4_t __p1) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcltq_s32(int32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint32x4_t vcltq_s32(int32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vcltq_s16(int16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint16x8_t vcltq_s16(int16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vclt_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint8x8_t vclt_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vclt_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint32x2_t vclt_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vclt_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint16x4_t vclt_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vclt_s8(int8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint8x8_t vclt_s8(int8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vclt_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint32x2_t vclt_f32(float32x2_t __p0, float32x2_t __p1) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vclt_s32(int32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint32x2_t vclt_s32(int32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vclt_s16(int16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint16x4_t vclt_s16(int16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vclzq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vclzq_v((int8x16_t)__p0, 48); + return __ret; +} +#else +__ai uint8x16_t vclzq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vclzq_v((int8x16_t)__rev0, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vclzq_u32(uint32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vclzq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vclzq_u32(uint32x4_t __p0) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vclzq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vclzq_u16(uint16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vclzq_v((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai uint16x8_t vclzq_u16(uint16x8_t __p0) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vclzq_v((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vclzq_s8(int8x16_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vclzq_v((int8x16_t)__p0, 32); + return __ret; +} +#else +__ai int8x16_t vclzq_s8(int8x16_t __p0) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vclzq_v((int8x16_t)__rev0, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vclzq_s32(int32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vclzq_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vclzq_s32(int32x4_t __p0) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vclzq_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vclzq_s16(int16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vclzq_v((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai int16x8_t vclzq_s16(int16x8_t __p0) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vclzq_v((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vclz_u8(uint8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vclz_v((int8x8_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vclz_u8(uint8x8_t __p0) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vclz_v((int8x8_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vclz_u32(uint32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vclz_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vclz_u32(uint32x2_t __p0) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vclz_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vclz_u16(uint16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vclz_v((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai uint16x4_t vclz_u16(uint16x4_t __p0) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vclz_v((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vclz_s8(int8x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vclz_v((int8x8_t)__p0, 0); + return __ret; +} +#else +__ai int8x8_t vclz_s8(int8x8_t __p0) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vclz_v((int8x8_t)__rev0, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vclz_s32(int32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vclz_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vclz_s32(int32x2_t __p0) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vclz_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vclz_s16(int16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vclz_v((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai int16x4_t vclz_s16(int16x4_t __p0) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vclz_v((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vcnt_p8(poly8x8_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vcnt_v((int8x8_t)__p0, 4); + return __ret; +} +#else +__ai poly8x8_t vcnt_p8(poly8x8_t __p0) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vcnt_v((int8x8_t)__rev0, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vcntq_p8(poly8x16_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vcntq_v((int8x16_t)__p0, 36); + return __ret; +} +#else +__ai poly8x16_t vcntq_p8(poly8x16_t __p0) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vcntq_v((int8x16_t)__rev0, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcntq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vcntq_v((int8x16_t)__p0, 48); + return __ret; +} +#else +__ai uint8x16_t vcntq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vcntq_v((int8x16_t)__rev0, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vcntq_s8(int8x16_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vcntq_v((int8x16_t)__p0, 32); + return __ret; +} +#else +__ai int8x16_t vcntq_s8(int8x16_t __p0) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vcntq_v((int8x16_t)__rev0, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vcnt_u8(uint8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vcnt_v((int8x8_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vcnt_u8(uint8x8_t __p0) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vcnt_v((int8x8_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vcnt_s8(int8x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vcnt_v((int8x8_t)__p0, 0); + return __ret; +} +#else +__ai int8x8_t vcnt_s8(int8x8_t __p0) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vcnt_v((int8x8_t)__rev0, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vcombine_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15); + return __ret; +} +#else +__ai poly8x16_t vcombine_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x16_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vcombine_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7); + return __ret; +} +#else +__ai poly16x8_t vcombine_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x8_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 1, 2, 3, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcombine_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15); + return __ret; +} +#else +__ai uint8x16_t vcombine_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x16_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint8x16_t __noswap_vcombine_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcombine_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3); + return __ret; +} +#else +__ai uint32x4_t vcombine_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x4_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 1, 2, 3); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint32x4_t __noswap_vcombine_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcombine_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1); + return __ret; +} +#else +__ai uint64x2_t vcombine_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vcombine_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7); + return __ret; +} +#else +__ai uint16x8_t vcombine_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x8_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 1, 2, 3, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint16x8_t __noswap_vcombine_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vcombine_s8(int8x8_t __p0, int8x8_t __p1) { + int8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15); + return __ret; +} +#else +__ai int8x16_t vcombine_s8(int8x8_t __p0, int8x8_t __p1) { + int8x16_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int8x16_t __noswap_vcombine_s8(int8x8_t __p0, int8x8_t __p1) { + int8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vcombine_f32(float32x2_t __p0, float32x2_t __p1) { + float32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3); + return __ret; +} +#else +__ai float32x4_t vcombine_f32(float32x2_t __p0, float32x2_t __p1) { + float32x4_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 1, 2, 3); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai float32x4_t __noswap_vcombine_f32(float32x2_t __p0, float32x2_t __p1) { + float32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float16x8_t vcombine_f16(float16x4_t __p0, float16x4_t __p1) { + float16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7); + return __ret; +} +#else +__ai float16x8_t vcombine_f16(float16x4_t __p0, float16x4_t __p1) { + float16x8_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 1, 2, 3, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai float16x8_t __noswap_vcombine_f16(float16x4_t __p0, float16x4_t __p1) { + float16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vcombine_s32(int32x2_t __p0, int32x2_t __p1) { + int32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3); + return __ret; +} +#else +__ai int32x4_t vcombine_s32(int32x2_t __p0, int32x2_t __p1) { + int32x4_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 1, 2, 3); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vcombine_s32(int32x2_t __p0, int32x2_t __p1) { + int32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vcombine_s64(int64x1_t __p0, int64x1_t __p1) { + int64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1); + return __ret; +} +#else +__ai int64x2_t vcombine_s64(int64x1_t __p0, int64x1_t __p1) { + int64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vcombine_s16(int16x4_t __p0, int16x4_t __p1) { + int16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7); + return __ret; +} +#else +__ai int16x8_t vcombine_s16(int16x4_t __p0, int16x4_t __p1) { + int16x8_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 1, 2, 3, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int16x8_t __noswap_vcombine_s16(int16x4_t __p0, int16x4_t __p1) { + int16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7); + return __ret; +} +#endif + +#define vcreate_p8(__p0) __extension__ ({ \ + poly8x8_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (poly8x8_t)(__promote); \ + __ret; \ +}) +#define vcreate_p16(__p0) __extension__ ({ \ + poly16x4_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (poly16x4_t)(__promote); \ + __ret; \ +}) +#define vcreate_u8(__p0) __extension__ ({ \ + uint8x8_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (uint8x8_t)(__promote); \ + __ret; \ +}) +#define vcreate_u32(__p0) __extension__ ({ \ + uint32x2_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (uint32x2_t)(__promote); \ + __ret; \ +}) +#define vcreate_u64(__p0) __extension__ ({ \ + uint64x1_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (uint64x1_t)(__promote); \ + __ret; \ +}) +#define vcreate_u16(__p0) __extension__ ({ \ + uint16x4_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (uint16x4_t)(__promote); \ + __ret; \ +}) +#define vcreate_s8(__p0) __extension__ ({ \ + int8x8_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (int8x8_t)(__promote); \ + __ret; \ +}) +#define vcreate_f32(__p0) __extension__ ({ \ + float32x2_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (float32x2_t)(__promote); \ + __ret; \ +}) +#define vcreate_f16(__p0) __extension__ ({ \ + float16x4_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (float16x4_t)(__promote); \ + __ret; \ +}) +#define vcreate_s32(__p0) __extension__ ({ \ + int32x2_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (int32x2_t)(__promote); \ + __ret; \ +}) +#define vcreate_s64(__p0) __extension__ ({ \ + int64x1_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (int64x1_t)(__promote); \ + __ret; \ +}) +#define vcreate_s16(__p0) __extension__ ({ \ + int16x4_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (int16x4_t)(__promote); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vcvtq_f32_u32(uint32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcvtq_f32_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai float32x4_t vcvtq_f32_u32(uint32x4_t __p0) { + float32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vcvtq_f32_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vcvtq_f32_s32(int32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcvtq_f32_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai float32x4_t vcvtq_f32_s32(int32x4_t __p0) { + float32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vcvtq_f32_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vcvt_f32_u32(uint32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcvt_f32_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai float32x2_t vcvt_f32_u32(uint32x2_t __p0) { + float32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vcvt_f32_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vcvt_f32_s32(int32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcvt_f32_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai float32x2_t vcvt_f32_s32(int32x2_t __p0) { + float32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vcvt_f32_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvtq_n_f32_u32(__p0, __p1) __extension__ ({ \ + float32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (float32x4_t) __builtin_neon_vcvtq_n_f32_v((int8x16_t)__s0, __p1, 50); \ + __ret; \ +}) +#else +#define vcvtq_n_f32_u32(__p0, __p1) __extension__ ({ \ + float32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float32x4_t) __builtin_neon_vcvtq_n_f32_v((int8x16_t)__rev0, __p1, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvtq_n_f32_s32(__p0, __p1) __extension__ ({ \ + float32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (float32x4_t) __builtin_neon_vcvtq_n_f32_v((int8x16_t)__s0, __p1, 34); \ + __ret; \ +}) +#else +#define vcvtq_n_f32_s32(__p0, __p1) __extension__ ({ \ + float32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float32x4_t) __builtin_neon_vcvtq_n_f32_v((int8x16_t)__rev0, __p1, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvt_n_f32_u32(__p0, __p1) __extension__ ({ \ + float32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (float32x2_t) __builtin_neon_vcvt_n_f32_v((int8x8_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vcvt_n_f32_u32(__p0, __p1) __extension__ ({ \ + float32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (float32x2_t) __builtin_neon_vcvt_n_f32_v((int8x8_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvt_n_f32_s32(__p0, __p1) __extension__ ({ \ + float32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (float32x2_t) __builtin_neon_vcvt_n_f32_v((int8x8_t)__s0, __p1, 2); \ + __ret; \ +}) +#else +#define vcvt_n_f32_s32(__p0, __p1) __extension__ ({ \ + float32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (float32x2_t) __builtin_neon_vcvt_n_f32_v((int8x8_t)__rev0, __p1, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvtq_n_s32_f32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + __ret = (int32x4_t) __builtin_neon_vcvtq_n_s32_v((int8x16_t)__s0, __p1, 34); \ + __ret; \ +}) +#else +#define vcvtq_n_s32_f32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vcvtq_n_s32_v((int8x16_t)__rev0, __p1, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvt_n_s32_f32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vcvt_n_s32_v((int8x8_t)__s0, __p1, 2); \ + __ret; \ +}) +#else +#define vcvt_n_s32_f32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vcvt_n_s32_v((int8x8_t)__rev0, __p1, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvtq_n_u32_f32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + __ret = (uint32x4_t) __builtin_neon_vcvtq_n_u32_v((int8x16_t)__s0, __p1, 50); \ + __ret; \ +}) +#else +#define vcvtq_n_u32_f32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vcvtq_n_u32_v((int8x16_t)__rev0, __p1, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvt_n_u32_f32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vcvt_n_u32_v((int8x8_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vcvt_n_u32_f32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vcvt_n_u32_v((int8x8_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vcvtq_s32_f32(float32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vcvtq_s32_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vcvtq_s32_f32(float32x4_t __p0) { + int32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vcvtq_s32_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vcvt_s32_f32(float32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vcvt_s32_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vcvt_s32_f32(float32x2_t __p0) { + int32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vcvt_s32_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcvtq_u32_f32(float32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcvtq_u32_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vcvtq_u32_f32(float32x4_t __p0) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcvtq_u32_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcvt_u32_f32(float32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcvt_u32_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vcvt_u32_f32(float32x2_t __p0) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcvt_u32_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_lane_p8(__p0_0, __p1_0) __extension__ ({ \ + poly8x8_t __ret_0; \ + poly8x8_t __s0_0 = __p0_0; \ + __ret_0 = splat_lane_p8(__s0_0, __p1_0); \ + __ret_0; \ +}) +#else +#define vdup_lane_p8(__p0_1, __p1_1) __extension__ ({ \ + poly8x8_t __ret_1; \ + poly8x8_t __s0_1 = __p0_1; \ + poly8x8_t __rev0_1; __rev0_1 = __builtin_shufflevector(__s0_1, __s0_1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_1 = __noswap_splat_lane_p8(__rev0_1, __p1_1); \ + __ret_1 = __builtin_shufflevector(__ret_1, __ret_1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_1; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_lane_p16(__p0_2, __p1_2) __extension__ ({ \ + poly16x4_t __ret_2; \ + poly16x4_t __s0_2 = __p0_2; \ + __ret_2 = splat_lane_p16(__s0_2, __p1_2); \ + __ret_2; \ +}) +#else +#define vdup_lane_p16(__p0_3, __p1_3) __extension__ ({ \ + poly16x4_t __ret_3; \ + poly16x4_t __s0_3 = __p0_3; \ + poly16x4_t __rev0_3; __rev0_3 = __builtin_shufflevector(__s0_3, __s0_3, 3, 2, 1, 0); \ + __ret_3 = __noswap_splat_lane_p16(__rev0_3, __p1_3); \ + __ret_3 = __builtin_shufflevector(__ret_3, __ret_3, 3, 2, 1, 0); \ + __ret_3; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_p8(__p0_4, __p1_4) __extension__ ({ \ + poly8x16_t __ret_4; \ + poly8x8_t __s0_4 = __p0_4; \ + __ret_4 = splatq_lane_p8(__s0_4, __p1_4); \ + __ret_4; \ +}) +#else +#define vdupq_lane_p8(__p0_5, __p1_5) __extension__ ({ \ + poly8x16_t __ret_5; \ + poly8x8_t __s0_5 = __p0_5; \ + poly8x8_t __rev0_5; __rev0_5 = __builtin_shufflevector(__s0_5, __s0_5, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_5 = __noswap_splatq_lane_p8(__rev0_5, __p1_5); \ + __ret_5 = __builtin_shufflevector(__ret_5, __ret_5, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_5; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_p16(__p0_6, __p1_6) __extension__ ({ \ + poly16x8_t __ret_6; \ + poly16x4_t __s0_6 = __p0_6; \ + __ret_6 = splatq_lane_p16(__s0_6, __p1_6); \ + __ret_6; \ +}) +#else +#define vdupq_lane_p16(__p0_7, __p1_7) __extension__ ({ \ + poly16x8_t __ret_7; \ + poly16x4_t __s0_7 = __p0_7; \ + poly16x4_t __rev0_7; __rev0_7 = __builtin_shufflevector(__s0_7, __s0_7, 3, 2, 1, 0); \ + __ret_7 = __noswap_splatq_lane_p16(__rev0_7, __p1_7); \ + __ret_7 = __builtin_shufflevector(__ret_7, __ret_7, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_7; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_u8(__p0_8, __p1_8) __extension__ ({ \ + uint8x16_t __ret_8; \ + uint8x8_t __s0_8 = __p0_8; \ + __ret_8 = splatq_lane_u8(__s0_8, __p1_8); \ + __ret_8; \ +}) +#else +#define vdupq_lane_u8(__p0_9, __p1_9) __extension__ ({ \ + uint8x16_t __ret_9; \ + uint8x8_t __s0_9 = __p0_9; \ + uint8x8_t __rev0_9; __rev0_9 = __builtin_shufflevector(__s0_9, __s0_9, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_9 = __noswap_splatq_lane_u8(__rev0_9, __p1_9); \ + __ret_9 = __builtin_shufflevector(__ret_9, __ret_9, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_9; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_u32(__p0_10, __p1_10) __extension__ ({ \ + uint32x4_t __ret_10; \ + uint32x2_t __s0_10 = __p0_10; \ + __ret_10 = splatq_lane_u32(__s0_10, __p1_10); \ + __ret_10; \ +}) +#else +#define vdupq_lane_u32(__p0_11, __p1_11) __extension__ ({ \ + uint32x4_t __ret_11; \ + uint32x2_t __s0_11 = __p0_11; \ + uint32x2_t __rev0_11; __rev0_11 = __builtin_shufflevector(__s0_11, __s0_11, 1, 0); \ + __ret_11 = __noswap_splatq_lane_u32(__rev0_11, __p1_11); \ + __ret_11 = __builtin_shufflevector(__ret_11, __ret_11, 3, 2, 1, 0); \ + __ret_11; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_u64(__p0_12, __p1_12) __extension__ ({ \ + uint64x2_t __ret_12; \ + uint64x1_t __s0_12 = __p0_12; \ + __ret_12 = splatq_lane_u64(__s0_12, __p1_12); \ + __ret_12; \ +}) +#else +#define vdupq_lane_u64(__p0_13, __p1_13) __extension__ ({ \ + uint64x2_t __ret_13; \ + uint64x1_t __s0_13 = __p0_13; \ + __ret_13 = __noswap_splatq_lane_u64(__s0_13, __p1_13); \ + __ret_13 = __builtin_shufflevector(__ret_13, __ret_13, 1, 0); \ + __ret_13; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_u16(__p0_14, __p1_14) __extension__ ({ \ + uint16x8_t __ret_14; \ + uint16x4_t __s0_14 = __p0_14; \ + __ret_14 = splatq_lane_u16(__s0_14, __p1_14); \ + __ret_14; \ +}) +#else +#define vdupq_lane_u16(__p0_15, __p1_15) __extension__ ({ \ + uint16x8_t __ret_15; \ + uint16x4_t __s0_15 = __p0_15; \ + uint16x4_t __rev0_15; __rev0_15 = __builtin_shufflevector(__s0_15, __s0_15, 3, 2, 1, 0); \ + __ret_15 = __noswap_splatq_lane_u16(__rev0_15, __p1_15); \ + __ret_15 = __builtin_shufflevector(__ret_15, __ret_15, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_15; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_s8(__p0_16, __p1_16) __extension__ ({ \ + int8x16_t __ret_16; \ + int8x8_t __s0_16 = __p0_16; \ + __ret_16 = splatq_lane_s8(__s0_16, __p1_16); \ + __ret_16; \ +}) +#else +#define vdupq_lane_s8(__p0_17, __p1_17) __extension__ ({ \ + int8x16_t __ret_17; \ + int8x8_t __s0_17 = __p0_17; \ + int8x8_t __rev0_17; __rev0_17 = __builtin_shufflevector(__s0_17, __s0_17, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_17 = __noswap_splatq_lane_s8(__rev0_17, __p1_17); \ + __ret_17 = __builtin_shufflevector(__ret_17, __ret_17, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_17; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_f32(__p0_18, __p1_18) __extension__ ({ \ + float32x4_t __ret_18; \ + float32x2_t __s0_18 = __p0_18; \ + __ret_18 = splatq_lane_f32(__s0_18, __p1_18); \ + __ret_18; \ +}) +#else +#define vdupq_lane_f32(__p0_19, __p1_19) __extension__ ({ \ + float32x4_t __ret_19; \ + float32x2_t __s0_19 = __p0_19; \ + float32x2_t __rev0_19; __rev0_19 = __builtin_shufflevector(__s0_19, __s0_19, 1, 0); \ + __ret_19 = __noswap_splatq_lane_f32(__rev0_19, __p1_19); \ + __ret_19 = __builtin_shufflevector(__ret_19, __ret_19, 3, 2, 1, 0); \ + __ret_19; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_f16(__p0_20, __p1_20) __extension__ ({ \ + float16x8_t __ret_20; \ + float16x4_t __s0_20 = __p0_20; \ + __ret_20 = splatq_lane_f16(__s0_20, __p1_20); \ + __ret_20; \ +}) +#else +#define vdupq_lane_f16(__p0_21, __p1_21) __extension__ ({ \ + float16x8_t __ret_21; \ + float16x4_t __s0_21 = __p0_21; \ + float16x4_t __rev0_21; __rev0_21 = __builtin_shufflevector(__s0_21, __s0_21, 3, 2, 1, 0); \ + __ret_21 = __noswap_splatq_lane_f16(__rev0_21, __p1_21); \ + __ret_21 = __builtin_shufflevector(__ret_21, __ret_21, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_21; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_s32(__p0_22, __p1_22) __extension__ ({ \ + int32x4_t __ret_22; \ + int32x2_t __s0_22 = __p0_22; \ + __ret_22 = splatq_lane_s32(__s0_22, __p1_22); \ + __ret_22; \ +}) +#else +#define vdupq_lane_s32(__p0_23, __p1_23) __extension__ ({ \ + int32x4_t __ret_23; \ + int32x2_t __s0_23 = __p0_23; \ + int32x2_t __rev0_23; __rev0_23 = __builtin_shufflevector(__s0_23, __s0_23, 1, 0); \ + __ret_23 = __noswap_splatq_lane_s32(__rev0_23, __p1_23); \ + __ret_23 = __builtin_shufflevector(__ret_23, __ret_23, 3, 2, 1, 0); \ + __ret_23; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_s64(__p0_24, __p1_24) __extension__ ({ \ + int64x2_t __ret_24; \ + int64x1_t __s0_24 = __p0_24; \ + __ret_24 = splatq_lane_s64(__s0_24, __p1_24); \ + __ret_24; \ +}) +#else +#define vdupq_lane_s64(__p0_25, __p1_25) __extension__ ({ \ + int64x2_t __ret_25; \ + int64x1_t __s0_25 = __p0_25; \ + __ret_25 = __noswap_splatq_lane_s64(__s0_25, __p1_25); \ + __ret_25 = __builtin_shufflevector(__ret_25, __ret_25, 1, 0); \ + __ret_25; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_s16(__p0_26, __p1_26) __extension__ ({ \ + int16x8_t __ret_26; \ + int16x4_t __s0_26 = __p0_26; \ + __ret_26 = splatq_lane_s16(__s0_26, __p1_26); \ + __ret_26; \ +}) +#else +#define vdupq_lane_s16(__p0_27, __p1_27) __extension__ ({ \ + int16x8_t __ret_27; \ + int16x4_t __s0_27 = __p0_27; \ + int16x4_t __rev0_27; __rev0_27 = __builtin_shufflevector(__s0_27, __s0_27, 3, 2, 1, 0); \ + __ret_27 = __noswap_splatq_lane_s16(__rev0_27, __p1_27); \ + __ret_27 = __builtin_shufflevector(__ret_27, __ret_27, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_27; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_lane_u8(__p0_28, __p1_28) __extension__ ({ \ + uint8x8_t __ret_28; \ + uint8x8_t __s0_28 = __p0_28; \ + __ret_28 = splat_lane_u8(__s0_28, __p1_28); \ + __ret_28; \ +}) +#else +#define vdup_lane_u8(__p0_29, __p1_29) __extension__ ({ \ + uint8x8_t __ret_29; \ + uint8x8_t __s0_29 = __p0_29; \ + uint8x8_t __rev0_29; __rev0_29 = __builtin_shufflevector(__s0_29, __s0_29, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_29 = __noswap_splat_lane_u8(__rev0_29, __p1_29); \ + __ret_29 = __builtin_shufflevector(__ret_29, __ret_29, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_29; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_lane_u32(__p0_30, __p1_30) __extension__ ({ \ + uint32x2_t __ret_30; \ + uint32x2_t __s0_30 = __p0_30; \ + __ret_30 = splat_lane_u32(__s0_30, __p1_30); \ + __ret_30; \ +}) +#else +#define vdup_lane_u32(__p0_31, __p1_31) __extension__ ({ \ + uint32x2_t __ret_31; \ + uint32x2_t __s0_31 = __p0_31; \ + uint32x2_t __rev0_31; __rev0_31 = __builtin_shufflevector(__s0_31, __s0_31, 1, 0); \ + __ret_31 = __noswap_splat_lane_u32(__rev0_31, __p1_31); \ + __ret_31 = __builtin_shufflevector(__ret_31, __ret_31, 1, 0); \ + __ret_31; \ +}) +#endif + +#define vdup_lane_u64(__p0_32, __p1_32) __extension__ ({ \ + uint64x1_t __ret_32; \ + uint64x1_t __s0_32 = __p0_32; \ + __ret_32 = splat_lane_u64(__s0_32, __p1_32); \ + __ret_32; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vdup_lane_u16(__p0_33, __p1_33) __extension__ ({ \ + uint16x4_t __ret_33; \ + uint16x4_t __s0_33 = __p0_33; \ + __ret_33 = splat_lane_u16(__s0_33, __p1_33); \ + __ret_33; \ +}) +#else +#define vdup_lane_u16(__p0_34, __p1_34) __extension__ ({ \ + uint16x4_t __ret_34; \ + uint16x4_t __s0_34 = __p0_34; \ + uint16x4_t __rev0_34; __rev0_34 = __builtin_shufflevector(__s0_34, __s0_34, 3, 2, 1, 0); \ + __ret_34 = __noswap_splat_lane_u16(__rev0_34, __p1_34); \ + __ret_34 = __builtin_shufflevector(__ret_34, __ret_34, 3, 2, 1, 0); \ + __ret_34; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_lane_s8(__p0_35, __p1_35) __extension__ ({ \ + int8x8_t __ret_35; \ + int8x8_t __s0_35 = __p0_35; \ + __ret_35 = splat_lane_s8(__s0_35, __p1_35); \ + __ret_35; \ +}) +#else +#define vdup_lane_s8(__p0_36, __p1_36) __extension__ ({ \ + int8x8_t __ret_36; \ + int8x8_t __s0_36 = __p0_36; \ + int8x8_t __rev0_36; __rev0_36 = __builtin_shufflevector(__s0_36, __s0_36, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_36 = __noswap_splat_lane_s8(__rev0_36, __p1_36); \ + __ret_36 = __builtin_shufflevector(__ret_36, __ret_36, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_36; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_lane_f32(__p0_37, __p1_37) __extension__ ({ \ + float32x2_t __ret_37; \ + float32x2_t __s0_37 = __p0_37; \ + __ret_37 = splat_lane_f32(__s0_37, __p1_37); \ + __ret_37; \ +}) +#else +#define vdup_lane_f32(__p0_38, __p1_38) __extension__ ({ \ + float32x2_t __ret_38; \ + float32x2_t __s0_38 = __p0_38; \ + float32x2_t __rev0_38; __rev0_38 = __builtin_shufflevector(__s0_38, __s0_38, 1, 0); \ + __ret_38 = __noswap_splat_lane_f32(__rev0_38, __p1_38); \ + __ret_38 = __builtin_shufflevector(__ret_38, __ret_38, 1, 0); \ + __ret_38; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_lane_f16(__p0_39, __p1_39) __extension__ ({ \ + float16x4_t __ret_39; \ + float16x4_t __s0_39 = __p0_39; \ + __ret_39 = splat_lane_f16(__s0_39, __p1_39); \ + __ret_39; \ +}) +#else +#define vdup_lane_f16(__p0_40, __p1_40) __extension__ ({ \ + float16x4_t __ret_40; \ + float16x4_t __s0_40 = __p0_40; \ + float16x4_t __rev0_40; __rev0_40 = __builtin_shufflevector(__s0_40, __s0_40, 3, 2, 1, 0); \ + __ret_40 = __noswap_splat_lane_f16(__rev0_40, __p1_40); \ + __ret_40 = __builtin_shufflevector(__ret_40, __ret_40, 3, 2, 1, 0); \ + __ret_40; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_lane_s32(__p0_41, __p1_41) __extension__ ({ \ + int32x2_t __ret_41; \ + int32x2_t __s0_41 = __p0_41; \ + __ret_41 = splat_lane_s32(__s0_41, __p1_41); \ + __ret_41; \ +}) +#else +#define vdup_lane_s32(__p0_42, __p1_42) __extension__ ({ \ + int32x2_t __ret_42; \ + int32x2_t __s0_42 = __p0_42; \ + int32x2_t __rev0_42; __rev0_42 = __builtin_shufflevector(__s0_42, __s0_42, 1, 0); \ + __ret_42 = __noswap_splat_lane_s32(__rev0_42, __p1_42); \ + __ret_42 = __builtin_shufflevector(__ret_42, __ret_42, 1, 0); \ + __ret_42; \ +}) +#endif + +#define vdup_lane_s64(__p0_43, __p1_43) __extension__ ({ \ + int64x1_t __ret_43; \ + int64x1_t __s0_43 = __p0_43; \ + __ret_43 = splat_lane_s64(__s0_43, __p1_43); \ + __ret_43; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vdup_lane_s16(__p0_44, __p1_44) __extension__ ({ \ + int16x4_t __ret_44; \ + int16x4_t __s0_44 = __p0_44; \ + __ret_44 = splat_lane_s16(__s0_44, __p1_44); \ + __ret_44; \ +}) +#else +#define vdup_lane_s16(__p0_45, __p1_45) __extension__ ({ \ + int16x4_t __ret_45; \ + int16x4_t __s0_45 = __p0_45; \ + int16x4_t __rev0_45; __rev0_45 = __builtin_shufflevector(__s0_45, __s0_45, 3, 2, 1, 0); \ + __ret_45 = __noswap_splat_lane_s16(__rev0_45, __p1_45); \ + __ret_45 = __builtin_shufflevector(__ret_45, __ret_45, 3, 2, 1, 0); \ + __ret_45; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vdup_n_p8(poly8_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai poly8x8_t vdup_n_p8(poly8_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vdup_n_p16(poly16_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai poly16x4_t vdup_n_p16(poly16_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vdupq_n_p8(poly8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai poly8x16_t vdupq_n_p8(poly8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vdupq_n_p16(poly16_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai poly16x8_t vdupq_n_p16(poly16_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vdupq_n_u8(uint8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai uint8x16_t vdupq_n_u8(uint8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vdupq_n_u32(uint32_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai uint32x4_t vdupq_n_u32(uint32_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vdupq_n_u64(uint64_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai uint64x2_t vdupq_n_u64(uint64_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vdupq_n_u16(uint16_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai uint16x8_t vdupq_n_u16(uint16_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vdupq_n_s8(int8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai int8x16_t vdupq_n_s8(int8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vdupq_n_f32(float32_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai float32x4_t vdupq_n_f32(float32_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_n_f16(__p0) __extension__ ({ \ + float16x8_t __ret; \ + float16_t __s0 = __p0; \ + __ret = (float16x8_t) {__s0, __s0, __s0, __s0, __s0, __s0, __s0, __s0}; \ + __ret; \ +}) +#else +#define vdupq_n_f16(__p0) __extension__ ({ \ + float16x8_t __ret; \ + float16_t __s0 = __p0; \ + __ret = (float16x8_t) {__s0, __s0, __s0, __s0, __s0, __s0, __s0, __s0}; \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vdupq_n_s32(int32_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai int32x4_t vdupq_n_s32(int32_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vdupq_n_s64(int64_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai int64x2_t vdupq_n_s64(int64_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vdupq_n_s16(int16_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai int16x8_t vdupq_n_s16(int16_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vdup_n_u8(uint8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai uint8x8_t vdup_n_u8(uint8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vdup_n_u32(uint32_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai uint32x2_t vdup_n_u32(uint32_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vdup_n_u64(uint64_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) {__p0}; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vdup_n_u16(uint16_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai uint16x4_t vdup_n_u16(uint16_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vdup_n_s8(int8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai int8x8_t vdup_n_s8(int8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vdup_n_f32(float32_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai float32x2_t vdup_n_f32(float32_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_n_f16(__p0) __extension__ ({ \ + float16x4_t __ret; \ + float16_t __s0 = __p0; \ + __ret = (float16x4_t) {__s0, __s0, __s0, __s0}; \ + __ret; \ +}) +#else +#define vdup_n_f16(__p0) __extension__ ({ \ + float16x4_t __ret; \ + float16_t __s0 = __p0; \ + __ret = (float16x4_t) {__s0, __s0, __s0, __s0}; \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vdup_n_s32(int32_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai int32x2_t vdup_n_s32(int32_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vdup_n_s64(int64_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t) {__p0}; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vdup_n_s16(int16_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai int16x4_t vdup_n_s16(int16_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t veorq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai uint8x16_t veorq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t veorq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai uint32x4_t veorq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t veorq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai uint64x2_t veorq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t veorq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai uint16x8_t veorq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t veorq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai int8x16_t veorq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t veorq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai int32x4_t veorq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t veorq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai int64x2_t veorq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t veorq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai int16x8_t veorq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t veor_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai uint8x8_t veor_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t veor_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai uint32x2_t veor_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t veor_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t veor_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai uint16x4_t veor_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t veor_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai int8x8_t veor_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t veor_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai int32x2_t veor_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t veor_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t veor_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __p0 ^ __p1; + return __ret; +} +#else +__ai int16x4_t veor_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 ^ __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vext_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x8_t __s0 = __p0; \ + poly8x8_t __s1 = __p1; \ + __ret = (poly8x8_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 4); \ + __ret; \ +}) +#else +#define vext_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x8_t __s0 = __p0; \ + poly8x8_t __s1 = __p1; \ + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x8_t) __builtin_neon_vext_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 4); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vext_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x4_t __s0 = __p0; \ + poly16x4_t __s1 = __p1; \ + __ret = (poly16x4_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 5); \ + __ret; \ +}) +#else +#define vext_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x4_t __s0 = __p0; \ + poly16x4_t __s1 = __p1; \ + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (poly16x4_t) __builtin_neon_vext_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 5); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x16_t __s0 = __p0; \ + poly8x16_t __s1 = __p1; \ + __ret = (poly8x16_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 36); \ + __ret; \ +}) +#else +#define vextq_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x16_t __s0 = __p0; \ + poly8x16_t __s1 = __p1; \ + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x16_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 36); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x8_t __s0 = __p0; \ + poly16x8_t __s1 = __p1; \ + __ret = (poly16x8_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 37); \ + __ret; \ +}) +#else +#define vextq_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x8_t __s0 = __p0; \ + poly16x8_t __s1 = __p1; \ + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly16x8_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 37); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + __ret = (uint8x16_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 48); \ + __ret; \ +}) +#else +#define vextq_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + __ret = (uint32x4_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 50); \ + __ret; \ +}) +#else +#define vextq_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + __ret = (uint64x2_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 51); \ + __ret; \ +}) +#else +#define vextq_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + __ret = (uint16x8_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 49); \ + __ret; \ +}) +#else +#define vextq_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + __ret = (int8x16_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 32); \ + __ret; \ +}) +#else +#define vextq_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __s1 = __p1; \ + __ret = (float32x4_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 41); \ + __ret; \ +}) +#else +#define vextq_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __s1 = __p1; \ + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (float32x4_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 41); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + __ret = (int32x4_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 34); \ + __ret; \ +}) +#else +#define vextq_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + __ret = (int64x2_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 35); \ + __ret; \ +}) +#else +#define vextq_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + __ret = (int16x8_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 33); \ + __ret; \ +}) +#else +#define vextq_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vext_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + __ret = (uint8x8_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 16); \ + __ret; \ +}) +#else +#define vext_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vext_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vext_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + __ret = (uint32x2_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 18); \ + __ret; \ +}) +#else +#define vext_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vext_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vext_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x1_t __s0 = __p0; \ + uint64x1_t __s1 = __p1; \ + __ret = (uint64x1_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vext_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + __ret = (uint16x4_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 17); \ + __ret; \ +}) +#else +#define vext_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vext_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vext_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + __ret = (int8x8_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 0); \ + __ret; \ +}) +#else +#define vext_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vext_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vext_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __s1 = __p1; \ + __ret = (float32x2_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 9); \ + __ret; \ +}) +#else +#define vext_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __s1 = __p1; \ + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (float32x2_t) __builtin_neon_vext_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 9); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vext_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + __ret = (int32x2_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 2); \ + __ret; \ +}) +#else +#define vext_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vext_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vext_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1_t __ret; \ + int64x1_t __s0 = __p0; \ + int64x1_t __s1 = __p1; \ + __ret = (int64x1_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vext_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + __ret = (int16x4_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 1); \ + __ret; \ +}) +#else +#define vext_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vext_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vget_high_p8(poly8x16_t __p0) { + poly8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 8, 9, 10, 11, 12, 13, 14, 15); + return __ret; +} +#else +__ai poly8x8_t vget_high_p8(poly8x16_t __p0) { + poly8x8_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 8, 9, 10, 11, 12, 13, 14, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai poly8x8_t __noswap_vget_high_p8(poly8x16_t __p0) { + poly8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 8, 9, 10, 11, 12, 13, 14, 15); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vget_high_p16(poly16x8_t __p0) { + poly16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 4, 5, 6, 7); + return __ret; +} +#else +__ai poly16x4_t vget_high_p16(poly16x8_t __p0) { + poly16x4_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vget_high_u8(uint8x16_t __p0) { + uint8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 8, 9, 10, 11, 12, 13, 14, 15); + return __ret; +} +#else +__ai uint8x8_t vget_high_u8(uint8x16_t __p0) { + uint8x8_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 8, 9, 10, 11, 12, 13, 14, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint8x8_t __noswap_vget_high_u8(uint8x16_t __p0) { + uint8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 8, 9, 10, 11, 12, 13, 14, 15); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vget_high_u32(uint32x4_t __p0) { + uint32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 2, 3); + return __ret; +} +#else +__ai uint32x2_t vget_high_u32(uint32x4_t __p0) { + uint32x2_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 2, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint32x2_t __noswap_vget_high_u32(uint32x4_t __p0) { + uint32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 2, 3); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x1_t vget_high_u64(uint64x2_t __p0) { + uint64x1_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1); + return __ret; +} +#else +__ai uint64x1_t vget_high_u64(uint64x2_t __p0) { + uint64x1_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vget_high_u16(uint16x8_t __p0) { + uint16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 4, 5, 6, 7); + return __ret; +} +#else +__ai uint16x4_t vget_high_u16(uint16x8_t __p0) { + uint16x4_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint16x4_t __noswap_vget_high_u16(uint16x8_t __p0) { + uint16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 4, 5, 6, 7); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vget_high_s8(int8x16_t __p0) { + int8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 8, 9, 10, 11, 12, 13, 14, 15); + return __ret; +} +#else +__ai int8x8_t vget_high_s8(int8x16_t __p0) { + int8x8_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 8, 9, 10, 11, 12, 13, 14, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int8x8_t __noswap_vget_high_s8(int8x16_t __p0) { + int8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 8, 9, 10, 11, 12, 13, 14, 15); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vget_high_f32(float32x4_t __p0) { + float32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 2, 3); + return __ret; +} +#else +__ai float32x2_t vget_high_f32(float32x4_t __p0) { + float32x2_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 2, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai float32x2_t __noswap_vget_high_f32(float32x4_t __p0) { + float32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 2, 3); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float16x4_t vget_high_f16(float16x8_t __p0) { + float16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 4, 5, 6, 7); + return __ret; +} +#else +__ai float16x4_t vget_high_f16(float16x8_t __p0) { + float16x4_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai float16x4_t __noswap_vget_high_f16(float16x8_t __p0) { + float16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 4, 5, 6, 7); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vget_high_s32(int32x4_t __p0) { + int32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 2, 3); + return __ret; +} +#else +__ai int32x2_t vget_high_s32(int32x4_t __p0) { + int32x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 2, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int32x2_t __noswap_vget_high_s32(int32x4_t __p0) { + int32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 2, 3); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x1_t vget_high_s64(int64x2_t __p0) { + int64x1_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1); + return __ret; +} +#else +__ai int64x1_t vget_high_s64(int64x2_t __p0) { + int64x1_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vget_high_s16(int16x8_t __p0) { + int16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 4, 5, 6, 7); + return __ret; +} +#else +__ai int16x4_t vget_high_s16(int16x8_t __p0) { + int16x4_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int16x4_t __noswap_vget_high_s16(int16x8_t __p0) { + int16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 4, 5, 6, 7); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vget_lane_p8(__p0, __p1) __extension__ ({ \ + poly8_t __ret; \ + poly8x8_t __s0 = __p0; \ + __ret = (poly8_t) __builtin_neon_vget_lane_i8((poly8x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vget_lane_p8(__p0, __p1) __extension__ ({ \ + poly8_t __ret; \ + poly8x8_t __s0 = __p0; \ + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8_t) __builtin_neon_vget_lane_i8((poly8x8_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vget_lane_p8(__p0, __p1) __extension__ ({ \ + poly8_t __ret; \ + poly8x8_t __s0 = __p0; \ + __ret = (poly8_t) __builtin_neon_vget_lane_i8((poly8x8_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vget_lane_p16(__p0, __p1) __extension__ ({ \ + poly16_t __ret; \ + poly16x4_t __s0 = __p0; \ + __ret = (poly16_t) __builtin_neon_vget_lane_i16((poly16x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vget_lane_p16(__p0, __p1) __extension__ ({ \ + poly16_t __ret; \ + poly16x4_t __s0 = __p0; \ + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (poly16_t) __builtin_neon_vget_lane_i16((poly16x4_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vget_lane_p16(__p0, __p1) __extension__ ({ \ + poly16_t __ret; \ + poly16x4_t __s0 = __p0; \ + __ret = (poly16_t) __builtin_neon_vget_lane_i16((poly16x4_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_p8(__p0, __p1) __extension__ ({ \ + poly8_t __ret; \ + poly8x16_t __s0 = __p0; \ + __ret = (poly8_t) __builtin_neon_vgetq_lane_i8((poly8x16_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_p8(__p0, __p1) __extension__ ({ \ + poly8_t __ret; \ + poly8x16_t __s0 = __p0; \ + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8_t) __builtin_neon_vgetq_lane_i8((poly8x16_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_p8(__p0, __p1) __extension__ ({ \ + poly8_t __ret; \ + poly8x16_t __s0 = __p0; \ + __ret = (poly8_t) __builtin_neon_vgetq_lane_i8((poly8x16_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_p16(__p0, __p1) __extension__ ({ \ + poly16_t __ret; \ + poly16x8_t __s0 = __p0; \ + __ret = (poly16_t) __builtin_neon_vgetq_lane_i16((poly16x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_p16(__p0, __p1) __extension__ ({ \ + poly16_t __ret; \ + poly16x8_t __s0 = __p0; \ + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly16_t) __builtin_neon_vgetq_lane_i16((poly16x8_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_p16(__p0, __p1) __extension__ ({ \ + poly16_t __ret; \ + poly16x8_t __s0 = __p0; \ + __ret = (poly16_t) __builtin_neon_vgetq_lane_i16((poly16x8_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_u8(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint8x16_t __s0 = __p0; \ + __ret = (uint8_t) __builtin_neon_vgetq_lane_i8((int8x16_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_u8(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8_t) __builtin_neon_vgetq_lane_i8((int8x16_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_u8(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint8x16_t __s0 = __p0; \ + __ret = (uint8_t) __builtin_neon_vgetq_lane_i8((int8x16_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_u32(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint32_t) __builtin_neon_vgetq_lane_i32((int32x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_u32(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint32_t) __builtin_neon_vgetq_lane_i32((int32x4_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_u32(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint32_t) __builtin_neon_vgetq_lane_i32((int32x4_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_u64(__p0, __p1) __extension__ ({ \ + uint64_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint64_t) __builtin_neon_vgetq_lane_i64((int64x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_u64(__p0, __p1) __extension__ ({ \ + uint64_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint64_t) __builtin_neon_vgetq_lane_i64((int64x2_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_u64(__p0, __p1) __extension__ ({ \ + uint64_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint64_t) __builtin_neon_vgetq_lane_i64((int64x2_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_u16(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint16_t) __builtin_neon_vgetq_lane_i16((int16x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_u16(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16_t) __builtin_neon_vgetq_lane_i16((int16x8_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_u16(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint16_t) __builtin_neon_vgetq_lane_i16((int16x8_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_s8(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int8x16_t __s0 = __p0; \ + __ret = (int8_t) __builtin_neon_vgetq_lane_i8((int8x16_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_s8(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8_t) __builtin_neon_vgetq_lane_i8((int8x16_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_s8(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int8x16_t __s0 = __p0; \ + __ret = (int8_t) __builtin_neon_vgetq_lane_i8((int8x16_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_f32(__p0, __p1) __extension__ ({ \ + float32_t __ret; \ + float32x4_t __s0 = __p0; \ + __ret = (float32_t) __builtin_neon_vgetq_lane_f32((float32x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_f32(__p0, __p1) __extension__ ({ \ + float32_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float32_t) __builtin_neon_vgetq_lane_f32((float32x4_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_f32(__p0, __p1) __extension__ ({ \ + float32_t __ret; \ + float32x4_t __s0 = __p0; \ + __ret = (float32_t) __builtin_neon_vgetq_lane_f32((float32x4_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_s32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int32_t) __builtin_neon_vgetq_lane_i32((int32x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_s32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int32_t) __builtin_neon_vgetq_lane_i32((int32x4_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_s32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int32_t) __builtin_neon_vgetq_lane_i32((int32x4_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_s64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int64_t) __builtin_neon_vgetq_lane_i64((int64x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_s64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int64_t) __builtin_neon_vgetq_lane_i64((int64x2_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_s64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int64_t) __builtin_neon_vgetq_lane_i64((int64x2_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_s16(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int16_t) __builtin_neon_vgetq_lane_i16((int16x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_s16(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16_t) __builtin_neon_vgetq_lane_i16((int16x8_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_s16(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int16_t) __builtin_neon_vgetq_lane_i16((int16x8_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vget_lane_u8(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint8_t) __builtin_neon_vget_lane_i8((int8x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vget_lane_u8(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8_t) __builtin_neon_vget_lane_i8((int8x8_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vget_lane_u8(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint8_t) __builtin_neon_vget_lane_i8((int8x8_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vget_lane_u32(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint32_t) __builtin_neon_vget_lane_i32((int32x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vget_lane_u32(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32_t) __builtin_neon_vget_lane_i32((int32x2_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vget_lane_u32(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint32_t) __builtin_neon_vget_lane_i32((int32x2_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#define vget_lane_u64(__p0, __p1) __extension__ ({ \ + uint64_t __ret; \ + uint64x1_t __s0 = __p0; \ + __ret = (uint64_t) __builtin_neon_vget_lane_i64((int64x1_t)__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vget_lane_u16(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint16_t) __builtin_neon_vget_lane_i16((int16x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vget_lane_u16(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16_t) __builtin_neon_vget_lane_i16((int16x4_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vget_lane_u16(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint16_t) __builtin_neon_vget_lane_i16((int16x4_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vget_lane_s8(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int8_t) __builtin_neon_vget_lane_i8((int8x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vget_lane_s8(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8_t) __builtin_neon_vget_lane_i8((int8x8_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vget_lane_s8(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int8_t) __builtin_neon_vget_lane_i8((int8x8_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vget_lane_f32(__p0, __p1) __extension__ ({ \ + float32_t __ret; \ + float32x2_t __s0 = __p0; \ + __ret = (float32_t) __builtin_neon_vget_lane_f32((float32x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vget_lane_f32(__p0, __p1) __extension__ ({ \ + float32_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (float32_t) __builtin_neon_vget_lane_f32((float32x2_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vget_lane_f32(__p0, __p1) __extension__ ({ \ + float32_t __ret; \ + float32x2_t __s0 = __p0; \ + __ret = (float32_t) __builtin_neon_vget_lane_f32((float32x2_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vget_lane_s32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int32_t) __builtin_neon_vget_lane_i32((int32x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vget_lane_s32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32_t) __builtin_neon_vget_lane_i32((int32x2_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vget_lane_s32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int32_t) __builtin_neon_vget_lane_i32((int32x2_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#define vget_lane_s64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + int64x1_t __s0 = __p0; \ + __ret = (int64_t) __builtin_neon_vget_lane_i64((int64x1_t)__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vget_lane_s16(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int16_t) __builtin_neon_vget_lane_i16((int16x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vget_lane_s16(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16_t) __builtin_neon_vget_lane_i16((int16x4_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vget_lane_s16(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int16_t) __builtin_neon_vget_lane_i16((int16x4_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vget_low_p8(poly8x16_t __p0) { + poly8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0, 1, 2, 3, 4, 5, 6, 7); + return __ret; +} +#else +__ai poly8x8_t vget_low_p8(poly8x16_t __p0) { + poly8x8_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0, 1, 2, 3, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vget_low_p16(poly16x8_t __p0) { + poly16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0, 1, 2, 3); + return __ret; +} +#else +__ai poly16x4_t vget_low_p16(poly16x8_t __p0) { + poly16x4_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0, 1, 2, 3); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vget_low_u8(uint8x16_t __p0) { + uint8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0, 1, 2, 3, 4, 5, 6, 7); + return __ret; +} +#else +__ai uint8x8_t vget_low_u8(uint8x16_t __p0) { + uint8x8_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0, 1, 2, 3, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vget_low_u32(uint32x4_t __p0) { + uint32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0, 1); + return __ret; +} +#else +__ai uint32x2_t vget_low_u32(uint32x4_t __p0) { + uint32x2_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x1_t vget_low_u64(uint64x2_t __p0) { + uint64x1_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0); + return __ret; +} +#else +__ai uint64x1_t vget_low_u64(uint64x2_t __p0) { + uint64x1_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vget_low_u16(uint16x8_t __p0) { + uint16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0, 1, 2, 3); + return __ret; +} +#else +__ai uint16x4_t vget_low_u16(uint16x8_t __p0) { + uint16x4_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0, 1, 2, 3); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vget_low_s8(int8x16_t __p0) { + int8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0, 1, 2, 3, 4, 5, 6, 7); + return __ret; +} +#else +__ai int8x8_t vget_low_s8(int8x16_t __p0) { + int8x8_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0, 1, 2, 3, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vget_low_f32(float32x4_t __p0) { + float32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0, 1); + return __ret; +} +#else +__ai float32x2_t vget_low_f32(float32x4_t __p0) { + float32x2_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float16x4_t vget_low_f16(float16x8_t __p0) { + float16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0, 1, 2, 3); + return __ret; +} +#else +__ai float16x4_t vget_low_f16(float16x8_t __p0) { + float16x4_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0, 1, 2, 3); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vget_low_s32(int32x4_t __p0) { + int32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0, 1); + return __ret; +} +#else +__ai int32x2_t vget_low_s32(int32x4_t __p0) { + int32x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x1_t vget_low_s64(int64x2_t __p0) { + int64x1_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0); + return __ret; +} +#else +__ai int64x1_t vget_low_s64(int64x2_t __p0) { + int64x1_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vget_low_s16(int16x8_t __p0) { + int16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0, 1, 2, 3); + return __ret; +} +#else +__ai int16x4_t vget_low_s16(int16x8_t __p0) { + int16x4_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0, 1, 2, 3); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vhaddq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vhaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vhaddq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vhaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vhaddq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vhaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vhaddq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vhaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vhaddq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vhaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vhaddq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vhaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vhaddq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vhaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vhaddq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vhaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vhaddq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vhaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vhaddq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vhaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vhaddq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vhaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vhaddq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vhaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vhadd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vhadd_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vhadd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vhadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vhadd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vhadd_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vhadd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vhadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vhadd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vhadd_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vhadd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vhadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vhadd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vhadd_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vhadd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vhadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vhadd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vhadd_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vhadd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vhadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vhadd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vhadd_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vhadd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vhadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vhsubq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vhsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vhsubq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vhsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vhsubq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vhsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vhsubq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vhsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vhsubq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vhsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vhsubq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vhsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vhsubq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vhsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vhsubq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vhsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vhsubq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vhsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vhsubq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vhsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vhsubq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vhsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vhsubq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vhsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vhsub_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vhsub_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vhsub_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vhsub_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vhsub_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vhsub_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vhsub_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vhsub_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vhsub_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vhsub_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vhsub_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vhsub_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vhsub_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vhsub_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vhsub_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vhsub_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vhsub_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vhsub_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vhsub_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vhsub_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vhsub_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vhsub_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vhsub_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vhsub_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_p8(__p0) __extension__ ({ \ + poly8x8_t __ret; \ + __ret = (poly8x8_t) __builtin_neon_vld1_v(__p0, 4); \ + __ret; \ +}) +#else +#define vld1_p8(__p0) __extension__ ({ \ + poly8x8_t __ret; \ + __ret = (poly8x8_t) __builtin_neon_vld1_v(__p0, 4); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_p16(__p0) __extension__ ({ \ + poly16x4_t __ret; \ + __ret = (poly16x4_t) __builtin_neon_vld1_v(__p0, 5); \ + __ret; \ +}) +#else +#define vld1_p16(__p0) __extension__ ({ \ + poly16x4_t __ret; \ + __ret = (poly16x4_t) __builtin_neon_vld1_v(__p0, 5); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_p8(__p0) __extension__ ({ \ + poly8x16_t __ret; \ + __ret = (poly8x16_t) __builtin_neon_vld1q_v(__p0, 36); \ + __ret; \ +}) +#else +#define vld1q_p8(__p0) __extension__ ({ \ + poly8x16_t __ret; \ + __ret = (poly8x16_t) __builtin_neon_vld1q_v(__p0, 36); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_p16(__p0) __extension__ ({ \ + poly16x8_t __ret; \ + __ret = (poly16x8_t) __builtin_neon_vld1q_v(__p0, 37); \ + __ret; \ +}) +#else +#define vld1q_p16(__p0) __extension__ ({ \ + poly16x8_t __ret; \ + __ret = (poly16x8_t) __builtin_neon_vld1q_v(__p0, 37); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u8(__p0) __extension__ ({ \ + uint8x16_t __ret; \ + __ret = (uint8x16_t) __builtin_neon_vld1q_v(__p0, 48); \ + __ret; \ +}) +#else +#define vld1q_u8(__p0) __extension__ ({ \ + uint8x16_t __ret; \ + __ret = (uint8x16_t) __builtin_neon_vld1q_v(__p0, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u32(__p0) __extension__ ({ \ + uint32x4_t __ret; \ + __ret = (uint32x4_t) __builtin_neon_vld1q_v(__p0, 50); \ + __ret; \ +}) +#else +#define vld1q_u32(__p0) __extension__ ({ \ + uint32x4_t __ret; \ + __ret = (uint32x4_t) __builtin_neon_vld1q_v(__p0, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u64(__p0) __extension__ ({ \ + uint64x2_t __ret; \ + __ret = (uint64x2_t) __builtin_neon_vld1q_v(__p0, 51); \ + __ret; \ +}) +#else +#define vld1q_u64(__p0) __extension__ ({ \ + uint64x2_t __ret; \ + __ret = (uint64x2_t) __builtin_neon_vld1q_v(__p0, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u16(__p0) __extension__ ({ \ + uint16x8_t __ret; \ + __ret = (uint16x8_t) __builtin_neon_vld1q_v(__p0, 49); \ + __ret; \ +}) +#else +#define vld1q_u16(__p0) __extension__ ({ \ + uint16x8_t __ret; \ + __ret = (uint16x8_t) __builtin_neon_vld1q_v(__p0, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s8(__p0) __extension__ ({ \ + int8x16_t __ret; \ + __ret = (int8x16_t) __builtin_neon_vld1q_v(__p0, 32); \ + __ret; \ +}) +#else +#define vld1q_s8(__p0) __extension__ ({ \ + int8x16_t __ret; \ + __ret = (int8x16_t) __builtin_neon_vld1q_v(__p0, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_f32(__p0) __extension__ ({ \ + float32x4_t __ret; \ + __ret = (float32x4_t) __builtin_neon_vld1q_v(__p0, 41); \ + __ret; \ +}) +#else +#define vld1q_f32(__p0) __extension__ ({ \ + float32x4_t __ret; \ + __ret = (float32x4_t) __builtin_neon_vld1q_v(__p0, 41); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s32(__p0) __extension__ ({ \ + int32x4_t __ret; \ + __ret = (int32x4_t) __builtin_neon_vld1q_v(__p0, 34); \ + __ret; \ +}) +#else +#define vld1q_s32(__p0) __extension__ ({ \ + int32x4_t __ret; \ + __ret = (int32x4_t) __builtin_neon_vld1q_v(__p0, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s64(__p0) __extension__ ({ \ + int64x2_t __ret; \ + __ret = (int64x2_t) __builtin_neon_vld1q_v(__p0, 35); \ + __ret; \ +}) +#else +#define vld1q_s64(__p0) __extension__ ({ \ + int64x2_t __ret; \ + __ret = (int64x2_t) __builtin_neon_vld1q_v(__p0, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s16(__p0) __extension__ ({ \ + int16x8_t __ret; \ + __ret = (int16x8_t) __builtin_neon_vld1q_v(__p0, 33); \ + __ret; \ +}) +#else +#define vld1q_s16(__p0) __extension__ ({ \ + int16x8_t __ret; \ + __ret = (int16x8_t) __builtin_neon_vld1q_v(__p0, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_u8(__p0) __extension__ ({ \ + uint8x8_t __ret; \ + __ret = (uint8x8_t) __builtin_neon_vld1_v(__p0, 16); \ + __ret; \ +}) +#else +#define vld1_u8(__p0) __extension__ ({ \ + uint8x8_t __ret; \ + __ret = (uint8x8_t) __builtin_neon_vld1_v(__p0, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_u32(__p0) __extension__ ({ \ + uint32x2_t __ret; \ + __ret = (uint32x2_t) __builtin_neon_vld1_v(__p0, 18); \ + __ret; \ +}) +#else +#define vld1_u32(__p0) __extension__ ({ \ + uint32x2_t __ret; \ + __ret = (uint32x2_t) __builtin_neon_vld1_v(__p0, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_u64(__p0) __extension__ ({ \ + uint64x1_t __ret; \ + __ret = (uint64x1_t) __builtin_neon_vld1_v(__p0, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1_u16(__p0) __extension__ ({ \ + uint16x4_t __ret; \ + __ret = (uint16x4_t) __builtin_neon_vld1_v(__p0, 17); \ + __ret; \ +}) +#else +#define vld1_u16(__p0) __extension__ ({ \ + uint16x4_t __ret; \ + __ret = (uint16x4_t) __builtin_neon_vld1_v(__p0, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_s8(__p0) __extension__ ({ \ + int8x8_t __ret; \ + __ret = (int8x8_t) __builtin_neon_vld1_v(__p0, 0); \ + __ret; \ +}) +#else +#define vld1_s8(__p0) __extension__ ({ \ + int8x8_t __ret; \ + __ret = (int8x8_t) __builtin_neon_vld1_v(__p0, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_f32(__p0) __extension__ ({ \ + float32x2_t __ret; \ + __ret = (float32x2_t) __builtin_neon_vld1_v(__p0, 9); \ + __ret; \ +}) +#else +#define vld1_f32(__p0) __extension__ ({ \ + float32x2_t __ret; \ + __ret = (float32x2_t) __builtin_neon_vld1_v(__p0, 9); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_s32(__p0) __extension__ ({ \ + int32x2_t __ret; \ + __ret = (int32x2_t) __builtin_neon_vld1_v(__p0, 2); \ + __ret; \ +}) +#else +#define vld1_s32(__p0) __extension__ ({ \ + int32x2_t __ret; \ + __ret = (int32x2_t) __builtin_neon_vld1_v(__p0, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_s64(__p0) __extension__ ({ \ + int64x1_t __ret; \ + __ret = (int64x1_t) __builtin_neon_vld1_v(__p0, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1_s16(__p0) __extension__ ({ \ + int16x4_t __ret; \ + __ret = (int16x4_t) __builtin_neon_vld1_v(__p0, 1); \ + __ret; \ +}) +#else +#define vld1_s16(__p0) __extension__ ({ \ + int16x4_t __ret; \ + __ret = (int16x4_t) __builtin_neon_vld1_v(__p0, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_dup_p8(__p0) __extension__ ({ \ + poly8x8_t __ret; \ + __ret = (poly8x8_t) __builtin_neon_vld1_dup_v(__p0, 4); \ + __ret; \ +}) +#else +#define vld1_dup_p8(__p0) __extension__ ({ \ + poly8x8_t __ret; \ + __ret = (poly8x8_t) __builtin_neon_vld1_dup_v(__p0, 4); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_dup_p16(__p0) __extension__ ({ \ + poly16x4_t __ret; \ + __ret = (poly16x4_t) __builtin_neon_vld1_dup_v(__p0, 5); \ + __ret; \ +}) +#else +#define vld1_dup_p16(__p0) __extension__ ({ \ + poly16x4_t __ret; \ + __ret = (poly16x4_t) __builtin_neon_vld1_dup_v(__p0, 5); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_p8(__p0) __extension__ ({ \ + poly8x16_t __ret; \ + __ret = (poly8x16_t) __builtin_neon_vld1q_dup_v(__p0, 36); \ + __ret; \ +}) +#else +#define vld1q_dup_p8(__p0) __extension__ ({ \ + poly8x16_t __ret; \ + __ret = (poly8x16_t) __builtin_neon_vld1q_dup_v(__p0, 36); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_p16(__p0) __extension__ ({ \ + poly16x8_t __ret; \ + __ret = (poly16x8_t) __builtin_neon_vld1q_dup_v(__p0, 37); \ + __ret; \ +}) +#else +#define vld1q_dup_p16(__p0) __extension__ ({ \ + poly16x8_t __ret; \ + __ret = (poly16x8_t) __builtin_neon_vld1q_dup_v(__p0, 37); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_u8(__p0) __extension__ ({ \ + uint8x16_t __ret; \ + __ret = (uint8x16_t) __builtin_neon_vld1q_dup_v(__p0, 48); \ + __ret; \ +}) +#else +#define vld1q_dup_u8(__p0) __extension__ ({ \ + uint8x16_t __ret; \ + __ret = (uint8x16_t) __builtin_neon_vld1q_dup_v(__p0, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_u32(__p0) __extension__ ({ \ + uint32x4_t __ret; \ + __ret = (uint32x4_t) __builtin_neon_vld1q_dup_v(__p0, 50); \ + __ret; \ +}) +#else +#define vld1q_dup_u32(__p0) __extension__ ({ \ + uint32x4_t __ret; \ + __ret = (uint32x4_t) __builtin_neon_vld1q_dup_v(__p0, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_u64(__p0) __extension__ ({ \ + uint64x2_t __ret; \ + __ret = (uint64x2_t) __builtin_neon_vld1q_dup_v(__p0, 51); \ + __ret; \ +}) +#else +#define vld1q_dup_u64(__p0) __extension__ ({ \ + uint64x2_t __ret; \ + __ret = (uint64x2_t) __builtin_neon_vld1q_dup_v(__p0, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_u16(__p0) __extension__ ({ \ + uint16x8_t __ret; \ + __ret = (uint16x8_t) __builtin_neon_vld1q_dup_v(__p0, 49); \ + __ret; \ +}) +#else +#define vld1q_dup_u16(__p0) __extension__ ({ \ + uint16x8_t __ret; \ + __ret = (uint16x8_t) __builtin_neon_vld1q_dup_v(__p0, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_s8(__p0) __extension__ ({ \ + int8x16_t __ret; \ + __ret = (int8x16_t) __builtin_neon_vld1q_dup_v(__p0, 32); \ + __ret; \ +}) +#else +#define vld1q_dup_s8(__p0) __extension__ ({ \ + int8x16_t __ret; \ + __ret = (int8x16_t) __builtin_neon_vld1q_dup_v(__p0, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_f32(__p0) __extension__ ({ \ + float32x4_t __ret; \ + __ret = (float32x4_t) __builtin_neon_vld1q_dup_v(__p0, 41); \ + __ret; \ +}) +#else +#define vld1q_dup_f32(__p0) __extension__ ({ \ + float32x4_t __ret; \ + __ret = (float32x4_t) __builtin_neon_vld1q_dup_v(__p0, 41); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_s32(__p0) __extension__ ({ \ + int32x4_t __ret; \ + __ret = (int32x4_t) __builtin_neon_vld1q_dup_v(__p0, 34); \ + __ret; \ +}) +#else +#define vld1q_dup_s32(__p0) __extension__ ({ \ + int32x4_t __ret; \ + __ret = (int32x4_t) __builtin_neon_vld1q_dup_v(__p0, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_s64(__p0) __extension__ ({ \ + int64x2_t __ret; \ + __ret = (int64x2_t) __builtin_neon_vld1q_dup_v(__p0, 35); \ + __ret; \ +}) +#else +#define vld1q_dup_s64(__p0) __extension__ ({ \ + int64x2_t __ret; \ + __ret = (int64x2_t) __builtin_neon_vld1q_dup_v(__p0, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_s16(__p0) __extension__ ({ \ + int16x8_t __ret; \ + __ret = (int16x8_t) __builtin_neon_vld1q_dup_v(__p0, 33); \ + __ret; \ +}) +#else +#define vld1q_dup_s16(__p0) __extension__ ({ \ + int16x8_t __ret; \ + __ret = (int16x8_t) __builtin_neon_vld1q_dup_v(__p0, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_dup_u8(__p0) __extension__ ({ \ + uint8x8_t __ret; \ + __ret = (uint8x8_t) __builtin_neon_vld1_dup_v(__p0, 16); \ + __ret; \ +}) +#else +#define vld1_dup_u8(__p0) __extension__ ({ \ + uint8x8_t __ret; \ + __ret = (uint8x8_t) __builtin_neon_vld1_dup_v(__p0, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_dup_u32(__p0) __extension__ ({ \ + uint32x2_t __ret; \ + __ret = (uint32x2_t) __builtin_neon_vld1_dup_v(__p0, 18); \ + __ret; \ +}) +#else +#define vld1_dup_u32(__p0) __extension__ ({ \ + uint32x2_t __ret; \ + __ret = (uint32x2_t) __builtin_neon_vld1_dup_v(__p0, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_dup_u64(__p0) __extension__ ({ \ + uint64x1_t __ret; \ + __ret = (uint64x1_t) __builtin_neon_vld1_dup_v(__p0, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1_dup_u16(__p0) __extension__ ({ \ + uint16x4_t __ret; \ + __ret = (uint16x4_t) __builtin_neon_vld1_dup_v(__p0, 17); \ + __ret; \ +}) +#else +#define vld1_dup_u16(__p0) __extension__ ({ \ + uint16x4_t __ret; \ + __ret = (uint16x4_t) __builtin_neon_vld1_dup_v(__p0, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_dup_s8(__p0) __extension__ ({ \ + int8x8_t __ret; \ + __ret = (int8x8_t) __builtin_neon_vld1_dup_v(__p0, 0); \ + __ret; \ +}) +#else +#define vld1_dup_s8(__p0) __extension__ ({ \ + int8x8_t __ret; \ + __ret = (int8x8_t) __builtin_neon_vld1_dup_v(__p0, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_dup_f32(__p0) __extension__ ({ \ + float32x2_t __ret; \ + __ret = (float32x2_t) __builtin_neon_vld1_dup_v(__p0, 9); \ + __ret; \ +}) +#else +#define vld1_dup_f32(__p0) __extension__ ({ \ + float32x2_t __ret; \ + __ret = (float32x2_t) __builtin_neon_vld1_dup_v(__p0, 9); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_dup_s32(__p0) __extension__ ({ \ + int32x2_t __ret; \ + __ret = (int32x2_t) __builtin_neon_vld1_dup_v(__p0, 2); \ + __ret; \ +}) +#else +#define vld1_dup_s32(__p0) __extension__ ({ \ + int32x2_t __ret; \ + __ret = (int32x2_t) __builtin_neon_vld1_dup_v(__p0, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_dup_s64(__p0) __extension__ ({ \ + int64x1_t __ret; \ + __ret = (int64x1_t) __builtin_neon_vld1_dup_v(__p0, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1_dup_s16(__p0) __extension__ ({ \ + int16x4_t __ret; \ + __ret = (int16x4_t) __builtin_neon_vld1_dup_v(__p0, 1); \ + __ret; \ +}) +#else +#define vld1_dup_s16(__p0) __extension__ ({ \ + int16x4_t __ret; \ + __ret = (int16x4_t) __builtin_neon_vld1_dup_v(__p0, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x8_t __s1 = __p1; \ + __ret = (poly8x8_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 4); \ + __ret; \ +}) +#else +#define vld1_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x8_t __s1 = __p1; \ + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x8_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__rev1, __p2, 4); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x4_t __s1 = __p1; \ + __ret = (poly16x4_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 5); \ + __ret; \ +}) +#else +#define vld1_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x4_t __s1 = __p1; \ + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (poly16x4_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__rev1, __p2, 5); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x16_t __s1 = __p1; \ + __ret = (poly8x16_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 36); \ + __ret; \ +}) +#else +#define vld1q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x16_t __s1 = __p1; \ + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x16_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 36); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x8_t __s1 = __p1; \ + __ret = (poly16x8_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 37); \ + __ret; \ +}) +#else +#define vld1q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x8_t __s1 = __p1; \ + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly16x8_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 37); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s1 = __p1; \ + __ret = (uint8x16_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 48); \ + __ret; \ +}) +#else +#define vld1q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s1 = __p1; \ + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s1 = __p1; \ + __ret = (uint32x4_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 50); \ + __ret; \ +}) +#else +#define vld1q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s1 = __p1; \ + __ret = (uint64x2_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 51); \ + __ret; \ +}) +#else +#define vld1q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s1 = __p1; \ + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s1 = __p1; \ + __ret = (uint16x8_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 49); \ + __ret; \ +}) +#else +#define vld1q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s1 = __p1; \ + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s1 = __p1; \ + __ret = (int8x16_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 32); \ + __ret; \ +}) +#else +#define vld1q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s1 = __p1; \ + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s1 = __p1; \ + __ret = (float32x4_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 41); \ + __ret; \ +}) +#else +#define vld1q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s1 = __p1; \ + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (float32x4_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 41); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s1 = __p1; \ + __ret = (int32x4_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 34); \ + __ret; \ +}) +#else +#define vld1q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s1 = __p1; \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s1 = __p1; \ + __ret = (int64x2_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 35); \ + __ret; \ +}) +#else +#define vld1q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s1 = __p1; \ + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s1 = __p1; \ + __ret = (int16x8_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 33); \ + __ret; \ +}) +#else +#define vld1q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s1 = __p1; \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s1 = __p1; \ + __ret = (uint8x8_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 16); \ + __ret; \ +}) +#else +#define vld1_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s1 = __p1; \ + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__rev1, __p2, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s1 = __p1; \ + __ret = (uint32x2_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 18); \ + __ret; \ +}) +#else +#define vld1_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s1 = __p1; \ + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__rev1, __p2, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x1_t __s1 = __p1; \ + __ret = (uint64x1_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s1 = __p1; \ + __ret = (uint16x4_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 17); \ + __ret; \ +}) +#else +#define vld1_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s1 = __p1; \ + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__rev1, __p2, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s1 = __p1; \ + __ret = (int8x8_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 0); \ + __ret; \ +}) +#else +#define vld1_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s1 = __p1; \ + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__rev1, __p2, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s1 = __p1; \ + __ret = (float32x2_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 9); \ + __ret; \ +}) +#else +#define vld1_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s1 = __p1; \ + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (float32x2_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__rev1, __p2, 9); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s1 = __p1; \ + __ret = (int32x2_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 2); \ + __ret; \ +}) +#else +#define vld1_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s1 = __p1; \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__rev1, __p2, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1_t __ret; \ + int64x1_t __s1 = __p1; \ + __ret = (int64x1_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s1 = __p1; \ + __ret = (int16x4_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 1); \ + __ret; \ +}) +#else +#define vld1_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s1 = __p1; \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__rev1, __p2, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_p8_x2(__p0) __extension__ ({ \ + poly8x8x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 4); \ + __ret; \ +}) +#else +#define vld1_p8_x2(__p0) __extension__ ({ \ + poly8x8x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 4); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_p16_x2(__p0) __extension__ ({ \ + poly16x4x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 5); \ + __ret; \ +}) +#else +#define vld1_p16_x2(__p0) __extension__ ({ \ + poly16x4x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 5); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_p8_x2(__p0) __extension__ ({ \ + poly8x16x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 36); \ + __ret; \ +}) +#else +#define vld1q_p8_x2(__p0) __extension__ ({ \ + poly8x16x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 36); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_p16_x2(__p0) __extension__ ({ \ + poly16x8x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 37); \ + __ret; \ +}) +#else +#define vld1q_p16_x2(__p0) __extension__ ({ \ + poly16x8x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 37); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u8_x2(__p0) __extension__ ({ \ + uint8x16x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 48); \ + __ret; \ +}) +#else +#define vld1q_u8_x2(__p0) __extension__ ({ \ + uint8x16x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 48); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u32_x2(__p0) __extension__ ({ \ + uint32x4x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 50); \ + __ret; \ +}) +#else +#define vld1q_u32_x2(__p0) __extension__ ({ \ + uint32x4x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 50); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u64_x2(__p0) __extension__ ({ \ + uint64x2x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 51); \ + __ret; \ +}) +#else +#define vld1q_u64_x2(__p0) __extension__ ({ \ + uint64x2x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 51); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u16_x2(__p0) __extension__ ({ \ + uint16x8x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 49); \ + __ret; \ +}) +#else +#define vld1q_u16_x2(__p0) __extension__ ({ \ + uint16x8x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 49); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s8_x2(__p0) __extension__ ({ \ + int8x16x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 32); \ + __ret; \ +}) +#else +#define vld1q_s8_x2(__p0) __extension__ ({ \ + int8x16x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 32); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_f32_x2(__p0) __extension__ ({ \ + float32x4x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 41); \ + __ret; \ +}) +#else +#define vld1q_f32_x2(__p0) __extension__ ({ \ + float32x4x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 41); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s32_x2(__p0) __extension__ ({ \ + int32x4x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 34); \ + __ret; \ +}) +#else +#define vld1q_s32_x2(__p0) __extension__ ({ \ + int32x4x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 34); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s64_x2(__p0) __extension__ ({ \ + int64x2x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 35); \ + __ret; \ +}) +#else +#define vld1q_s64_x2(__p0) __extension__ ({ \ + int64x2x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 35); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s16_x2(__p0) __extension__ ({ \ + int16x8x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 33); \ + __ret; \ +}) +#else +#define vld1q_s16_x2(__p0) __extension__ ({ \ + int16x8x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 33); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_u8_x2(__p0) __extension__ ({ \ + uint8x8x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 16); \ + __ret; \ +}) +#else +#define vld1_u8_x2(__p0) __extension__ ({ \ + uint8x8x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 16); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_u32_x2(__p0) __extension__ ({ \ + uint32x2x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 18); \ + __ret; \ +}) +#else +#define vld1_u32_x2(__p0) __extension__ ({ \ + uint32x2x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 18); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_u64_x2(__p0) __extension__ ({ \ + uint64x1x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1_u16_x2(__p0) __extension__ ({ \ + uint16x4x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 17); \ + __ret; \ +}) +#else +#define vld1_u16_x2(__p0) __extension__ ({ \ + uint16x4x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 17); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_s8_x2(__p0) __extension__ ({ \ + int8x8x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 0); \ + __ret; \ +}) +#else +#define vld1_s8_x2(__p0) __extension__ ({ \ + int8x8x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 0); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_f32_x2(__p0) __extension__ ({ \ + float32x2x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 9); \ + __ret; \ +}) +#else +#define vld1_f32_x2(__p0) __extension__ ({ \ + float32x2x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 9); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_s32_x2(__p0) __extension__ ({ \ + int32x2x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 2); \ + __ret; \ +}) +#else +#define vld1_s32_x2(__p0) __extension__ ({ \ + int32x2x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 2); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_s64_x2(__p0) __extension__ ({ \ + int64x1x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1_s16_x2(__p0) __extension__ ({ \ + int16x4x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 1); \ + __ret; \ +}) +#else +#define vld1_s16_x2(__p0) __extension__ ({ \ + int16x4x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 1); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_p8_x3(__p0) __extension__ ({ \ + poly8x8x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 4); \ + __ret; \ +}) +#else +#define vld1_p8_x3(__p0) __extension__ ({ \ + poly8x8x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 4); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_p16_x3(__p0) __extension__ ({ \ + poly16x4x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 5); \ + __ret; \ +}) +#else +#define vld1_p16_x3(__p0) __extension__ ({ \ + poly16x4x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 5); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_p8_x3(__p0) __extension__ ({ \ + poly8x16x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 36); \ + __ret; \ +}) +#else +#define vld1q_p8_x3(__p0) __extension__ ({ \ + poly8x16x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 36); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_p16_x3(__p0) __extension__ ({ \ + poly16x8x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 37); \ + __ret; \ +}) +#else +#define vld1q_p16_x3(__p0) __extension__ ({ \ + poly16x8x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 37); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u8_x3(__p0) __extension__ ({ \ + uint8x16x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 48); \ + __ret; \ +}) +#else +#define vld1q_u8_x3(__p0) __extension__ ({ \ + uint8x16x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 48); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u32_x3(__p0) __extension__ ({ \ + uint32x4x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 50); \ + __ret; \ +}) +#else +#define vld1q_u32_x3(__p0) __extension__ ({ \ + uint32x4x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 50); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u64_x3(__p0) __extension__ ({ \ + uint64x2x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 51); \ + __ret; \ +}) +#else +#define vld1q_u64_x3(__p0) __extension__ ({ \ + uint64x2x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 51); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u16_x3(__p0) __extension__ ({ \ + uint16x8x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 49); \ + __ret; \ +}) +#else +#define vld1q_u16_x3(__p0) __extension__ ({ \ + uint16x8x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 49); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s8_x3(__p0) __extension__ ({ \ + int8x16x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 32); \ + __ret; \ +}) +#else +#define vld1q_s8_x3(__p0) __extension__ ({ \ + int8x16x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 32); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_f32_x3(__p0) __extension__ ({ \ + float32x4x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 41); \ + __ret; \ +}) +#else +#define vld1q_f32_x3(__p0) __extension__ ({ \ + float32x4x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 41); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s32_x3(__p0) __extension__ ({ \ + int32x4x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 34); \ + __ret; \ +}) +#else +#define vld1q_s32_x3(__p0) __extension__ ({ \ + int32x4x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 34); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s64_x3(__p0) __extension__ ({ \ + int64x2x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 35); \ + __ret; \ +}) +#else +#define vld1q_s64_x3(__p0) __extension__ ({ \ + int64x2x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 35); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s16_x3(__p0) __extension__ ({ \ + int16x8x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 33); \ + __ret; \ +}) +#else +#define vld1q_s16_x3(__p0) __extension__ ({ \ + int16x8x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 33); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_u8_x3(__p0) __extension__ ({ \ + uint8x8x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 16); \ + __ret; \ +}) +#else +#define vld1_u8_x3(__p0) __extension__ ({ \ + uint8x8x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 16); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_u32_x3(__p0) __extension__ ({ \ + uint32x2x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 18); \ + __ret; \ +}) +#else +#define vld1_u32_x3(__p0) __extension__ ({ \ + uint32x2x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 18); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_u64_x3(__p0) __extension__ ({ \ + uint64x1x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1_u16_x3(__p0) __extension__ ({ \ + uint16x4x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 17); \ + __ret; \ +}) +#else +#define vld1_u16_x3(__p0) __extension__ ({ \ + uint16x4x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 17); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_s8_x3(__p0) __extension__ ({ \ + int8x8x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 0); \ + __ret; \ +}) +#else +#define vld1_s8_x3(__p0) __extension__ ({ \ + int8x8x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 0); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_f32_x3(__p0) __extension__ ({ \ + float32x2x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 9); \ + __ret; \ +}) +#else +#define vld1_f32_x3(__p0) __extension__ ({ \ + float32x2x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 9); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_s32_x3(__p0) __extension__ ({ \ + int32x2x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 2); \ + __ret; \ +}) +#else +#define vld1_s32_x3(__p0) __extension__ ({ \ + int32x2x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 2); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_s64_x3(__p0) __extension__ ({ \ + int64x1x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1_s16_x3(__p0) __extension__ ({ \ + int16x4x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 1); \ + __ret; \ +}) +#else +#define vld1_s16_x3(__p0) __extension__ ({ \ + int16x4x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 1); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_p8_x4(__p0) __extension__ ({ \ + poly8x8x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 4); \ + __ret; \ +}) +#else +#define vld1_p8_x4(__p0) __extension__ ({ \ + poly8x8x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 4); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_p16_x4(__p0) __extension__ ({ \ + poly16x4x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 5); \ + __ret; \ +}) +#else +#define vld1_p16_x4(__p0) __extension__ ({ \ + poly16x4x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 5); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_p8_x4(__p0) __extension__ ({ \ + poly8x16x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 36); \ + __ret; \ +}) +#else +#define vld1q_p8_x4(__p0) __extension__ ({ \ + poly8x16x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 36); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_p16_x4(__p0) __extension__ ({ \ + poly16x8x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 37); \ + __ret; \ +}) +#else +#define vld1q_p16_x4(__p0) __extension__ ({ \ + poly16x8x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 37); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u8_x4(__p0) __extension__ ({ \ + uint8x16x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 48); \ + __ret; \ +}) +#else +#define vld1q_u8_x4(__p0) __extension__ ({ \ + uint8x16x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 48); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u32_x4(__p0) __extension__ ({ \ + uint32x4x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 50); \ + __ret; \ +}) +#else +#define vld1q_u32_x4(__p0) __extension__ ({ \ + uint32x4x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 50); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u64_x4(__p0) __extension__ ({ \ + uint64x2x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 51); \ + __ret; \ +}) +#else +#define vld1q_u64_x4(__p0) __extension__ ({ \ + uint64x2x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 51); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_u16_x4(__p0) __extension__ ({ \ + uint16x8x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 49); \ + __ret; \ +}) +#else +#define vld1q_u16_x4(__p0) __extension__ ({ \ + uint16x8x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 49); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s8_x4(__p0) __extension__ ({ \ + int8x16x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 32); \ + __ret; \ +}) +#else +#define vld1q_s8_x4(__p0) __extension__ ({ \ + int8x16x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 32); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_f32_x4(__p0) __extension__ ({ \ + float32x4x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 41); \ + __ret; \ +}) +#else +#define vld1q_f32_x4(__p0) __extension__ ({ \ + float32x4x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 41); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s32_x4(__p0) __extension__ ({ \ + int32x4x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 34); \ + __ret; \ +}) +#else +#define vld1q_s32_x4(__p0) __extension__ ({ \ + int32x4x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 34); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s64_x4(__p0) __extension__ ({ \ + int64x2x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 35); \ + __ret; \ +}) +#else +#define vld1q_s64_x4(__p0) __extension__ ({ \ + int64x2x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 35); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_s16_x4(__p0) __extension__ ({ \ + int16x8x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 33); \ + __ret; \ +}) +#else +#define vld1q_s16_x4(__p0) __extension__ ({ \ + int16x8x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 33); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_u8_x4(__p0) __extension__ ({ \ + uint8x8x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 16); \ + __ret; \ +}) +#else +#define vld1_u8_x4(__p0) __extension__ ({ \ + uint8x8x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 16); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_u32_x4(__p0) __extension__ ({ \ + uint32x2x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 18); \ + __ret; \ +}) +#else +#define vld1_u32_x4(__p0) __extension__ ({ \ + uint32x2x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 18); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_u64_x4(__p0) __extension__ ({ \ + uint64x1x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1_u16_x4(__p0) __extension__ ({ \ + uint16x4x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 17); \ + __ret; \ +}) +#else +#define vld1_u16_x4(__p0) __extension__ ({ \ + uint16x4x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 17); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_s8_x4(__p0) __extension__ ({ \ + int8x8x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 0); \ + __ret; \ +}) +#else +#define vld1_s8_x4(__p0) __extension__ ({ \ + int8x8x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 0); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_f32_x4(__p0) __extension__ ({ \ + float32x2x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 9); \ + __ret; \ +}) +#else +#define vld1_f32_x4(__p0) __extension__ ({ \ + float32x2x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 9); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_s32_x4(__p0) __extension__ ({ \ + int32x2x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 2); \ + __ret; \ +}) +#else +#define vld1_s32_x4(__p0) __extension__ ({ \ + int32x2x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 2); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_s64_x4(__p0) __extension__ ({ \ + int64x1x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1_s16_x4(__p0) __extension__ ({ \ + int16x4x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 1); \ + __ret; \ +}) +#else +#define vld1_s16_x4(__p0) __extension__ ({ \ + int16x4x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 1); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_p8(__p0) __extension__ ({ \ + poly8x8x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 4); \ + __ret; \ +}) +#else +#define vld2_p8(__p0) __extension__ ({ \ + poly8x8x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 4); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_p16(__p0) __extension__ ({ \ + poly16x4x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 5); \ + __ret; \ +}) +#else +#define vld2_p16(__p0) __extension__ ({ \ + poly16x4x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 5); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_p8(__p0) __extension__ ({ \ + poly8x16x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 36); \ + __ret; \ +}) +#else +#define vld2q_p8(__p0) __extension__ ({ \ + poly8x16x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 36); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_p16(__p0) __extension__ ({ \ + poly16x8x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 37); \ + __ret; \ +}) +#else +#define vld2q_p16(__p0) __extension__ ({ \ + poly16x8x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 37); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_u8(__p0) __extension__ ({ \ + uint8x16x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 48); \ + __ret; \ +}) +#else +#define vld2q_u8(__p0) __extension__ ({ \ + uint8x16x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 48); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_u32(__p0) __extension__ ({ \ + uint32x4x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 50); \ + __ret; \ +}) +#else +#define vld2q_u32(__p0) __extension__ ({ \ + uint32x4x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 50); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_u16(__p0) __extension__ ({ \ + uint16x8x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 49); \ + __ret; \ +}) +#else +#define vld2q_u16(__p0) __extension__ ({ \ + uint16x8x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 49); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_s8(__p0) __extension__ ({ \ + int8x16x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 32); \ + __ret; \ +}) +#else +#define vld2q_s8(__p0) __extension__ ({ \ + int8x16x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 32); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_f32(__p0) __extension__ ({ \ + float32x4x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 41); \ + __ret; \ +}) +#else +#define vld2q_f32(__p0) __extension__ ({ \ + float32x4x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 41); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_s32(__p0) __extension__ ({ \ + int32x4x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 34); \ + __ret; \ +}) +#else +#define vld2q_s32(__p0) __extension__ ({ \ + int32x4x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 34); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_s16(__p0) __extension__ ({ \ + int16x8x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 33); \ + __ret; \ +}) +#else +#define vld2q_s16(__p0) __extension__ ({ \ + int16x8x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 33); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_u8(__p0) __extension__ ({ \ + uint8x8x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 16); \ + __ret; \ +}) +#else +#define vld2_u8(__p0) __extension__ ({ \ + uint8x8x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 16); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_u32(__p0) __extension__ ({ \ + uint32x2x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 18); \ + __ret; \ +}) +#else +#define vld2_u32(__p0) __extension__ ({ \ + uint32x2x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 18); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#define vld2_u64(__p0) __extension__ ({ \ + uint64x1x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld2_u16(__p0) __extension__ ({ \ + uint16x4x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 17); \ + __ret; \ +}) +#else +#define vld2_u16(__p0) __extension__ ({ \ + uint16x4x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 17); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_s8(__p0) __extension__ ({ \ + int8x8x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 0); \ + __ret; \ +}) +#else +#define vld2_s8(__p0) __extension__ ({ \ + int8x8x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 0); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_f32(__p0) __extension__ ({ \ + float32x2x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 9); \ + __ret; \ +}) +#else +#define vld2_f32(__p0) __extension__ ({ \ + float32x2x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 9); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_s32(__p0) __extension__ ({ \ + int32x2x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 2); \ + __ret; \ +}) +#else +#define vld2_s32(__p0) __extension__ ({ \ + int32x2x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 2); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#define vld2_s64(__p0) __extension__ ({ \ + int64x1x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld2_s16(__p0) __extension__ ({ \ + int16x4x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 1); \ + __ret; \ +}) +#else +#define vld2_s16(__p0) __extension__ ({ \ + int16x4x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 1); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_dup_p8(__p0) __extension__ ({ \ + poly8x8x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 4); \ + __ret; \ +}) +#else +#define vld2_dup_p8(__p0) __extension__ ({ \ + poly8x8x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 4); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_dup_p16(__p0) __extension__ ({ \ + poly16x4x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 5); \ + __ret; \ +}) +#else +#define vld2_dup_p16(__p0) __extension__ ({ \ + poly16x4x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 5); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_p8(__p0) __extension__ ({ \ + poly8x16x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 36); \ + __ret; \ +}) +#else +#define vld2q_dup_p8(__p0) __extension__ ({ \ + poly8x16x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 36); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_p16(__p0) __extension__ ({ \ + poly16x8x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 37); \ + __ret; \ +}) +#else +#define vld2q_dup_p16(__p0) __extension__ ({ \ + poly16x8x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 37); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_u8(__p0) __extension__ ({ \ + uint8x16x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 48); \ + __ret; \ +}) +#else +#define vld2q_dup_u8(__p0) __extension__ ({ \ + uint8x16x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 48); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_u32(__p0) __extension__ ({ \ + uint32x4x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 50); \ + __ret; \ +}) +#else +#define vld2q_dup_u32(__p0) __extension__ ({ \ + uint32x4x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 50); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_u64(__p0) __extension__ ({ \ + uint64x2x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 51); \ + __ret; \ +}) +#else +#define vld2q_dup_u64(__p0) __extension__ ({ \ + uint64x2x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 51); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_u16(__p0) __extension__ ({ \ + uint16x8x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 49); \ + __ret; \ +}) +#else +#define vld2q_dup_u16(__p0) __extension__ ({ \ + uint16x8x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 49); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_s8(__p0) __extension__ ({ \ + int8x16x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 32); \ + __ret; \ +}) +#else +#define vld2q_dup_s8(__p0) __extension__ ({ \ + int8x16x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 32); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_f32(__p0) __extension__ ({ \ + float32x4x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 41); \ + __ret; \ +}) +#else +#define vld2q_dup_f32(__p0) __extension__ ({ \ + float32x4x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 41); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_s32(__p0) __extension__ ({ \ + int32x4x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 34); \ + __ret; \ +}) +#else +#define vld2q_dup_s32(__p0) __extension__ ({ \ + int32x4x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 34); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_s64(__p0) __extension__ ({ \ + int64x2x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 35); \ + __ret; \ +}) +#else +#define vld2q_dup_s64(__p0) __extension__ ({ \ + int64x2x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 35); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_s16(__p0) __extension__ ({ \ + int16x8x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 33); \ + __ret; \ +}) +#else +#define vld2q_dup_s16(__p0) __extension__ ({ \ + int16x8x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 33); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_dup_u8(__p0) __extension__ ({ \ + uint8x8x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 16); \ + __ret; \ +}) +#else +#define vld2_dup_u8(__p0) __extension__ ({ \ + uint8x8x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 16); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_dup_u32(__p0) __extension__ ({ \ + uint32x2x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 18); \ + __ret; \ +}) +#else +#define vld2_dup_u32(__p0) __extension__ ({ \ + uint32x2x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 18); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#define vld2_dup_u64(__p0) __extension__ ({ \ + uint64x1x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld2_dup_u16(__p0) __extension__ ({ \ + uint16x4x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 17); \ + __ret; \ +}) +#else +#define vld2_dup_u16(__p0) __extension__ ({ \ + uint16x4x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 17); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_dup_s8(__p0) __extension__ ({ \ + int8x8x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 0); \ + __ret; \ +}) +#else +#define vld2_dup_s8(__p0) __extension__ ({ \ + int8x8x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 0); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_dup_f32(__p0) __extension__ ({ \ + float32x2x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 9); \ + __ret; \ +}) +#else +#define vld2_dup_f32(__p0) __extension__ ({ \ + float32x2x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 9); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_dup_s32(__p0) __extension__ ({ \ + int32x2x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 2); \ + __ret; \ +}) +#else +#define vld2_dup_s32(__p0) __extension__ ({ \ + int32x2x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 2); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#define vld2_dup_s64(__p0) __extension__ ({ \ + int64x1x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld2_dup_s16(__p0) __extension__ ({ \ + int16x4x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 1); \ + __ret; \ +}) +#else +#define vld2_dup_s16(__p0) __extension__ ({ \ + int16x4x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 1); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8x2_t __ret; \ + poly8x8x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 4); \ + __ret; \ +}) +#else +#define vld2_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8x2_t __ret; \ + poly8x8x2_t __s1 = __p1; \ + poly8x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 4); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4x2_t __ret; \ + poly16x4x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 5); \ + __ret; \ +}) +#else +#define vld2_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4x2_t __ret; \ + poly16x4x2_t __s1 = __p1; \ + poly16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 5); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8x2_t __ret; \ + poly16x8x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 37); \ + __ret; \ +}) +#else +#define vld2q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8x2_t __ret; \ + poly16x8x2_t __s1 = __p1; \ + poly16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 37); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4x2_t __ret; \ + uint32x4x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 50); \ + __ret; \ +}) +#else +#define vld2q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4x2_t __ret; \ + uint32x4x2_t __s1 = __p1; \ + uint32x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 50); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8x2_t __ret; \ + uint16x8x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 49); \ + __ret; \ +}) +#else +#define vld2q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8x2_t __ret; \ + uint16x8x2_t __s1 = __p1; \ + uint16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 49); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4x2_t __ret; \ + float32x4x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 41); \ + __ret; \ +}) +#else +#define vld2q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4x2_t __ret; \ + float32x4x2_t __s1 = __p1; \ + float32x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 41); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4x2_t __ret; \ + int32x4x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 34); \ + __ret; \ +}) +#else +#define vld2q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4x2_t __ret; \ + int32x4x2_t __s1 = __p1; \ + int32x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 34); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8x2_t __ret; \ + int16x8x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 33); \ + __ret; \ +}) +#else +#define vld2q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8x2_t __ret; \ + int16x8x2_t __s1 = __p1; \ + int16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 33); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8x2_t __ret; \ + uint8x8x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 16); \ + __ret; \ +}) +#else +#define vld2_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8x2_t __ret; \ + uint8x8x2_t __s1 = __p1; \ + uint8x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 16); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2x2_t __ret; \ + uint32x2x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 18); \ + __ret; \ +}) +#else +#define vld2_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2x2_t __ret; \ + uint32x2x2_t __s1 = __p1; \ + uint32x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 18); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4x2_t __ret; \ + uint16x4x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 17); \ + __ret; \ +}) +#else +#define vld2_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4x2_t __ret; \ + uint16x4x2_t __s1 = __p1; \ + uint16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 17); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8x2_t __ret; \ + int8x8x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 0); \ + __ret; \ +}) +#else +#define vld2_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8x2_t __ret; \ + int8x8x2_t __s1 = __p1; \ + int8x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 0); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2x2_t __ret; \ + float32x2x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 9); \ + __ret; \ +}) +#else +#define vld2_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2x2_t __ret; \ + float32x2x2_t __s1 = __p1; \ + float32x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 9); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2x2_t __ret; \ + int32x2x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 2); \ + __ret; \ +}) +#else +#define vld2_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2x2_t __ret; \ + int32x2x2_t __s1 = __p1; \ + int32x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 2); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4x2_t __ret; \ + int16x4x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 1); \ + __ret; \ +}) +#else +#define vld2_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4x2_t __ret; \ + int16x4x2_t __s1 = __p1; \ + int16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 1); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_p8(__p0) __extension__ ({ \ + poly8x8x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 4); \ + __ret; \ +}) +#else +#define vld3_p8(__p0) __extension__ ({ \ + poly8x8x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 4); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_p16(__p0) __extension__ ({ \ + poly16x4x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 5); \ + __ret; \ +}) +#else +#define vld3_p16(__p0) __extension__ ({ \ + poly16x4x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 5); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_p8(__p0) __extension__ ({ \ + poly8x16x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 36); \ + __ret; \ +}) +#else +#define vld3q_p8(__p0) __extension__ ({ \ + poly8x16x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 36); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_p16(__p0) __extension__ ({ \ + poly16x8x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 37); \ + __ret; \ +}) +#else +#define vld3q_p16(__p0) __extension__ ({ \ + poly16x8x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 37); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_u8(__p0) __extension__ ({ \ + uint8x16x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 48); \ + __ret; \ +}) +#else +#define vld3q_u8(__p0) __extension__ ({ \ + uint8x16x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 48); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_u32(__p0) __extension__ ({ \ + uint32x4x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 50); \ + __ret; \ +}) +#else +#define vld3q_u32(__p0) __extension__ ({ \ + uint32x4x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 50); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_u16(__p0) __extension__ ({ \ + uint16x8x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 49); \ + __ret; \ +}) +#else +#define vld3q_u16(__p0) __extension__ ({ \ + uint16x8x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 49); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_s8(__p0) __extension__ ({ \ + int8x16x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 32); \ + __ret; \ +}) +#else +#define vld3q_s8(__p0) __extension__ ({ \ + int8x16x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 32); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_f32(__p0) __extension__ ({ \ + float32x4x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 41); \ + __ret; \ +}) +#else +#define vld3q_f32(__p0) __extension__ ({ \ + float32x4x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 41); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_s32(__p0) __extension__ ({ \ + int32x4x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 34); \ + __ret; \ +}) +#else +#define vld3q_s32(__p0) __extension__ ({ \ + int32x4x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 34); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_s16(__p0) __extension__ ({ \ + int16x8x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 33); \ + __ret; \ +}) +#else +#define vld3q_s16(__p0) __extension__ ({ \ + int16x8x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 33); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_u8(__p0) __extension__ ({ \ + uint8x8x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 16); \ + __ret; \ +}) +#else +#define vld3_u8(__p0) __extension__ ({ \ + uint8x8x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 16); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_u32(__p0) __extension__ ({ \ + uint32x2x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 18); \ + __ret; \ +}) +#else +#define vld3_u32(__p0) __extension__ ({ \ + uint32x2x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 18); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#define vld3_u64(__p0) __extension__ ({ \ + uint64x1x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld3_u16(__p0) __extension__ ({ \ + uint16x4x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 17); \ + __ret; \ +}) +#else +#define vld3_u16(__p0) __extension__ ({ \ + uint16x4x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 17); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_s8(__p0) __extension__ ({ \ + int8x8x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 0); \ + __ret; \ +}) +#else +#define vld3_s8(__p0) __extension__ ({ \ + int8x8x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 0); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_f32(__p0) __extension__ ({ \ + float32x2x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 9); \ + __ret; \ +}) +#else +#define vld3_f32(__p0) __extension__ ({ \ + float32x2x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 9); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_s32(__p0) __extension__ ({ \ + int32x2x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 2); \ + __ret; \ +}) +#else +#define vld3_s32(__p0) __extension__ ({ \ + int32x2x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 2); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#define vld3_s64(__p0) __extension__ ({ \ + int64x1x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld3_s16(__p0) __extension__ ({ \ + int16x4x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 1); \ + __ret; \ +}) +#else +#define vld3_s16(__p0) __extension__ ({ \ + int16x4x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 1); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_dup_p8(__p0) __extension__ ({ \ + poly8x8x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 4); \ + __ret; \ +}) +#else +#define vld3_dup_p8(__p0) __extension__ ({ \ + poly8x8x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 4); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_dup_p16(__p0) __extension__ ({ \ + poly16x4x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 5); \ + __ret; \ +}) +#else +#define vld3_dup_p16(__p0) __extension__ ({ \ + poly16x4x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 5); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_p8(__p0) __extension__ ({ \ + poly8x16x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 36); \ + __ret; \ +}) +#else +#define vld3q_dup_p8(__p0) __extension__ ({ \ + poly8x16x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 36); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_p16(__p0) __extension__ ({ \ + poly16x8x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 37); \ + __ret; \ +}) +#else +#define vld3q_dup_p16(__p0) __extension__ ({ \ + poly16x8x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 37); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_u8(__p0) __extension__ ({ \ + uint8x16x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 48); \ + __ret; \ +}) +#else +#define vld3q_dup_u8(__p0) __extension__ ({ \ + uint8x16x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 48); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_u32(__p0) __extension__ ({ \ + uint32x4x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 50); \ + __ret; \ +}) +#else +#define vld3q_dup_u32(__p0) __extension__ ({ \ + uint32x4x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 50); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_u64(__p0) __extension__ ({ \ + uint64x2x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 51); \ + __ret; \ +}) +#else +#define vld3q_dup_u64(__p0) __extension__ ({ \ + uint64x2x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 51); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_u16(__p0) __extension__ ({ \ + uint16x8x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 49); \ + __ret; \ +}) +#else +#define vld3q_dup_u16(__p0) __extension__ ({ \ + uint16x8x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 49); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_s8(__p0) __extension__ ({ \ + int8x16x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 32); \ + __ret; \ +}) +#else +#define vld3q_dup_s8(__p0) __extension__ ({ \ + int8x16x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 32); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_f32(__p0) __extension__ ({ \ + float32x4x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 41); \ + __ret; \ +}) +#else +#define vld3q_dup_f32(__p0) __extension__ ({ \ + float32x4x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 41); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_s32(__p0) __extension__ ({ \ + int32x4x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 34); \ + __ret; \ +}) +#else +#define vld3q_dup_s32(__p0) __extension__ ({ \ + int32x4x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 34); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_s64(__p0) __extension__ ({ \ + int64x2x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 35); \ + __ret; \ +}) +#else +#define vld3q_dup_s64(__p0) __extension__ ({ \ + int64x2x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 35); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_s16(__p0) __extension__ ({ \ + int16x8x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 33); \ + __ret; \ +}) +#else +#define vld3q_dup_s16(__p0) __extension__ ({ \ + int16x8x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 33); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_dup_u8(__p0) __extension__ ({ \ + uint8x8x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 16); \ + __ret; \ +}) +#else +#define vld3_dup_u8(__p0) __extension__ ({ \ + uint8x8x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 16); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_dup_u32(__p0) __extension__ ({ \ + uint32x2x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 18); \ + __ret; \ +}) +#else +#define vld3_dup_u32(__p0) __extension__ ({ \ + uint32x2x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 18); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#define vld3_dup_u64(__p0) __extension__ ({ \ + uint64x1x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld3_dup_u16(__p0) __extension__ ({ \ + uint16x4x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 17); \ + __ret; \ +}) +#else +#define vld3_dup_u16(__p0) __extension__ ({ \ + uint16x4x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 17); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_dup_s8(__p0) __extension__ ({ \ + int8x8x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 0); \ + __ret; \ +}) +#else +#define vld3_dup_s8(__p0) __extension__ ({ \ + int8x8x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 0); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_dup_f32(__p0) __extension__ ({ \ + float32x2x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 9); \ + __ret; \ +}) +#else +#define vld3_dup_f32(__p0) __extension__ ({ \ + float32x2x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 9); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_dup_s32(__p0) __extension__ ({ \ + int32x2x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 2); \ + __ret; \ +}) +#else +#define vld3_dup_s32(__p0) __extension__ ({ \ + int32x2x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 2); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#define vld3_dup_s64(__p0) __extension__ ({ \ + int64x1x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld3_dup_s16(__p0) __extension__ ({ \ + int16x4x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 1); \ + __ret; \ +}) +#else +#define vld3_dup_s16(__p0) __extension__ ({ \ + int16x4x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 1); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8x3_t __ret; \ + poly8x8x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 4); \ + __ret; \ +}) +#else +#define vld3_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8x3_t __ret; \ + poly8x8x3_t __s1 = __p1; \ + poly8x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 4); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4x3_t __ret; \ + poly16x4x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 5); \ + __ret; \ +}) +#else +#define vld3_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4x3_t __ret; \ + poly16x4x3_t __s1 = __p1; \ + poly16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 5); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8x3_t __ret; \ + poly16x8x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 37); \ + __ret; \ +}) +#else +#define vld3q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8x3_t __ret; \ + poly16x8x3_t __s1 = __p1; \ + poly16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 37); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4x3_t __ret; \ + uint32x4x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 50); \ + __ret; \ +}) +#else +#define vld3q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4x3_t __ret; \ + uint32x4x3_t __s1 = __p1; \ + uint32x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 50); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8x3_t __ret; \ + uint16x8x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 49); \ + __ret; \ +}) +#else +#define vld3q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8x3_t __ret; \ + uint16x8x3_t __s1 = __p1; \ + uint16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 49); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4x3_t __ret; \ + float32x4x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 41); \ + __ret; \ +}) +#else +#define vld3q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4x3_t __ret; \ + float32x4x3_t __s1 = __p1; \ + float32x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 41); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4x3_t __ret; \ + int32x4x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 34); \ + __ret; \ +}) +#else +#define vld3q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4x3_t __ret; \ + int32x4x3_t __s1 = __p1; \ + int32x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 34); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8x3_t __ret; \ + int16x8x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 33); \ + __ret; \ +}) +#else +#define vld3q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8x3_t __ret; \ + int16x8x3_t __s1 = __p1; \ + int16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 33); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8x3_t __ret; \ + uint8x8x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 16); \ + __ret; \ +}) +#else +#define vld3_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8x3_t __ret; \ + uint8x8x3_t __s1 = __p1; \ + uint8x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 16); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2x3_t __ret; \ + uint32x2x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 18); \ + __ret; \ +}) +#else +#define vld3_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2x3_t __ret; \ + uint32x2x3_t __s1 = __p1; \ + uint32x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 18); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4x3_t __ret; \ + uint16x4x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 17); \ + __ret; \ +}) +#else +#define vld3_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4x3_t __ret; \ + uint16x4x3_t __s1 = __p1; \ + uint16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 17); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8x3_t __ret; \ + int8x8x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 0); \ + __ret; \ +}) +#else +#define vld3_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8x3_t __ret; \ + int8x8x3_t __s1 = __p1; \ + int8x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 0); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2x3_t __ret; \ + float32x2x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 9); \ + __ret; \ +}) +#else +#define vld3_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2x3_t __ret; \ + float32x2x3_t __s1 = __p1; \ + float32x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 9); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2x3_t __ret; \ + int32x2x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 2); \ + __ret; \ +}) +#else +#define vld3_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2x3_t __ret; \ + int32x2x3_t __s1 = __p1; \ + int32x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 2); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4x3_t __ret; \ + int16x4x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 1); \ + __ret; \ +}) +#else +#define vld3_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4x3_t __ret; \ + int16x4x3_t __s1 = __p1; \ + int16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 1); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_p8(__p0) __extension__ ({ \ + poly8x8x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 4); \ + __ret; \ +}) +#else +#define vld4_p8(__p0) __extension__ ({ \ + poly8x8x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 4); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_p16(__p0) __extension__ ({ \ + poly16x4x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 5); \ + __ret; \ +}) +#else +#define vld4_p16(__p0) __extension__ ({ \ + poly16x4x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 5); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_p8(__p0) __extension__ ({ \ + poly8x16x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 36); \ + __ret; \ +}) +#else +#define vld4q_p8(__p0) __extension__ ({ \ + poly8x16x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 36); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_p16(__p0) __extension__ ({ \ + poly16x8x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 37); \ + __ret; \ +}) +#else +#define vld4q_p16(__p0) __extension__ ({ \ + poly16x8x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 37); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_u8(__p0) __extension__ ({ \ + uint8x16x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 48); \ + __ret; \ +}) +#else +#define vld4q_u8(__p0) __extension__ ({ \ + uint8x16x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 48); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_u32(__p0) __extension__ ({ \ + uint32x4x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 50); \ + __ret; \ +}) +#else +#define vld4q_u32(__p0) __extension__ ({ \ + uint32x4x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 50); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_u16(__p0) __extension__ ({ \ + uint16x8x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 49); \ + __ret; \ +}) +#else +#define vld4q_u16(__p0) __extension__ ({ \ + uint16x8x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 49); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_s8(__p0) __extension__ ({ \ + int8x16x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 32); \ + __ret; \ +}) +#else +#define vld4q_s8(__p0) __extension__ ({ \ + int8x16x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 32); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_f32(__p0) __extension__ ({ \ + float32x4x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 41); \ + __ret; \ +}) +#else +#define vld4q_f32(__p0) __extension__ ({ \ + float32x4x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 41); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_s32(__p0) __extension__ ({ \ + int32x4x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 34); \ + __ret; \ +}) +#else +#define vld4q_s32(__p0) __extension__ ({ \ + int32x4x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 34); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_s16(__p0) __extension__ ({ \ + int16x8x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 33); \ + __ret; \ +}) +#else +#define vld4q_s16(__p0) __extension__ ({ \ + int16x8x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 33); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_u8(__p0) __extension__ ({ \ + uint8x8x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 16); \ + __ret; \ +}) +#else +#define vld4_u8(__p0) __extension__ ({ \ + uint8x8x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 16); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_u32(__p0) __extension__ ({ \ + uint32x2x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 18); \ + __ret; \ +}) +#else +#define vld4_u32(__p0) __extension__ ({ \ + uint32x2x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 18); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#define vld4_u64(__p0) __extension__ ({ \ + uint64x1x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld4_u16(__p0) __extension__ ({ \ + uint16x4x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 17); \ + __ret; \ +}) +#else +#define vld4_u16(__p0) __extension__ ({ \ + uint16x4x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 17); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_s8(__p0) __extension__ ({ \ + int8x8x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 0); \ + __ret; \ +}) +#else +#define vld4_s8(__p0) __extension__ ({ \ + int8x8x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 0); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_f32(__p0) __extension__ ({ \ + float32x2x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 9); \ + __ret; \ +}) +#else +#define vld4_f32(__p0) __extension__ ({ \ + float32x2x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 9); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_s32(__p0) __extension__ ({ \ + int32x2x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 2); \ + __ret; \ +}) +#else +#define vld4_s32(__p0) __extension__ ({ \ + int32x2x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 2); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#define vld4_s64(__p0) __extension__ ({ \ + int64x1x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld4_s16(__p0) __extension__ ({ \ + int16x4x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 1); \ + __ret; \ +}) +#else +#define vld4_s16(__p0) __extension__ ({ \ + int16x4x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 1); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_dup_p8(__p0) __extension__ ({ \ + poly8x8x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 4); \ + __ret; \ +}) +#else +#define vld4_dup_p8(__p0) __extension__ ({ \ + poly8x8x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 4); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_dup_p16(__p0) __extension__ ({ \ + poly16x4x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 5); \ + __ret; \ +}) +#else +#define vld4_dup_p16(__p0) __extension__ ({ \ + poly16x4x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 5); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_p8(__p0) __extension__ ({ \ + poly8x16x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 36); \ + __ret; \ +}) +#else +#define vld4q_dup_p8(__p0) __extension__ ({ \ + poly8x16x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 36); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_p16(__p0) __extension__ ({ \ + poly16x8x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 37); \ + __ret; \ +}) +#else +#define vld4q_dup_p16(__p0) __extension__ ({ \ + poly16x8x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 37); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_u8(__p0) __extension__ ({ \ + uint8x16x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 48); \ + __ret; \ +}) +#else +#define vld4q_dup_u8(__p0) __extension__ ({ \ + uint8x16x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 48); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_u32(__p0) __extension__ ({ \ + uint32x4x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 50); \ + __ret; \ +}) +#else +#define vld4q_dup_u32(__p0) __extension__ ({ \ + uint32x4x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 50); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_u64(__p0) __extension__ ({ \ + uint64x2x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 51); \ + __ret; \ +}) +#else +#define vld4q_dup_u64(__p0) __extension__ ({ \ + uint64x2x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 51); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_u16(__p0) __extension__ ({ \ + uint16x8x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 49); \ + __ret; \ +}) +#else +#define vld4q_dup_u16(__p0) __extension__ ({ \ + uint16x8x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 49); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_s8(__p0) __extension__ ({ \ + int8x16x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 32); \ + __ret; \ +}) +#else +#define vld4q_dup_s8(__p0) __extension__ ({ \ + int8x16x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 32); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_f32(__p0) __extension__ ({ \ + float32x4x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 41); \ + __ret; \ +}) +#else +#define vld4q_dup_f32(__p0) __extension__ ({ \ + float32x4x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 41); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_s32(__p0) __extension__ ({ \ + int32x4x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 34); \ + __ret; \ +}) +#else +#define vld4q_dup_s32(__p0) __extension__ ({ \ + int32x4x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 34); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_s64(__p0) __extension__ ({ \ + int64x2x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 35); \ + __ret; \ +}) +#else +#define vld4q_dup_s64(__p0) __extension__ ({ \ + int64x2x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 35); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_s16(__p0) __extension__ ({ \ + int16x8x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 33); \ + __ret; \ +}) +#else +#define vld4q_dup_s16(__p0) __extension__ ({ \ + int16x8x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 33); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_dup_u8(__p0) __extension__ ({ \ + uint8x8x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 16); \ + __ret; \ +}) +#else +#define vld4_dup_u8(__p0) __extension__ ({ \ + uint8x8x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 16); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_dup_u32(__p0) __extension__ ({ \ + uint32x2x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 18); \ + __ret; \ +}) +#else +#define vld4_dup_u32(__p0) __extension__ ({ \ + uint32x2x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 18); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#define vld4_dup_u64(__p0) __extension__ ({ \ + uint64x1x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld4_dup_u16(__p0) __extension__ ({ \ + uint16x4x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 17); \ + __ret; \ +}) +#else +#define vld4_dup_u16(__p0) __extension__ ({ \ + uint16x4x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 17); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_dup_s8(__p0) __extension__ ({ \ + int8x8x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 0); \ + __ret; \ +}) +#else +#define vld4_dup_s8(__p0) __extension__ ({ \ + int8x8x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 0); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_dup_f32(__p0) __extension__ ({ \ + float32x2x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 9); \ + __ret; \ +}) +#else +#define vld4_dup_f32(__p0) __extension__ ({ \ + float32x2x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 9); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_dup_s32(__p0) __extension__ ({ \ + int32x2x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 2); \ + __ret; \ +}) +#else +#define vld4_dup_s32(__p0) __extension__ ({ \ + int32x2x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 2); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#define vld4_dup_s64(__p0) __extension__ ({ \ + int64x1x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld4_dup_s16(__p0) __extension__ ({ \ + int16x4x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 1); \ + __ret; \ +}) +#else +#define vld4_dup_s16(__p0) __extension__ ({ \ + int16x4x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 1); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8x4_t __ret; \ + poly8x8x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 4); \ + __ret; \ +}) +#else +#define vld4_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8x4_t __ret; \ + poly8x8x4_t __s1 = __p1; \ + poly8x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 4); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4x4_t __ret; \ + poly16x4x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 5); \ + __ret; \ +}) +#else +#define vld4_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4x4_t __ret; \ + poly16x4x4_t __s1 = __p1; \ + poly16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 5); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8x4_t __ret; \ + poly16x8x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 37); \ + __ret; \ +}) +#else +#define vld4q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8x4_t __ret; \ + poly16x8x4_t __s1 = __p1; \ + poly16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 37); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4x4_t __ret; \ + uint32x4x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 50); \ + __ret; \ +}) +#else +#define vld4q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4x4_t __ret; \ + uint32x4x4_t __s1 = __p1; \ + uint32x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 50); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8x4_t __ret; \ + uint16x8x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 49); \ + __ret; \ +}) +#else +#define vld4q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8x4_t __ret; \ + uint16x8x4_t __s1 = __p1; \ + uint16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 49); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4x4_t __ret; \ + float32x4x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 41); \ + __ret; \ +}) +#else +#define vld4q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4x4_t __ret; \ + float32x4x4_t __s1 = __p1; \ + float32x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 41); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4x4_t __ret; \ + int32x4x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 34); \ + __ret; \ +}) +#else +#define vld4q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4x4_t __ret; \ + int32x4x4_t __s1 = __p1; \ + int32x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 34); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8x4_t __ret; \ + int16x8x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 33); \ + __ret; \ +}) +#else +#define vld4q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8x4_t __ret; \ + int16x8x4_t __s1 = __p1; \ + int16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 33); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8x4_t __ret; \ + uint8x8x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 16); \ + __ret; \ +}) +#else +#define vld4_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8x4_t __ret; \ + uint8x8x4_t __s1 = __p1; \ + uint8x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 16); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2x4_t __ret; \ + uint32x2x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 18); \ + __ret; \ +}) +#else +#define vld4_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2x4_t __ret; \ + uint32x2x4_t __s1 = __p1; \ + uint32x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 18); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4x4_t __ret; \ + uint16x4x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 17); \ + __ret; \ +}) +#else +#define vld4_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4x4_t __ret; \ + uint16x4x4_t __s1 = __p1; \ + uint16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 17); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8x4_t __ret; \ + int8x8x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 0); \ + __ret; \ +}) +#else +#define vld4_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8x4_t __ret; \ + int8x8x4_t __s1 = __p1; \ + int8x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 0); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2x4_t __ret; \ + float32x2x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 9); \ + __ret; \ +}) +#else +#define vld4_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2x4_t __ret; \ + float32x2x4_t __s1 = __p1; \ + float32x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 9); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2x4_t __ret; \ + int32x2x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 2); \ + __ret; \ +}) +#else +#define vld4_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2x4_t __ret; \ + int32x2x4_t __s1 = __p1; \ + int32x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 2); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4x4_t __ret; \ + int16x4x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 1); \ + __ret; \ +}) +#else +#define vld4_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4x4_t __ret; \ + int16x4x4_t __s1 = __p1; \ + int16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 1); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vmaxq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vmaxq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmaxq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vmaxq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmaxq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vmaxq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vmaxq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vmaxq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vmaxq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vmaxq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmaxq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vmaxq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmaxq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vmaxq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vmax_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vmax_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vmax_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vmax_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vmax_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vmax_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vmax_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vmax_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vmax_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vmax_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vmax_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vmax_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vmax_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vmax_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vmax_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vmax_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vmax_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vmax_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vmax_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vmax_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vmax_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vminq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vminq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vminq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vminq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vminq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vminq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vminq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vminq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vminq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vminq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vminq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vminq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vminq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vminq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vminq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vminq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vminq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vminq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vminq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vminq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vminq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vmin_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vmin_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vmin_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vmin_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vmin_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vmin_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vmin_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vmin_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vmin_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vmin_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vmin_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vmin_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vmin_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vmin_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vmin_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vmin_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vmin_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vmin_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vmin_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vmin_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vmin_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vmlaq_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai uint8x16_t vmlaq_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmlaq_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai uint32x4_t vmlaq_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmlaq_u16(uint16x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint16x8_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai uint16x8_t vmlaq_u16(uint16x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vmlaq_s8(int8x16_t __p0, int8x16_t __p1, int8x16_t __p2) { + int8x16_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai int8x16_t vmlaq_s8(int8x16_t __p0, int8x16_t __p1, int8x16_t __p2) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vmlaq_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai float32x4_t vmlaq_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmlaq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai int32x4_t vmlaq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmlaq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai int16x8_t vmlaq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vmla_u8(uint8x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai uint8x8_t vmla_u8(uint8x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vmla_u32(uint32x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint32x2_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai uint32x2_t vmla_u32(uint32x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vmla_u16(uint16x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint16x4_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai uint16x4_t vmla_u16(uint16x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vmla_s8(int8x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int8x8_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai int8x8_t vmla_s8(int8x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vmla_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai float32x2_t vmla_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vmla_s32(int32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai int32x2_t vmla_s32(int32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vmla_s16(int16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai int16x4_t vmla_s16(int16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlaq_lane_u32(__p0_46, __p1_46, __p2_46, __p3_46) __extension__ ({ \ + uint32x4_t __ret_46; \ + uint32x4_t __s0_46 = __p0_46; \ + uint32x4_t __s1_46 = __p1_46; \ + uint32x2_t __s2_46 = __p2_46; \ + __ret_46 = __s0_46 + __s1_46 * splatq_lane_u32(__s2_46, __p3_46); \ + __ret_46; \ +}) +#else +#define vmlaq_lane_u32(__p0_47, __p1_47, __p2_47, __p3_47) __extension__ ({ \ + uint32x4_t __ret_47; \ + uint32x4_t __s0_47 = __p0_47; \ + uint32x4_t __s1_47 = __p1_47; \ + uint32x2_t __s2_47 = __p2_47; \ + uint32x4_t __rev0_47; __rev0_47 = __builtin_shufflevector(__s0_47, __s0_47, 3, 2, 1, 0); \ + uint32x4_t __rev1_47; __rev1_47 = __builtin_shufflevector(__s1_47, __s1_47, 3, 2, 1, 0); \ + uint32x2_t __rev2_47; __rev2_47 = __builtin_shufflevector(__s2_47, __s2_47, 1, 0); \ + __ret_47 = __rev0_47 + __rev1_47 * __noswap_splatq_lane_u32(__rev2_47, __p3_47); \ + __ret_47 = __builtin_shufflevector(__ret_47, __ret_47, 3, 2, 1, 0); \ + __ret_47; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlaq_lane_u16(__p0_48, __p1_48, __p2_48, __p3_48) __extension__ ({ \ + uint16x8_t __ret_48; \ + uint16x8_t __s0_48 = __p0_48; \ + uint16x8_t __s1_48 = __p1_48; \ + uint16x4_t __s2_48 = __p2_48; \ + __ret_48 = __s0_48 + __s1_48 * splatq_lane_u16(__s2_48, __p3_48); \ + __ret_48; \ +}) +#else +#define vmlaq_lane_u16(__p0_49, __p1_49, __p2_49, __p3_49) __extension__ ({ \ + uint16x8_t __ret_49; \ + uint16x8_t __s0_49 = __p0_49; \ + uint16x8_t __s1_49 = __p1_49; \ + uint16x4_t __s2_49 = __p2_49; \ + uint16x8_t __rev0_49; __rev0_49 = __builtin_shufflevector(__s0_49, __s0_49, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1_49; __rev1_49 = __builtin_shufflevector(__s1_49, __s1_49, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x4_t __rev2_49; __rev2_49 = __builtin_shufflevector(__s2_49, __s2_49, 3, 2, 1, 0); \ + __ret_49 = __rev0_49 + __rev1_49 * __noswap_splatq_lane_u16(__rev2_49, __p3_49); \ + __ret_49 = __builtin_shufflevector(__ret_49, __ret_49, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_49; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlaq_lane_f32(__p0_50, __p1_50, __p2_50, __p3_50) __extension__ ({ \ + float32x4_t __ret_50; \ + float32x4_t __s0_50 = __p0_50; \ + float32x4_t __s1_50 = __p1_50; \ + float32x2_t __s2_50 = __p2_50; \ + __ret_50 = __s0_50 + __s1_50 * splatq_lane_f32(__s2_50, __p3_50); \ + __ret_50; \ +}) +#else +#define vmlaq_lane_f32(__p0_51, __p1_51, __p2_51, __p3_51) __extension__ ({ \ + float32x4_t __ret_51; \ + float32x4_t __s0_51 = __p0_51; \ + float32x4_t __s1_51 = __p1_51; \ + float32x2_t __s2_51 = __p2_51; \ + float32x4_t __rev0_51; __rev0_51 = __builtin_shufflevector(__s0_51, __s0_51, 3, 2, 1, 0); \ + float32x4_t __rev1_51; __rev1_51 = __builtin_shufflevector(__s1_51, __s1_51, 3, 2, 1, 0); \ + float32x2_t __rev2_51; __rev2_51 = __builtin_shufflevector(__s2_51, __s2_51, 1, 0); \ + __ret_51 = __rev0_51 + __rev1_51 * __noswap_splatq_lane_f32(__rev2_51, __p3_51); \ + __ret_51 = __builtin_shufflevector(__ret_51, __ret_51, 3, 2, 1, 0); \ + __ret_51; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlaq_lane_s32(__p0_52, __p1_52, __p2_52, __p3_52) __extension__ ({ \ + int32x4_t __ret_52; \ + int32x4_t __s0_52 = __p0_52; \ + int32x4_t __s1_52 = __p1_52; \ + int32x2_t __s2_52 = __p2_52; \ + __ret_52 = __s0_52 + __s1_52 * splatq_lane_s32(__s2_52, __p3_52); \ + __ret_52; \ +}) +#else +#define vmlaq_lane_s32(__p0_53, __p1_53, __p2_53, __p3_53) __extension__ ({ \ + int32x4_t __ret_53; \ + int32x4_t __s0_53 = __p0_53; \ + int32x4_t __s1_53 = __p1_53; \ + int32x2_t __s2_53 = __p2_53; \ + int32x4_t __rev0_53; __rev0_53 = __builtin_shufflevector(__s0_53, __s0_53, 3, 2, 1, 0); \ + int32x4_t __rev1_53; __rev1_53 = __builtin_shufflevector(__s1_53, __s1_53, 3, 2, 1, 0); \ + int32x2_t __rev2_53; __rev2_53 = __builtin_shufflevector(__s2_53, __s2_53, 1, 0); \ + __ret_53 = __rev0_53 + __rev1_53 * __noswap_splatq_lane_s32(__rev2_53, __p3_53); \ + __ret_53 = __builtin_shufflevector(__ret_53, __ret_53, 3, 2, 1, 0); \ + __ret_53; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlaq_lane_s16(__p0_54, __p1_54, __p2_54, __p3_54) __extension__ ({ \ + int16x8_t __ret_54; \ + int16x8_t __s0_54 = __p0_54; \ + int16x8_t __s1_54 = __p1_54; \ + int16x4_t __s2_54 = __p2_54; \ + __ret_54 = __s0_54 + __s1_54 * splatq_lane_s16(__s2_54, __p3_54); \ + __ret_54; \ +}) +#else +#define vmlaq_lane_s16(__p0_55, __p1_55, __p2_55, __p3_55) __extension__ ({ \ + int16x8_t __ret_55; \ + int16x8_t __s0_55 = __p0_55; \ + int16x8_t __s1_55 = __p1_55; \ + int16x4_t __s2_55 = __p2_55; \ + int16x8_t __rev0_55; __rev0_55 = __builtin_shufflevector(__s0_55, __s0_55, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_55; __rev1_55 = __builtin_shufflevector(__s1_55, __s1_55, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev2_55; __rev2_55 = __builtin_shufflevector(__s2_55, __s2_55, 3, 2, 1, 0); \ + __ret_55 = __rev0_55 + __rev1_55 * __noswap_splatq_lane_s16(__rev2_55, __p3_55); \ + __ret_55 = __builtin_shufflevector(__ret_55, __ret_55, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_55; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmla_lane_u32(__p0_56, __p1_56, __p2_56, __p3_56) __extension__ ({ \ + uint32x2_t __ret_56; \ + uint32x2_t __s0_56 = __p0_56; \ + uint32x2_t __s1_56 = __p1_56; \ + uint32x2_t __s2_56 = __p2_56; \ + __ret_56 = __s0_56 + __s1_56 * splat_lane_u32(__s2_56, __p3_56); \ + __ret_56; \ +}) +#else +#define vmla_lane_u32(__p0_57, __p1_57, __p2_57, __p3_57) __extension__ ({ \ + uint32x2_t __ret_57; \ + uint32x2_t __s0_57 = __p0_57; \ + uint32x2_t __s1_57 = __p1_57; \ + uint32x2_t __s2_57 = __p2_57; \ + uint32x2_t __rev0_57; __rev0_57 = __builtin_shufflevector(__s0_57, __s0_57, 1, 0); \ + uint32x2_t __rev1_57; __rev1_57 = __builtin_shufflevector(__s1_57, __s1_57, 1, 0); \ + uint32x2_t __rev2_57; __rev2_57 = __builtin_shufflevector(__s2_57, __s2_57, 1, 0); \ + __ret_57 = __rev0_57 + __rev1_57 * __noswap_splat_lane_u32(__rev2_57, __p3_57); \ + __ret_57 = __builtin_shufflevector(__ret_57, __ret_57, 1, 0); \ + __ret_57; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmla_lane_u16(__p0_58, __p1_58, __p2_58, __p3_58) __extension__ ({ \ + uint16x4_t __ret_58; \ + uint16x4_t __s0_58 = __p0_58; \ + uint16x4_t __s1_58 = __p1_58; \ + uint16x4_t __s2_58 = __p2_58; \ + __ret_58 = __s0_58 + __s1_58 * splat_lane_u16(__s2_58, __p3_58); \ + __ret_58; \ +}) +#else +#define vmla_lane_u16(__p0_59, __p1_59, __p2_59, __p3_59) __extension__ ({ \ + uint16x4_t __ret_59; \ + uint16x4_t __s0_59 = __p0_59; \ + uint16x4_t __s1_59 = __p1_59; \ + uint16x4_t __s2_59 = __p2_59; \ + uint16x4_t __rev0_59; __rev0_59 = __builtin_shufflevector(__s0_59, __s0_59, 3, 2, 1, 0); \ + uint16x4_t __rev1_59; __rev1_59 = __builtin_shufflevector(__s1_59, __s1_59, 3, 2, 1, 0); \ + uint16x4_t __rev2_59; __rev2_59 = __builtin_shufflevector(__s2_59, __s2_59, 3, 2, 1, 0); \ + __ret_59 = __rev0_59 + __rev1_59 * __noswap_splat_lane_u16(__rev2_59, __p3_59); \ + __ret_59 = __builtin_shufflevector(__ret_59, __ret_59, 3, 2, 1, 0); \ + __ret_59; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmla_lane_f32(__p0_60, __p1_60, __p2_60, __p3_60) __extension__ ({ \ + float32x2_t __ret_60; \ + float32x2_t __s0_60 = __p0_60; \ + float32x2_t __s1_60 = __p1_60; \ + float32x2_t __s2_60 = __p2_60; \ + __ret_60 = __s0_60 + __s1_60 * splat_lane_f32(__s2_60, __p3_60); \ + __ret_60; \ +}) +#else +#define vmla_lane_f32(__p0_61, __p1_61, __p2_61, __p3_61) __extension__ ({ \ + float32x2_t __ret_61; \ + float32x2_t __s0_61 = __p0_61; \ + float32x2_t __s1_61 = __p1_61; \ + float32x2_t __s2_61 = __p2_61; \ + float32x2_t __rev0_61; __rev0_61 = __builtin_shufflevector(__s0_61, __s0_61, 1, 0); \ + float32x2_t __rev1_61; __rev1_61 = __builtin_shufflevector(__s1_61, __s1_61, 1, 0); \ + float32x2_t __rev2_61; __rev2_61 = __builtin_shufflevector(__s2_61, __s2_61, 1, 0); \ + __ret_61 = __rev0_61 + __rev1_61 * __noswap_splat_lane_f32(__rev2_61, __p3_61); \ + __ret_61 = __builtin_shufflevector(__ret_61, __ret_61, 1, 0); \ + __ret_61; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmla_lane_s32(__p0_62, __p1_62, __p2_62, __p3_62) __extension__ ({ \ + int32x2_t __ret_62; \ + int32x2_t __s0_62 = __p0_62; \ + int32x2_t __s1_62 = __p1_62; \ + int32x2_t __s2_62 = __p2_62; \ + __ret_62 = __s0_62 + __s1_62 * splat_lane_s32(__s2_62, __p3_62); \ + __ret_62; \ +}) +#else +#define vmla_lane_s32(__p0_63, __p1_63, __p2_63, __p3_63) __extension__ ({ \ + int32x2_t __ret_63; \ + int32x2_t __s0_63 = __p0_63; \ + int32x2_t __s1_63 = __p1_63; \ + int32x2_t __s2_63 = __p2_63; \ + int32x2_t __rev0_63; __rev0_63 = __builtin_shufflevector(__s0_63, __s0_63, 1, 0); \ + int32x2_t __rev1_63; __rev1_63 = __builtin_shufflevector(__s1_63, __s1_63, 1, 0); \ + int32x2_t __rev2_63; __rev2_63 = __builtin_shufflevector(__s2_63, __s2_63, 1, 0); \ + __ret_63 = __rev0_63 + __rev1_63 * __noswap_splat_lane_s32(__rev2_63, __p3_63); \ + __ret_63 = __builtin_shufflevector(__ret_63, __ret_63, 1, 0); \ + __ret_63; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmla_lane_s16(__p0_64, __p1_64, __p2_64, __p3_64) __extension__ ({ \ + int16x4_t __ret_64; \ + int16x4_t __s0_64 = __p0_64; \ + int16x4_t __s1_64 = __p1_64; \ + int16x4_t __s2_64 = __p2_64; \ + __ret_64 = __s0_64 + __s1_64 * splat_lane_s16(__s2_64, __p3_64); \ + __ret_64; \ +}) +#else +#define vmla_lane_s16(__p0_65, __p1_65, __p2_65, __p3_65) __extension__ ({ \ + int16x4_t __ret_65; \ + int16x4_t __s0_65 = __p0_65; \ + int16x4_t __s1_65 = __p1_65; \ + int16x4_t __s2_65 = __p2_65; \ + int16x4_t __rev0_65; __rev0_65 = __builtin_shufflevector(__s0_65, __s0_65, 3, 2, 1, 0); \ + int16x4_t __rev1_65; __rev1_65 = __builtin_shufflevector(__s1_65, __s1_65, 3, 2, 1, 0); \ + int16x4_t __rev2_65; __rev2_65 = __builtin_shufflevector(__s2_65, __s2_65, 3, 2, 1, 0); \ + __ret_65 = __rev0_65 + __rev1_65 * __noswap_splat_lane_s16(__rev2_65, __p3_65); \ + __ret_65 = __builtin_shufflevector(__ret_65, __ret_65, 3, 2, 1, 0); \ + __ret_65; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmlaq_n_u32(uint32x4_t __p0, uint32x4_t __p1, uint32_t __p2) { + uint32x4_t __ret; + __ret = __p0 + __p1 * (uint32x4_t) {__p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai uint32x4_t vmlaq_n_u32(uint32x4_t __p0, uint32x4_t __p1, uint32_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * (uint32x4_t) {__p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmlaq_n_u16(uint16x8_t __p0, uint16x8_t __p1, uint16_t __p2) { + uint16x8_t __ret; + __ret = __p0 + __p1 * (uint16x8_t) {__p2, __p2, __p2, __p2, __p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai uint16x8_t vmlaq_n_u16(uint16x8_t __p0, uint16x8_t __p1, uint16_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * (uint16x8_t) {__p2, __p2, __p2, __p2, __p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vmlaq_n_f32(float32x4_t __p0, float32x4_t __p1, float32_t __p2) { + float32x4_t __ret; + __ret = __p0 + __p1 * (float32x4_t) {__p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai float32x4_t vmlaq_n_f32(float32x4_t __p0, float32x4_t __p1, float32_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * (float32x4_t) {__p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmlaq_n_s32(int32x4_t __p0, int32x4_t __p1, int32_t __p2) { + int32x4_t __ret; + __ret = __p0 + __p1 * (int32x4_t) {__p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai int32x4_t vmlaq_n_s32(int32x4_t __p0, int32x4_t __p1, int32_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * (int32x4_t) {__p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmlaq_n_s16(int16x8_t __p0, int16x8_t __p1, int16_t __p2) { + int16x8_t __ret; + __ret = __p0 + __p1 * (int16x8_t) {__p2, __p2, __p2, __p2, __p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai int16x8_t vmlaq_n_s16(int16x8_t __p0, int16x8_t __p1, int16_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * (int16x8_t) {__p2, __p2, __p2, __p2, __p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vmla_n_u32(uint32x2_t __p0, uint32x2_t __p1, uint32_t __p2) { + uint32x2_t __ret; + __ret = __p0 + __p1 * (uint32x2_t) {__p2, __p2}; + return __ret; +} +#else +__ai uint32x2_t vmla_n_u32(uint32x2_t __p0, uint32x2_t __p1, uint32_t __p2) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __rev1 * (uint32x2_t) {__p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vmla_n_u16(uint16x4_t __p0, uint16x4_t __p1, uint16_t __p2) { + uint16x4_t __ret; + __ret = __p0 + __p1 * (uint16x4_t) {__p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai uint16x4_t vmla_n_u16(uint16x4_t __p0, uint16x4_t __p1, uint16_t __p2) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * (uint16x4_t) {__p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vmla_n_f32(float32x2_t __p0, float32x2_t __p1, float32_t __p2) { + float32x2_t __ret; + __ret = __p0 + __p1 * (float32x2_t) {__p2, __p2}; + return __ret; +} +#else +__ai float32x2_t vmla_n_f32(float32x2_t __p0, float32x2_t __p1, float32_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __rev1 * (float32x2_t) {__p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vmla_n_s32(int32x2_t __p0, int32x2_t __p1, int32_t __p2) { + int32x2_t __ret; + __ret = __p0 + __p1 * (int32x2_t) {__p2, __p2}; + return __ret; +} +#else +__ai int32x2_t vmla_n_s32(int32x2_t __p0, int32x2_t __p1, int32_t __p2) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __rev1 * (int32x2_t) {__p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vmla_n_s16(int16x4_t __p0, int16x4_t __p1, int16_t __p2) { + int16x4_t __ret; + __ret = __p0 + __p1 * (int16x4_t) {__p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai int16x4_t vmla_n_s16(int16x4_t __p0, int16x4_t __p1, int16_t __p2) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __rev1 * (int16x4_t) {__p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vmlsq_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai uint8x16_t vmlsq_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmlsq_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai uint32x4_t vmlsq_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmlsq_u16(uint16x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint16x8_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai uint16x8_t vmlsq_u16(uint16x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vmlsq_s8(int8x16_t __p0, int8x16_t __p1, int8x16_t __p2) { + int8x16_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai int8x16_t vmlsq_s8(int8x16_t __p0, int8x16_t __p1, int8x16_t __p2) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vmlsq_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai float32x4_t vmlsq_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmlsq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai int32x4_t vmlsq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmlsq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai int16x8_t vmlsq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vmls_u8(uint8x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai uint8x8_t vmls_u8(uint8x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vmls_u32(uint32x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint32x2_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai uint32x2_t vmls_u32(uint32x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vmls_u16(uint16x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint16x4_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai uint16x4_t vmls_u16(uint16x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vmls_s8(int8x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int8x8_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai int8x8_t vmls_s8(int8x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vmls_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai float32x2_t vmls_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vmls_s32(int32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai int32x2_t vmls_s32(int32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vmls_s16(int16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai int16x4_t vmls_s16(int16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsq_lane_u32(__p0_66, __p1_66, __p2_66, __p3_66) __extension__ ({ \ + uint32x4_t __ret_66; \ + uint32x4_t __s0_66 = __p0_66; \ + uint32x4_t __s1_66 = __p1_66; \ + uint32x2_t __s2_66 = __p2_66; \ + __ret_66 = __s0_66 - __s1_66 * splatq_lane_u32(__s2_66, __p3_66); \ + __ret_66; \ +}) +#else +#define vmlsq_lane_u32(__p0_67, __p1_67, __p2_67, __p3_67) __extension__ ({ \ + uint32x4_t __ret_67; \ + uint32x4_t __s0_67 = __p0_67; \ + uint32x4_t __s1_67 = __p1_67; \ + uint32x2_t __s2_67 = __p2_67; \ + uint32x4_t __rev0_67; __rev0_67 = __builtin_shufflevector(__s0_67, __s0_67, 3, 2, 1, 0); \ + uint32x4_t __rev1_67; __rev1_67 = __builtin_shufflevector(__s1_67, __s1_67, 3, 2, 1, 0); \ + uint32x2_t __rev2_67; __rev2_67 = __builtin_shufflevector(__s2_67, __s2_67, 1, 0); \ + __ret_67 = __rev0_67 - __rev1_67 * __noswap_splatq_lane_u32(__rev2_67, __p3_67); \ + __ret_67 = __builtin_shufflevector(__ret_67, __ret_67, 3, 2, 1, 0); \ + __ret_67; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsq_lane_u16(__p0_68, __p1_68, __p2_68, __p3_68) __extension__ ({ \ + uint16x8_t __ret_68; \ + uint16x8_t __s0_68 = __p0_68; \ + uint16x8_t __s1_68 = __p1_68; \ + uint16x4_t __s2_68 = __p2_68; \ + __ret_68 = __s0_68 - __s1_68 * splatq_lane_u16(__s2_68, __p3_68); \ + __ret_68; \ +}) +#else +#define vmlsq_lane_u16(__p0_69, __p1_69, __p2_69, __p3_69) __extension__ ({ \ + uint16x8_t __ret_69; \ + uint16x8_t __s0_69 = __p0_69; \ + uint16x8_t __s1_69 = __p1_69; \ + uint16x4_t __s2_69 = __p2_69; \ + uint16x8_t __rev0_69; __rev0_69 = __builtin_shufflevector(__s0_69, __s0_69, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1_69; __rev1_69 = __builtin_shufflevector(__s1_69, __s1_69, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x4_t __rev2_69; __rev2_69 = __builtin_shufflevector(__s2_69, __s2_69, 3, 2, 1, 0); \ + __ret_69 = __rev0_69 - __rev1_69 * __noswap_splatq_lane_u16(__rev2_69, __p3_69); \ + __ret_69 = __builtin_shufflevector(__ret_69, __ret_69, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_69; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsq_lane_f32(__p0_70, __p1_70, __p2_70, __p3_70) __extension__ ({ \ + float32x4_t __ret_70; \ + float32x4_t __s0_70 = __p0_70; \ + float32x4_t __s1_70 = __p1_70; \ + float32x2_t __s2_70 = __p2_70; \ + __ret_70 = __s0_70 - __s1_70 * splatq_lane_f32(__s2_70, __p3_70); \ + __ret_70; \ +}) +#else +#define vmlsq_lane_f32(__p0_71, __p1_71, __p2_71, __p3_71) __extension__ ({ \ + float32x4_t __ret_71; \ + float32x4_t __s0_71 = __p0_71; \ + float32x4_t __s1_71 = __p1_71; \ + float32x2_t __s2_71 = __p2_71; \ + float32x4_t __rev0_71; __rev0_71 = __builtin_shufflevector(__s0_71, __s0_71, 3, 2, 1, 0); \ + float32x4_t __rev1_71; __rev1_71 = __builtin_shufflevector(__s1_71, __s1_71, 3, 2, 1, 0); \ + float32x2_t __rev2_71; __rev2_71 = __builtin_shufflevector(__s2_71, __s2_71, 1, 0); \ + __ret_71 = __rev0_71 - __rev1_71 * __noswap_splatq_lane_f32(__rev2_71, __p3_71); \ + __ret_71 = __builtin_shufflevector(__ret_71, __ret_71, 3, 2, 1, 0); \ + __ret_71; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsq_lane_s32(__p0_72, __p1_72, __p2_72, __p3_72) __extension__ ({ \ + int32x4_t __ret_72; \ + int32x4_t __s0_72 = __p0_72; \ + int32x4_t __s1_72 = __p1_72; \ + int32x2_t __s2_72 = __p2_72; \ + __ret_72 = __s0_72 - __s1_72 * splatq_lane_s32(__s2_72, __p3_72); \ + __ret_72; \ +}) +#else +#define vmlsq_lane_s32(__p0_73, __p1_73, __p2_73, __p3_73) __extension__ ({ \ + int32x4_t __ret_73; \ + int32x4_t __s0_73 = __p0_73; \ + int32x4_t __s1_73 = __p1_73; \ + int32x2_t __s2_73 = __p2_73; \ + int32x4_t __rev0_73; __rev0_73 = __builtin_shufflevector(__s0_73, __s0_73, 3, 2, 1, 0); \ + int32x4_t __rev1_73; __rev1_73 = __builtin_shufflevector(__s1_73, __s1_73, 3, 2, 1, 0); \ + int32x2_t __rev2_73; __rev2_73 = __builtin_shufflevector(__s2_73, __s2_73, 1, 0); \ + __ret_73 = __rev0_73 - __rev1_73 * __noswap_splatq_lane_s32(__rev2_73, __p3_73); \ + __ret_73 = __builtin_shufflevector(__ret_73, __ret_73, 3, 2, 1, 0); \ + __ret_73; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsq_lane_s16(__p0_74, __p1_74, __p2_74, __p3_74) __extension__ ({ \ + int16x8_t __ret_74; \ + int16x8_t __s0_74 = __p0_74; \ + int16x8_t __s1_74 = __p1_74; \ + int16x4_t __s2_74 = __p2_74; \ + __ret_74 = __s0_74 - __s1_74 * splatq_lane_s16(__s2_74, __p3_74); \ + __ret_74; \ +}) +#else +#define vmlsq_lane_s16(__p0_75, __p1_75, __p2_75, __p3_75) __extension__ ({ \ + int16x8_t __ret_75; \ + int16x8_t __s0_75 = __p0_75; \ + int16x8_t __s1_75 = __p1_75; \ + int16x4_t __s2_75 = __p2_75; \ + int16x8_t __rev0_75; __rev0_75 = __builtin_shufflevector(__s0_75, __s0_75, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_75; __rev1_75 = __builtin_shufflevector(__s1_75, __s1_75, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev2_75; __rev2_75 = __builtin_shufflevector(__s2_75, __s2_75, 3, 2, 1, 0); \ + __ret_75 = __rev0_75 - __rev1_75 * __noswap_splatq_lane_s16(__rev2_75, __p3_75); \ + __ret_75 = __builtin_shufflevector(__ret_75, __ret_75, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_75; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmls_lane_u32(__p0_76, __p1_76, __p2_76, __p3_76) __extension__ ({ \ + uint32x2_t __ret_76; \ + uint32x2_t __s0_76 = __p0_76; \ + uint32x2_t __s1_76 = __p1_76; \ + uint32x2_t __s2_76 = __p2_76; \ + __ret_76 = __s0_76 - __s1_76 * splat_lane_u32(__s2_76, __p3_76); \ + __ret_76; \ +}) +#else +#define vmls_lane_u32(__p0_77, __p1_77, __p2_77, __p3_77) __extension__ ({ \ + uint32x2_t __ret_77; \ + uint32x2_t __s0_77 = __p0_77; \ + uint32x2_t __s1_77 = __p1_77; \ + uint32x2_t __s2_77 = __p2_77; \ + uint32x2_t __rev0_77; __rev0_77 = __builtin_shufflevector(__s0_77, __s0_77, 1, 0); \ + uint32x2_t __rev1_77; __rev1_77 = __builtin_shufflevector(__s1_77, __s1_77, 1, 0); \ + uint32x2_t __rev2_77; __rev2_77 = __builtin_shufflevector(__s2_77, __s2_77, 1, 0); \ + __ret_77 = __rev0_77 - __rev1_77 * __noswap_splat_lane_u32(__rev2_77, __p3_77); \ + __ret_77 = __builtin_shufflevector(__ret_77, __ret_77, 1, 0); \ + __ret_77; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmls_lane_u16(__p0_78, __p1_78, __p2_78, __p3_78) __extension__ ({ \ + uint16x4_t __ret_78; \ + uint16x4_t __s0_78 = __p0_78; \ + uint16x4_t __s1_78 = __p1_78; \ + uint16x4_t __s2_78 = __p2_78; \ + __ret_78 = __s0_78 - __s1_78 * splat_lane_u16(__s2_78, __p3_78); \ + __ret_78; \ +}) +#else +#define vmls_lane_u16(__p0_79, __p1_79, __p2_79, __p3_79) __extension__ ({ \ + uint16x4_t __ret_79; \ + uint16x4_t __s0_79 = __p0_79; \ + uint16x4_t __s1_79 = __p1_79; \ + uint16x4_t __s2_79 = __p2_79; \ + uint16x4_t __rev0_79; __rev0_79 = __builtin_shufflevector(__s0_79, __s0_79, 3, 2, 1, 0); \ + uint16x4_t __rev1_79; __rev1_79 = __builtin_shufflevector(__s1_79, __s1_79, 3, 2, 1, 0); \ + uint16x4_t __rev2_79; __rev2_79 = __builtin_shufflevector(__s2_79, __s2_79, 3, 2, 1, 0); \ + __ret_79 = __rev0_79 - __rev1_79 * __noswap_splat_lane_u16(__rev2_79, __p3_79); \ + __ret_79 = __builtin_shufflevector(__ret_79, __ret_79, 3, 2, 1, 0); \ + __ret_79; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmls_lane_f32(__p0_80, __p1_80, __p2_80, __p3_80) __extension__ ({ \ + float32x2_t __ret_80; \ + float32x2_t __s0_80 = __p0_80; \ + float32x2_t __s1_80 = __p1_80; \ + float32x2_t __s2_80 = __p2_80; \ + __ret_80 = __s0_80 - __s1_80 * splat_lane_f32(__s2_80, __p3_80); \ + __ret_80; \ +}) +#else +#define vmls_lane_f32(__p0_81, __p1_81, __p2_81, __p3_81) __extension__ ({ \ + float32x2_t __ret_81; \ + float32x2_t __s0_81 = __p0_81; \ + float32x2_t __s1_81 = __p1_81; \ + float32x2_t __s2_81 = __p2_81; \ + float32x2_t __rev0_81; __rev0_81 = __builtin_shufflevector(__s0_81, __s0_81, 1, 0); \ + float32x2_t __rev1_81; __rev1_81 = __builtin_shufflevector(__s1_81, __s1_81, 1, 0); \ + float32x2_t __rev2_81; __rev2_81 = __builtin_shufflevector(__s2_81, __s2_81, 1, 0); \ + __ret_81 = __rev0_81 - __rev1_81 * __noswap_splat_lane_f32(__rev2_81, __p3_81); \ + __ret_81 = __builtin_shufflevector(__ret_81, __ret_81, 1, 0); \ + __ret_81; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmls_lane_s32(__p0_82, __p1_82, __p2_82, __p3_82) __extension__ ({ \ + int32x2_t __ret_82; \ + int32x2_t __s0_82 = __p0_82; \ + int32x2_t __s1_82 = __p1_82; \ + int32x2_t __s2_82 = __p2_82; \ + __ret_82 = __s0_82 - __s1_82 * splat_lane_s32(__s2_82, __p3_82); \ + __ret_82; \ +}) +#else +#define vmls_lane_s32(__p0_83, __p1_83, __p2_83, __p3_83) __extension__ ({ \ + int32x2_t __ret_83; \ + int32x2_t __s0_83 = __p0_83; \ + int32x2_t __s1_83 = __p1_83; \ + int32x2_t __s2_83 = __p2_83; \ + int32x2_t __rev0_83; __rev0_83 = __builtin_shufflevector(__s0_83, __s0_83, 1, 0); \ + int32x2_t __rev1_83; __rev1_83 = __builtin_shufflevector(__s1_83, __s1_83, 1, 0); \ + int32x2_t __rev2_83; __rev2_83 = __builtin_shufflevector(__s2_83, __s2_83, 1, 0); \ + __ret_83 = __rev0_83 - __rev1_83 * __noswap_splat_lane_s32(__rev2_83, __p3_83); \ + __ret_83 = __builtin_shufflevector(__ret_83, __ret_83, 1, 0); \ + __ret_83; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmls_lane_s16(__p0_84, __p1_84, __p2_84, __p3_84) __extension__ ({ \ + int16x4_t __ret_84; \ + int16x4_t __s0_84 = __p0_84; \ + int16x4_t __s1_84 = __p1_84; \ + int16x4_t __s2_84 = __p2_84; \ + __ret_84 = __s0_84 - __s1_84 * splat_lane_s16(__s2_84, __p3_84); \ + __ret_84; \ +}) +#else +#define vmls_lane_s16(__p0_85, __p1_85, __p2_85, __p3_85) __extension__ ({ \ + int16x4_t __ret_85; \ + int16x4_t __s0_85 = __p0_85; \ + int16x4_t __s1_85 = __p1_85; \ + int16x4_t __s2_85 = __p2_85; \ + int16x4_t __rev0_85; __rev0_85 = __builtin_shufflevector(__s0_85, __s0_85, 3, 2, 1, 0); \ + int16x4_t __rev1_85; __rev1_85 = __builtin_shufflevector(__s1_85, __s1_85, 3, 2, 1, 0); \ + int16x4_t __rev2_85; __rev2_85 = __builtin_shufflevector(__s2_85, __s2_85, 3, 2, 1, 0); \ + __ret_85 = __rev0_85 - __rev1_85 * __noswap_splat_lane_s16(__rev2_85, __p3_85); \ + __ret_85 = __builtin_shufflevector(__ret_85, __ret_85, 3, 2, 1, 0); \ + __ret_85; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmlsq_n_u32(uint32x4_t __p0, uint32x4_t __p1, uint32_t __p2) { + uint32x4_t __ret; + __ret = __p0 - __p1 * (uint32x4_t) {__p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai uint32x4_t vmlsq_n_u32(uint32x4_t __p0, uint32x4_t __p1, uint32_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * (uint32x4_t) {__p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmlsq_n_u16(uint16x8_t __p0, uint16x8_t __p1, uint16_t __p2) { + uint16x8_t __ret; + __ret = __p0 - __p1 * (uint16x8_t) {__p2, __p2, __p2, __p2, __p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai uint16x8_t vmlsq_n_u16(uint16x8_t __p0, uint16x8_t __p1, uint16_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * (uint16x8_t) {__p2, __p2, __p2, __p2, __p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vmlsq_n_f32(float32x4_t __p0, float32x4_t __p1, float32_t __p2) { + float32x4_t __ret; + __ret = __p0 - __p1 * (float32x4_t) {__p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai float32x4_t vmlsq_n_f32(float32x4_t __p0, float32x4_t __p1, float32_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * (float32x4_t) {__p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmlsq_n_s32(int32x4_t __p0, int32x4_t __p1, int32_t __p2) { + int32x4_t __ret; + __ret = __p0 - __p1 * (int32x4_t) {__p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai int32x4_t vmlsq_n_s32(int32x4_t __p0, int32x4_t __p1, int32_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * (int32x4_t) {__p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmlsq_n_s16(int16x8_t __p0, int16x8_t __p1, int16_t __p2) { + int16x8_t __ret; + __ret = __p0 - __p1 * (int16x8_t) {__p2, __p2, __p2, __p2, __p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai int16x8_t vmlsq_n_s16(int16x8_t __p0, int16x8_t __p1, int16_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * (int16x8_t) {__p2, __p2, __p2, __p2, __p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vmls_n_u32(uint32x2_t __p0, uint32x2_t __p1, uint32_t __p2) { + uint32x2_t __ret; + __ret = __p0 - __p1 * (uint32x2_t) {__p2, __p2}; + return __ret; +} +#else +__ai uint32x2_t vmls_n_u32(uint32x2_t __p0, uint32x2_t __p1, uint32_t __p2) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __rev1 * (uint32x2_t) {__p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vmls_n_u16(uint16x4_t __p0, uint16x4_t __p1, uint16_t __p2) { + uint16x4_t __ret; + __ret = __p0 - __p1 * (uint16x4_t) {__p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai uint16x4_t vmls_n_u16(uint16x4_t __p0, uint16x4_t __p1, uint16_t __p2) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * (uint16x4_t) {__p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vmls_n_f32(float32x2_t __p0, float32x2_t __p1, float32_t __p2) { + float32x2_t __ret; + __ret = __p0 - __p1 * (float32x2_t) {__p2, __p2}; + return __ret; +} +#else +__ai float32x2_t vmls_n_f32(float32x2_t __p0, float32x2_t __p1, float32_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __rev1 * (float32x2_t) {__p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vmls_n_s32(int32x2_t __p0, int32x2_t __p1, int32_t __p2) { + int32x2_t __ret; + __ret = __p0 - __p1 * (int32x2_t) {__p2, __p2}; + return __ret; +} +#else +__ai int32x2_t vmls_n_s32(int32x2_t __p0, int32x2_t __p1, int32_t __p2) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __rev1 * (int32x2_t) {__p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vmls_n_s16(int16x4_t __p0, int16x4_t __p1, int16_t __p2) { + int16x4_t __ret; + __ret = __p0 - __p1 * (int16x4_t) {__p2, __p2, __p2, __p2}; + return __ret; +} +#else +__ai int16x4_t vmls_n_s16(int16x4_t __p0, int16x4_t __p1, int16_t __p2) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __rev1 * (int16x4_t) {__p2, __p2, __p2, __p2}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vmov_n_p8(poly8_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai poly8x8_t vmov_n_p8(poly8_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vmov_n_p16(poly16_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai poly16x4_t vmov_n_p16(poly16_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vmovq_n_p8(poly8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai poly8x16_t vmovq_n_p8(poly8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vmovq_n_p16(poly16_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai poly16x8_t vmovq_n_p16(poly16_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vmovq_n_u8(uint8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai uint8x16_t vmovq_n_u8(uint8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmovq_n_u32(uint32_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai uint32x4_t vmovq_n_u32(uint32_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmovq_n_u64(uint64_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai uint64x2_t vmovq_n_u64(uint64_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmovq_n_u16(uint16_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai uint16x8_t vmovq_n_u16(uint16_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vmovq_n_s8(int8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai int8x16_t vmovq_n_s8(int8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vmovq_n_f32(float32_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai float32x4_t vmovq_n_f32(float32_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmovq_n_f16(__p0) __extension__ ({ \ + float16x8_t __ret; \ + float16_t __s0 = __p0; \ + __ret = (float16x8_t) {__s0, __s0, __s0, __s0, __s0, __s0, __s0, __s0}; \ + __ret; \ +}) +#else +#define vmovq_n_f16(__p0) __extension__ ({ \ + float16x8_t __ret; \ + float16_t __s0 = __p0; \ + __ret = (float16x8_t) {__s0, __s0, __s0, __s0, __s0, __s0, __s0, __s0}; \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmovq_n_s32(int32_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai int32x4_t vmovq_n_s32(int32_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmovq_n_s64(int64_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai int64x2_t vmovq_n_s64(int64_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmovq_n_s16(int16_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai int16x8_t vmovq_n_s16(int16_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vmov_n_u8(uint8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai uint8x8_t vmov_n_u8(uint8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vmov_n_u32(uint32_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai uint32x2_t vmov_n_u32(uint32_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vmov_n_u64(uint64_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) {__p0}; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vmov_n_u16(uint16_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai uint16x4_t vmov_n_u16(uint16_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vmov_n_s8(int8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai int8x8_t vmov_n_s8(int8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vmov_n_f32(float32_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai float32x2_t vmov_n_f32(float32_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmov_n_f16(__p0) __extension__ ({ \ + float16x4_t __ret; \ + float16_t __s0 = __p0; \ + __ret = (float16x4_t) {__s0, __s0, __s0, __s0}; \ + __ret; \ +}) +#else +#define vmov_n_f16(__p0) __extension__ ({ \ + float16x4_t __ret; \ + float16_t __s0 = __p0; \ + __ret = (float16x4_t) {__s0, __s0, __s0, __s0}; \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vmov_n_s32(int32_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai int32x2_t vmov_n_s32(int32_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vmov_n_s64(int64_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t) {__p0}; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vmov_n_s16(int16_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai int16x4_t vmov_n_s16(int16_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmovl_u8(uint8x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vmovl_v((int8x8_t)__p0, 49); + return __ret; +} +#else +__ai uint16x8_t vmovl_u8(uint8x8_t __p0) { + uint16x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vmovl_v((int8x8_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint16x8_t __noswap_vmovl_u8(uint8x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vmovl_v((int8x8_t)__p0, 49); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmovl_u32(uint32x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vmovl_v((int8x8_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vmovl_u32(uint32x2_t __p0) { + uint64x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vmovl_v((int8x8_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint64x2_t __noswap_vmovl_u32(uint32x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vmovl_v((int8x8_t)__p0, 51); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmovl_u16(uint16x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vmovl_v((int8x8_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vmovl_u16(uint16x4_t __p0) { + uint32x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vmovl_v((int8x8_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint32x4_t __noswap_vmovl_u16(uint16x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vmovl_v((int8x8_t)__p0, 50); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmovl_s8(int8x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vmovl_v((int8x8_t)__p0, 33); + return __ret; +} +#else +__ai int16x8_t vmovl_s8(int8x8_t __p0) { + int16x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vmovl_v((int8x8_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int16x8_t __noswap_vmovl_s8(int8x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vmovl_v((int8x8_t)__p0, 33); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmovl_s32(int32x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vmovl_v((int8x8_t)__p0, 35); + return __ret; +} +#else +__ai int64x2_t vmovl_s32(int32x2_t __p0) { + int64x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64x2_t) __builtin_neon_vmovl_v((int8x8_t)__rev0, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vmovl_s32(int32x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vmovl_v((int8x8_t)__p0, 35); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmovl_s16(int16x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vmovl_v((int8x8_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vmovl_s16(int16x4_t __p0) { + int32x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vmovl_v((int8x8_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vmovl_s16(int16x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vmovl_v((int8x8_t)__p0, 34); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vmovn_u32(uint32x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vmovn_v((int8x16_t)__p0, 17); + return __ret; +} +#else +__ai uint16x4_t vmovn_u32(uint32x4_t __p0) { + uint16x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vmovn_v((int8x16_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint16x4_t __noswap_vmovn_u32(uint32x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vmovn_v((int8x16_t)__p0, 17); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vmovn_u64(uint64x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vmovn_v((int8x16_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vmovn_u64(uint64x2_t __p0) { + uint32x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vmovn_v((int8x16_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint32x2_t __noswap_vmovn_u64(uint64x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vmovn_v((int8x16_t)__p0, 18); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vmovn_u16(uint16x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vmovn_v((int8x16_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vmovn_u16(uint16x8_t __p0) { + uint8x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vmovn_v((int8x16_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint8x8_t __noswap_vmovn_u16(uint16x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vmovn_v((int8x16_t)__p0, 16); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vmovn_s32(int32x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vmovn_v((int8x16_t)__p0, 1); + return __ret; +} +#else +__ai int16x4_t vmovn_s32(int32x4_t __p0) { + int16x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vmovn_v((int8x16_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int16x4_t __noswap_vmovn_s32(int32x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vmovn_v((int8x16_t)__p0, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vmovn_s64(int64x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vmovn_v((int8x16_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vmovn_s64(int64x2_t __p0) { + int32x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vmovn_v((int8x16_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int32x2_t __noswap_vmovn_s64(int64x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vmovn_v((int8x16_t)__p0, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vmovn_s16(int16x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vmovn_v((int8x16_t)__p0, 0); + return __ret; +} +#else +__ai int8x8_t vmovn_s16(int16x8_t __p0) { + int8x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vmovn_v((int8x16_t)__rev0, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int8x8_t __noswap_vmovn_s16(int16x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vmovn_v((int8x16_t)__p0, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vmulq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai uint8x16_t vmulq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmulq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai uint32x4_t vmulq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmulq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai uint16x8_t vmulq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vmulq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai int8x16_t vmulq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vmulq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai float32x4_t vmulq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmulq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai int32x4_t vmulq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmulq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai int16x8_t vmulq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vmul_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai uint8x8_t vmul_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vmul_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai uint32x2_t vmul_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vmul_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai uint16x4_t vmul_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vmul_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai int8x8_t vmul_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vmul_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai float32x2_t vmul_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vmul_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai int32x2_t vmul_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vmul_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai int16x4_t vmul_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vmul_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vmul_v((int8x8_t)__p0, (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8_t vmul_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vmul_v((int8x8_t)__rev0, (int8x8_t)__rev1, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vmulq_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vmulq_v((int8x16_t)__p0, (int8x16_t)__p1, 36); + return __ret; +} +#else +__ai poly8x16_t vmulq_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vmulq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_lane_u32(__p0_86, __p1_86, __p2_86) __extension__ ({ \ + uint32x4_t __ret_86; \ + uint32x4_t __s0_86 = __p0_86; \ + uint32x2_t __s1_86 = __p1_86; \ + __ret_86 = __s0_86 * splatq_lane_u32(__s1_86, __p2_86); \ + __ret_86; \ +}) +#else +#define vmulq_lane_u32(__p0_87, __p1_87, __p2_87) __extension__ ({ \ + uint32x4_t __ret_87; \ + uint32x4_t __s0_87 = __p0_87; \ + uint32x2_t __s1_87 = __p1_87; \ + uint32x4_t __rev0_87; __rev0_87 = __builtin_shufflevector(__s0_87, __s0_87, 3, 2, 1, 0); \ + uint32x2_t __rev1_87; __rev1_87 = __builtin_shufflevector(__s1_87, __s1_87, 1, 0); \ + __ret_87 = __rev0_87 * __noswap_splatq_lane_u32(__rev1_87, __p2_87); \ + __ret_87 = __builtin_shufflevector(__ret_87, __ret_87, 3, 2, 1, 0); \ + __ret_87; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_lane_u16(__p0_88, __p1_88, __p2_88) __extension__ ({ \ + uint16x8_t __ret_88; \ + uint16x8_t __s0_88 = __p0_88; \ + uint16x4_t __s1_88 = __p1_88; \ + __ret_88 = __s0_88 * splatq_lane_u16(__s1_88, __p2_88); \ + __ret_88; \ +}) +#else +#define vmulq_lane_u16(__p0_89, __p1_89, __p2_89) __extension__ ({ \ + uint16x8_t __ret_89; \ + uint16x8_t __s0_89 = __p0_89; \ + uint16x4_t __s1_89 = __p1_89; \ + uint16x8_t __rev0_89; __rev0_89 = __builtin_shufflevector(__s0_89, __s0_89, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x4_t __rev1_89; __rev1_89 = __builtin_shufflevector(__s1_89, __s1_89, 3, 2, 1, 0); \ + __ret_89 = __rev0_89 * __noswap_splatq_lane_u16(__rev1_89, __p2_89); \ + __ret_89 = __builtin_shufflevector(__ret_89, __ret_89, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_89; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_lane_f32(__p0_90, __p1_90, __p2_90) __extension__ ({ \ + float32x4_t __ret_90; \ + float32x4_t __s0_90 = __p0_90; \ + float32x2_t __s1_90 = __p1_90; \ + __ret_90 = __s0_90 * splatq_lane_f32(__s1_90, __p2_90); \ + __ret_90; \ +}) +#else +#define vmulq_lane_f32(__p0_91, __p1_91, __p2_91) __extension__ ({ \ + float32x4_t __ret_91; \ + float32x4_t __s0_91 = __p0_91; \ + float32x2_t __s1_91 = __p1_91; \ + float32x4_t __rev0_91; __rev0_91 = __builtin_shufflevector(__s0_91, __s0_91, 3, 2, 1, 0); \ + float32x2_t __rev1_91; __rev1_91 = __builtin_shufflevector(__s1_91, __s1_91, 1, 0); \ + __ret_91 = __rev0_91 * __noswap_splatq_lane_f32(__rev1_91, __p2_91); \ + __ret_91 = __builtin_shufflevector(__ret_91, __ret_91, 3, 2, 1, 0); \ + __ret_91; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_lane_s32(__p0_92, __p1_92, __p2_92) __extension__ ({ \ + int32x4_t __ret_92; \ + int32x4_t __s0_92 = __p0_92; \ + int32x2_t __s1_92 = __p1_92; \ + __ret_92 = __s0_92 * splatq_lane_s32(__s1_92, __p2_92); \ + __ret_92; \ +}) +#else +#define vmulq_lane_s32(__p0_93, __p1_93, __p2_93) __extension__ ({ \ + int32x4_t __ret_93; \ + int32x4_t __s0_93 = __p0_93; \ + int32x2_t __s1_93 = __p1_93; \ + int32x4_t __rev0_93; __rev0_93 = __builtin_shufflevector(__s0_93, __s0_93, 3, 2, 1, 0); \ + int32x2_t __rev1_93; __rev1_93 = __builtin_shufflevector(__s1_93, __s1_93, 1, 0); \ + __ret_93 = __rev0_93 * __noswap_splatq_lane_s32(__rev1_93, __p2_93); \ + __ret_93 = __builtin_shufflevector(__ret_93, __ret_93, 3, 2, 1, 0); \ + __ret_93; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_lane_s16(__p0_94, __p1_94, __p2_94) __extension__ ({ \ + int16x8_t __ret_94; \ + int16x8_t __s0_94 = __p0_94; \ + int16x4_t __s1_94 = __p1_94; \ + __ret_94 = __s0_94 * splatq_lane_s16(__s1_94, __p2_94); \ + __ret_94; \ +}) +#else +#define vmulq_lane_s16(__p0_95, __p1_95, __p2_95) __extension__ ({ \ + int16x8_t __ret_95; \ + int16x8_t __s0_95 = __p0_95; \ + int16x4_t __s1_95 = __p1_95; \ + int16x8_t __rev0_95; __rev0_95 = __builtin_shufflevector(__s0_95, __s0_95, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev1_95; __rev1_95 = __builtin_shufflevector(__s1_95, __s1_95, 3, 2, 1, 0); \ + __ret_95 = __rev0_95 * __noswap_splatq_lane_s16(__rev1_95, __p2_95); \ + __ret_95 = __builtin_shufflevector(__ret_95, __ret_95, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_95; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_lane_u32(__p0_96, __p1_96, __p2_96) __extension__ ({ \ + uint32x2_t __ret_96; \ + uint32x2_t __s0_96 = __p0_96; \ + uint32x2_t __s1_96 = __p1_96; \ + __ret_96 = __s0_96 * splat_lane_u32(__s1_96, __p2_96); \ + __ret_96; \ +}) +#else +#define vmul_lane_u32(__p0_97, __p1_97, __p2_97) __extension__ ({ \ + uint32x2_t __ret_97; \ + uint32x2_t __s0_97 = __p0_97; \ + uint32x2_t __s1_97 = __p1_97; \ + uint32x2_t __rev0_97; __rev0_97 = __builtin_shufflevector(__s0_97, __s0_97, 1, 0); \ + uint32x2_t __rev1_97; __rev1_97 = __builtin_shufflevector(__s1_97, __s1_97, 1, 0); \ + __ret_97 = __rev0_97 * __noswap_splat_lane_u32(__rev1_97, __p2_97); \ + __ret_97 = __builtin_shufflevector(__ret_97, __ret_97, 1, 0); \ + __ret_97; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_lane_u16(__p0_98, __p1_98, __p2_98) __extension__ ({ \ + uint16x4_t __ret_98; \ + uint16x4_t __s0_98 = __p0_98; \ + uint16x4_t __s1_98 = __p1_98; \ + __ret_98 = __s0_98 * splat_lane_u16(__s1_98, __p2_98); \ + __ret_98; \ +}) +#else +#define vmul_lane_u16(__p0_99, __p1_99, __p2_99) __extension__ ({ \ + uint16x4_t __ret_99; \ + uint16x4_t __s0_99 = __p0_99; \ + uint16x4_t __s1_99 = __p1_99; \ + uint16x4_t __rev0_99; __rev0_99 = __builtin_shufflevector(__s0_99, __s0_99, 3, 2, 1, 0); \ + uint16x4_t __rev1_99; __rev1_99 = __builtin_shufflevector(__s1_99, __s1_99, 3, 2, 1, 0); \ + __ret_99 = __rev0_99 * __noswap_splat_lane_u16(__rev1_99, __p2_99); \ + __ret_99 = __builtin_shufflevector(__ret_99, __ret_99, 3, 2, 1, 0); \ + __ret_99; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_lane_f32(__p0_100, __p1_100, __p2_100) __extension__ ({ \ + float32x2_t __ret_100; \ + float32x2_t __s0_100 = __p0_100; \ + float32x2_t __s1_100 = __p1_100; \ + __ret_100 = __s0_100 * splat_lane_f32(__s1_100, __p2_100); \ + __ret_100; \ +}) +#else +#define vmul_lane_f32(__p0_101, __p1_101, __p2_101) __extension__ ({ \ + float32x2_t __ret_101; \ + float32x2_t __s0_101 = __p0_101; \ + float32x2_t __s1_101 = __p1_101; \ + float32x2_t __rev0_101; __rev0_101 = __builtin_shufflevector(__s0_101, __s0_101, 1, 0); \ + float32x2_t __rev1_101; __rev1_101 = __builtin_shufflevector(__s1_101, __s1_101, 1, 0); \ + __ret_101 = __rev0_101 * __noswap_splat_lane_f32(__rev1_101, __p2_101); \ + __ret_101 = __builtin_shufflevector(__ret_101, __ret_101, 1, 0); \ + __ret_101; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_lane_s32(__p0_102, __p1_102, __p2_102) __extension__ ({ \ + int32x2_t __ret_102; \ + int32x2_t __s0_102 = __p0_102; \ + int32x2_t __s1_102 = __p1_102; \ + __ret_102 = __s0_102 * splat_lane_s32(__s1_102, __p2_102); \ + __ret_102; \ +}) +#else +#define vmul_lane_s32(__p0_103, __p1_103, __p2_103) __extension__ ({ \ + int32x2_t __ret_103; \ + int32x2_t __s0_103 = __p0_103; \ + int32x2_t __s1_103 = __p1_103; \ + int32x2_t __rev0_103; __rev0_103 = __builtin_shufflevector(__s0_103, __s0_103, 1, 0); \ + int32x2_t __rev1_103; __rev1_103 = __builtin_shufflevector(__s1_103, __s1_103, 1, 0); \ + __ret_103 = __rev0_103 * __noswap_splat_lane_s32(__rev1_103, __p2_103); \ + __ret_103 = __builtin_shufflevector(__ret_103, __ret_103, 1, 0); \ + __ret_103; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_lane_s16(__p0_104, __p1_104, __p2_104) __extension__ ({ \ + int16x4_t __ret_104; \ + int16x4_t __s0_104 = __p0_104; \ + int16x4_t __s1_104 = __p1_104; \ + __ret_104 = __s0_104 * splat_lane_s16(__s1_104, __p2_104); \ + __ret_104; \ +}) +#else +#define vmul_lane_s16(__p0_105, __p1_105, __p2_105) __extension__ ({ \ + int16x4_t __ret_105; \ + int16x4_t __s0_105 = __p0_105; \ + int16x4_t __s1_105 = __p1_105; \ + int16x4_t __rev0_105; __rev0_105 = __builtin_shufflevector(__s0_105, __s0_105, 3, 2, 1, 0); \ + int16x4_t __rev1_105; __rev1_105 = __builtin_shufflevector(__s1_105, __s1_105, 3, 2, 1, 0); \ + __ret_105 = __rev0_105 * __noswap_splat_lane_s16(__rev1_105, __p2_105); \ + __ret_105 = __builtin_shufflevector(__ret_105, __ret_105, 3, 2, 1, 0); \ + __ret_105; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmulq_n_u32(uint32x4_t __p0, uint32_t __p1) { + uint32x4_t __ret; + __ret = __p0 * (uint32x4_t) {__p1, __p1, __p1, __p1}; + return __ret; +} +#else +__ai uint32x4_t vmulq_n_u32(uint32x4_t __p0, uint32_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __rev0 * (uint32x4_t) {__p1, __p1, __p1, __p1}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmulq_n_u16(uint16x8_t __p0, uint16_t __p1) { + uint16x8_t __ret; + __ret = __p0 * (uint16x8_t) {__p1, __p1, __p1, __p1, __p1, __p1, __p1, __p1}; + return __ret; +} +#else +__ai uint16x8_t vmulq_n_u16(uint16x8_t __p0, uint16_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 * (uint16x8_t) {__p1, __p1, __p1, __p1, __p1, __p1, __p1, __p1}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vmulq_n_f32(float32x4_t __p0, float32_t __p1) { + float32x4_t __ret; + __ret = __p0 * (float32x4_t) {__p1, __p1, __p1, __p1}; + return __ret; +} +#else +__ai float32x4_t vmulq_n_f32(float32x4_t __p0, float32_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __rev0 * (float32x4_t) {__p1, __p1, __p1, __p1}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmulq_n_s32(int32x4_t __p0, int32_t __p1) { + int32x4_t __ret; + __ret = __p0 * (int32x4_t) {__p1, __p1, __p1, __p1}; + return __ret; +} +#else +__ai int32x4_t vmulq_n_s32(int32x4_t __p0, int32_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __rev0 * (int32x4_t) {__p1, __p1, __p1, __p1}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmulq_n_s16(int16x8_t __p0, int16_t __p1) { + int16x8_t __ret; + __ret = __p0 * (int16x8_t) {__p1, __p1, __p1, __p1, __p1, __p1, __p1, __p1}; + return __ret; +} +#else +__ai int16x8_t vmulq_n_s16(int16x8_t __p0, int16_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 * (int16x8_t) {__p1, __p1, __p1, __p1, __p1, __p1, __p1, __p1}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vmul_n_u32(uint32x2_t __p0, uint32_t __p1) { + uint32x2_t __ret; + __ret = __p0 * (uint32x2_t) {__p1, __p1}; + return __ret; +} +#else +__ai uint32x2_t vmul_n_u32(uint32x2_t __p0, uint32_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __rev0 * (uint32x2_t) {__p1, __p1}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vmul_n_u16(uint16x4_t __p0, uint16_t __p1) { + uint16x4_t __ret; + __ret = __p0 * (uint16x4_t) {__p1, __p1, __p1, __p1}; + return __ret; +} +#else +__ai uint16x4_t vmul_n_u16(uint16x4_t __p0, uint16_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __rev0 * (uint16x4_t) {__p1, __p1, __p1, __p1}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vmul_n_f32(float32x2_t __p0, float32_t __p1) { + float32x2_t __ret; + __ret = __p0 * (float32x2_t) {__p1, __p1}; + return __ret; +} +#else +__ai float32x2_t vmul_n_f32(float32x2_t __p0, float32_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __rev0 * (float32x2_t) {__p1, __p1}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vmul_n_s32(int32x2_t __p0, int32_t __p1) { + int32x2_t __ret; + __ret = __p0 * (int32x2_t) {__p1, __p1}; + return __ret; +} +#else +__ai int32x2_t vmul_n_s32(int32x2_t __p0, int32_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __rev0 * (int32x2_t) {__p1, __p1}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vmul_n_s16(int16x4_t __p0, int16_t __p1) { + int16x4_t __ret; + __ret = __p0 * (int16x4_t) {__p1, __p1, __p1, __p1}; + return __ret; +} +#else +__ai int16x4_t vmul_n_s16(int16x4_t __p0, int16_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __rev0 * (int16x4_t) {__p1, __p1, __p1, __p1}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vmull_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly16x8_t __ret; + __ret = (poly16x8_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 37); + return __ret; +} +#else +__ai poly16x8_t vmull_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly16x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly16x8_t) __builtin_neon_vmull_v((int8x8_t)__rev0, (int8x8_t)__rev1, 37); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai poly16x8_t __noswap_vmull_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly16x8_t __ret; + __ret = (poly16x8_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 37); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmull_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vmull_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vmull_v((int8x8_t)__rev0, (int8x8_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint16x8_t __noswap_vmull_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 49); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmull_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vmull_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vmull_v((int8x8_t)__rev0, (int8x8_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint64x2_t __noswap_vmull_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 51); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmull_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vmull_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vmull_v((int8x8_t)__rev0, (int8x8_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint32x4_t __noswap_vmull_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 50); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmull_s8(int8x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vmull_s8(int8x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vmull_v((int8x8_t)__rev0, (int8x8_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int16x8_t __noswap_vmull_s8(int8x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 33); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmull_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 35); + return __ret; +} +#else +__ai int64x2_t vmull_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int64x2_t) __builtin_neon_vmull_v((int8x8_t)__rev0, (int8x8_t)__rev1, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vmull_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 35); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmull_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vmull_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vmull_v((int8x8_t)__rev0, (int8x8_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vmull_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vmull_v((int8x8_t)__p0, (int8x8_t)__p1, 34); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_lane_u32(__p0_106, __p1_106, __p2_106) __extension__ ({ \ + uint64x2_t __ret_106; \ + uint32x2_t __s0_106 = __p0_106; \ + uint32x2_t __s1_106 = __p1_106; \ + __ret_106 = vmull_u32(__s0_106, splat_lane_u32(__s1_106, __p2_106)); \ + __ret_106; \ +}) +#else +#define vmull_lane_u32(__p0_107, __p1_107, __p2_107) __extension__ ({ \ + uint64x2_t __ret_107; \ + uint32x2_t __s0_107 = __p0_107; \ + uint32x2_t __s1_107 = __p1_107; \ + uint32x2_t __rev0_107; __rev0_107 = __builtin_shufflevector(__s0_107, __s0_107, 1, 0); \ + uint32x2_t __rev1_107; __rev1_107 = __builtin_shufflevector(__s1_107, __s1_107, 1, 0); \ + __ret_107 = __noswap_vmull_u32(__rev0_107, __noswap_splat_lane_u32(__rev1_107, __p2_107)); \ + __ret_107 = __builtin_shufflevector(__ret_107, __ret_107, 1, 0); \ + __ret_107; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_lane_u16(__p0_108, __p1_108, __p2_108) __extension__ ({ \ + uint32x4_t __ret_108; \ + uint16x4_t __s0_108 = __p0_108; \ + uint16x4_t __s1_108 = __p1_108; \ + __ret_108 = vmull_u16(__s0_108, splat_lane_u16(__s1_108, __p2_108)); \ + __ret_108; \ +}) +#else +#define vmull_lane_u16(__p0_109, __p1_109, __p2_109) __extension__ ({ \ + uint32x4_t __ret_109; \ + uint16x4_t __s0_109 = __p0_109; \ + uint16x4_t __s1_109 = __p1_109; \ + uint16x4_t __rev0_109; __rev0_109 = __builtin_shufflevector(__s0_109, __s0_109, 3, 2, 1, 0); \ + uint16x4_t __rev1_109; __rev1_109 = __builtin_shufflevector(__s1_109, __s1_109, 3, 2, 1, 0); \ + __ret_109 = __noswap_vmull_u16(__rev0_109, __noswap_splat_lane_u16(__rev1_109, __p2_109)); \ + __ret_109 = __builtin_shufflevector(__ret_109, __ret_109, 3, 2, 1, 0); \ + __ret_109; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_lane_s32(__p0_110, __p1_110, __p2_110) __extension__ ({ \ + int64x2_t __ret_110; \ + int32x2_t __s0_110 = __p0_110; \ + int32x2_t __s1_110 = __p1_110; \ + __ret_110 = vmull_s32(__s0_110, splat_lane_s32(__s1_110, __p2_110)); \ + __ret_110; \ +}) +#else +#define vmull_lane_s32(__p0_111, __p1_111, __p2_111) __extension__ ({ \ + int64x2_t __ret_111; \ + int32x2_t __s0_111 = __p0_111; \ + int32x2_t __s1_111 = __p1_111; \ + int32x2_t __rev0_111; __rev0_111 = __builtin_shufflevector(__s0_111, __s0_111, 1, 0); \ + int32x2_t __rev1_111; __rev1_111 = __builtin_shufflevector(__s1_111, __s1_111, 1, 0); \ + __ret_111 = __noswap_vmull_s32(__rev0_111, __noswap_splat_lane_s32(__rev1_111, __p2_111)); \ + __ret_111 = __builtin_shufflevector(__ret_111, __ret_111, 1, 0); \ + __ret_111; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_lane_s16(__p0_112, __p1_112, __p2_112) __extension__ ({ \ + int32x4_t __ret_112; \ + int16x4_t __s0_112 = __p0_112; \ + int16x4_t __s1_112 = __p1_112; \ + __ret_112 = vmull_s16(__s0_112, splat_lane_s16(__s1_112, __p2_112)); \ + __ret_112; \ +}) +#else +#define vmull_lane_s16(__p0_113, __p1_113, __p2_113) __extension__ ({ \ + int32x4_t __ret_113; \ + int16x4_t __s0_113 = __p0_113; \ + int16x4_t __s1_113 = __p1_113; \ + int16x4_t __rev0_113; __rev0_113 = __builtin_shufflevector(__s0_113, __s0_113, 3, 2, 1, 0); \ + int16x4_t __rev1_113; __rev1_113 = __builtin_shufflevector(__s1_113, __s1_113, 3, 2, 1, 0); \ + __ret_113 = __noswap_vmull_s16(__rev0_113, __noswap_splat_lane_s16(__rev1_113, __p2_113)); \ + __ret_113 = __builtin_shufflevector(__ret_113, __ret_113, 3, 2, 1, 0); \ + __ret_113; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmull_n_u32(uint32x2_t __p0, uint32_t __p1) { + uint64x2_t __ret; + __ret = vmull_u32(__p0, (uint32x2_t) {__p1, __p1}); + return __ret; +} +#else +__ai uint64x2_t vmull_n_u32(uint32x2_t __p0, uint32_t __p1) { + uint64x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __noswap_vmull_u32(__rev0, (uint32x2_t) {__p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint64x2_t __noswap_vmull_n_u32(uint32x2_t __p0, uint32_t __p1) { + uint64x2_t __ret; + __ret = __noswap_vmull_u32(__p0, (uint32x2_t) {__p1, __p1}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmull_n_u16(uint16x4_t __p0, uint16_t __p1) { + uint32x4_t __ret; + __ret = vmull_u16(__p0, (uint16x4_t) {__p1, __p1, __p1, __p1}); + return __ret; +} +#else +__ai uint32x4_t vmull_n_u16(uint16x4_t __p0, uint16_t __p1) { + uint32x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vmull_u16(__rev0, (uint16x4_t) {__p1, __p1, __p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint32x4_t __noswap_vmull_n_u16(uint16x4_t __p0, uint16_t __p1) { + uint32x4_t __ret; + __ret = __noswap_vmull_u16(__p0, (uint16x4_t) {__p1, __p1, __p1, __p1}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmull_n_s32(int32x2_t __p0, int32_t __p1) { + int64x2_t __ret; + __ret = vmull_s32(__p0, (int32x2_t) {__p1, __p1}); + return __ret; +} +#else +__ai int64x2_t vmull_n_s32(int32x2_t __p0, int32_t __p1) { + int64x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __noswap_vmull_s32(__rev0, (int32x2_t) {__p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vmull_n_s32(int32x2_t __p0, int32_t __p1) { + int64x2_t __ret; + __ret = __noswap_vmull_s32(__p0, (int32x2_t) {__p1, __p1}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmull_n_s16(int16x4_t __p0, int16_t __p1) { + int32x4_t __ret; + __ret = vmull_s16(__p0, (int16x4_t) {__p1, __p1, __p1, __p1}); + return __ret; +} +#else +__ai int32x4_t vmull_n_s16(int16x4_t __p0, int16_t __p1) { + int32x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vmull_s16(__rev0, (int16x4_t) {__p1, __p1, __p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vmull_n_s16(int16x4_t __p0, int16_t __p1) { + int32x4_t __ret; + __ret = __noswap_vmull_s16(__p0, (int16x4_t) {__p1, __p1, __p1, __p1}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vmvn_p8(poly8x8_t __p0) { + poly8x8_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai poly8x8_t vmvn_p8(poly8x8_t __p0) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vmvnq_p8(poly8x16_t __p0) { + poly8x16_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai poly8x16_t vmvnq_p8(poly8x16_t __p0) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vmvnq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai uint8x16_t vmvnq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmvnq_u32(uint32x4_t __p0) { + uint32x4_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai uint32x4_t vmvnq_u32(uint32x4_t __p0) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmvnq_u16(uint16x8_t __p0) { + uint16x8_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai uint16x8_t vmvnq_u16(uint16x8_t __p0) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vmvnq_s8(int8x16_t __p0) { + int8x16_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai int8x16_t vmvnq_s8(int8x16_t __p0) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmvnq_s32(int32x4_t __p0) { + int32x4_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai int32x4_t vmvnq_s32(int32x4_t __p0) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmvnq_s16(int16x8_t __p0) { + int16x8_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai int16x8_t vmvnq_s16(int16x8_t __p0) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vmvn_u8(uint8x8_t __p0) { + uint8x8_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai uint8x8_t vmvn_u8(uint8x8_t __p0) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vmvn_u32(uint32x2_t __p0) { + uint32x2_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai uint32x2_t vmvn_u32(uint32x2_t __p0) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vmvn_u16(uint16x4_t __p0) { + uint16x4_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai uint16x4_t vmvn_u16(uint16x4_t __p0) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vmvn_s8(int8x8_t __p0) { + int8x8_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai int8x8_t vmvn_s8(int8x8_t __p0) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vmvn_s32(int32x2_t __p0) { + int32x2_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai int32x2_t vmvn_s32(int32x2_t __p0) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vmvn_s16(int16x4_t __p0) { + int16x4_t __ret; + __ret = ~__p0; + return __ret; +} +#else +__ai int16x4_t vmvn_s16(int16x4_t __p0) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = ~__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vnegq_s8(int8x16_t __p0) { + int8x16_t __ret; + __ret = -__p0; + return __ret; +} +#else +__ai int8x16_t vnegq_s8(int8x16_t __p0) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = -__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vnegq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = -__p0; + return __ret; +} +#else +__ai float32x4_t vnegq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = -__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vnegq_s32(int32x4_t __p0) { + int32x4_t __ret; + __ret = -__p0; + return __ret; +} +#else +__ai int32x4_t vnegq_s32(int32x4_t __p0) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = -__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vnegq_s16(int16x8_t __p0) { + int16x8_t __ret; + __ret = -__p0; + return __ret; +} +#else +__ai int16x8_t vnegq_s16(int16x8_t __p0) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = -__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vneg_s8(int8x8_t __p0) { + int8x8_t __ret; + __ret = -__p0; + return __ret; +} +#else +__ai int8x8_t vneg_s8(int8x8_t __p0) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = -__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vneg_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = -__p0; + return __ret; +} +#else +__ai float32x2_t vneg_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = -__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vneg_s32(int32x2_t __p0) { + int32x2_t __ret; + __ret = -__p0; + return __ret; +} +#else +__ai int32x2_t vneg_s32(int32x2_t __p0) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = -__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vneg_s16(int16x4_t __p0) { + int16x4_t __ret; + __ret = -__p0; + return __ret; +} +#else +__ai int16x4_t vneg_s16(int16x4_t __p0) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = -__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vornq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai uint8x16_t vornq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vornq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai uint32x4_t vornq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vornq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai uint64x2_t vornq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vornq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai uint16x8_t vornq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vornq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai int8x16_t vornq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vornq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai int32x4_t vornq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vornq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai int64x2_t vornq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vornq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai int16x8_t vornq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vorn_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai uint8x8_t vorn_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vorn_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai uint32x2_t vorn_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vorn_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vorn_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai uint16x4_t vorn_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vorn_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai int8x8_t vorn_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vorn_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai int32x2_t vorn_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vorn_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vorn_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __p0 | ~__p1; + return __ret; +} +#else +__ai int16x4_t vorn_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 | ~__rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vorrq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai uint8x16_t vorrq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vorrq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai uint32x4_t vorrq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vorrq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai uint64x2_t vorrq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vorrq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai uint16x8_t vorrq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vorrq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai int8x16_t vorrq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vorrq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai int32x4_t vorrq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vorrq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai int64x2_t vorrq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vorrq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai int16x8_t vorrq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vorr_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai uint8x8_t vorr_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vorr_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai uint32x2_t vorr_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vorr_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vorr_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai uint16x4_t vorr_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vorr_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai int8x8_t vorr_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vorr_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai int32x2_t vorr_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vorr_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vorr_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __p0 | __p1; + return __ret; +} +#else +__ai int16x4_t vorr_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 | __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vpadalq_u8(uint16x8_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vpadalq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vpadalq_u8(uint16x8_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vpadalq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vpadalq_u32(uint64x2_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vpadalq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vpadalq_u32(uint64x2_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vpadalq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vpadalq_u16(uint32x4_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vpadalq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vpadalq_u16(uint32x4_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vpadalq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vpadalq_s8(int16x8_t __p0, int8x16_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vpadalq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vpadalq_s8(int16x8_t __p0, int8x16_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vpadalq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vpadalq_s32(int64x2_t __p0, int32x4_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vpadalq_v((int8x16_t)__p0, (int8x16_t)__p1, 35); + return __ret; +} +#else +__ai int64x2_t vpadalq_s32(int64x2_t __p0, int32x4_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int64x2_t) __builtin_neon_vpadalq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vpadalq_s16(int32x4_t __p0, int16x8_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vpadalq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vpadalq_s16(int32x4_t __p0, int16x8_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vpadalq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vpadal_u8(uint16x4_t __p0, uint8x8_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vpadal_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vpadal_u8(uint16x4_t __p0, uint8x8_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vpadal_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x1_t vpadal_u32(uint64x1_t __p0, uint32x2_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vpadal_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +#else +__ai uint64x1_t vpadal_u32(uint64x1_t __p0, uint32x2_t __p1) { + uint64x1_t __ret; + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x1_t) __builtin_neon_vpadal_v((int8x8_t)__p0, (int8x8_t)__rev1, 19); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vpadal_u16(uint32x2_t __p0, uint16x4_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vpadal_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vpadal_u16(uint32x2_t __p0, uint16x4_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vpadal_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vpadal_s8(int16x4_t __p0, int8x8_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vpadal_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vpadal_s8(int16x4_t __p0, int8x8_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vpadal_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x1_t vpadal_s32(int64x1_t __p0, int32x2_t __p1) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vpadal_v((int8x8_t)__p0, (int8x8_t)__p1, 3); + return __ret; +} +#else +__ai int64x1_t vpadal_s32(int64x1_t __p0, int32x2_t __p1) { + int64x1_t __ret; + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int64x1_t) __builtin_neon_vpadal_v((int8x8_t)__p0, (int8x8_t)__rev1, 3); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vpadal_s16(int32x2_t __p0, int16x4_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vpadal_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vpadal_s16(int32x2_t __p0, int16x4_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x2_t) __builtin_neon_vpadal_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vpadd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vpadd_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vpadd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vpadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vpadd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vpadd_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vpadd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vpadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vpadd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vpadd_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vpadd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vpadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vpadd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vpadd_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vpadd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vpadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vpadd_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vpadd_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vpadd_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vpadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vpadd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vpadd_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vpadd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vpadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vpadd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vpadd_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vpadd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vpadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vpaddlq_u8(uint8x16_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vpaddlq_v((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai uint16x8_t vpaddlq_u8(uint8x16_t __p0) { + uint16x8_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vpaddlq_v((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vpaddlq_u32(uint32x4_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vpaddlq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vpaddlq_u32(uint32x4_t __p0) { + uint64x2_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vpaddlq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vpaddlq_u16(uint16x8_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vpaddlq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vpaddlq_u16(uint16x8_t __p0) { + uint32x4_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vpaddlq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vpaddlq_s8(int8x16_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vpaddlq_v((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai int16x8_t vpaddlq_s8(int8x16_t __p0) { + int16x8_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vpaddlq_v((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vpaddlq_s32(int32x4_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vpaddlq_v((int8x16_t)__p0, 35); + return __ret; +} +#else +__ai int64x2_t vpaddlq_s32(int32x4_t __p0) { + int64x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int64x2_t) __builtin_neon_vpaddlq_v((int8x16_t)__rev0, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vpaddlq_s16(int16x8_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vpaddlq_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vpaddlq_s16(int16x8_t __p0) { + int32x4_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vpaddlq_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vpaddl_u8(uint8x8_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vpaddl_v((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai uint16x4_t vpaddl_u8(uint8x8_t __p0) { + uint16x4_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vpaddl_v((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x1_t vpaddl_u32(uint32x2_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vpaddl_v((int8x8_t)__p0, 19); + return __ret; +} +#else +__ai uint64x1_t vpaddl_u32(uint32x2_t __p0) { + uint64x1_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x1_t) __builtin_neon_vpaddl_v((int8x8_t)__rev0, 19); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vpaddl_u16(uint16x4_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vpaddl_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vpaddl_u16(uint16x4_t __p0) { + uint32x2_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vpaddl_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vpaddl_s8(int8x8_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vpaddl_v((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai int16x4_t vpaddl_s8(int8x8_t __p0) { + int16x4_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vpaddl_v((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x1_t vpaddl_s32(int32x2_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vpaddl_v((int8x8_t)__p0, 3); + return __ret; +} +#else +__ai int64x1_t vpaddl_s32(int32x2_t __p0) { + int64x1_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64x1_t) __builtin_neon_vpaddl_v((int8x8_t)__rev0, 3); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vpaddl_s16(int16x4_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vpaddl_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vpaddl_s16(int16x4_t __p0) { + int32x2_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x2_t) __builtin_neon_vpaddl_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vpmax_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vpmax_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vpmax_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vpmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vpmax_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vpmax_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vpmax_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vpmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vpmax_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vpmax_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vpmax_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vpmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vpmax_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vpmax_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vpmax_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vpmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vpmax_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vpmax_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vpmax_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vpmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vpmax_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vpmax_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vpmax_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vpmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vpmax_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vpmax_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vpmax_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vpmax_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vpmin_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vpmin_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vpmin_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vpmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vpmin_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vpmin_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vpmin_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vpmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vpmin_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vpmin_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vpmin_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vpmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vpmin_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vpmin_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vpmin_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vpmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vpmin_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vpmin_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vpmin_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vpmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vpmin_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vpmin_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vpmin_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vpmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vpmin_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vpmin_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vpmin_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vpmin_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqabsq_s8(int8x16_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqabsq_v((int8x16_t)__p0, 32); + return __ret; +} +#else +__ai int8x16_t vqabsq_s8(int8x16_t __p0) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqabsq_v((int8x16_t)__rev0, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqabsq_s32(int32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqabsq_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vqabsq_s32(int32x4_t __p0) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqabsq_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vqabsq_s16(int16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqabsq_v((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai int16x8_t vqabsq_s16(int16x8_t __p0) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vqabsq_v((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqabs_s8(int8x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqabs_v((int8x8_t)__p0, 0); + return __ret; +} +#else +__ai int8x8_t vqabs_s8(int8x8_t __p0) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqabs_v((int8x8_t)__rev0, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vqabs_s32(int32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqabs_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vqabs_s32(int32x2_t __p0) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vqabs_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vqabs_s16(int16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqabs_v((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai int16x4_t vqabs_s16(int16x4_t __p0) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vqabs_v((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqaddq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vqaddq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vqaddq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vqaddq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vqaddq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vqaddq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vqaddq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vqaddq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqaddq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vqaddq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqaddq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vqaddq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqaddq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 35); + return __ret; +} +#else +__ai int64x2_t vqaddq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int64x2_t) __builtin_neon_vqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vqaddq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vqaddq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqadd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vqadd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vqadd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vqadd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vqadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vqadd_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vqadd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vqadd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vqadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqadd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vqadd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vqadd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vqadd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vqadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vqadd_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 3); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vqadd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vqadd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vqadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqdmlal_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vqdmlal_v((int8x16_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 35); + return __ret; +} +#else +__ai int64x2_t vqdmlal_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (int64x2_t) __builtin_neon_vqdmlal_v((int8x16_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vqdmlal_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vqdmlal_v((int8x16_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 35); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmlal_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqdmlal_v((int8x16_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 34); + return __ret; +} +#else +__ai int32x4_t vqdmlal_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqdmlal_v((int8x16_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vqdmlal_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqdmlal_v((int8x16_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 34); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlal_lane_s32(__p0_114, __p1_114, __p2_114, __p3_114) __extension__ ({ \ + int64x2_t __ret_114; \ + int64x2_t __s0_114 = __p0_114; \ + int32x2_t __s1_114 = __p1_114; \ + int32x2_t __s2_114 = __p2_114; \ + __ret_114 = vqdmlal_s32(__s0_114, __s1_114, splat_lane_s32(__s2_114, __p3_114)); \ + __ret_114; \ +}) +#else +#define vqdmlal_lane_s32(__p0_115, __p1_115, __p2_115, __p3_115) __extension__ ({ \ + int64x2_t __ret_115; \ + int64x2_t __s0_115 = __p0_115; \ + int32x2_t __s1_115 = __p1_115; \ + int32x2_t __s2_115 = __p2_115; \ + int64x2_t __rev0_115; __rev0_115 = __builtin_shufflevector(__s0_115, __s0_115, 1, 0); \ + int32x2_t __rev1_115; __rev1_115 = __builtin_shufflevector(__s1_115, __s1_115, 1, 0); \ + int32x2_t __rev2_115; __rev2_115 = __builtin_shufflevector(__s2_115, __s2_115, 1, 0); \ + __ret_115 = __noswap_vqdmlal_s32(__rev0_115, __rev1_115, __noswap_splat_lane_s32(__rev2_115, __p3_115)); \ + __ret_115 = __builtin_shufflevector(__ret_115, __ret_115, 1, 0); \ + __ret_115; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlal_lane_s16(__p0_116, __p1_116, __p2_116, __p3_116) __extension__ ({ \ + int32x4_t __ret_116; \ + int32x4_t __s0_116 = __p0_116; \ + int16x4_t __s1_116 = __p1_116; \ + int16x4_t __s2_116 = __p2_116; \ + __ret_116 = vqdmlal_s16(__s0_116, __s1_116, splat_lane_s16(__s2_116, __p3_116)); \ + __ret_116; \ +}) +#else +#define vqdmlal_lane_s16(__p0_117, __p1_117, __p2_117, __p3_117) __extension__ ({ \ + int32x4_t __ret_117; \ + int32x4_t __s0_117 = __p0_117; \ + int16x4_t __s1_117 = __p1_117; \ + int16x4_t __s2_117 = __p2_117; \ + int32x4_t __rev0_117; __rev0_117 = __builtin_shufflevector(__s0_117, __s0_117, 3, 2, 1, 0); \ + int16x4_t __rev1_117; __rev1_117 = __builtin_shufflevector(__s1_117, __s1_117, 3, 2, 1, 0); \ + int16x4_t __rev2_117; __rev2_117 = __builtin_shufflevector(__s2_117, __s2_117, 3, 2, 1, 0); \ + __ret_117 = __noswap_vqdmlal_s16(__rev0_117, __rev1_117, __noswap_splat_lane_s16(__rev2_117, __p3_117)); \ + __ret_117 = __builtin_shufflevector(__ret_117, __ret_117, 3, 2, 1, 0); \ + __ret_117; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqdmlal_n_s32(int64x2_t __p0, int32x2_t __p1, int32_t __p2) { + int64x2_t __ret; + __ret = vqdmlal_s32(__p0, __p1, (int32x2_t) {__p2, __p2}); + return __ret; +} +#else +__ai int64x2_t vqdmlal_n_s32(int64x2_t __p0, int32x2_t __p1, int32_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vqdmlal_s32(__rev0, __rev1, (int32x2_t) {__p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vqdmlal_n_s32(int64x2_t __p0, int32x2_t __p1, int32_t __p2) { + int64x2_t __ret; + __ret = __noswap_vqdmlal_s32(__p0, __p1, (int32x2_t) {__p2, __p2}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmlal_n_s16(int32x4_t __p0, int16x4_t __p1, int16_t __p2) { + int32x4_t __ret; + __ret = vqdmlal_s16(__p0, __p1, (int16x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#else +__ai int32x4_t vqdmlal_n_s16(int32x4_t __p0, int16x4_t __p1, int16_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vqdmlal_s16(__rev0, __rev1, (int16x4_t) {__p2, __p2, __p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vqdmlal_n_s16(int32x4_t __p0, int16x4_t __p1, int16_t __p2) { + int32x4_t __ret; + __ret = __noswap_vqdmlal_s16(__p0, __p1, (int16x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqdmlsl_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vqdmlsl_v((int8x16_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 35); + return __ret; +} +#else +__ai int64x2_t vqdmlsl_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (int64x2_t) __builtin_neon_vqdmlsl_v((int8x16_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vqdmlsl_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vqdmlsl_v((int8x16_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 35); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmlsl_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqdmlsl_v((int8x16_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 34); + return __ret; +} +#else +__ai int32x4_t vqdmlsl_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqdmlsl_v((int8x16_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vqdmlsl_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqdmlsl_v((int8x16_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 34); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlsl_lane_s32(__p0_118, __p1_118, __p2_118, __p3_118) __extension__ ({ \ + int64x2_t __ret_118; \ + int64x2_t __s0_118 = __p0_118; \ + int32x2_t __s1_118 = __p1_118; \ + int32x2_t __s2_118 = __p2_118; \ + __ret_118 = vqdmlsl_s32(__s0_118, __s1_118, splat_lane_s32(__s2_118, __p3_118)); \ + __ret_118; \ +}) +#else +#define vqdmlsl_lane_s32(__p0_119, __p1_119, __p2_119, __p3_119) __extension__ ({ \ + int64x2_t __ret_119; \ + int64x2_t __s0_119 = __p0_119; \ + int32x2_t __s1_119 = __p1_119; \ + int32x2_t __s2_119 = __p2_119; \ + int64x2_t __rev0_119; __rev0_119 = __builtin_shufflevector(__s0_119, __s0_119, 1, 0); \ + int32x2_t __rev1_119; __rev1_119 = __builtin_shufflevector(__s1_119, __s1_119, 1, 0); \ + int32x2_t __rev2_119; __rev2_119 = __builtin_shufflevector(__s2_119, __s2_119, 1, 0); \ + __ret_119 = __noswap_vqdmlsl_s32(__rev0_119, __rev1_119, __noswap_splat_lane_s32(__rev2_119, __p3_119)); \ + __ret_119 = __builtin_shufflevector(__ret_119, __ret_119, 1, 0); \ + __ret_119; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlsl_lane_s16(__p0_120, __p1_120, __p2_120, __p3_120) __extension__ ({ \ + int32x4_t __ret_120; \ + int32x4_t __s0_120 = __p0_120; \ + int16x4_t __s1_120 = __p1_120; \ + int16x4_t __s2_120 = __p2_120; \ + __ret_120 = vqdmlsl_s16(__s0_120, __s1_120, splat_lane_s16(__s2_120, __p3_120)); \ + __ret_120; \ +}) +#else +#define vqdmlsl_lane_s16(__p0_121, __p1_121, __p2_121, __p3_121) __extension__ ({ \ + int32x4_t __ret_121; \ + int32x4_t __s0_121 = __p0_121; \ + int16x4_t __s1_121 = __p1_121; \ + int16x4_t __s2_121 = __p2_121; \ + int32x4_t __rev0_121; __rev0_121 = __builtin_shufflevector(__s0_121, __s0_121, 3, 2, 1, 0); \ + int16x4_t __rev1_121; __rev1_121 = __builtin_shufflevector(__s1_121, __s1_121, 3, 2, 1, 0); \ + int16x4_t __rev2_121; __rev2_121 = __builtin_shufflevector(__s2_121, __s2_121, 3, 2, 1, 0); \ + __ret_121 = __noswap_vqdmlsl_s16(__rev0_121, __rev1_121, __noswap_splat_lane_s16(__rev2_121, __p3_121)); \ + __ret_121 = __builtin_shufflevector(__ret_121, __ret_121, 3, 2, 1, 0); \ + __ret_121; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqdmlsl_n_s32(int64x2_t __p0, int32x2_t __p1, int32_t __p2) { + int64x2_t __ret; + __ret = vqdmlsl_s32(__p0, __p1, (int32x2_t) {__p2, __p2}); + return __ret; +} +#else +__ai int64x2_t vqdmlsl_n_s32(int64x2_t __p0, int32x2_t __p1, int32_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vqdmlsl_s32(__rev0, __rev1, (int32x2_t) {__p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vqdmlsl_n_s32(int64x2_t __p0, int32x2_t __p1, int32_t __p2) { + int64x2_t __ret; + __ret = __noswap_vqdmlsl_s32(__p0, __p1, (int32x2_t) {__p2, __p2}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmlsl_n_s16(int32x4_t __p0, int16x4_t __p1, int16_t __p2) { + int32x4_t __ret; + __ret = vqdmlsl_s16(__p0, __p1, (int16x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#else +__ai int32x4_t vqdmlsl_n_s16(int32x4_t __p0, int16x4_t __p1, int16_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vqdmlsl_s16(__rev0, __rev1, (int16x4_t) {__p2, __p2, __p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vqdmlsl_n_s16(int32x4_t __p0, int16x4_t __p1, int16_t __p2) { + int32x4_t __ret; + __ret = __noswap_vqdmlsl_s16(__p0, __p1, (int16x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmulhq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqdmulhq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vqdmulhq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqdmulhq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vqdmulhq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqdmulhq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vqdmulhq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqdmulhq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vqdmulhq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vqdmulhq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int16x8_t __noswap_vqdmulhq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqdmulhq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vqdmulh_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqdmulh_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vqdmulh_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vqdmulh_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int32x2_t __noswap_vqdmulh_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqdmulh_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vqdmulh_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqdmulh_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vqdmulh_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vqdmulh_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int16x4_t __noswap_vqdmulh_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqdmulh_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmulhq_n_s32(int32x4_t __p0, int32_t __p1) { + int32x4_t __ret; + __ret = vqdmulhq_s32(__p0, (int32x4_t) {__p1, __p1, __p1, __p1}); + return __ret; +} +#else +__ai int32x4_t vqdmulhq_n_s32(int32x4_t __p0, int32_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vqdmulhq_s32(__rev0, (int32x4_t) {__p1, __p1, __p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vqdmulhq_n_s16(int16x8_t __p0, int16_t __p1) { + int16x8_t __ret; + __ret = vqdmulhq_s16(__p0, (int16x8_t) {__p1, __p1, __p1, __p1, __p1, __p1, __p1, __p1}); + return __ret; +} +#else +__ai int16x8_t vqdmulhq_n_s16(int16x8_t __p0, int16_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vqdmulhq_s16(__rev0, (int16x8_t) {__p1, __p1, __p1, __p1, __p1, __p1, __p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vqdmulh_n_s32(int32x2_t __p0, int32_t __p1) { + int32x2_t __ret; + __ret = vqdmulh_s32(__p0, (int32x2_t) {__p1, __p1}); + return __ret; +} +#else +__ai int32x2_t vqdmulh_n_s32(int32x2_t __p0, int32_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __noswap_vqdmulh_s32(__rev0, (int32x2_t) {__p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vqdmulh_n_s16(int16x4_t __p0, int16_t __p1) { + int16x4_t __ret; + __ret = vqdmulh_s16(__p0, (int16x4_t) {__p1, __p1, __p1, __p1}); + return __ret; +} +#else +__ai int16x4_t vqdmulh_n_s16(int16x4_t __p0, int16_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vqdmulh_s16(__rev0, (int16x4_t) {__p1, __p1, __p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqdmull_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vqdmull_v((int8x8_t)__p0, (int8x8_t)__p1, 35); + return __ret; +} +#else +__ai int64x2_t vqdmull_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int64x2_t) __builtin_neon_vqdmull_v((int8x8_t)__rev0, (int8x8_t)__rev1, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vqdmull_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vqdmull_v((int8x8_t)__p0, (int8x8_t)__p1, 35); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmull_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqdmull_v((int8x8_t)__p0, (int8x8_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vqdmull_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqdmull_v((int8x8_t)__rev0, (int8x8_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vqdmull_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqdmull_v((int8x8_t)__p0, (int8x8_t)__p1, 34); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmull_lane_s32(__p0_122, __p1_122, __p2_122) __extension__ ({ \ + int64x2_t __ret_122; \ + int32x2_t __s0_122 = __p0_122; \ + int32x2_t __s1_122 = __p1_122; \ + __ret_122 = vqdmull_s32(__s0_122, splat_lane_s32(__s1_122, __p2_122)); \ + __ret_122; \ +}) +#else +#define vqdmull_lane_s32(__p0_123, __p1_123, __p2_123) __extension__ ({ \ + int64x2_t __ret_123; \ + int32x2_t __s0_123 = __p0_123; \ + int32x2_t __s1_123 = __p1_123; \ + int32x2_t __rev0_123; __rev0_123 = __builtin_shufflevector(__s0_123, __s0_123, 1, 0); \ + int32x2_t __rev1_123; __rev1_123 = __builtin_shufflevector(__s1_123, __s1_123, 1, 0); \ + __ret_123 = __noswap_vqdmull_s32(__rev0_123, __noswap_splat_lane_s32(__rev1_123, __p2_123)); \ + __ret_123 = __builtin_shufflevector(__ret_123, __ret_123, 1, 0); \ + __ret_123; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmull_lane_s16(__p0_124, __p1_124, __p2_124) __extension__ ({ \ + int32x4_t __ret_124; \ + int16x4_t __s0_124 = __p0_124; \ + int16x4_t __s1_124 = __p1_124; \ + __ret_124 = vqdmull_s16(__s0_124, splat_lane_s16(__s1_124, __p2_124)); \ + __ret_124; \ +}) +#else +#define vqdmull_lane_s16(__p0_125, __p1_125, __p2_125) __extension__ ({ \ + int32x4_t __ret_125; \ + int16x4_t __s0_125 = __p0_125; \ + int16x4_t __s1_125 = __p1_125; \ + int16x4_t __rev0_125; __rev0_125 = __builtin_shufflevector(__s0_125, __s0_125, 3, 2, 1, 0); \ + int16x4_t __rev1_125; __rev1_125 = __builtin_shufflevector(__s1_125, __s1_125, 3, 2, 1, 0); \ + __ret_125 = __noswap_vqdmull_s16(__rev0_125, __noswap_splat_lane_s16(__rev1_125, __p2_125)); \ + __ret_125 = __builtin_shufflevector(__ret_125, __ret_125, 3, 2, 1, 0); \ + __ret_125; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqdmull_n_s32(int32x2_t __p0, int32_t __p1) { + int64x2_t __ret; + __ret = vqdmull_s32(__p0, (int32x2_t) {__p1, __p1}); + return __ret; +} +#else +__ai int64x2_t vqdmull_n_s32(int32x2_t __p0, int32_t __p1) { + int64x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __noswap_vqdmull_s32(__rev0, (int32x2_t) {__p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vqdmull_n_s32(int32x2_t __p0, int32_t __p1) { + int64x2_t __ret; + __ret = __noswap_vqdmull_s32(__p0, (int32x2_t) {__p1, __p1}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmull_n_s16(int16x4_t __p0, int16_t __p1) { + int32x4_t __ret; + __ret = vqdmull_s16(__p0, (int16x4_t) {__p1, __p1, __p1, __p1}); + return __ret; +} +#else +__ai int32x4_t vqdmull_n_s16(int16x4_t __p0, int16_t __p1) { + int32x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vqdmull_s16(__rev0, (int16x4_t) {__p1, __p1, __p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vqdmull_n_s16(int16x4_t __p0, int16_t __p1) { + int32x4_t __ret; + __ret = __noswap_vqdmull_s16(__p0, (int16x4_t) {__p1, __p1, __p1, __p1}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vqmovn_u32(uint32x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vqmovn_v((int8x16_t)__p0, 17); + return __ret; +} +#else +__ai uint16x4_t vqmovn_u32(uint32x4_t __p0) { + uint16x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vqmovn_v((int8x16_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint16x4_t __noswap_vqmovn_u32(uint32x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vqmovn_v((int8x16_t)__p0, 17); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vqmovn_u64(uint64x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vqmovn_v((int8x16_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vqmovn_u64(uint64x2_t __p0) { + uint32x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vqmovn_v((int8x16_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint32x2_t __noswap_vqmovn_u64(uint64x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vqmovn_v((int8x16_t)__p0, 18); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqmovn_u16(uint16x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqmovn_v((int8x16_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vqmovn_u16(uint16x8_t __p0) { + uint8x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqmovn_v((int8x16_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint8x8_t __noswap_vqmovn_u16(uint16x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqmovn_v((int8x16_t)__p0, 16); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vqmovn_s32(int32x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqmovn_v((int8x16_t)__p0, 1); + return __ret; +} +#else +__ai int16x4_t vqmovn_s32(int32x4_t __p0) { + int16x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vqmovn_v((int8x16_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int16x4_t __noswap_vqmovn_s32(int32x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqmovn_v((int8x16_t)__p0, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vqmovn_s64(int64x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqmovn_v((int8x16_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vqmovn_s64(int64x2_t __p0) { + int32x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vqmovn_v((int8x16_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int32x2_t __noswap_vqmovn_s64(int64x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqmovn_v((int8x16_t)__p0, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqmovn_s16(int16x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqmovn_v((int8x16_t)__p0, 0); + return __ret; +} +#else +__ai int8x8_t vqmovn_s16(int16x8_t __p0) { + int8x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqmovn_v((int8x16_t)__rev0, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int8x8_t __noswap_vqmovn_s16(int16x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqmovn_v((int8x16_t)__p0, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vqmovun_s32(int32x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vqmovun_v((int8x16_t)__p0, 17); + return __ret; +} +#else +__ai uint16x4_t vqmovun_s32(int32x4_t __p0) { + uint16x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vqmovun_v((int8x16_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint16x4_t __noswap_vqmovun_s32(int32x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vqmovun_v((int8x16_t)__p0, 17); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vqmovun_s64(int64x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vqmovun_v((int8x16_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vqmovun_s64(int64x2_t __p0) { + uint32x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vqmovun_v((int8x16_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint32x2_t __noswap_vqmovun_s64(int64x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vqmovun_v((int8x16_t)__p0, 18); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqmovun_s16(int16x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqmovun_v((int8x16_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vqmovun_s16(int16x8_t __p0) { + uint8x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqmovun_v((int8x16_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint8x8_t __noswap_vqmovun_s16(int16x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqmovun_v((int8x16_t)__p0, 16); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqnegq_s8(int8x16_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqnegq_v((int8x16_t)__p0, 32); + return __ret; +} +#else +__ai int8x16_t vqnegq_s8(int8x16_t __p0) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqnegq_v((int8x16_t)__rev0, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqnegq_s32(int32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqnegq_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vqnegq_s32(int32x4_t __p0) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqnegq_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vqnegq_s16(int16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqnegq_v((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai int16x8_t vqnegq_s16(int16x8_t __p0) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vqnegq_v((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqneg_s8(int8x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqneg_v((int8x8_t)__p0, 0); + return __ret; +} +#else +__ai int8x8_t vqneg_s8(int8x8_t __p0) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqneg_v((int8x8_t)__rev0, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vqneg_s32(int32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqneg_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vqneg_s32(int32x2_t __p0) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vqneg_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vqneg_s16(int16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqneg_v((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai int16x4_t vqneg_s16(int16x4_t __p0) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vqneg_v((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqrdmulhq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqrdmulhq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vqrdmulhq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqrdmulhq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vqrdmulhq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqrdmulhq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vqrdmulhq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqrdmulhq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vqrdmulhq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vqrdmulhq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int16x8_t __noswap_vqrdmulhq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqrdmulhq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vqrdmulh_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqrdmulh_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vqrdmulh_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vqrdmulh_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int32x2_t __noswap_vqrdmulh_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqrdmulh_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vqrdmulh_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqrdmulh_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vqrdmulh_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vqrdmulh_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int16x4_t __noswap_vqrdmulh_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqrdmulh_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqrdmulhq_n_s32(int32x4_t __p0, int32_t __p1) { + int32x4_t __ret; + __ret = vqrdmulhq_s32(__p0, (int32x4_t) {__p1, __p1, __p1, __p1}); + return __ret; +} +#else +__ai int32x4_t vqrdmulhq_n_s32(int32x4_t __p0, int32_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vqrdmulhq_s32(__rev0, (int32x4_t) {__p1, __p1, __p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vqrdmulhq_n_s16(int16x8_t __p0, int16_t __p1) { + int16x8_t __ret; + __ret = vqrdmulhq_s16(__p0, (int16x8_t) {__p1, __p1, __p1, __p1, __p1, __p1, __p1, __p1}); + return __ret; +} +#else +__ai int16x8_t vqrdmulhq_n_s16(int16x8_t __p0, int16_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vqrdmulhq_s16(__rev0, (int16x8_t) {__p1, __p1, __p1, __p1, __p1, __p1, __p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vqrdmulh_n_s32(int32x2_t __p0, int32_t __p1) { + int32x2_t __ret; + __ret = vqrdmulh_s32(__p0, (int32x2_t) {__p1, __p1}); + return __ret; +} +#else +__ai int32x2_t vqrdmulh_n_s32(int32x2_t __p0, int32_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __noswap_vqrdmulh_s32(__rev0, (int32x2_t) {__p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vqrdmulh_n_s16(int16x4_t __p0, int16_t __p1) { + int16x4_t __ret; + __ret = vqrdmulh_s16(__p0, (int16x4_t) {__p1, __p1, __p1, __p1}); + return __ret; +} +#else +__ai int16x4_t vqrdmulh_n_s16(int16x4_t __p0, int16_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vqrdmulh_s16(__rev0, (int16x4_t) {__p1, __p1, __p1, __p1}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqrshlq_u8(uint8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vqrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vqrshlq_u8(uint8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vqrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vqrshlq_u32(uint32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vqrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vqrshlq_u32(uint32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vqrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vqrshlq_u64(uint64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vqrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vqrshlq_u64(uint64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vqrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vqrshlq_u16(uint16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vqrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vqrshlq_u16(uint16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vqrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqrshlq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vqrshlq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqrshlq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vqrshlq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqrshlq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vqrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 35); + return __ret; +} +#else +__ai int64x2_t vqrshlq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int64x2_t) __builtin_neon_vqrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vqrshlq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vqrshlq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vqrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqrshl_u8(uint8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vqrshl_u8(uint8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqrshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vqrshl_u32(uint32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vqrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vqrshl_u32(uint32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vqrshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vqrshl_u64(uint64x1_t __p0, int64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vqrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vqrshl_u16(uint16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vqrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vqrshl_u16(uint16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vqrshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqrshl_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vqrshl_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqrshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vqrshl_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vqrshl_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vqrshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vqrshl_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vqrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 3); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vqrshl_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vqrshl_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vqrshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrn_n_u32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vqrshrn_n_u32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vqrshrn_n_u32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__s0, __p1, 17); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrn_n_u64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vqrshrn_n_u64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vqrshrn_n_u64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__s0, __p1, 18); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrn_n_u16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define vqrshrn_n_u16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vqrshrn_n_u16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__s0, __p1, 16); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrn_n_s32(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__s0, __p1, 1); \ + __ret; \ +}) +#else +#define vqrshrn_n_s32(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__rev0, __p1, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vqrshrn_n_s32(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__s0, __p1, 1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrn_n_s64(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__s0, __p1, 2); \ + __ret; \ +}) +#else +#define vqrshrn_n_s64(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__rev0, __p1, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vqrshrn_n_s64(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__s0, __p1, 2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrn_n_s16(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__s0, __p1, 0); \ + __ret; \ +}) +#else +#define vqrshrn_n_s16(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__rev0, __p1, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vqrshrn_n_s16(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_vqrshrn_n_v((int8x16_t)__s0, __p1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrun_n_s32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vqrshrun_n_v((int8x16_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vqrshrun_n_s32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vqrshrun_n_v((int8x16_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vqrshrun_n_s32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vqrshrun_n_v((int8x16_t)__s0, __p1, 17); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrun_n_s64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vqrshrun_n_v((int8x16_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vqrshrun_n_s64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vqrshrun_n_v((int8x16_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vqrshrun_n_s64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vqrshrun_n_v((int8x16_t)__s0, __p1, 18); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrun_n_s16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vqrshrun_n_v((int8x16_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define vqrshrun_n_s16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vqrshrun_n_v((int8x16_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vqrshrun_n_s16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vqrshrun_n_v((int8x16_t)__s0, __p1, 16); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqshlq_u8(uint8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vqshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vqshlq_u8(uint8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vqshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vqshlq_u32(uint32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vqshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vqshlq_u32(uint32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vqshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vqshlq_u64(uint64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vqshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vqshlq_u64(uint64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vqshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vqshlq_u16(uint16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vqshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vqshlq_u16(uint16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vqshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqshlq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vqshlq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqshlq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vqshlq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqshlq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vqshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 35); + return __ret; +} +#else +__ai int64x2_t vqshlq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int64x2_t) __builtin_neon_vqshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vqshlq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vqshlq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vqshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqshl_u8(uint8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqshl_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vqshl_u8(uint8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vqshl_u32(uint32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vqshl_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vqshl_u32(uint32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vqshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vqshl_u64(uint64x1_t __p0, int64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vqshl_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vqshl_u16(uint16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vqshl_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vqshl_u16(uint16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vqshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqshl_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqshl_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vqshl_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vqshl_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqshl_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vqshl_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vqshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vqshl_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vqshl_v((int8x8_t)__p0, (int8x8_t)__p1, 3); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vqshl_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqshl_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vqshl_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vqshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshlq_n_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + __ret = (uint8x16_t) __builtin_neon_vqshlq_n_v((int8x16_t)__s0, __p1, 48); \ + __ret; \ +}) +#else +#define vqshlq_n_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_vqshlq_n_v((int8x16_t)__rev0, __p1, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshlq_n_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint32x4_t) __builtin_neon_vqshlq_n_v((int8x16_t)__s0, __p1, 50); \ + __ret; \ +}) +#else +#define vqshlq_n_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vqshlq_n_v((int8x16_t)__rev0, __p1, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshlq_n_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_vqshlq_n_v((int8x16_t)__s0, __p1, 51); \ + __ret; \ +}) +#else +#define vqshlq_n_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vqshlq_n_v((int8x16_t)__rev0, __p1, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshlq_n_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint16x8_t) __builtin_neon_vqshlq_n_v((int8x16_t)__s0, __p1, 49); \ + __ret; \ +}) +#else +#define vqshlq_n_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vqshlq_n_v((int8x16_t)__rev0, __p1, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshlq_n_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + __ret = (int8x16_t) __builtin_neon_vqshlq_n_v((int8x16_t)__s0, __p1, 32); \ + __ret; \ +}) +#else +#define vqshlq_n_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_vqshlq_n_v((int8x16_t)__rev0, __p1, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshlq_n_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int32x4_t) __builtin_neon_vqshlq_n_v((int8x16_t)__s0, __p1, 34); \ + __ret; \ +}) +#else +#define vqshlq_n_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vqshlq_n_v((int8x16_t)__rev0, __p1, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshlq_n_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int64x2_t) __builtin_neon_vqshlq_n_v((int8x16_t)__s0, __p1, 35); \ + __ret; \ +}) +#else +#define vqshlq_n_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vqshlq_n_v((int8x16_t)__rev0, __p1, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshlq_n_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int16x8_t) __builtin_neon_vqshlq_n_v((int8x16_t)__s0, __p1, 33); \ + __ret; \ +}) +#else +#define vqshlq_n_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vqshlq_n_v((int8x16_t)__rev0, __p1, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshl_n_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vqshl_n_v((int8x8_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define vqshl_n_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vqshl_n_v((int8x8_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshl_n_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vqshl_n_v((int8x8_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vqshl_n_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vqshl_n_v((int8x8_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vqshl_n_u64(__p0, __p1) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x1_t __s0 = __p0; \ + __ret = (uint64x1_t) __builtin_neon_vqshl_n_v((int8x8_t)__s0, __p1, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vqshl_n_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vqshl_n_v((int8x8_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vqshl_n_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vqshl_n_v((int8x8_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshl_n_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_vqshl_n_v((int8x8_t)__s0, __p1, 0); \ + __ret; \ +}) +#else +#define vqshl_n_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vqshl_n_v((int8x8_t)__rev0, __p1, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshl_n_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vqshl_n_v((int8x8_t)__s0, __p1, 2); \ + __ret; \ +}) +#else +#define vqshl_n_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vqshl_n_v((int8x8_t)__rev0, __p1, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vqshl_n_s64(__p0, __p1) __extension__ ({ \ + int64x1_t __ret; \ + int64x1_t __s0 = __p0; \ + __ret = (int64x1_t) __builtin_neon_vqshl_n_v((int8x8_t)__s0, __p1, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vqshl_n_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vqshl_n_v((int8x8_t)__s0, __p1, 1); \ + __ret; \ +}) +#else +#define vqshl_n_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vqshl_n_v((int8x8_t)__rev0, __p1, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshluq_n_s8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + __ret = (uint8x16_t) __builtin_neon_vqshluq_n_v((int8x16_t)__s0, __p1, 48); \ + __ret; \ +}) +#else +#define vqshluq_n_s8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_vqshluq_n_v((int8x16_t)__rev0, __p1, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshluq_n_s32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (uint32x4_t) __builtin_neon_vqshluq_n_v((int8x16_t)__s0, __p1, 50); \ + __ret; \ +}) +#else +#define vqshluq_n_s32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vqshluq_n_v((int8x16_t)__rev0, __p1, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshluq_n_s64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_vqshluq_n_v((int8x16_t)__s0, __p1, 51); \ + __ret; \ +}) +#else +#define vqshluq_n_s64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vqshluq_n_v((int8x16_t)__rev0, __p1, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshluq_n_s16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (uint16x8_t) __builtin_neon_vqshluq_n_v((int8x16_t)__s0, __p1, 49); \ + __ret; \ +}) +#else +#define vqshluq_n_s16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vqshluq_n_v((int8x16_t)__rev0, __p1, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshlu_n_s8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vqshlu_n_v((int8x8_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define vqshlu_n_s8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vqshlu_n_v((int8x8_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshlu_n_s32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vqshlu_n_v((int8x8_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vqshlu_n_s32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vqshlu_n_v((int8x8_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vqshlu_n_s64(__p0, __p1) __extension__ ({ \ + uint64x1_t __ret; \ + int64x1_t __s0 = __p0; \ + __ret = (uint64x1_t) __builtin_neon_vqshlu_n_v((int8x8_t)__s0, __p1, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vqshlu_n_s16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vqshlu_n_v((int8x8_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vqshlu_n_s16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vqshlu_n_v((int8x8_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrn_n_u32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vqshrn_n_v((int8x16_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vqshrn_n_u32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vqshrn_n_v((int8x16_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vqshrn_n_u32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vqshrn_n_v((int8x16_t)__s0, __p1, 17); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrn_n_u64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vqshrn_n_v((int8x16_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vqshrn_n_u64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vqshrn_n_v((int8x16_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vqshrn_n_u64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vqshrn_n_v((int8x16_t)__s0, __p1, 18); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrn_n_u16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vqshrn_n_v((int8x16_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define vqshrn_n_u16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vqshrn_n_v((int8x16_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vqshrn_n_u16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vqshrn_n_v((int8x16_t)__s0, __p1, 16); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrn_n_s32(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vqshrn_n_v((int8x16_t)__s0, __p1, 1); \ + __ret; \ +}) +#else +#define vqshrn_n_s32(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vqshrn_n_v((int8x16_t)__rev0, __p1, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vqshrn_n_s32(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vqshrn_n_v((int8x16_t)__s0, __p1, 1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrn_n_s64(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vqshrn_n_v((int8x16_t)__s0, __p1, 2); \ + __ret; \ +}) +#else +#define vqshrn_n_s64(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vqshrn_n_v((int8x16_t)__rev0, __p1, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vqshrn_n_s64(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vqshrn_n_v((int8x16_t)__s0, __p1, 2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrn_n_s16(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_vqshrn_n_v((int8x16_t)__s0, __p1, 0); \ + __ret; \ +}) +#else +#define vqshrn_n_s16(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vqshrn_n_v((int8x16_t)__rev0, __p1, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vqshrn_n_s16(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_vqshrn_n_v((int8x16_t)__s0, __p1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrun_n_s32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vqshrun_n_v((int8x16_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vqshrun_n_s32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vqshrun_n_v((int8x16_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vqshrun_n_s32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vqshrun_n_v((int8x16_t)__s0, __p1, 17); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrun_n_s64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vqshrun_n_v((int8x16_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vqshrun_n_s64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vqshrun_n_v((int8x16_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vqshrun_n_s64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vqshrun_n_v((int8x16_t)__s0, __p1, 18); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrun_n_s16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vqshrun_n_v((int8x16_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define vqshrun_n_s16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vqshrun_n_v((int8x16_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vqshrun_n_s16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vqshrun_n_v((int8x16_t)__s0, __p1, 16); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqsubq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vqsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vqsubq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vqsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vqsubq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vqsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vqsubq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vqsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vqsubq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vqsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vqsubq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vqsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vqsubq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vqsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vqsubq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vqsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqsubq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vqsubq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqsubq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vqsubq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqsubq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vqsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 35); + return __ret; +} +#else +__ai int64x2_t vqsubq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int64x2_t) __builtin_neon_vqsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vqsubq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqsubq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vqsubq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vqsubq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqsub_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqsub_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vqsub_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqsub_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vqsub_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vqsub_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vqsub_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vqsub_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vqsub_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vqsub_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vqsub_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vqsub_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vqsub_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vqsub_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqsub_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqsub_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vqsub_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqsub_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vqsub_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqsub_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vqsub_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vqsub_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vqsub_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vqsub_v((int8x8_t)__p0, (int8x8_t)__p1, 3); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vqsub_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqsub_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vqsub_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vqsub_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vraddhn_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vraddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vraddhn_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint16x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vraddhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint16x4_t __noswap_vraddhn_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vraddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 17); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vraddhn_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vraddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vraddhn_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint32x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vraddhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint32x2_t __noswap_vraddhn_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vraddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 18); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vraddhn_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vraddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vraddhn_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint8x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vraddhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint8x8_t __noswap_vraddhn_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vraddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 16); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vraddhn_s32(int32x4_t __p0, int32x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vraddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vraddhn_s32(int32x4_t __p0, int32x4_t __p1) { + int16x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vraddhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int16x4_t __noswap_vraddhn_s32(int32x4_t __p0, int32x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vraddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vraddhn_s64(int64x2_t __p0, int64x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vraddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vraddhn_s64(int64x2_t __p0, int64x2_t __p1) { + int32x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vraddhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int32x2_t __noswap_vraddhn_s64(int64x2_t __p0, int64x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vraddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vraddhn_s16(int16x8_t __p0, int16x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vraddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vraddhn_s16(int16x8_t __p0, int16x8_t __p1) { + int8x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vraddhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int8x8_t __noswap_vraddhn_s16(int16x8_t __p0, int16x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vraddhn_v((int8x16_t)__p0, (int8x16_t)__p1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vrecpeq_u32(uint32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vrecpeq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vrecpeq_u32(uint32x4_t __p0) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vrecpeq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vrecpeq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrecpeq_v((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai float32x4_t vrecpeq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrecpeq_v((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vrecpe_u32(uint32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vrecpe_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vrecpe_u32(uint32x2_t __p0) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vrecpe_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vrecpe_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrecpe_v((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai float32x2_t vrecpe_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrecpe_v((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vrecpsq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrecpsq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vrecpsq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrecpsq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vrecps_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrecps_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vrecps_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrecps_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vrev16_p8(poly8x8_t __p0) { + poly8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2, 5, 4, 7, 6); + return __ret; +} +#else +__ai poly8x8_t vrev16_p8(poly8x8_t __p0) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2, 5, 4, 7, 6); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vrev16q_p8(poly8x16_t __p0) { + poly8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2, 5, 4, 7, 6, 9, 8, 11, 10, 13, 12, 15, 14); + return __ret; +} +#else +__ai poly8x16_t vrev16q_p8(poly8x16_t __p0) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2, 5, 4, 7, 6, 9, 8, 11, 10, 13, 12, 15, 14); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vrev16q_u8(uint8x16_t __p0) { + uint8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2, 5, 4, 7, 6, 9, 8, 11, 10, 13, 12, 15, 14); + return __ret; +} +#else +__ai uint8x16_t vrev16q_u8(uint8x16_t __p0) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2, 5, 4, 7, 6, 9, 8, 11, 10, 13, 12, 15, 14); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vrev16q_s8(int8x16_t __p0) { + int8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2, 5, 4, 7, 6, 9, 8, 11, 10, 13, 12, 15, 14); + return __ret; +} +#else +__ai int8x16_t vrev16q_s8(int8x16_t __p0) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2, 5, 4, 7, 6, 9, 8, 11, 10, 13, 12, 15, 14); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vrev16_u8(uint8x8_t __p0) { + uint8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2, 5, 4, 7, 6); + return __ret; +} +#else +__ai uint8x8_t vrev16_u8(uint8x8_t __p0) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2, 5, 4, 7, 6); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vrev16_s8(int8x8_t __p0) { + int8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2, 5, 4, 7, 6); + return __ret; +} +#else +__ai int8x8_t vrev16_s8(int8x8_t __p0) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2, 5, 4, 7, 6); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vrev32_p8(poly8x8_t __p0) { + poly8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0, 7, 6, 5, 4); + return __ret; +} +#else +__ai poly8x8_t vrev32_p8(poly8x8_t __p0) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0, 7, 6, 5, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vrev32_p16(poly16x4_t __p0) { + poly16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2); + return __ret; +} +#else +__ai poly16x4_t vrev32_p16(poly16x4_t __p0) { + poly16x4_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vrev32q_p8(poly8x16_t __p0) { + poly8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0, 7, 6, 5, 4, 11, 10, 9, 8, 15, 14, 13, 12); + return __ret; +} +#else +__ai poly8x16_t vrev32q_p8(poly8x16_t __p0) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0, 7, 6, 5, 4, 11, 10, 9, 8, 15, 14, 13, 12); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vrev32q_p16(poly16x8_t __p0) { + poly16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2, 5, 4, 7, 6); + return __ret; +} +#else +__ai poly16x8_t vrev32q_p16(poly16x8_t __p0) { + poly16x8_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2, 5, 4, 7, 6); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vrev32q_u8(uint8x16_t __p0) { + uint8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0, 7, 6, 5, 4, 11, 10, 9, 8, 15, 14, 13, 12); + return __ret; +} +#else +__ai uint8x16_t vrev32q_u8(uint8x16_t __p0) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0, 7, 6, 5, 4, 11, 10, 9, 8, 15, 14, 13, 12); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vrev32q_u16(uint16x8_t __p0) { + uint16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2, 5, 4, 7, 6); + return __ret; +} +#else +__ai uint16x8_t vrev32q_u16(uint16x8_t __p0) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2, 5, 4, 7, 6); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vrev32q_s8(int8x16_t __p0) { + int8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0, 7, 6, 5, 4, 11, 10, 9, 8, 15, 14, 13, 12); + return __ret; +} +#else +__ai int8x16_t vrev32q_s8(int8x16_t __p0) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0, 7, 6, 5, 4, 11, 10, 9, 8, 15, 14, 13, 12); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vrev32q_s16(int16x8_t __p0) { + int16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2, 5, 4, 7, 6); + return __ret; +} +#else +__ai int16x8_t vrev32q_s16(int16x8_t __p0) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2, 5, 4, 7, 6); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vrev32_u8(uint8x8_t __p0) { + uint8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0, 7, 6, 5, 4); + return __ret; +} +#else +__ai uint8x8_t vrev32_u8(uint8x8_t __p0) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0, 7, 6, 5, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vrev32_u16(uint16x4_t __p0) { + uint16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2); + return __ret; +} +#else +__ai uint16x4_t vrev32_u16(uint16x4_t __p0) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vrev32_s8(int8x8_t __p0) { + int8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0, 7, 6, 5, 4); + return __ret; +} +#else +__ai int8x8_t vrev32_s8(int8x8_t __p0) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0, 7, 6, 5, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vrev32_s16(int16x4_t __p0) { + int16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2); + return __ret; +} +#else +__ai int16x4_t vrev32_s16(int16x4_t __p0) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vrev64_p8(poly8x8_t __p0) { + poly8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#else +__ai poly8x8_t vrev64_p8(poly8x8_t __p0) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vrev64_p16(poly16x4_t __p0) { + poly16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + return __ret; +} +#else +__ai poly16x4_t vrev64_p16(poly16x4_t __p0) { + poly16x4_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vrev64q_p8(poly8x16_t __p0) { + poly8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0, 15, 14, 13, 12, 11, 10, 9, 8); + return __ret; +} +#else +__ai poly8x16_t vrev64q_p8(poly8x16_t __p0) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 7, 6, 5, 4, 3, 2, 1, 0, 15, 14, 13, 12, 11, 10, 9, 8); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vrev64q_p16(poly16x8_t __p0) { + poly16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0, 7, 6, 5, 4); + return __ret; +} +#else +__ai poly16x8_t vrev64q_p16(poly16x8_t __p0) { + poly16x8_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0, 7, 6, 5, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vrev64q_u8(uint8x16_t __p0) { + uint8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0, 15, 14, 13, 12, 11, 10, 9, 8); + return __ret; +} +#else +__ai uint8x16_t vrev64q_u8(uint8x16_t __p0) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 7, 6, 5, 4, 3, 2, 1, 0, 15, 14, 13, 12, 11, 10, 9, 8); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vrev64q_u32(uint32x4_t __p0) { + uint32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2); + return __ret; +} +#else +__ai uint32x4_t vrev64q_u32(uint32x4_t __p0) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vrev64q_u16(uint16x8_t __p0) { + uint16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0, 7, 6, 5, 4); + return __ret; +} +#else +__ai uint16x8_t vrev64q_u16(uint16x8_t __p0) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0, 7, 6, 5, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vrev64q_s8(int8x16_t __p0) { + int8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0, 15, 14, 13, 12, 11, 10, 9, 8); + return __ret; +} +#else +__ai int8x16_t vrev64q_s8(int8x16_t __p0) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 7, 6, 5, 4, 3, 2, 1, 0, 15, 14, 13, 12, 11, 10, 9, 8); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vrev64q_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2); + return __ret; +} +#else +__ai float32x4_t vrev64q_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vrev64q_s32(int32x4_t __p0) { + int32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0, 3, 2); + return __ret; +} +#else +__ai int32x4_t vrev64q_s32(int32x4_t __p0) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0, 3, 2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vrev64q_s16(int16x8_t __p0) { + int16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0, 7, 6, 5, 4); + return __ret; +} +#else +__ai int16x8_t vrev64q_s16(int16x8_t __p0) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0, 7, 6, 5, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vrev64_u8(uint8x8_t __p0) { + uint8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#else +__ai uint8x8_t vrev64_u8(uint8x8_t __p0) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vrev64_u32(uint32x2_t __p0) { + uint32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0); + return __ret; +} +#else +__ai uint32x2_t vrev64_u32(uint32x2_t __p0) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vrev64_u16(uint16x4_t __p0) { + uint16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + return __ret; +} +#else +__ai uint16x4_t vrev64_u16(uint16x4_t __p0) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vrev64_s8(int8x8_t __p0) { + int8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#else +__ai int8x8_t vrev64_s8(int8x8_t __p0) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vrev64_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0); + return __ret; +} +#else +__ai float32x2_t vrev64_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vrev64_s32(int32x2_t __p0) { + int32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1, 0); + return __ret; +} +#else +__ai int32x2_t vrev64_s32(int32x2_t __p0) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vrev64_s16(int16x4_t __p0) { + int16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + return __ret; +} +#else +__ai int16x4_t vrev64_s16(int16x4_t __p0) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vrhaddq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vrhaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vrhaddq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vrhaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vrhaddq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vrhaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vrhaddq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vrhaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vrhaddq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vrhaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vrhaddq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vrhaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vrhaddq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vrhaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vrhaddq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vrhaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vrhaddq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vrhaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vrhaddq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vrhaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vrhaddq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vrhaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vrhaddq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vrhaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vrhadd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vrhadd_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vrhadd_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vrhadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vrhadd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vrhadd_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vrhadd_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vrhadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vrhadd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vrhadd_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vrhadd_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vrhadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vrhadd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vrhadd_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vrhadd_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vrhadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vrhadd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vrhadd_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vrhadd_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vrhadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vrhadd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vrhadd_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vrhadd_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vrhadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vrshlq_u8(uint8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vrshlq_u8(uint8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vrshlq_u32(uint32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vrshlq_u32(uint32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vrshlq_u64(uint64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vrshlq_u64(uint64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vrshlq_u16(uint16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vrshlq_u16(uint16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vrshlq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vrshlq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vrshlq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vrshlq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vrshlq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 35); + return __ret; +} +#else +__ai int64x2_t vrshlq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int64x2_t) __builtin_neon_vrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vrshlq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vrshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vrshlq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vrshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vrshl_u8(uint8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vrshl_u8(uint8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vrshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vrshl_u32(uint32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vrshl_u32(uint32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vrshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vrshl_u64(uint64x1_t __p0, int64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vrshl_u16(uint16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vrshl_u16(uint16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vrshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vrshl_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vrshl_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vrshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vrshl_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vrshl_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vrshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vrshl_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 3); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vrshl_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vrshl_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vrshl_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vrshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrq_n_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + __ret = (uint8x16_t) __builtin_neon_vrshrq_n_v((int8x16_t)__s0, __p1, 48); \ + __ret; \ +}) +#else +#define vrshrq_n_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_vrshrq_n_v((int8x16_t)__rev0, __p1, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrq_n_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint32x4_t) __builtin_neon_vrshrq_n_v((int8x16_t)__s0, __p1, 50); \ + __ret; \ +}) +#else +#define vrshrq_n_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vrshrq_n_v((int8x16_t)__rev0, __p1, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrq_n_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_vrshrq_n_v((int8x16_t)__s0, __p1, 51); \ + __ret; \ +}) +#else +#define vrshrq_n_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vrshrq_n_v((int8x16_t)__rev0, __p1, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrq_n_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint16x8_t) __builtin_neon_vrshrq_n_v((int8x16_t)__s0, __p1, 49); \ + __ret; \ +}) +#else +#define vrshrq_n_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vrshrq_n_v((int8x16_t)__rev0, __p1, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrq_n_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + __ret = (int8x16_t) __builtin_neon_vrshrq_n_v((int8x16_t)__s0, __p1, 32); \ + __ret; \ +}) +#else +#define vrshrq_n_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_vrshrq_n_v((int8x16_t)__rev0, __p1, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrq_n_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int32x4_t) __builtin_neon_vrshrq_n_v((int8x16_t)__s0, __p1, 34); \ + __ret; \ +}) +#else +#define vrshrq_n_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vrshrq_n_v((int8x16_t)__rev0, __p1, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrq_n_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int64x2_t) __builtin_neon_vrshrq_n_v((int8x16_t)__s0, __p1, 35); \ + __ret; \ +}) +#else +#define vrshrq_n_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vrshrq_n_v((int8x16_t)__rev0, __p1, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrq_n_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int16x8_t) __builtin_neon_vrshrq_n_v((int8x16_t)__s0, __p1, 33); \ + __ret; \ +}) +#else +#define vrshrq_n_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vrshrq_n_v((int8x16_t)__rev0, __p1, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshr_n_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vrshr_n_v((int8x8_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define vrshr_n_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vrshr_n_v((int8x8_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshr_n_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vrshr_n_v((int8x8_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vrshr_n_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vrshr_n_v((int8x8_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vrshr_n_u64(__p0, __p1) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x1_t __s0 = __p0; \ + __ret = (uint64x1_t) __builtin_neon_vrshr_n_v((int8x8_t)__s0, __p1, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vrshr_n_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vrshr_n_v((int8x8_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vrshr_n_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vrshr_n_v((int8x8_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshr_n_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_vrshr_n_v((int8x8_t)__s0, __p1, 0); \ + __ret; \ +}) +#else +#define vrshr_n_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vrshr_n_v((int8x8_t)__rev0, __p1, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshr_n_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vrshr_n_v((int8x8_t)__s0, __p1, 2); \ + __ret; \ +}) +#else +#define vrshr_n_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vrshr_n_v((int8x8_t)__rev0, __p1, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vrshr_n_s64(__p0, __p1) __extension__ ({ \ + int64x1_t __ret; \ + int64x1_t __s0 = __p0; \ + __ret = (int64x1_t) __builtin_neon_vrshr_n_v((int8x8_t)__s0, __p1, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vrshr_n_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vrshr_n_v((int8x8_t)__s0, __p1, 1); \ + __ret; \ +}) +#else +#define vrshr_n_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vrshr_n_v((int8x8_t)__rev0, __p1, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrn_n_u32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vrshrn_n_v((int8x16_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vrshrn_n_u32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vrshrn_n_v((int8x16_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vrshrn_n_u32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vrshrn_n_v((int8x16_t)__s0, __p1, 17); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrn_n_u64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vrshrn_n_v((int8x16_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vrshrn_n_u64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vrshrn_n_v((int8x16_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vrshrn_n_u64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vrshrn_n_v((int8x16_t)__s0, __p1, 18); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrn_n_u16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vrshrn_n_v((int8x16_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define vrshrn_n_u16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vrshrn_n_v((int8x16_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vrshrn_n_u16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vrshrn_n_v((int8x16_t)__s0, __p1, 16); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrn_n_s32(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vrshrn_n_v((int8x16_t)__s0, __p1, 1); \ + __ret; \ +}) +#else +#define vrshrn_n_s32(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vrshrn_n_v((int8x16_t)__rev0, __p1, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vrshrn_n_s32(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vrshrn_n_v((int8x16_t)__s0, __p1, 1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrn_n_s64(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vrshrn_n_v((int8x16_t)__s0, __p1, 2); \ + __ret; \ +}) +#else +#define vrshrn_n_s64(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vrshrn_n_v((int8x16_t)__rev0, __p1, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vrshrn_n_s64(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vrshrn_n_v((int8x16_t)__s0, __p1, 2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrn_n_s16(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_vrshrn_n_v((int8x16_t)__s0, __p1, 0); \ + __ret; \ +}) +#else +#define vrshrn_n_s16(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vrshrn_n_v((int8x16_t)__rev0, __p1, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vrshrn_n_s16(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_vrshrn_n_v((int8x16_t)__s0, __p1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vrsqrteq_u32(uint32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vrsqrteq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vrsqrteq_u32(uint32x4_t __p0) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vrsqrteq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vrsqrteq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrsqrteq_v((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai float32x4_t vrsqrteq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrsqrteq_v((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vrsqrte_u32(uint32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vrsqrte_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vrsqrte_u32(uint32x2_t __p0) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vrsqrte_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vrsqrte_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrsqrte_v((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai float32x2_t vrsqrte_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrsqrte_v((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vrsqrtsq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrsqrtsq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vrsqrtsq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrsqrtsq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vrsqrts_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrsqrts_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vrsqrts_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrsqrts_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrsraq_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + __ret = (uint8x16_t) __builtin_neon_vrsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 48); \ + __ret; \ +}) +#else +#define vrsraq_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_vrsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrsraq_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + __ret = (uint32x4_t) __builtin_neon_vrsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 50); \ + __ret; \ +}) +#else +#define vrsraq_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vrsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrsraq_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + __ret = (uint64x2_t) __builtin_neon_vrsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 51); \ + __ret; \ +}) +#else +#define vrsraq_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vrsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrsraq_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + __ret = (uint16x8_t) __builtin_neon_vrsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 49); \ + __ret; \ +}) +#else +#define vrsraq_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vrsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrsraq_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + __ret = (int8x16_t) __builtin_neon_vrsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 32); \ + __ret; \ +}) +#else +#define vrsraq_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_vrsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrsraq_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + __ret = (int32x4_t) __builtin_neon_vrsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 34); \ + __ret; \ +}) +#else +#define vrsraq_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vrsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrsraq_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + __ret = (int64x2_t) __builtin_neon_vrsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 35); \ + __ret; \ +}) +#else +#define vrsraq_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vrsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrsraq_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + __ret = (int16x8_t) __builtin_neon_vrsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 33); \ + __ret; \ +}) +#else +#define vrsraq_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vrsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrsra_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + __ret = (uint8x8_t) __builtin_neon_vrsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 16); \ + __ret; \ +}) +#else +#define vrsra_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vrsra_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrsra_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + __ret = (uint32x2_t) __builtin_neon_vrsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 18); \ + __ret; \ +}) +#else +#define vrsra_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vrsra_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vrsra_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x1_t __s0 = __p0; \ + uint64x1_t __s1 = __p1; \ + __ret = (uint64x1_t) __builtin_neon_vrsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vrsra_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + __ret = (uint16x4_t) __builtin_neon_vrsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 17); \ + __ret; \ +}) +#else +#define vrsra_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vrsra_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrsra_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + __ret = (int8x8_t) __builtin_neon_vrsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 0); \ + __ret; \ +}) +#else +#define vrsra_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vrsra_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrsra_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + __ret = (int32x2_t) __builtin_neon_vrsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 2); \ + __ret; \ +}) +#else +#define vrsra_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vrsra_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vrsra_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1_t __ret; \ + int64x1_t __s0 = __p0; \ + int64x1_t __s1 = __p1; \ + __ret = (int64x1_t) __builtin_neon_vrsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vrsra_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + __ret = (int16x4_t) __builtin_neon_vrsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 1); \ + __ret; \ +}) +#else +#define vrsra_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vrsra_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vrsubhn_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vrsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vrsubhn_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint16x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vrsubhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint16x4_t __noswap_vrsubhn_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vrsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 17); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vrsubhn_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vrsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vrsubhn_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint32x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vrsubhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint32x2_t __noswap_vrsubhn_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vrsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 18); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vrsubhn_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vrsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vrsubhn_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint8x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vrsubhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint8x8_t __noswap_vrsubhn_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vrsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 16); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vrsubhn_s32(int32x4_t __p0, int32x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vrsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vrsubhn_s32(int32x4_t __p0, int32x4_t __p1) { + int16x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vrsubhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int16x4_t __noswap_vrsubhn_s32(int32x4_t __p0, int32x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vrsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vrsubhn_s64(int64x2_t __p0, int64x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vrsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vrsubhn_s64(int64x2_t __p0, int64x2_t __p1) { + int32x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vrsubhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int32x2_t __noswap_vrsubhn_s64(int64x2_t __p0, int64x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vrsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vrsubhn_s16(int16x8_t __p0, int16x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vrsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vrsubhn_s16(int16x8_t __p0, int16x8_t __p1) { + int8x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vrsubhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int8x8_t __noswap_vrsubhn_s16(int16x8_t __p0, int16x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vrsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vset_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __ret; \ + poly8_t __s0 = __p0; \ + poly8x8_t __s1 = __p1; \ + __ret = (poly8x8_t) __builtin_neon_vset_lane_i8(__s0, (poly8x8_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vset_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __ret; \ + poly8_t __s0 = __p0; \ + poly8x8_t __s1 = __p1; \ + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x8_t) __builtin_neon_vset_lane_i8(__s0, (poly8x8_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vset_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __ret; \ + poly8_t __s0 = __p0; \ + poly8x8_t __s1 = __p1; \ + __ret = (poly8x8_t) __builtin_neon_vset_lane_i8(__s0, (poly8x8_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vset_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __ret; \ + poly16_t __s0 = __p0; \ + poly16x4_t __s1 = __p1; \ + __ret = (poly16x4_t) __builtin_neon_vset_lane_i16(__s0, (poly16x4_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vset_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __ret; \ + poly16_t __s0 = __p0; \ + poly16x4_t __s1 = __p1; \ + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (poly16x4_t) __builtin_neon_vset_lane_i16(__s0, (poly16x4_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vset_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __ret; \ + poly16_t __s0 = __p0; \ + poly16x4_t __s1 = __p1; \ + __ret = (poly16x4_t) __builtin_neon_vset_lane_i16(__s0, (poly16x4_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __ret; \ + poly8_t __s0 = __p0; \ + poly8x16_t __s1 = __p1; \ + __ret = (poly8x16_t) __builtin_neon_vsetq_lane_i8(__s0, (poly8x16_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __ret; \ + poly8_t __s0 = __p0; \ + poly8x16_t __s1 = __p1; \ + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x16_t) __builtin_neon_vsetq_lane_i8(__s0, (poly8x16_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __ret; \ + poly8_t __s0 = __p0; \ + poly8x16_t __s1 = __p1; \ + __ret = (poly8x16_t) __builtin_neon_vsetq_lane_i8(__s0, (poly8x16_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __ret; \ + poly16_t __s0 = __p0; \ + poly16x8_t __s1 = __p1; \ + __ret = (poly16x8_t) __builtin_neon_vsetq_lane_i16(__s0, (poly16x8_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __ret; \ + poly16_t __s0 = __p0; \ + poly16x8_t __s1 = __p1; \ + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly16x8_t) __builtin_neon_vsetq_lane_i16(__s0, (poly16x8_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __ret; \ + poly16_t __s0 = __p0; \ + poly16x8_t __s1 = __p1; \ + __ret = (poly16x8_t) __builtin_neon_vsetq_lane_i16(__s0, (poly16x8_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + __ret = (uint8x16_t) __builtin_neon_vsetq_lane_i8(__s0, (int8x16_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_vsetq_lane_i8(__s0, (int8x16_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + __ret = (uint8x16_t) __builtin_neon_vsetq_lane_i8(__s0, (int8x16_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + __ret = (uint32x4_t) __builtin_neon_vsetq_lane_i32(__s0, (int32x4_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vsetq_lane_i32(__s0, (int32x4_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + __ret = (uint32x4_t) __builtin_neon_vsetq_lane_i32(__s0, (int32x4_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + __ret = (uint64x2_t) __builtin_neon_vsetq_lane_i64(__s0, (int64x2_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vsetq_lane_i64(__s0, (int64x2_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + __ret = (uint64x2_t) __builtin_neon_vsetq_lane_i64(__s0, (int64x2_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + __ret = (uint16x8_t) __builtin_neon_vsetq_lane_i16(__s0, (int16x8_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vsetq_lane_i16(__s0, (int16x8_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + __ret = (uint16x8_t) __builtin_neon_vsetq_lane_i16(__s0, (int16x8_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + __ret = (int8x16_t) __builtin_neon_vsetq_lane_i8(__s0, (int8x16_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_vsetq_lane_i8(__s0, (int8x16_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + __ret = (int8x16_t) __builtin_neon_vsetq_lane_i8(__s0, (int8x16_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4_t __ret; \ + float32_t __s0 = __p0; \ + float32x4_t __s1 = __p1; \ + __ret = (float32x4_t) __builtin_neon_vsetq_lane_f32(__s0, (float32x4_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4_t __ret; \ + float32_t __s0 = __p0; \ + float32x4_t __s1 = __p1; \ + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (float32x4_t) __builtin_neon_vsetq_lane_f32(__s0, (float32x4_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4_t __ret; \ + float32_t __s0 = __p0; \ + float32x4_t __s1 = __p1; \ + __ret = (float32x4_t) __builtin_neon_vsetq_lane_f32(__s0, (float32x4_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + __ret = (int32x4_t) __builtin_neon_vsetq_lane_i32(__s0, (int32x4_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vsetq_lane_i32(__s0, (int32x4_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + __ret = (int32x4_t) __builtin_neon_vsetq_lane_i32(__s0, (int32x4_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + __ret = (int64x2_t) __builtin_neon_vsetq_lane_i64(__s0, (int64x2_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vsetq_lane_i64(__s0, (int64x2_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + __ret = (int64x2_t) __builtin_neon_vsetq_lane_i64(__s0, (int64x2_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + __ret = (int16x8_t) __builtin_neon_vsetq_lane_i16(__s0, (int16x8_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vsetq_lane_i16(__s0, (int16x8_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + __ret = (int16x8_t) __builtin_neon_vsetq_lane_i16(__s0, (int16x8_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vset_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + __ret = (uint8x8_t) __builtin_neon_vset_lane_i8(__s0, (int8x8_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vset_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vset_lane_i8(__s0, (int8x8_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vset_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + __ret = (uint8x8_t) __builtin_neon_vset_lane_i8(__s0, (int8x8_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vset_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + __ret = (uint32x2_t) __builtin_neon_vset_lane_i32(__s0, (int32x2_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vset_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vset_lane_i32(__s0, (int32x2_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vset_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + __ret = (uint32x2_t) __builtin_neon_vset_lane_i32(__s0, (int32x2_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#define vset_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1_t __ret; \ + uint64_t __s0 = __p0; \ + uint64x1_t __s1 = __p1; \ + __ret = (uint64x1_t) __builtin_neon_vset_lane_i64(__s0, (int64x1_t)__s1, __p2); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vset_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + __ret = (uint16x4_t) __builtin_neon_vset_lane_i16(__s0, (int16x4_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vset_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vset_lane_i16(__s0, (int16x4_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vset_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + __ret = (uint16x4_t) __builtin_neon_vset_lane_i16(__s0, (int16x4_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vset_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + __ret = (int8x8_t) __builtin_neon_vset_lane_i8(__s0, (int8x8_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vset_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vset_lane_i8(__s0, (int8x8_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vset_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + __ret = (int8x8_t) __builtin_neon_vset_lane_i8(__s0, (int8x8_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vset_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2_t __ret; \ + float32_t __s0 = __p0; \ + float32x2_t __s1 = __p1; \ + __ret = (float32x2_t) __builtin_neon_vset_lane_f32(__s0, (float32x2_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vset_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2_t __ret; \ + float32_t __s0 = __p0; \ + float32x2_t __s1 = __p1; \ + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (float32x2_t) __builtin_neon_vset_lane_f32(__s0, (float32x2_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vset_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2_t __ret; \ + float32_t __s0 = __p0; \ + float32x2_t __s1 = __p1; \ + __ret = (float32x2_t) __builtin_neon_vset_lane_f32(__s0, (float32x2_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vset_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + __ret = (int32x2_t) __builtin_neon_vset_lane_i32(__s0, (int32x2_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vset_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vset_lane_i32(__s0, (int32x2_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vset_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + __ret = (int32x2_t) __builtin_neon_vset_lane_i32(__s0, (int32x2_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#define vset_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1_t __ret; \ + int64_t __s0 = __p0; \ + int64x1_t __s1 = __p1; \ + __ret = (int64x1_t) __builtin_neon_vset_lane_i64(__s0, (int64x1_t)__s1, __p2); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vset_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + __ret = (int16x4_t) __builtin_neon_vset_lane_i16(__s0, (int16x4_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vset_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vset_lane_i16(__s0, (int16x4_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vset_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + __ret = (int16x4_t) __builtin_neon_vset_lane_i16(__s0, (int16x4_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vshlq_u8(uint8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vshlq_u8(uint8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vshlq_u32(uint32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vshlq_u32(uint32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vshlq_u64(uint64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vshlq_u64(uint64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vshlq_u16(uint16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vshlq_u16(uint16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vshlq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vshlq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vshlq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vshlq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vshlq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 35); + return __ret; +} +#else +__ai int64x2_t vshlq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int64x2_t) __builtin_neon_vshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vshlq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vshlq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vshlq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vshlq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vshl_u8(uint8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vshl_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vshl_u8(uint8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vshl_u32(uint32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vshl_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vshl_u32(uint32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vshl_u64(uint64x1_t __p0, int64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vshl_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vshl_u16(uint16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vshl_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vshl_u16(uint16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vshl_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vshl_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vshl_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vshl_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vshl_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vshl_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vshl_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vshl_v((int8x8_t)__p0, (int8x8_t)__p1, 3); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vshl_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vshl_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vshl_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vshl_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshlq_n_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + __ret = (uint8x16_t) __builtin_neon_vshlq_n_v((int8x16_t)__s0, __p1, 48); \ + __ret; \ +}) +#else +#define vshlq_n_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_vshlq_n_v((int8x16_t)__rev0, __p1, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshlq_n_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint32x4_t) __builtin_neon_vshlq_n_v((int8x16_t)__s0, __p1, 50); \ + __ret; \ +}) +#else +#define vshlq_n_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vshlq_n_v((int8x16_t)__rev0, __p1, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshlq_n_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_vshlq_n_v((int8x16_t)__s0, __p1, 51); \ + __ret; \ +}) +#else +#define vshlq_n_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vshlq_n_v((int8x16_t)__rev0, __p1, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshlq_n_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint16x8_t) __builtin_neon_vshlq_n_v((int8x16_t)__s0, __p1, 49); \ + __ret; \ +}) +#else +#define vshlq_n_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vshlq_n_v((int8x16_t)__rev0, __p1, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshlq_n_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + __ret = (int8x16_t) __builtin_neon_vshlq_n_v((int8x16_t)__s0, __p1, 32); \ + __ret; \ +}) +#else +#define vshlq_n_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_vshlq_n_v((int8x16_t)__rev0, __p1, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshlq_n_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int32x4_t) __builtin_neon_vshlq_n_v((int8x16_t)__s0, __p1, 34); \ + __ret; \ +}) +#else +#define vshlq_n_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vshlq_n_v((int8x16_t)__rev0, __p1, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshlq_n_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int64x2_t) __builtin_neon_vshlq_n_v((int8x16_t)__s0, __p1, 35); \ + __ret; \ +}) +#else +#define vshlq_n_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vshlq_n_v((int8x16_t)__rev0, __p1, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshlq_n_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int16x8_t) __builtin_neon_vshlq_n_v((int8x16_t)__s0, __p1, 33); \ + __ret; \ +}) +#else +#define vshlq_n_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vshlq_n_v((int8x16_t)__rev0, __p1, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshl_n_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vshl_n_v((int8x8_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define vshl_n_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vshl_n_v((int8x8_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshl_n_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vshl_n_v((int8x8_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vshl_n_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vshl_n_v((int8x8_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vshl_n_u64(__p0, __p1) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x1_t __s0 = __p0; \ + __ret = (uint64x1_t) __builtin_neon_vshl_n_v((int8x8_t)__s0, __p1, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vshl_n_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vshl_n_v((int8x8_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vshl_n_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vshl_n_v((int8x8_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshl_n_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_vshl_n_v((int8x8_t)__s0, __p1, 0); \ + __ret; \ +}) +#else +#define vshl_n_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vshl_n_v((int8x8_t)__rev0, __p1, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshl_n_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vshl_n_v((int8x8_t)__s0, __p1, 2); \ + __ret; \ +}) +#else +#define vshl_n_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vshl_n_v((int8x8_t)__rev0, __p1, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vshl_n_s64(__p0, __p1) __extension__ ({ \ + int64x1_t __ret; \ + int64x1_t __s0 = __p0; \ + __ret = (int64x1_t) __builtin_neon_vshl_n_v((int8x8_t)__s0, __p1, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vshl_n_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vshl_n_v((int8x8_t)__s0, __p1, 1); \ + __ret; \ +}) +#else +#define vshl_n_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vshl_n_v((int8x8_t)__rev0, __p1, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshll_n_u8(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint16x8_t) __builtin_neon_vshll_n_v((int8x8_t)__s0, __p1, 49); \ + __ret; \ +}) +#else +#define vshll_n_u8(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vshll_n_v((int8x8_t)__rev0, __p1, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vshll_n_u8(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint16x8_t) __builtin_neon_vshll_n_v((int8x8_t)__s0, __p1, 49); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshll_n_u32(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_vshll_n_v((int8x8_t)__s0, __p1, 51); \ + __ret; \ +}) +#else +#define vshll_n_u32(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vshll_n_v((int8x8_t)__rev0, __p1, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vshll_n_u32(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_vshll_n_v((int8x8_t)__s0, __p1, 51); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshll_n_u16(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint32x4_t) __builtin_neon_vshll_n_v((int8x8_t)__s0, __p1, 50); \ + __ret; \ +}) +#else +#define vshll_n_u16(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vshll_n_v((int8x8_t)__rev0, __p1, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vshll_n_u16(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint32x4_t) __builtin_neon_vshll_n_v((int8x8_t)__s0, __p1, 50); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshll_n_s8(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int16x8_t) __builtin_neon_vshll_n_v((int8x8_t)__s0, __p1, 33); \ + __ret; \ +}) +#else +#define vshll_n_s8(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vshll_n_v((int8x8_t)__rev0, __p1, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vshll_n_s8(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int16x8_t) __builtin_neon_vshll_n_v((int8x8_t)__s0, __p1, 33); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshll_n_s32(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int64x2_t) __builtin_neon_vshll_n_v((int8x8_t)__s0, __p1, 35); \ + __ret; \ +}) +#else +#define vshll_n_s32(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vshll_n_v((int8x8_t)__rev0, __p1, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vshll_n_s32(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int64x2_t) __builtin_neon_vshll_n_v((int8x8_t)__s0, __p1, 35); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshll_n_s16(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int32x4_t) __builtin_neon_vshll_n_v((int8x8_t)__s0, __p1, 34); \ + __ret; \ +}) +#else +#define vshll_n_s16(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vshll_n_v((int8x8_t)__rev0, __p1, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vshll_n_s16(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int32x4_t) __builtin_neon_vshll_n_v((int8x8_t)__s0, __p1, 34); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrq_n_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + __ret = (uint8x16_t) __builtin_neon_vshrq_n_v((int8x16_t)__s0, __p1, 48); \ + __ret; \ +}) +#else +#define vshrq_n_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_vshrq_n_v((int8x16_t)__rev0, __p1, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrq_n_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint32x4_t) __builtin_neon_vshrq_n_v((int8x16_t)__s0, __p1, 50); \ + __ret; \ +}) +#else +#define vshrq_n_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vshrq_n_v((int8x16_t)__rev0, __p1, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrq_n_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_vshrq_n_v((int8x16_t)__s0, __p1, 51); \ + __ret; \ +}) +#else +#define vshrq_n_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vshrq_n_v((int8x16_t)__rev0, __p1, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrq_n_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint16x8_t) __builtin_neon_vshrq_n_v((int8x16_t)__s0, __p1, 49); \ + __ret; \ +}) +#else +#define vshrq_n_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vshrq_n_v((int8x16_t)__rev0, __p1, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrq_n_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + __ret = (int8x16_t) __builtin_neon_vshrq_n_v((int8x16_t)__s0, __p1, 32); \ + __ret; \ +}) +#else +#define vshrq_n_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_vshrq_n_v((int8x16_t)__rev0, __p1, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrq_n_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int32x4_t) __builtin_neon_vshrq_n_v((int8x16_t)__s0, __p1, 34); \ + __ret; \ +}) +#else +#define vshrq_n_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vshrq_n_v((int8x16_t)__rev0, __p1, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrq_n_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int64x2_t) __builtin_neon_vshrq_n_v((int8x16_t)__s0, __p1, 35); \ + __ret; \ +}) +#else +#define vshrq_n_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vshrq_n_v((int8x16_t)__rev0, __p1, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrq_n_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int16x8_t) __builtin_neon_vshrq_n_v((int8x16_t)__s0, __p1, 33); \ + __ret; \ +}) +#else +#define vshrq_n_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vshrq_n_v((int8x16_t)__rev0, __p1, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshr_n_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vshr_n_v((int8x8_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define vshr_n_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vshr_n_v((int8x8_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshr_n_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vshr_n_v((int8x8_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vshr_n_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vshr_n_v((int8x8_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vshr_n_u64(__p0, __p1) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x1_t __s0 = __p0; \ + __ret = (uint64x1_t) __builtin_neon_vshr_n_v((int8x8_t)__s0, __p1, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vshr_n_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vshr_n_v((int8x8_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vshr_n_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vshr_n_v((int8x8_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshr_n_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_vshr_n_v((int8x8_t)__s0, __p1, 0); \ + __ret; \ +}) +#else +#define vshr_n_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vshr_n_v((int8x8_t)__rev0, __p1, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshr_n_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vshr_n_v((int8x8_t)__s0, __p1, 2); \ + __ret; \ +}) +#else +#define vshr_n_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vshr_n_v((int8x8_t)__rev0, __p1, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vshr_n_s64(__p0, __p1) __extension__ ({ \ + int64x1_t __ret; \ + int64x1_t __s0 = __p0; \ + __ret = (int64x1_t) __builtin_neon_vshr_n_v((int8x8_t)__s0, __p1, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vshr_n_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vshr_n_v((int8x8_t)__s0, __p1, 1); \ + __ret; \ +}) +#else +#define vshr_n_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vshr_n_v((int8x8_t)__rev0, __p1, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrn_n_u32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vshrn_n_v((int8x16_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vshrn_n_u32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vshrn_n_v((int8x16_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vshrn_n_u32(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vshrn_n_v((int8x16_t)__s0, __p1, 17); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrn_n_u64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vshrn_n_v((int8x16_t)__s0, __p1, 18); \ + __ret; \ +}) +#else +#define vshrn_n_u64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vshrn_n_v((int8x16_t)__rev0, __p1, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vshrn_n_u64(__p0, __p1) __extension__ ({ \ + uint32x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint32x2_t) __builtin_neon_vshrn_n_v((int8x16_t)__s0, __p1, 18); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrn_n_u16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vshrn_n_v((int8x16_t)__s0, __p1, 16); \ + __ret; \ +}) +#else +#define vshrn_n_u16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vshrn_n_v((int8x16_t)__rev0, __p1, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vshrn_n_u16(__p0, __p1) __extension__ ({ \ + uint8x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint8x8_t) __builtin_neon_vshrn_n_v((int8x16_t)__s0, __p1, 16); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrn_n_s32(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vshrn_n_v((int8x16_t)__s0, __p1, 1); \ + __ret; \ +}) +#else +#define vshrn_n_s32(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vshrn_n_v((int8x16_t)__rev0, __p1, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vshrn_n_s32(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vshrn_n_v((int8x16_t)__s0, __p1, 1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrn_n_s64(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vshrn_n_v((int8x16_t)__s0, __p1, 2); \ + __ret; \ +}) +#else +#define vshrn_n_s64(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vshrn_n_v((int8x16_t)__rev0, __p1, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vshrn_n_s64(__p0, __p1) __extension__ ({ \ + int32x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int32x2_t) __builtin_neon_vshrn_n_v((int8x16_t)__s0, __p1, 2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrn_n_s16(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_vshrn_n_v((int8x16_t)__s0, __p1, 0); \ + __ret; \ +}) +#else +#define vshrn_n_s16(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vshrn_n_v((int8x16_t)__rev0, __p1, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vshrn_n_s16(__p0, __p1) __extension__ ({ \ + int8x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int8x8_t) __builtin_neon_vshrn_n_v((int8x16_t)__s0, __p1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsli_n_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x8_t __s0 = __p0; \ + poly8x8_t __s1 = __p1; \ + __ret = (poly8x8_t) __builtin_neon_vsli_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 4); \ + __ret; \ +}) +#else +#define vsli_n_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x8_t __s0 = __p0; \ + poly8x8_t __s1 = __p1; \ + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x8_t) __builtin_neon_vsli_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 4); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsli_n_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x4_t __s0 = __p0; \ + poly16x4_t __s1 = __p1; \ + __ret = (poly16x4_t) __builtin_neon_vsli_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 5); \ + __ret; \ +}) +#else +#define vsli_n_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x4_t __s0 = __p0; \ + poly16x4_t __s1 = __p1; \ + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (poly16x4_t) __builtin_neon_vsli_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 5); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsliq_n_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x16_t __s0 = __p0; \ + poly8x16_t __s1 = __p1; \ + __ret = (poly8x16_t) __builtin_neon_vsliq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 36); \ + __ret; \ +}) +#else +#define vsliq_n_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x16_t __s0 = __p0; \ + poly8x16_t __s1 = __p1; \ + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x16_t) __builtin_neon_vsliq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 36); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsliq_n_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x8_t __s0 = __p0; \ + poly16x8_t __s1 = __p1; \ + __ret = (poly16x8_t) __builtin_neon_vsliq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 37); \ + __ret; \ +}) +#else +#define vsliq_n_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x8_t __s0 = __p0; \ + poly16x8_t __s1 = __p1; \ + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly16x8_t) __builtin_neon_vsliq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 37); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsliq_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + __ret = (uint8x16_t) __builtin_neon_vsliq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 48); \ + __ret; \ +}) +#else +#define vsliq_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_vsliq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsliq_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + __ret = (uint32x4_t) __builtin_neon_vsliq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 50); \ + __ret; \ +}) +#else +#define vsliq_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vsliq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsliq_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + __ret = (uint64x2_t) __builtin_neon_vsliq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 51); \ + __ret; \ +}) +#else +#define vsliq_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vsliq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsliq_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + __ret = (uint16x8_t) __builtin_neon_vsliq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 49); \ + __ret; \ +}) +#else +#define vsliq_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vsliq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsliq_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + __ret = (int8x16_t) __builtin_neon_vsliq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 32); \ + __ret; \ +}) +#else +#define vsliq_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_vsliq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsliq_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + __ret = (int32x4_t) __builtin_neon_vsliq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 34); \ + __ret; \ +}) +#else +#define vsliq_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vsliq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsliq_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + __ret = (int64x2_t) __builtin_neon_vsliq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 35); \ + __ret; \ +}) +#else +#define vsliq_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vsliq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsliq_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + __ret = (int16x8_t) __builtin_neon_vsliq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 33); \ + __ret; \ +}) +#else +#define vsliq_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vsliq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsli_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + __ret = (uint8x8_t) __builtin_neon_vsli_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 16); \ + __ret; \ +}) +#else +#define vsli_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vsli_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsli_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + __ret = (uint32x2_t) __builtin_neon_vsli_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 18); \ + __ret; \ +}) +#else +#define vsli_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vsli_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vsli_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x1_t __s0 = __p0; \ + uint64x1_t __s1 = __p1; \ + __ret = (uint64x1_t) __builtin_neon_vsli_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vsli_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + __ret = (uint16x4_t) __builtin_neon_vsli_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 17); \ + __ret; \ +}) +#else +#define vsli_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vsli_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsli_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + __ret = (int8x8_t) __builtin_neon_vsli_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 0); \ + __ret; \ +}) +#else +#define vsli_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vsli_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsli_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + __ret = (int32x2_t) __builtin_neon_vsli_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 2); \ + __ret; \ +}) +#else +#define vsli_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vsli_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vsli_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1_t __ret; \ + int64x1_t __s0 = __p0; \ + int64x1_t __s1 = __p1; \ + __ret = (int64x1_t) __builtin_neon_vsli_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vsli_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + __ret = (int16x4_t) __builtin_neon_vsli_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 1); \ + __ret; \ +}) +#else +#define vsli_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vsli_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsraq_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + __ret = (uint8x16_t) __builtin_neon_vsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 48); \ + __ret; \ +}) +#else +#define vsraq_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_vsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsraq_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + __ret = (uint32x4_t) __builtin_neon_vsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 50); \ + __ret; \ +}) +#else +#define vsraq_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsraq_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + __ret = (uint64x2_t) __builtin_neon_vsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 51); \ + __ret; \ +}) +#else +#define vsraq_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsraq_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + __ret = (uint16x8_t) __builtin_neon_vsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 49); \ + __ret; \ +}) +#else +#define vsraq_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsraq_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + __ret = (int8x16_t) __builtin_neon_vsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 32); \ + __ret; \ +}) +#else +#define vsraq_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_vsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsraq_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + __ret = (int32x4_t) __builtin_neon_vsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 34); \ + __ret; \ +}) +#else +#define vsraq_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsraq_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + __ret = (int64x2_t) __builtin_neon_vsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 35); \ + __ret; \ +}) +#else +#define vsraq_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsraq_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + __ret = (int16x8_t) __builtin_neon_vsraq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 33); \ + __ret; \ +}) +#else +#define vsraq_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vsraq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsra_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + __ret = (uint8x8_t) __builtin_neon_vsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 16); \ + __ret; \ +}) +#else +#define vsra_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vsra_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsra_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + __ret = (uint32x2_t) __builtin_neon_vsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 18); \ + __ret; \ +}) +#else +#define vsra_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vsra_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vsra_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x1_t __s0 = __p0; \ + uint64x1_t __s1 = __p1; \ + __ret = (uint64x1_t) __builtin_neon_vsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vsra_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + __ret = (uint16x4_t) __builtin_neon_vsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 17); \ + __ret; \ +}) +#else +#define vsra_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vsra_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsra_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + __ret = (int8x8_t) __builtin_neon_vsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 0); \ + __ret; \ +}) +#else +#define vsra_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vsra_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsra_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + __ret = (int32x2_t) __builtin_neon_vsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 2); \ + __ret; \ +}) +#else +#define vsra_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vsra_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vsra_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1_t __ret; \ + int64x1_t __s0 = __p0; \ + int64x1_t __s1 = __p1; \ + __ret = (int64x1_t) __builtin_neon_vsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vsra_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + __ret = (int16x4_t) __builtin_neon_vsra_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 1); \ + __ret; \ +}) +#else +#define vsra_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vsra_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsri_n_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x8_t __s0 = __p0; \ + poly8x8_t __s1 = __p1; \ + __ret = (poly8x8_t) __builtin_neon_vsri_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 4); \ + __ret; \ +}) +#else +#define vsri_n_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __ret; \ + poly8x8_t __s0 = __p0; \ + poly8x8_t __s1 = __p1; \ + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x8_t) __builtin_neon_vsri_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 4); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsri_n_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x4_t __s0 = __p0; \ + poly16x4_t __s1 = __p1; \ + __ret = (poly16x4_t) __builtin_neon_vsri_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 5); \ + __ret; \ +}) +#else +#define vsri_n_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __ret; \ + poly16x4_t __s0 = __p0; \ + poly16x4_t __s1 = __p1; \ + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (poly16x4_t) __builtin_neon_vsri_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 5); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsriq_n_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x16_t __s0 = __p0; \ + poly8x16_t __s1 = __p1; \ + __ret = (poly8x16_t) __builtin_neon_vsriq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 36); \ + __ret; \ +}) +#else +#define vsriq_n_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __ret; \ + poly8x16_t __s0 = __p0; \ + poly8x16_t __s1 = __p1; \ + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8x16_t) __builtin_neon_vsriq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 36); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsriq_n_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x8_t __s0 = __p0; \ + poly16x8_t __s1 = __p1; \ + __ret = (poly16x8_t) __builtin_neon_vsriq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 37); \ + __ret; \ +}) +#else +#define vsriq_n_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __ret; \ + poly16x8_t __s0 = __p0; \ + poly16x8_t __s1 = __p1; \ + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly16x8_t) __builtin_neon_vsriq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 37); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsriq_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + __ret = (uint8x16_t) __builtin_neon_vsriq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 48); \ + __ret; \ +}) +#else +#define vsriq_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __s1 = __p1; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x16_t) __builtin_neon_vsriq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 48); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsriq_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + __ret = (uint32x4_t) __builtin_neon_vsriq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 50); \ + __ret; \ +}) +#else +#define vsriq_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vsriq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsriq_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + __ret = (uint64x2_t) __builtin_neon_vsriq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 51); \ + __ret; \ +}) +#else +#define vsriq_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vsriq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsriq_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + __ret = (uint16x8_t) __builtin_neon_vsriq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 49); \ + __ret; \ +}) +#else +#define vsriq_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __s1 = __p1; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vsriq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsriq_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + __ret = (int8x16_t) __builtin_neon_vsriq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 32); \ + __ret; \ +}) +#else +#define vsriq_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __s1 = __p1; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x16_t) __builtin_neon_vsriq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 32); \ + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsriq_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + __ret = (int32x4_t) __builtin_neon_vsriq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 34); \ + __ret; \ +}) +#else +#define vsriq_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vsriq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsriq_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + __ret = (int64x2_t) __builtin_neon_vsriq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 35); \ + __ret; \ +}) +#else +#define vsriq_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __s1 = __p1; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vsriq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsriq_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + __ret = (int16x8_t) __builtin_neon_vsriq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 33); \ + __ret; \ +}) +#else +#define vsriq_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vsriq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsri_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + __ret = (uint8x8_t) __builtin_neon_vsri_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 16); \ + __ret; \ +}) +#else +#define vsri_n_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __s1 = __p1; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8x8_t) __builtin_neon_vsri_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 16); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsri_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + __ret = (uint32x2_t) __builtin_neon_vsri_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 18); \ + __ret; \ +}) +#else +#define vsri_n_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __s1 = __p1; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint32x2_t) __builtin_neon_vsri_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 18); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vsri_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x1_t __s0 = __p0; \ + uint64x1_t __s1 = __p1; \ + __ret = (uint64x1_t) __builtin_neon_vsri_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 19); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vsri_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + __ret = (uint16x4_t) __builtin_neon_vsri_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 17); \ + __ret; \ +}) +#else +#define vsri_n_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __s1 = __p1; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vsri_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsri_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + __ret = (int8x8_t) __builtin_neon_vsri_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 0); \ + __ret; \ +}) +#else +#define vsri_n_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __s1 = __p1; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8x8_t) __builtin_neon_vsri_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 0); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsri_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + __ret = (int32x2_t) __builtin_neon_vsri_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 2); \ + __ret; \ +}) +#else +#define vsri_n_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vsri_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vsri_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1_t __ret; \ + int64x1_t __s0 = __p0; \ + int64x1_t __s1 = __p1; \ + __ret = (int64x1_t) __builtin_neon_vsri_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vsri_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + __ret = (int16x4_t) __builtin_neon_vsri_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 1); \ + __ret; \ +}) +#else +#define vsri_n_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vsri_n_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_p8(__p0, __p1) __extension__ ({ \ + poly8x8_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 4); \ +}) +#else +#define vst1_p8(__p0, __p1) __extension__ ({ \ + poly8x8_t __s1 = __p1; \ + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__rev1, 4); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_p16(__p0, __p1) __extension__ ({ \ + poly16x4_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 5); \ +}) +#else +#define vst1_p16(__p0, __p1) __extension__ ({ \ + poly16x4_t __s1 = __p1; \ + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__rev1, 5); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_p8(__p0, __p1) __extension__ ({ \ + poly8x16_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 36); \ +}) +#else +#define vst1q_p8(__p0, __p1) __extension__ ({ \ + poly8x16_t __s1 = __p1; \ + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 36); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_p16(__p0, __p1) __extension__ ({ \ + poly16x8_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 37); \ +}) +#else +#define vst1q_p16(__p0, __p1) __extension__ ({ \ + poly16x8_t __s1 = __p1; \ + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 37); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 48); \ +}) +#else +#define vst1q_u8(__p0, __p1) __extension__ ({ \ + uint8x16_t __s1 = __p1; \ + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 48); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 50); \ +}) +#else +#define vst1q_u32(__p0, __p1) __extension__ ({ \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 50); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 51); \ +}) +#else +#define vst1q_u64(__p0, __p1) __extension__ ({ \ + uint64x2_t __s1 = __p1; \ + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 51); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 49); \ +}) +#else +#define vst1q_u16(__p0, __p1) __extension__ ({ \ + uint16x8_t __s1 = __p1; \ + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 49); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 32); \ +}) +#else +#define vst1q_s8(__p0, __p1) __extension__ ({ \ + int8x16_t __s1 = __p1; \ + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 32); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_f32(__p0, __p1) __extension__ ({ \ + float32x4_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 41); \ +}) +#else +#define vst1q_f32(__p0, __p1) __extension__ ({ \ + float32x4_t __s1 = __p1; \ + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 41); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 34); \ +}) +#else +#define vst1q_s32(__p0, __p1) __extension__ ({ \ + int32x4_t __s1 = __p1; \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 34); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 35); \ +}) +#else +#define vst1q_s64(__p0, __p1) __extension__ ({ \ + int64x2_t __s1 = __p1; \ + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 35); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 33); \ +}) +#else +#define vst1q_s16(__p0, __p1) __extension__ ({ \ + int16x8_t __s1 = __p1; \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 33); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 16); \ +}) +#else +#define vst1_u8(__p0, __p1) __extension__ ({ \ + uint8x8_t __s1 = __p1; \ + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__rev1, 16); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 18); \ +}) +#else +#define vst1_u32(__p0, __p1) __extension__ ({ \ + uint32x2_t __s1 = __p1; \ + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__rev1, 18); \ +}) +#endif + +#define vst1_u64(__p0, __p1) __extension__ ({ \ + uint64x1_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 19); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 17); \ +}) +#else +#define vst1_u16(__p0, __p1) __extension__ ({ \ + uint16x4_t __s1 = __p1; \ + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__rev1, 17); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 0); \ +}) +#else +#define vst1_s8(__p0, __p1) __extension__ ({ \ + int8x8_t __s1 = __p1; \ + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__rev1, 0); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_f32(__p0, __p1) __extension__ ({ \ + float32x2_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 9); \ +}) +#else +#define vst1_f32(__p0, __p1) __extension__ ({ \ + float32x2_t __s1 = __p1; \ + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__rev1, 9); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 2); \ +}) +#else +#define vst1_s32(__p0, __p1) __extension__ ({ \ + int32x2_t __s1 = __p1; \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__rev1, 2); \ +}) +#endif + +#define vst1_s64(__p0, __p1) __extension__ ({ \ + int64x1_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 3); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 1); \ +}) +#else +#define vst1_s16(__p0, __p1) __extension__ ({ \ + int16x4_t __s1 = __p1; \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__rev1, 1); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 4); \ +}) +#else +#define vst1_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8_t __s1 = __p1; \ + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__rev1, __p2, 4); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 5); \ +}) +#else +#define vst1_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4_t __s1 = __p1; \ + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__rev1, __p2, 5); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 36); \ +}) +#else +#define vst1q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16_t __s1 = __p1; \ + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 36); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 37); \ +}) +#else +#define vst1q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8_t __s1 = __p1; \ + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 37); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 48); \ +}) +#else +#define vst1q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16_t __s1 = __p1; \ + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 48); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 50); \ +}) +#else +#define vst1q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 50); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 51); \ +}) +#else +#define vst1q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __s1 = __p1; \ + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 51); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 49); \ +}) +#else +#define vst1q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8_t __s1 = __p1; \ + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 49); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 32); \ +}) +#else +#define vst1q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16_t __s1 = __p1; \ + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 32); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 41); \ +}) +#else +#define vst1q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4_t __s1 = __p1; \ + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 41); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 34); \ +}) +#else +#define vst1q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __s1 = __p1; \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 34); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 35); \ +}) +#else +#define vst1q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __s1 = __p1; \ + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 35); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 33); \ +}) +#else +#define vst1q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __s1 = __p1; \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 33); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 16); \ +}) +#else +#define vst1_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8_t __s1 = __p1; \ + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__rev1, __p2, 16); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 18); \ +}) +#else +#define vst1_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2_t __s1 = __p1; \ + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__rev1, __p2, 18); \ +}) +#endif + +#define vst1_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 19); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 17); \ +}) +#else +#define vst1_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4_t __s1 = __p1; \ + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__rev1, __p2, 17); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 0); \ +}) +#else +#define vst1_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8_t __s1 = __p1; \ + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__rev1, __p2, 0); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 9); \ +}) +#else +#define vst1_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2_t __s1 = __p1; \ + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__rev1, __p2, 9); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 2); \ +}) +#else +#define vst1_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __s1 = __p1; \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__rev1, __p2, 2); \ +}) +#endif + +#define vst1_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 3); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 1); \ +}) +#else +#define vst1_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __s1 = __p1; \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__rev1, __p2, 1); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_p8_x2(__p0, __p1) __extension__ ({ \ + poly8x8x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 4); \ +}) +#else +#define vst1_p8_x2(__p0, __p1) __extension__ ({ \ + poly8x8x2_t __s1 = __p1; \ + poly8x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 4); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_p16_x2(__p0, __p1) __extension__ ({ \ + poly16x4x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 5); \ +}) +#else +#define vst1_p16_x2(__p0, __p1) __extension__ ({ \ + poly16x4x2_t __s1 = __p1; \ + poly16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 5); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_p8_x2(__p0, __p1) __extension__ ({ \ + poly8x16x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 36); \ +}) +#else +#define vst1q_p8_x2(__p0, __p1) __extension__ ({ \ + poly8x16x2_t __s1 = __p1; \ + poly8x16x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 36); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_p16_x2(__p0, __p1) __extension__ ({ \ + poly16x8x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 37); \ +}) +#else +#define vst1q_p16_x2(__p0, __p1) __extension__ ({ \ + poly16x8x2_t __s1 = __p1; \ + poly16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 37); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u8_x2(__p0, __p1) __extension__ ({ \ + uint8x16x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 48); \ +}) +#else +#define vst1q_u8_x2(__p0, __p1) __extension__ ({ \ + uint8x16x2_t __s1 = __p1; \ + uint8x16x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 48); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u32_x2(__p0, __p1) __extension__ ({ \ + uint32x4x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 50); \ +}) +#else +#define vst1q_u32_x2(__p0, __p1) __extension__ ({ \ + uint32x4x2_t __s1 = __p1; \ + uint32x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 50); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u64_x2(__p0, __p1) __extension__ ({ \ + uint64x2x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 51); \ +}) +#else +#define vst1q_u64_x2(__p0, __p1) __extension__ ({ \ + uint64x2x2_t __s1 = __p1; \ + uint64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 51); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u16_x2(__p0, __p1) __extension__ ({ \ + uint16x8x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 49); \ +}) +#else +#define vst1q_u16_x2(__p0, __p1) __extension__ ({ \ + uint16x8x2_t __s1 = __p1; \ + uint16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 49); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s8_x2(__p0, __p1) __extension__ ({ \ + int8x16x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 32); \ +}) +#else +#define vst1q_s8_x2(__p0, __p1) __extension__ ({ \ + int8x16x2_t __s1 = __p1; \ + int8x16x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 32); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_f32_x2(__p0, __p1) __extension__ ({ \ + float32x4x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 41); \ +}) +#else +#define vst1q_f32_x2(__p0, __p1) __extension__ ({ \ + float32x4x2_t __s1 = __p1; \ + float32x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 41); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s32_x2(__p0, __p1) __extension__ ({ \ + int32x4x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 34); \ +}) +#else +#define vst1q_s32_x2(__p0, __p1) __extension__ ({ \ + int32x4x2_t __s1 = __p1; \ + int32x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 34); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s64_x2(__p0, __p1) __extension__ ({ \ + int64x2x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 35); \ +}) +#else +#define vst1q_s64_x2(__p0, __p1) __extension__ ({ \ + int64x2x2_t __s1 = __p1; \ + int64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 35); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s16_x2(__p0, __p1) __extension__ ({ \ + int16x8x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 33); \ +}) +#else +#define vst1q_s16_x2(__p0, __p1) __extension__ ({ \ + int16x8x2_t __s1 = __p1; \ + int16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 33); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_u8_x2(__p0, __p1) __extension__ ({ \ + uint8x8x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 16); \ +}) +#else +#define vst1_u8_x2(__p0, __p1) __extension__ ({ \ + uint8x8x2_t __s1 = __p1; \ + uint8x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 16); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_u32_x2(__p0, __p1) __extension__ ({ \ + uint32x2x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 18); \ +}) +#else +#define vst1_u32_x2(__p0, __p1) __extension__ ({ \ + uint32x2x2_t __s1 = __p1; \ + uint32x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 18); \ +}) +#endif + +#define vst1_u64_x2(__p0, __p1) __extension__ ({ \ + uint64x1x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 19); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1_u16_x2(__p0, __p1) __extension__ ({ \ + uint16x4x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 17); \ +}) +#else +#define vst1_u16_x2(__p0, __p1) __extension__ ({ \ + uint16x4x2_t __s1 = __p1; \ + uint16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 17); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_s8_x2(__p0, __p1) __extension__ ({ \ + int8x8x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 0); \ +}) +#else +#define vst1_s8_x2(__p0, __p1) __extension__ ({ \ + int8x8x2_t __s1 = __p1; \ + int8x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 0); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_f32_x2(__p0, __p1) __extension__ ({ \ + float32x2x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 9); \ +}) +#else +#define vst1_f32_x2(__p0, __p1) __extension__ ({ \ + float32x2x2_t __s1 = __p1; \ + float32x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 9); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_s32_x2(__p0, __p1) __extension__ ({ \ + int32x2x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 2); \ +}) +#else +#define vst1_s32_x2(__p0, __p1) __extension__ ({ \ + int32x2x2_t __s1 = __p1; \ + int32x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 2); \ +}) +#endif + +#define vst1_s64_x2(__p0, __p1) __extension__ ({ \ + int64x1x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 3); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1_s16_x2(__p0, __p1) __extension__ ({ \ + int16x4x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 1); \ +}) +#else +#define vst1_s16_x2(__p0, __p1) __extension__ ({ \ + int16x4x2_t __s1 = __p1; \ + int16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 1); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_p8_x3(__p0, __p1) __extension__ ({ \ + poly8x8x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 4); \ +}) +#else +#define vst1_p8_x3(__p0, __p1) __extension__ ({ \ + poly8x8x3_t __s1 = __p1; \ + poly8x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 4); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_p16_x3(__p0, __p1) __extension__ ({ \ + poly16x4x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 5); \ +}) +#else +#define vst1_p16_x3(__p0, __p1) __extension__ ({ \ + poly16x4x3_t __s1 = __p1; \ + poly16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 5); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_p8_x3(__p0, __p1) __extension__ ({ \ + poly8x16x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 36); \ +}) +#else +#define vst1q_p8_x3(__p0, __p1) __extension__ ({ \ + poly8x16x3_t __s1 = __p1; \ + poly8x16x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 36); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_p16_x3(__p0, __p1) __extension__ ({ \ + poly16x8x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 37); \ +}) +#else +#define vst1q_p16_x3(__p0, __p1) __extension__ ({ \ + poly16x8x3_t __s1 = __p1; \ + poly16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 37); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u8_x3(__p0, __p1) __extension__ ({ \ + uint8x16x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 48); \ +}) +#else +#define vst1q_u8_x3(__p0, __p1) __extension__ ({ \ + uint8x16x3_t __s1 = __p1; \ + uint8x16x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 48); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u32_x3(__p0, __p1) __extension__ ({ \ + uint32x4x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 50); \ +}) +#else +#define vst1q_u32_x3(__p0, __p1) __extension__ ({ \ + uint32x4x3_t __s1 = __p1; \ + uint32x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 50); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u64_x3(__p0, __p1) __extension__ ({ \ + uint64x2x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 51); \ +}) +#else +#define vst1q_u64_x3(__p0, __p1) __extension__ ({ \ + uint64x2x3_t __s1 = __p1; \ + uint64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 51); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u16_x3(__p0, __p1) __extension__ ({ \ + uint16x8x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 49); \ +}) +#else +#define vst1q_u16_x3(__p0, __p1) __extension__ ({ \ + uint16x8x3_t __s1 = __p1; \ + uint16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 49); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s8_x3(__p0, __p1) __extension__ ({ \ + int8x16x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 32); \ +}) +#else +#define vst1q_s8_x3(__p0, __p1) __extension__ ({ \ + int8x16x3_t __s1 = __p1; \ + int8x16x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 32); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_f32_x3(__p0, __p1) __extension__ ({ \ + float32x4x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 41); \ +}) +#else +#define vst1q_f32_x3(__p0, __p1) __extension__ ({ \ + float32x4x3_t __s1 = __p1; \ + float32x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 41); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s32_x3(__p0, __p1) __extension__ ({ \ + int32x4x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 34); \ +}) +#else +#define vst1q_s32_x3(__p0, __p1) __extension__ ({ \ + int32x4x3_t __s1 = __p1; \ + int32x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 34); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s64_x3(__p0, __p1) __extension__ ({ \ + int64x2x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 35); \ +}) +#else +#define vst1q_s64_x3(__p0, __p1) __extension__ ({ \ + int64x2x3_t __s1 = __p1; \ + int64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 35); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s16_x3(__p0, __p1) __extension__ ({ \ + int16x8x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 33); \ +}) +#else +#define vst1q_s16_x3(__p0, __p1) __extension__ ({ \ + int16x8x3_t __s1 = __p1; \ + int16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 33); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_u8_x3(__p0, __p1) __extension__ ({ \ + uint8x8x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 16); \ +}) +#else +#define vst1_u8_x3(__p0, __p1) __extension__ ({ \ + uint8x8x3_t __s1 = __p1; \ + uint8x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 16); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_u32_x3(__p0, __p1) __extension__ ({ \ + uint32x2x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 18); \ +}) +#else +#define vst1_u32_x3(__p0, __p1) __extension__ ({ \ + uint32x2x3_t __s1 = __p1; \ + uint32x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 18); \ +}) +#endif + +#define vst1_u64_x3(__p0, __p1) __extension__ ({ \ + uint64x1x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 19); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1_u16_x3(__p0, __p1) __extension__ ({ \ + uint16x4x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 17); \ +}) +#else +#define vst1_u16_x3(__p0, __p1) __extension__ ({ \ + uint16x4x3_t __s1 = __p1; \ + uint16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 17); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_s8_x3(__p0, __p1) __extension__ ({ \ + int8x8x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 0); \ +}) +#else +#define vst1_s8_x3(__p0, __p1) __extension__ ({ \ + int8x8x3_t __s1 = __p1; \ + int8x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 0); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_f32_x3(__p0, __p1) __extension__ ({ \ + float32x2x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 9); \ +}) +#else +#define vst1_f32_x3(__p0, __p1) __extension__ ({ \ + float32x2x3_t __s1 = __p1; \ + float32x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 9); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_s32_x3(__p0, __p1) __extension__ ({ \ + int32x2x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 2); \ +}) +#else +#define vst1_s32_x3(__p0, __p1) __extension__ ({ \ + int32x2x3_t __s1 = __p1; \ + int32x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 2); \ +}) +#endif + +#define vst1_s64_x3(__p0, __p1) __extension__ ({ \ + int64x1x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 3); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1_s16_x3(__p0, __p1) __extension__ ({ \ + int16x4x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 1); \ +}) +#else +#define vst1_s16_x3(__p0, __p1) __extension__ ({ \ + int16x4x3_t __s1 = __p1; \ + int16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 1); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_p8_x4(__p0, __p1) __extension__ ({ \ + poly8x8x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 4); \ +}) +#else +#define vst1_p8_x4(__p0, __p1) __extension__ ({ \ + poly8x8x4_t __s1 = __p1; \ + poly8x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 4); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_p16_x4(__p0, __p1) __extension__ ({ \ + poly16x4x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 5); \ +}) +#else +#define vst1_p16_x4(__p0, __p1) __extension__ ({ \ + poly16x4x4_t __s1 = __p1; \ + poly16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 5); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_p8_x4(__p0, __p1) __extension__ ({ \ + poly8x16x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 36); \ +}) +#else +#define vst1q_p8_x4(__p0, __p1) __extension__ ({ \ + poly8x16x4_t __s1 = __p1; \ + poly8x16x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 36); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_p16_x4(__p0, __p1) __extension__ ({ \ + poly16x8x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 37); \ +}) +#else +#define vst1q_p16_x4(__p0, __p1) __extension__ ({ \ + poly16x8x4_t __s1 = __p1; \ + poly16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 37); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u8_x4(__p0, __p1) __extension__ ({ \ + uint8x16x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 48); \ +}) +#else +#define vst1q_u8_x4(__p0, __p1) __extension__ ({ \ + uint8x16x4_t __s1 = __p1; \ + uint8x16x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 48); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u32_x4(__p0, __p1) __extension__ ({ \ + uint32x4x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 50); \ +}) +#else +#define vst1q_u32_x4(__p0, __p1) __extension__ ({ \ + uint32x4x4_t __s1 = __p1; \ + uint32x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 50); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u64_x4(__p0, __p1) __extension__ ({ \ + uint64x2x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 51); \ +}) +#else +#define vst1q_u64_x4(__p0, __p1) __extension__ ({ \ + uint64x2x4_t __s1 = __p1; \ + uint64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 51); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_u16_x4(__p0, __p1) __extension__ ({ \ + uint16x8x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 49); \ +}) +#else +#define vst1q_u16_x4(__p0, __p1) __extension__ ({ \ + uint16x8x4_t __s1 = __p1; \ + uint16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 49); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s8_x4(__p0, __p1) __extension__ ({ \ + int8x16x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 32); \ +}) +#else +#define vst1q_s8_x4(__p0, __p1) __extension__ ({ \ + int8x16x4_t __s1 = __p1; \ + int8x16x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 32); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_f32_x4(__p0, __p1) __extension__ ({ \ + float32x4x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 41); \ +}) +#else +#define vst1q_f32_x4(__p0, __p1) __extension__ ({ \ + float32x4x4_t __s1 = __p1; \ + float32x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 41); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s32_x4(__p0, __p1) __extension__ ({ \ + int32x4x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 34); \ +}) +#else +#define vst1q_s32_x4(__p0, __p1) __extension__ ({ \ + int32x4x4_t __s1 = __p1; \ + int32x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 34); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s64_x4(__p0, __p1) __extension__ ({ \ + int64x2x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 35); \ +}) +#else +#define vst1q_s64_x4(__p0, __p1) __extension__ ({ \ + int64x2x4_t __s1 = __p1; \ + int64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 35); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_s16_x4(__p0, __p1) __extension__ ({ \ + int16x8x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 33); \ +}) +#else +#define vst1q_s16_x4(__p0, __p1) __extension__ ({ \ + int16x8x4_t __s1 = __p1; \ + int16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 33); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_u8_x4(__p0, __p1) __extension__ ({ \ + uint8x8x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 16); \ +}) +#else +#define vst1_u8_x4(__p0, __p1) __extension__ ({ \ + uint8x8x4_t __s1 = __p1; \ + uint8x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 16); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_u32_x4(__p0, __p1) __extension__ ({ \ + uint32x2x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 18); \ +}) +#else +#define vst1_u32_x4(__p0, __p1) __extension__ ({ \ + uint32x2x4_t __s1 = __p1; \ + uint32x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 18); \ +}) +#endif + +#define vst1_u64_x4(__p0, __p1) __extension__ ({ \ + uint64x1x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 19); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1_u16_x4(__p0, __p1) __extension__ ({ \ + uint16x4x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 17); \ +}) +#else +#define vst1_u16_x4(__p0, __p1) __extension__ ({ \ + uint16x4x4_t __s1 = __p1; \ + uint16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 17); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_s8_x4(__p0, __p1) __extension__ ({ \ + int8x8x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 0); \ +}) +#else +#define vst1_s8_x4(__p0, __p1) __extension__ ({ \ + int8x8x4_t __s1 = __p1; \ + int8x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 0); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_f32_x4(__p0, __p1) __extension__ ({ \ + float32x2x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 9); \ +}) +#else +#define vst1_f32_x4(__p0, __p1) __extension__ ({ \ + float32x2x4_t __s1 = __p1; \ + float32x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 9); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_s32_x4(__p0, __p1) __extension__ ({ \ + int32x2x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 2); \ +}) +#else +#define vst1_s32_x4(__p0, __p1) __extension__ ({ \ + int32x2x4_t __s1 = __p1; \ + int32x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 2); \ +}) +#endif + +#define vst1_s64_x4(__p0, __p1) __extension__ ({ \ + int64x1x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 3); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1_s16_x4(__p0, __p1) __extension__ ({ \ + int16x4x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 1); \ +}) +#else +#define vst1_s16_x4(__p0, __p1) __extension__ ({ \ + int16x4x4_t __s1 = __p1; \ + int16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 1); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_p8(__p0, __p1) __extension__ ({ \ + poly8x8x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 4); \ +}) +#else +#define vst2_p8(__p0, __p1) __extension__ ({ \ + poly8x8x2_t __s1 = __p1; \ + poly8x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 4); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_p16(__p0, __p1) __extension__ ({ \ + poly16x4x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 5); \ +}) +#else +#define vst2_p16(__p0, __p1) __extension__ ({ \ + poly16x4x2_t __s1 = __p1; \ + poly16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 5); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_p8(__p0, __p1) __extension__ ({ \ + poly8x16x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 36); \ +}) +#else +#define vst2q_p8(__p0, __p1) __extension__ ({ \ + poly8x16x2_t __s1 = __p1; \ + poly8x16x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 36); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_p16(__p0, __p1) __extension__ ({ \ + poly16x8x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 37); \ +}) +#else +#define vst2q_p16(__p0, __p1) __extension__ ({ \ + poly16x8x2_t __s1 = __p1; \ + poly16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 37); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_u8(__p0, __p1) __extension__ ({ \ + uint8x16x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 48); \ +}) +#else +#define vst2q_u8(__p0, __p1) __extension__ ({ \ + uint8x16x2_t __s1 = __p1; \ + uint8x16x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 48); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_u32(__p0, __p1) __extension__ ({ \ + uint32x4x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 50); \ +}) +#else +#define vst2q_u32(__p0, __p1) __extension__ ({ \ + uint32x4x2_t __s1 = __p1; \ + uint32x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 50); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_u16(__p0, __p1) __extension__ ({ \ + uint16x8x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 49); \ +}) +#else +#define vst2q_u16(__p0, __p1) __extension__ ({ \ + uint16x8x2_t __s1 = __p1; \ + uint16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 49); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_s8(__p0, __p1) __extension__ ({ \ + int8x16x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 32); \ +}) +#else +#define vst2q_s8(__p0, __p1) __extension__ ({ \ + int8x16x2_t __s1 = __p1; \ + int8x16x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 32); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_f32(__p0, __p1) __extension__ ({ \ + float32x4x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 41); \ +}) +#else +#define vst2q_f32(__p0, __p1) __extension__ ({ \ + float32x4x2_t __s1 = __p1; \ + float32x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 41); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_s32(__p0, __p1) __extension__ ({ \ + int32x4x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 34); \ +}) +#else +#define vst2q_s32(__p0, __p1) __extension__ ({ \ + int32x4x2_t __s1 = __p1; \ + int32x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 34); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_s16(__p0, __p1) __extension__ ({ \ + int16x8x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 33); \ +}) +#else +#define vst2q_s16(__p0, __p1) __extension__ ({ \ + int16x8x2_t __s1 = __p1; \ + int16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 33); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_u8(__p0, __p1) __extension__ ({ \ + uint8x8x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 16); \ +}) +#else +#define vst2_u8(__p0, __p1) __extension__ ({ \ + uint8x8x2_t __s1 = __p1; \ + uint8x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 16); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_u32(__p0, __p1) __extension__ ({ \ + uint32x2x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 18); \ +}) +#else +#define vst2_u32(__p0, __p1) __extension__ ({ \ + uint32x2x2_t __s1 = __p1; \ + uint32x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 18); \ +}) +#endif + +#define vst2_u64(__p0, __p1) __extension__ ({ \ + uint64x1x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 19); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst2_u16(__p0, __p1) __extension__ ({ \ + uint16x4x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 17); \ +}) +#else +#define vst2_u16(__p0, __p1) __extension__ ({ \ + uint16x4x2_t __s1 = __p1; \ + uint16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 17); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_s8(__p0, __p1) __extension__ ({ \ + int8x8x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 0); \ +}) +#else +#define vst2_s8(__p0, __p1) __extension__ ({ \ + int8x8x2_t __s1 = __p1; \ + int8x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 0); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_f32(__p0, __p1) __extension__ ({ \ + float32x2x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 9); \ +}) +#else +#define vst2_f32(__p0, __p1) __extension__ ({ \ + float32x2x2_t __s1 = __p1; \ + float32x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 9); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_s32(__p0, __p1) __extension__ ({ \ + int32x2x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 2); \ +}) +#else +#define vst2_s32(__p0, __p1) __extension__ ({ \ + int32x2x2_t __s1 = __p1; \ + int32x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 2); \ +}) +#endif + +#define vst2_s64(__p0, __p1) __extension__ ({ \ + int64x1x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 3); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst2_s16(__p0, __p1) __extension__ ({ \ + int16x4x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 1); \ +}) +#else +#define vst2_s16(__p0, __p1) __extension__ ({ \ + int16x4x2_t __s1 = __p1; \ + int16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 1); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 4); \ +}) +#else +#define vst2_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8x2_t __s1 = __p1; \ + poly8x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 4); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 5); \ +}) +#else +#define vst2_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4x2_t __s1 = __p1; \ + poly16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 5); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 37); \ +}) +#else +#define vst2q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8x2_t __s1 = __p1; \ + poly16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 37); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 50); \ +}) +#else +#define vst2q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4x2_t __s1 = __p1; \ + uint32x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 50); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 49); \ +}) +#else +#define vst2q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8x2_t __s1 = __p1; \ + uint16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 49); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 41); \ +}) +#else +#define vst2q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4x2_t __s1 = __p1; \ + float32x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 41); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 34); \ +}) +#else +#define vst2q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4x2_t __s1 = __p1; \ + int32x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 34); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 33); \ +}) +#else +#define vst2q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8x2_t __s1 = __p1; \ + int16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 33); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 16); \ +}) +#else +#define vst2_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8x2_t __s1 = __p1; \ + uint8x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 16); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 18); \ +}) +#else +#define vst2_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2x2_t __s1 = __p1; \ + uint32x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 18); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 17); \ +}) +#else +#define vst2_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4x2_t __s1 = __p1; \ + uint16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 17); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 0); \ +}) +#else +#define vst2_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8x2_t __s1 = __p1; \ + int8x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 0); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 9); \ +}) +#else +#define vst2_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2x2_t __s1 = __p1; \ + float32x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 9); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 2); \ +}) +#else +#define vst2_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2x2_t __s1 = __p1; \ + int32x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 2); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 1); \ +}) +#else +#define vst2_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4x2_t __s1 = __p1; \ + int16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 1); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_p8(__p0, __p1) __extension__ ({ \ + poly8x8x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 4); \ +}) +#else +#define vst3_p8(__p0, __p1) __extension__ ({ \ + poly8x8x3_t __s1 = __p1; \ + poly8x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 4); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_p16(__p0, __p1) __extension__ ({ \ + poly16x4x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 5); \ +}) +#else +#define vst3_p16(__p0, __p1) __extension__ ({ \ + poly16x4x3_t __s1 = __p1; \ + poly16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 5); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_p8(__p0, __p1) __extension__ ({ \ + poly8x16x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 36); \ +}) +#else +#define vst3q_p8(__p0, __p1) __extension__ ({ \ + poly8x16x3_t __s1 = __p1; \ + poly8x16x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 36); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_p16(__p0, __p1) __extension__ ({ \ + poly16x8x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 37); \ +}) +#else +#define vst3q_p16(__p0, __p1) __extension__ ({ \ + poly16x8x3_t __s1 = __p1; \ + poly16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 37); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_u8(__p0, __p1) __extension__ ({ \ + uint8x16x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 48); \ +}) +#else +#define vst3q_u8(__p0, __p1) __extension__ ({ \ + uint8x16x3_t __s1 = __p1; \ + uint8x16x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 48); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_u32(__p0, __p1) __extension__ ({ \ + uint32x4x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 50); \ +}) +#else +#define vst3q_u32(__p0, __p1) __extension__ ({ \ + uint32x4x3_t __s1 = __p1; \ + uint32x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 50); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_u16(__p0, __p1) __extension__ ({ \ + uint16x8x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 49); \ +}) +#else +#define vst3q_u16(__p0, __p1) __extension__ ({ \ + uint16x8x3_t __s1 = __p1; \ + uint16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 49); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_s8(__p0, __p1) __extension__ ({ \ + int8x16x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 32); \ +}) +#else +#define vst3q_s8(__p0, __p1) __extension__ ({ \ + int8x16x3_t __s1 = __p1; \ + int8x16x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 32); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_f32(__p0, __p1) __extension__ ({ \ + float32x4x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 41); \ +}) +#else +#define vst3q_f32(__p0, __p1) __extension__ ({ \ + float32x4x3_t __s1 = __p1; \ + float32x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 41); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_s32(__p0, __p1) __extension__ ({ \ + int32x4x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 34); \ +}) +#else +#define vst3q_s32(__p0, __p1) __extension__ ({ \ + int32x4x3_t __s1 = __p1; \ + int32x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 34); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_s16(__p0, __p1) __extension__ ({ \ + int16x8x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 33); \ +}) +#else +#define vst3q_s16(__p0, __p1) __extension__ ({ \ + int16x8x3_t __s1 = __p1; \ + int16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 33); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_u8(__p0, __p1) __extension__ ({ \ + uint8x8x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 16); \ +}) +#else +#define vst3_u8(__p0, __p1) __extension__ ({ \ + uint8x8x3_t __s1 = __p1; \ + uint8x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 16); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_u32(__p0, __p1) __extension__ ({ \ + uint32x2x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 18); \ +}) +#else +#define vst3_u32(__p0, __p1) __extension__ ({ \ + uint32x2x3_t __s1 = __p1; \ + uint32x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 18); \ +}) +#endif + +#define vst3_u64(__p0, __p1) __extension__ ({ \ + uint64x1x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 19); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst3_u16(__p0, __p1) __extension__ ({ \ + uint16x4x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 17); \ +}) +#else +#define vst3_u16(__p0, __p1) __extension__ ({ \ + uint16x4x3_t __s1 = __p1; \ + uint16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 17); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_s8(__p0, __p1) __extension__ ({ \ + int8x8x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 0); \ +}) +#else +#define vst3_s8(__p0, __p1) __extension__ ({ \ + int8x8x3_t __s1 = __p1; \ + int8x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 0); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_f32(__p0, __p1) __extension__ ({ \ + float32x2x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 9); \ +}) +#else +#define vst3_f32(__p0, __p1) __extension__ ({ \ + float32x2x3_t __s1 = __p1; \ + float32x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 9); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_s32(__p0, __p1) __extension__ ({ \ + int32x2x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 2); \ +}) +#else +#define vst3_s32(__p0, __p1) __extension__ ({ \ + int32x2x3_t __s1 = __p1; \ + int32x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 2); \ +}) +#endif + +#define vst3_s64(__p0, __p1) __extension__ ({ \ + int64x1x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 3); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst3_s16(__p0, __p1) __extension__ ({ \ + int16x4x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 1); \ +}) +#else +#define vst3_s16(__p0, __p1) __extension__ ({ \ + int16x4x3_t __s1 = __p1; \ + int16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 1); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 4); \ +}) +#else +#define vst3_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8x3_t __s1 = __p1; \ + poly8x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 4); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 5); \ +}) +#else +#define vst3_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4x3_t __s1 = __p1; \ + poly16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 5); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 37); \ +}) +#else +#define vst3q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8x3_t __s1 = __p1; \ + poly16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 37); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 50); \ +}) +#else +#define vst3q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4x3_t __s1 = __p1; \ + uint32x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 50); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 49); \ +}) +#else +#define vst3q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8x3_t __s1 = __p1; \ + uint16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 49); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 41); \ +}) +#else +#define vst3q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4x3_t __s1 = __p1; \ + float32x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 41); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 34); \ +}) +#else +#define vst3q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4x3_t __s1 = __p1; \ + int32x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 34); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 33); \ +}) +#else +#define vst3q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8x3_t __s1 = __p1; \ + int16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 33); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 16); \ +}) +#else +#define vst3_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8x3_t __s1 = __p1; \ + uint8x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 16); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 18); \ +}) +#else +#define vst3_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2x3_t __s1 = __p1; \ + uint32x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 18); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 17); \ +}) +#else +#define vst3_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4x3_t __s1 = __p1; \ + uint16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 17); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 0); \ +}) +#else +#define vst3_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8x3_t __s1 = __p1; \ + int8x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 0); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 9); \ +}) +#else +#define vst3_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2x3_t __s1 = __p1; \ + float32x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 9); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 2); \ +}) +#else +#define vst3_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2x3_t __s1 = __p1; \ + int32x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 2); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 1); \ +}) +#else +#define vst3_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4x3_t __s1 = __p1; \ + int16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 1); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_p8(__p0, __p1) __extension__ ({ \ + poly8x8x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 4); \ +}) +#else +#define vst4_p8(__p0, __p1) __extension__ ({ \ + poly8x8x4_t __s1 = __p1; \ + poly8x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 4); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_p16(__p0, __p1) __extension__ ({ \ + poly16x4x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 5); \ +}) +#else +#define vst4_p16(__p0, __p1) __extension__ ({ \ + poly16x4x4_t __s1 = __p1; \ + poly16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 5); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_p8(__p0, __p1) __extension__ ({ \ + poly8x16x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 36); \ +}) +#else +#define vst4q_p8(__p0, __p1) __extension__ ({ \ + poly8x16x4_t __s1 = __p1; \ + poly8x16x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 36); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_p16(__p0, __p1) __extension__ ({ \ + poly16x8x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 37); \ +}) +#else +#define vst4q_p16(__p0, __p1) __extension__ ({ \ + poly16x8x4_t __s1 = __p1; \ + poly16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 37); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_u8(__p0, __p1) __extension__ ({ \ + uint8x16x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 48); \ +}) +#else +#define vst4q_u8(__p0, __p1) __extension__ ({ \ + uint8x16x4_t __s1 = __p1; \ + uint8x16x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 48); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_u32(__p0, __p1) __extension__ ({ \ + uint32x4x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 50); \ +}) +#else +#define vst4q_u32(__p0, __p1) __extension__ ({ \ + uint32x4x4_t __s1 = __p1; \ + uint32x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 50); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_u16(__p0, __p1) __extension__ ({ \ + uint16x8x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 49); \ +}) +#else +#define vst4q_u16(__p0, __p1) __extension__ ({ \ + uint16x8x4_t __s1 = __p1; \ + uint16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 49); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_s8(__p0, __p1) __extension__ ({ \ + int8x16x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 32); \ +}) +#else +#define vst4q_s8(__p0, __p1) __extension__ ({ \ + int8x16x4_t __s1 = __p1; \ + int8x16x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 32); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_f32(__p0, __p1) __extension__ ({ \ + float32x4x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 41); \ +}) +#else +#define vst4q_f32(__p0, __p1) __extension__ ({ \ + float32x4x4_t __s1 = __p1; \ + float32x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 41); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_s32(__p0, __p1) __extension__ ({ \ + int32x4x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 34); \ +}) +#else +#define vst4q_s32(__p0, __p1) __extension__ ({ \ + int32x4x4_t __s1 = __p1; \ + int32x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 34); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_s16(__p0, __p1) __extension__ ({ \ + int16x8x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 33); \ +}) +#else +#define vst4q_s16(__p0, __p1) __extension__ ({ \ + int16x8x4_t __s1 = __p1; \ + int16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 33); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_u8(__p0, __p1) __extension__ ({ \ + uint8x8x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 16); \ +}) +#else +#define vst4_u8(__p0, __p1) __extension__ ({ \ + uint8x8x4_t __s1 = __p1; \ + uint8x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 16); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_u32(__p0, __p1) __extension__ ({ \ + uint32x2x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 18); \ +}) +#else +#define vst4_u32(__p0, __p1) __extension__ ({ \ + uint32x2x4_t __s1 = __p1; \ + uint32x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 18); \ +}) +#endif + +#define vst4_u64(__p0, __p1) __extension__ ({ \ + uint64x1x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 19); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst4_u16(__p0, __p1) __extension__ ({ \ + uint16x4x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 17); \ +}) +#else +#define vst4_u16(__p0, __p1) __extension__ ({ \ + uint16x4x4_t __s1 = __p1; \ + uint16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 17); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_s8(__p0, __p1) __extension__ ({ \ + int8x8x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 0); \ +}) +#else +#define vst4_s8(__p0, __p1) __extension__ ({ \ + int8x8x4_t __s1 = __p1; \ + int8x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 0); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_f32(__p0, __p1) __extension__ ({ \ + float32x2x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 9); \ +}) +#else +#define vst4_f32(__p0, __p1) __extension__ ({ \ + float32x2x4_t __s1 = __p1; \ + float32x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 9); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_s32(__p0, __p1) __extension__ ({ \ + int32x2x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 2); \ +}) +#else +#define vst4_s32(__p0, __p1) __extension__ ({ \ + int32x2x4_t __s1 = __p1; \ + int32x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 2); \ +}) +#endif + +#define vst4_s64(__p0, __p1) __extension__ ({ \ + int64x1x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 3); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst4_s16(__p0, __p1) __extension__ ({ \ + int16x4x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 1); \ +}) +#else +#define vst4_s16(__p0, __p1) __extension__ ({ \ + int16x4x4_t __s1 = __p1; \ + int16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 1); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 4); \ +}) +#else +#define vst4_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x8x4_t __s1 = __p1; \ + poly8x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 4); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 5); \ +}) +#else +#define vst4_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x4x4_t __s1 = __p1; \ + poly16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 5); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 37); \ +}) +#else +#define vst4q_lane_p16(__p0, __p1, __p2) __extension__ ({ \ + poly16x8x4_t __s1 = __p1; \ + poly16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 37); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 50); \ +}) +#else +#define vst4q_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x4x4_t __s1 = __p1; \ + uint32x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 50); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 49); \ +}) +#else +#define vst4q_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x8x4_t __s1 = __p1; \ + uint16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 49); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 41); \ +}) +#else +#define vst4q_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x4x4_t __s1 = __p1; \ + float32x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 41); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 34); \ +}) +#else +#define vst4q_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4x4_t __s1 = __p1; \ + int32x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 34); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 33); \ +}) +#else +#define vst4q_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8x4_t __s1 = __p1; \ + int16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 33); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 16); \ +}) +#else +#define vst4_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x8x4_t __s1 = __p1; \ + uint8x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 16); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 18); \ +}) +#else +#define vst4_lane_u32(__p0, __p1, __p2) __extension__ ({ \ + uint32x2x4_t __s1 = __p1; \ + uint32x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 18); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 17); \ +}) +#else +#define vst4_lane_u16(__p0, __p1, __p2) __extension__ ({ \ + uint16x4x4_t __s1 = __p1; \ + uint16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 17); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 0); \ +}) +#else +#define vst4_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x8x4_t __s1 = __p1; \ + int8x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 0); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 9); \ +}) +#else +#define vst4_lane_f32(__p0, __p1, __p2) __extension__ ({ \ + float32x2x4_t __s1 = __p1; \ + float32x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 9); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 2); \ +}) +#else +#define vst4_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2x4_t __s1 = __p1; \ + int32x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 2); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 1); \ +}) +#else +#define vst4_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4x4_t __s1 = __p1; \ + int16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 1); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vsubq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai uint8x16_t vsubq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vsubq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai uint32x4_t vsubq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vsubq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai uint64x2_t vsubq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vsubq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai uint16x8_t vsubq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vsubq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai int8x16_t vsubq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vsubq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai float32x4_t vsubq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vsubq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai int32x4_t vsubq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vsubq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai int64x2_t vsubq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vsubq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai int16x8_t vsubq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vsub_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai uint8x8_t vsub_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vsub_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai uint32x2_t vsub_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vsub_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vsub_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai uint16x4_t vsub_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vsub_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai int8x8_t vsub_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vsub_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai float32x2_t vsub_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vsub_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai int32x2_t vsub_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vsub_s64(int64x1_t __p0, int64x1_t __p1) { + int64x1_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vsub_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai int16x4_t vsub_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vsubhn_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vsubhn_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint16x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vsubhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint16x4_t __noswap_vsubhn_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 17); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vsubhn_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vsubhn_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint32x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vsubhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint32x2_t __noswap_vsubhn_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 18); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vsubhn_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vsubhn_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint8x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vsubhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint8x8_t __noswap_vsubhn_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 16); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vsubhn_s32(int32x4_t __p0, int32x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vsubhn_s32(int32x4_t __p0, int32x4_t __p1) { + int16x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vsubhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int16x4_t __noswap_vsubhn_s32(int32x4_t __p0, int32x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vsubhn_s64(int64x2_t __p0, int64x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vsubhn_s64(int64x2_t __p0, int64x2_t __p1) { + int32x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vsubhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int32x2_t __noswap_vsubhn_s64(int64x2_t __p0, int64x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vsubhn_s16(int16x8_t __p0, int16x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vsubhn_s16(int16x8_t __p0, int16x8_t __p1) { + int8x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vsubhn_v((int8x16_t)__rev0, (int8x16_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int8x8_t __noswap_vsubhn_s16(int16x8_t __p0, int16x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vsubhn_v((int8x16_t)__p0, (int8x16_t)__p1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vsubl_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + __ret = vmovl_u8(__p0) - vmovl_u8(__p1); + return __ret; +} +#else +__ai uint16x8_t vsubl_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmovl_u8(__rev0) - __noswap_vmovl_u8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vsubl_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + __ret = vmovl_u32(__p0) - vmovl_u32(__p1); + return __ret; +} +#else +__ai uint64x2_t vsubl_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vmovl_u32(__rev0) - __noswap_vmovl_u32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vsubl_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + __ret = vmovl_u16(__p0) - vmovl_u16(__p1); + return __ret; +} +#else +__ai uint32x4_t vsubl_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmovl_u16(__rev0) - __noswap_vmovl_u16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vsubl_s8(int8x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + __ret = vmovl_s8(__p0) - vmovl_s8(__p1); + return __ret; +} +#else +__ai int16x8_t vsubl_s8(int8x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmovl_s8(__rev0) - __noswap_vmovl_s8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vsubl_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + __ret = vmovl_s32(__p0) - vmovl_s32(__p1); + return __ret; +} +#else +__ai int64x2_t vsubl_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vmovl_s32(__rev0) - __noswap_vmovl_s32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vsubl_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + __ret = vmovl_s16(__p0) - vmovl_s16(__p1); + return __ret; +} +#else +__ai int32x4_t vsubl_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmovl_s16(__rev0) - __noswap_vmovl_s16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vsubw_u8(uint16x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + __ret = __p0 - vmovl_u8(__p1); + return __ret; +} +#else +__ai uint16x8_t vsubw_u8(uint16x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmovl_u8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vsubw_u32(uint64x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + __ret = __p0 - vmovl_u32(__p1); + return __ret; +} +#else +__ai uint64x2_t vsubw_u32(uint64x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __noswap_vmovl_u32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vsubw_u16(uint32x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + __ret = __p0 - vmovl_u16(__p1); + return __ret; +} +#else +__ai uint32x4_t vsubw_u16(uint32x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmovl_u16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vsubw_s8(int16x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + __ret = __p0 - vmovl_s8(__p1); + return __ret; +} +#else +__ai int16x8_t vsubw_s8(int16x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmovl_s8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vsubw_s32(int64x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + __ret = __p0 - vmovl_s32(__p1); + return __ret; +} +#else +__ai int64x2_t vsubw_s32(int64x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __noswap_vmovl_s32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vsubw_s16(int32x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + __ret = __p0 - vmovl_s16(__p1); + return __ret; +} +#else +__ai int32x4_t vsubw_s16(int32x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmovl_s16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vtbl1_p8(poly8x8_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vtbl1_v((int8x8_t)__p0, (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8_t vtbl1_p8(poly8x8_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vtbl1_v((int8x8_t)__rev0, (int8x8_t)__rev1, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtbl1_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vtbl1_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vtbl1_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vtbl1_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vtbl1_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vtbl1_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vtbl1_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vtbl1_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vtbl2_p8(poly8x8x2_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vtbl2_v((int8x8_t)__p0.val[0], (int8x8_t)__p0.val[1], (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8_t vtbl2_p8(poly8x8x2_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + poly8x8x2_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vtbl2_v((int8x8_t)__rev0.val[0], (int8x8_t)__rev0.val[1], (int8x8_t)__rev1, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtbl2_u8(uint8x8x2_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vtbl2_v((int8x8_t)__p0.val[0], (int8x8_t)__p0.val[1], (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vtbl2_u8(uint8x8x2_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8x2_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vtbl2_v((int8x8_t)__rev0.val[0], (int8x8_t)__rev0.val[1], (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vtbl2_s8(int8x8x2_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vtbl2_v((int8x8_t)__p0.val[0], (int8x8_t)__p0.val[1], (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vtbl2_s8(int8x8x2_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8x2_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vtbl2_v((int8x8_t)__rev0.val[0], (int8x8_t)__rev0.val[1], (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vtbl3_p8(poly8x8x3_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vtbl3_v((int8x8_t)__p0.val[0], (int8x8_t)__p0.val[1], (int8x8_t)__p0.val[2], (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8_t vtbl3_p8(poly8x8x3_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + poly8x8x3_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vtbl3_v((int8x8_t)__rev0.val[0], (int8x8_t)__rev0.val[1], (int8x8_t)__rev0.val[2], (int8x8_t)__rev1, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtbl3_u8(uint8x8x3_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vtbl3_v((int8x8_t)__p0.val[0], (int8x8_t)__p0.val[1], (int8x8_t)__p0.val[2], (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vtbl3_u8(uint8x8x3_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8x3_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vtbl3_v((int8x8_t)__rev0.val[0], (int8x8_t)__rev0.val[1], (int8x8_t)__rev0.val[2], (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vtbl3_s8(int8x8x3_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vtbl3_v((int8x8_t)__p0.val[0], (int8x8_t)__p0.val[1], (int8x8_t)__p0.val[2], (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vtbl3_s8(int8x8x3_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8x3_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vtbl3_v((int8x8_t)__rev0.val[0], (int8x8_t)__rev0.val[1], (int8x8_t)__rev0.val[2], (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vtbl4_p8(poly8x8x4_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vtbl4_v((int8x8_t)__p0.val[0], (int8x8_t)__p0.val[1], (int8x8_t)__p0.val[2], (int8x8_t)__p0.val[3], (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8_t vtbl4_p8(poly8x8x4_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + poly8x8x4_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[3] = __builtin_shufflevector(__p0.val[3], __p0.val[3], 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vtbl4_v((int8x8_t)__rev0.val[0], (int8x8_t)__rev0.val[1], (int8x8_t)__rev0.val[2], (int8x8_t)__rev0.val[3], (int8x8_t)__rev1, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtbl4_u8(uint8x8x4_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vtbl4_v((int8x8_t)__p0.val[0], (int8x8_t)__p0.val[1], (int8x8_t)__p0.val[2], (int8x8_t)__p0.val[3], (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vtbl4_u8(uint8x8x4_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8x4_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[3] = __builtin_shufflevector(__p0.val[3], __p0.val[3], 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vtbl4_v((int8x8_t)__rev0.val[0], (int8x8_t)__rev0.val[1], (int8x8_t)__rev0.val[2], (int8x8_t)__rev0.val[3], (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vtbl4_s8(int8x8x4_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vtbl4_v((int8x8_t)__p0.val[0], (int8x8_t)__p0.val[1], (int8x8_t)__p0.val[2], (int8x8_t)__p0.val[3], (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vtbl4_s8(int8x8x4_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8x4_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[3] = __builtin_shufflevector(__p0.val[3], __p0.val[3], 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vtbl4_v((int8x8_t)__rev0.val[0], (int8x8_t)__rev0.val[1], (int8x8_t)__rev0.val[2], (int8x8_t)__rev0.val[3], (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vtbx1_p8(poly8x8_t __p0, poly8x8_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vtbx1_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 4); + return __ret; +} +#else +__ai poly8x8_t vtbx1_p8(poly8x8_t __p0, poly8x8_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vtbx1_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtbx1_u8(uint8x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vtbx1_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 16); + return __ret; +} +#else +__ai uint8x8_t vtbx1_u8(uint8x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vtbx1_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vtbx1_s8(int8x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vtbx1_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 0); + return __ret; +} +#else +__ai int8x8_t vtbx1_s8(int8x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vtbx1_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vtbx2_p8(poly8x8_t __p0, poly8x8x2_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vtbx2_v((int8x8_t)__p0, (int8x8_t)__p1.val[0], (int8x8_t)__p1.val[1], (int8x8_t)__p2, 4); + return __ret; +} +#else +__ai poly8x8_t vtbx2_p8(poly8x8_t __p0, poly8x8x2_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8x2_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vtbx2_v((int8x8_t)__rev0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev2, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtbx2_u8(uint8x8_t __p0, uint8x8x2_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vtbx2_v((int8x8_t)__p0, (int8x8_t)__p1.val[0], (int8x8_t)__p1.val[1], (int8x8_t)__p2, 16); + return __ret; +} +#else +__ai uint8x8_t vtbx2_u8(uint8x8_t __p0, uint8x8x2_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8x2_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vtbx2_v((int8x8_t)__rev0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev2, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vtbx2_s8(int8x8_t __p0, int8x8x2_t __p1, int8x8_t __p2) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vtbx2_v((int8x8_t)__p0, (int8x8_t)__p1.val[0], (int8x8_t)__p1.val[1], (int8x8_t)__p2, 0); + return __ret; +} +#else +__ai int8x8_t vtbx2_s8(int8x8_t __p0, int8x8x2_t __p1, int8x8_t __p2) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8x2_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vtbx2_v((int8x8_t)__rev0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev2, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vtbx3_p8(poly8x8_t __p0, poly8x8x3_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vtbx3_v((int8x8_t)__p0, (int8x8_t)__p1.val[0], (int8x8_t)__p1.val[1], (int8x8_t)__p1.val[2], (int8x8_t)__p2, 4); + return __ret; +} +#else +__ai poly8x8_t vtbx3_p8(poly8x8_t __p0, poly8x8x3_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8x3_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vtbx3_v((int8x8_t)__rev0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev2, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtbx3_u8(uint8x8_t __p0, uint8x8x3_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vtbx3_v((int8x8_t)__p0, (int8x8_t)__p1.val[0], (int8x8_t)__p1.val[1], (int8x8_t)__p1.val[2], (int8x8_t)__p2, 16); + return __ret; +} +#else +__ai uint8x8_t vtbx3_u8(uint8x8_t __p0, uint8x8x3_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8x3_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vtbx3_v((int8x8_t)__rev0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev2, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vtbx3_s8(int8x8_t __p0, int8x8x3_t __p1, int8x8_t __p2) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vtbx3_v((int8x8_t)__p0, (int8x8_t)__p1.val[0], (int8x8_t)__p1.val[1], (int8x8_t)__p1.val[2], (int8x8_t)__p2, 0); + return __ret; +} +#else +__ai int8x8_t vtbx3_s8(int8x8_t __p0, int8x8x3_t __p1, int8x8_t __p2) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8x3_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vtbx3_v((int8x8_t)__rev0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev2, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vtbx4_p8(poly8x8_t __p0, poly8x8x4_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vtbx4_v((int8x8_t)__p0, (int8x8_t)__p1.val[0], (int8x8_t)__p1.val[1], (int8x8_t)__p1.val[2], (int8x8_t)__p1.val[3], (int8x8_t)__p2, 4); + return __ret; +} +#else +__ai poly8x8_t vtbx4_p8(poly8x8_t __p0, poly8x8x4_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8x4_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[3] = __builtin_shufflevector(__p1.val[3], __p1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vtbx4_v((int8x8_t)__rev0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], (int8x8_t)__rev2, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtbx4_u8(uint8x8_t __p0, uint8x8x4_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vtbx4_v((int8x8_t)__p0, (int8x8_t)__p1.val[0], (int8x8_t)__p1.val[1], (int8x8_t)__p1.val[2], (int8x8_t)__p1.val[3], (int8x8_t)__p2, 16); + return __ret; +} +#else +__ai uint8x8_t vtbx4_u8(uint8x8_t __p0, uint8x8x4_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8x4_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[3] = __builtin_shufflevector(__p1.val[3], __p1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vtbx4_v((int8x8_t)__rev0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], (int8x8_t)__rev2, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vtbx4_s8(int8x8_t __p0, int8x8x4_t __p1, int8x8_t __p2) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vtbx4_v((int8x8_t)__p0, (int8x8_t)__p1.val[0], (int8x8_t)__p1.val[1], (int8x8_t)__p1.val[2], (int8x8_t)__p1.val[3], (int8x8_t)__p2, 0); + return __ret; +} +#else +__ai int8x8_t vtbx4_s8(int8x8_t __p0, int8x8x4_t __p1, int8x8_t __p2) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8x4_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[3] = __builtin_shufflevector(__p1.val[3], __p1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vtbx4_v((int8x8_t)__rev0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], (int8x8_t)__rev2, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8x2_t vtrn_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8x2_t __ret; + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8x2_t vtrn_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8x2_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 4); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4x2_t vtrn_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4x2_t __ret; + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 5); + return __ret; +} +#else +__ai poly16x4x2_t vtrn_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4x2_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 5); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16x2_t vtrnq_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16x2_t __ret; + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 36); + return __ret; +} +#else +__ai poly8x16x2_t vtrnq_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16x2_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 36); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8x2_t vtrnq_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8x2_t __ret; + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 37); + return __ret; +} +#else +__ai poly16x8x2_t vtrnq_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8x2_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 37); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16x2_t vtrnq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16x2_t __ret; + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16x2_t vtrnq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16x2_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 48); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4x2_t vtrnq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4x2_t __ret; + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4x2_t vtrnq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4x2_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 50); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8x2_t vtrnq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8x2_t __ret; + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8x2_t vtrnq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8x2_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 49); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16x2_t vtrnq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16x2_t __ret; + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16x2_t vtrnq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16x2_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 32); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4x2_t vtrnq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4x2_t __ret; + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4x2_t vtrnq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4x2_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 41); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4x2_t vtrnq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4x2_t __ret; + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4x2_t vtrnq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 34); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8x2_t vtrnq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8x2_t __ret; + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8x2_t vtrnq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8x2_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vtrnq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 33); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8x2_t vtrn_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8x2_t __ret; + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8x2_t vtrn_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8x2_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 16); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2x2_t vtrn_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2x2_t __ret; + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2x2_t vtrn_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 18); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4x2_t vtrn_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4x2_t __ret; + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4x2_t vtrn_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4x2_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 17); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8x2_t vtrn_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8x2_t __ret; + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8x2_t vtrn_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8x2_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 0); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2x2_t vtrn_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2x2_t __ret; + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2x2_t vtrn_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 9); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2x2_t vtrn_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2x2_t __ret; + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2x2_t vtrn_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 2); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4x2_t vtrn_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4x2_t __ret; + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4x2_t vtrn_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4x2_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vtrn_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 1); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtst_p8(poly8x8_t __p0, poly8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vtst_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vtst_p8(poly8x8_t __p0, poly8x8_t __p1) { + uint8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vtst_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vtst_p16(poly16x4_t __p0, poly16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vtst_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vtst_p16(poly16x4_t __p0, poly16x4_t __p1) { + uint16x4_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vtst_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vtstq_p8(poly8x16_t __p0, poly8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vtstq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vtstq_p8(poly8x16_t __p0, poly8x16_t __p1) { + uint8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vtstq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vtstq_p16(poly16x8_t __p0, poly16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vtstq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vtstq_p16(poly16x8_t __p0, poly16x8_t __p1) { + uint16x8_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vtstq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vtstq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vtstq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vtstq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vtstq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vtstq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vtstq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vtstq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vtstq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vtstq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vtstq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vtstq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vtstq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vtstq_s8(int8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vtstq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vtstq_s8(int8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vtstq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vtstq_s32(int32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vtstq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vtstq_s32(int32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vtstq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vtstq_s16(int16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vtstq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vtstq_s16(int16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vtstq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtst_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vtst_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vtst_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vtst_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vtst_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vtst_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vtst_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vtst_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vtst_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vtst_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vtst_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vtst_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtst_s8(int8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vtst_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vtst_s8(int8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vtst_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vtst_s32(int32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vtst_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vtst_s32(int32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vtst_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vtst_s16(int16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vtst_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vtst_s16(int16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vtst_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8x2_t vuzp_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8x2_t __ret; + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8x2_t vuzp_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8x2_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 4); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4x2_t vuzp_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4x2_t __ret; + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 5); + return __ret; +} +#else +__ai poly16x4x2_t vuzp_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4x2_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 5); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16x2_t vuzpq_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16x2_t __ret; + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 36); + return __ret; +} +#else +__ai poly8x16x2_t vuzpq_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16x2_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 36); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8x2_t vuzpq_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8x2_t __ret; + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 37); + return __ret; +} +#else +__ai poly16x8x2_t vuzpq_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8x2_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 37); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16x2_t vuzpq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16x2_t __ret; + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16x2_t vuzpq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16x2_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 48); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4x2_t vuzpq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4x2_t __ret; + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4x2_t vuzpq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4x2_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 50); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8x2_t vuzpq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8x2_t __ret; + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8x2_t vuzpq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8x2_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 49); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16x2_t vuzpq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16x2_t __ret; + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16x2_t vuzpq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16x2_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 32); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4x2_t vuzpq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4x2_t __ret; + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4x2_t vuzpq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4x2_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 41); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4x2_t vuzpq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4x2_t __ret; + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4x2_t vuzpq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 34); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8x2_t vuzpq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8x2_t __ret; + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8x2_t vuzpq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8x2_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vuzpq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 33); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8x2_t vuzp_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8x2_t __ret; + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8x2_t vuzp_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8x2_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 16); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2x2_t vuzp_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2x2_t __ret; + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2x2_t vuzp_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 18); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4x2_t vuzp_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4x2_t __ret; + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4x2_t vuzp_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4x2_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 17); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8x2_t vuzp_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8x2_t __ret; + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8x2_t vuzp_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8x2_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 0); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2x2_t vuzp_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2x2_t __ret; + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2x2_t vuzp_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 9); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2x2_t vuzp_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2x2_t __ret; + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2x2_t vuzp_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 2); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4x2_t vuzp_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4x2_t __ret; + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4x2_t vuzp_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4x2_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vuzp_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 1); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8x2_t vzip_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8x2_t __ret; + __builtin_neon_vzip_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8x2_t vzip_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8x2_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vzip_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 4); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4x2_t vzip_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4x2_t __ret; + __builtin_neon_vzip_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 5); + return __ret; +} +#else +__ai poly16x4x2_t vzip_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4x2_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vzip_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 5); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16x2_t vzipq_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16x2_t __ret; + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 36); + return __ret; +} +#else +__ai poly8x16x2_t vzipq_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16x2_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 36); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8x2_t vzipq_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8x2_t __ret; + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 37); + return __ret; +} +#else +__ai poly16x8x2_t vzipq_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8x2_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 37); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16x2_t vzipq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16x2_t __ret; + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16x2_t vzipq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16x2_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 48); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4x2_t vzipq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4x2_t __ret; + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4x2_t vzipq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4x2_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 50); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8x2_t vzipq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8x2_t __ret; + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8x2_t vzipq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8x2_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 49); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16x2_t vzipq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16x2_t __ret; + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16x2_t vzipq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16x2_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 32); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4x2_t vzipq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4x2_t __ret; + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4x2_t vzipq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4x2_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 41); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4x2_t vzipq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4x2_t __ret; + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4x2_t vzipq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 34); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8x2_t vzipq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8x2_t __ret; + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8x2_t vzipq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8x2_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vzipq_v(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 33); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8x2_t vzip_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8x2_t __ret; + __builtin_neon_vzip_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8x2_t vzip_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8x2_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vzip_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 16); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2x2_t vzip_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2x2_t __ret; + __builtin_neon_vzip_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2x2_t vzip_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __builtin_neon_vzip_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 18); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4x2_t vzip_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4x2_t __ret; + __builtin_neon_vzip_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4x2_t vzip_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4x2_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vzip_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 17); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8x2_t vzip_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8x2_t __ret; + __builtin_neon_vzip_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8x2_t vzip_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8x2_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vzip_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 0); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2x2_t vzip_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2x2_t __ret; + __builtin_neon_vzip_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2x2_t vzip_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __builtin_neon_vzip_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 9); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2x2_t vzip_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2x2_t __ret; + __builtin_neon_vzip_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2x2_t vzip_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __builtin_neon_vzip_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 2); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4x2_t vzip_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4x2_t __ret; + __builtin_neon_vzip_v(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4x2_t vzip_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4x2_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vzip_v(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 1); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8_t __ret; \ + bfloat16x4_t __s0 = __p0; \ + __ret = (bfloat16x8_t) __builtin_neon_splatq_lane_bf16((int8x8_t)__s0, __p1, 11); \ + __ret; \ +}) +#else +#define splatq_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8_t __ret; \ + bfloat16x4_t __s0 = __p0; \ + bfloat16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (bfloat16x8_t) __builtin_neon_splatq_lane_bf16((int8x8_t)__rev0, __p1, 11); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8_t __ret; \ + bfloat16x4_t __s0 = __p0; \ + __ret = (bfloat16x8_t) __builtin_neon_splatq_lane_bf16((int8x8_t)__s0, __p1, 11); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4_t __ret; \ + bfloat16x4_t __s0 = __p0; \ + __ret = (bfloat16x4_t) __builtin_neon_splat_lane_bf16((int8x8_t)__s0, __p1, 11); \ + __ret; \ +}) +#else +#define splat_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4_t __ret; \ + bfloat16x4_t __s0 = __p0; \ + bfloat16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (bfloat16x4_t) __builtin_neon_splat_lane_bf16((int8x8_t)__rev0, __p1, 11); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4_t __ret; \ + bfloat16x4_t __s0 = __p0; \ + __ret = (bfloat16x4_t) __builtin_neon_splat_lane_bf16((int8x8_t)__s0, __p1, 11); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splatq_laneq_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8_t __ret; \ + bfloat16x8_t __s0 = __p0; \ + __ret = (bfloat16x8_t) __builtin_neon_splatq_laneq_bf16((int8x16_t)__s0, __p1, 43); \ + __ret; \ +}) +#else +#define splatq_laneq_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8_t __ret; \ + bfloat16x8_t __s0 = __p0; \ + bfloat16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (bfloat16x8_t) __builtin_neon_splatq_laneq_bf16((int8x16_t)__rev0, __p1, 43); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splatq_laneq_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8_t __ret; \ + bfloat16x8_t __s0 = __p0; \ + __ret = (bfloat16x8_t) __builtin_neon_splatq_laneq_bf16((int8x16_t)__s0, __p1, 43); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define splat_laneq_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4_t __ret; \ + bfloat16x8_t __s0 = __p0; \ + __ret = (bfloat16x4_t) __builtin_neon_splat_laneq_bf16((int8x16_t)__s0, __p1, 43); \ + __ret; \ +}) +#else +#define splat_laneq_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4_t __ret; \ + bfloat16x8_t __s0 = __p0; \ + bfloat16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (bfloat16x4_t) __builtin_neon_splat_laneq_bf16((int8x16_t)__rev0, __p1, 43); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_splat_laneq_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4_t __ret; \ + bfloat16x8_t __s0 = __p0; \ + __ret = (bfloat16x4_t) __builtin_neon_splat_laneq_bf16((int8x16_t)__s0, __p1, 43); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) float32x4_t vbfdotq_f32(float32x4_t __p0, bfloat16x8_t __p1, bfloat16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vbfdotq_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) float32x4_t vbfdotq_f32(float32x4_t __p0, bfloat16x8_t __p1, bfloat16x8_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + bfloat16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + bfloat16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vbfdotq_f32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("bf16"))) float32x4_t __noswap_vbfdotq_f32(float32x4_t __p0, bfloat16x8_t __p1, bfloat16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vbfdotq_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) float32x2_t vbfdot_f32(float32x2_t __p0, bfloat16x4_t __p1, bfloat16x4_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vbfdot_f32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) float32x2_t vbfdot_f32(float32x2_t __p0, bfloat16x4_t __p1, bfloat16x4_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + bfloat16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + bfloat16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float32x2_t) __builtin_neon_vbfdot_f32((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("bf16"))) float32x2_t __noswap_vbfdot_f32(float32x2_t __p0, bfloat16x4_t __p1, bfloat16x4_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vbfdot_f32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vbfdotq_lane_f32(__p0_126, __p1_126, __p2_126, __p3_126) __extension__ ({ \ + float32x4_t __ret_126; \ + float32x4_t __s0_126 = __p0_126; \ + bfloat16x8_t __s1_126 = __p1_126; \ + bfloat16x4_t __s2_126 = __p2_126; \ +bfloat16x4_t __reint_126 = __s2_126; \ +float32x4_t __reint1_126 = splatq_lane_f32(*(float32x2_t *) &__reint_126, __p3_126); \ + __ret_126 = vbfdotq_f32(__s0_126, __s1_126, *(bfloat16x8_t *) &__reint1_126); \ + __ret_126; \ +}) +#else +#define vbfdotq_lane_f32(__p0_127, __p1_127, __p2_127, __p3_127) __extension__ ({ \ + float32x4_t __ret_127; \ + float32x4_t __s0_127 = __p0_127; \ + bfloat16x8_t __s1_127 = __p1_127; \ + bfloat16x4_t __s2_127 = __p2_127; \ + float32x4_t __rev0_127; __rev0_127 = __builtin_shufflevector(__s0_127, __s0_127, 3, 2, 1, 0); \ + bfloat16x8_t __rev1_127; __rev1_127 = __builtin_shufflevector(__s1_127, __s1_127, 7, 6, 5, 4, 3, 2, 1, 0); \ + bfloat16x4_t __rev2_127; __rev2_127 = __builtin_shufflevector(__s2_127, __s2_127, 3, 2, 1, 0); \ +bfloat16x4_t __reint_127 = __rev2_127; \ +float32x4_t __reint1_127 = __noswap_splatq_lane_f32(*(float32x2_t *) &__reint_127, __p3_127); \ + __ret_127 = __noswap_vbfdotq_f32(__rev0_127, __rev1_127, *(bfloat16x8_t *) &__reint1_127); \ + __ret_127 = __builtin_shufflevector(__ret_127, __ret_127, 3, 2, 1, 0); \ + __ret_127; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vbfdot_lane_f32(__p0_128, __p1_128, __p2_128, __p3_128) __extension__ ({ \ + float32x2_t __ret_128; \ + float32x2_t __s0_128 = __p0_128; \ + bfloat16x4_t __s1_128 = __p1_128; \ + bfloat16x4_t __s2_128 = __p2_128; \ +bfloat16x4_t __reint_128 = __s2_128; \ +float32x2_t __reint1_128 = splat_lane_f32(*(float32x2_t *) &__reint_128, __p3_128); \ + __ret_128 = vbfdot_f32(__s0_128, __s1_128, *(bfloat16x4_t *) &__reint1_128); \ + __ret_128; \ +}) +#else +#define vbfdot_lane_f32(__p0_129, __p1_129, __p2_129, __p3_129) __extension__ ({ \ + float32x2_t __ret_129; \ + float32x2_t __s0_129 = __p0_129; \ + bfloat16x4_t __s1_129 = __p1_129; \ + bfloat16x4_t __s2_129 = __p2_129; \ + float32x2_t __rev0_129; __rev0_129 = __builtin_shufflevector(__s0_129, __s0_129, 1, 0); \ + bfloat16x4_t __rev1_129; __rev1_129 = __builtin_shufflevector(__s1_129, __s1_129, 3, 2, 1, 0); \ + bfloat16x4_t __rev2_129; __rev2_129 = __builtin_shufflevector(__s2_129, __s2_129, 3, 2, 1, 0); \ +bfloat16x4_t __reint_129 = __rev2_129; \ +float32x2_t __reint1_129 = __noswap_splat_lane_f32(*(float32x2_t *) &__reint_129, __p3_129); \ + __ret_129 = __noswap_vbfdot_f32(__rev0_129, __rev1_129, *(bfloat16x4_t *) &__reint1_129); \ + __ret_129 = __builtin_shufflevector(__ret_129, __ret_129, 1, 0); \ + __ret_129; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vbfdotq_laneq_f32(__p0_130, __p1_130, __p2_130, __p3_130) __extension__ ({ \ + float32x4_t __ret_130; \ + float32x4_t __s0_130 = __p0_130; \ + bfloat16x8_t __s1_130 = __p1_130; \ + bfloat16x8_t __s2_130 = __p2_130; \ +bfloat16x8_t __reint_130 = __s2_130; \ +float32x4_t __reint1_130 = splatq_laneq_f32(*(float32x4_t *) &__reint_130, __p3_130); \ + __ret_130 = vbfdotq_f32(__s0_130, __s1_130, *(bfloat16x8_t *) &__reint1_130); \ + __ret_130; \ +}) +#else +#define vbfdotq_laneq_f32(__p0_131, __p1_131, __p2_131, __p3_131) __extension__ ({ \ + float32x4_t __ret_131; \ + float32x4_t __s0_131 = __p0_131; \ + bfloat16x8_t __s1_131 = __p1_131; \ + bfloat16x8_t __s2_131 = __p2_131; \ + float32x4_t __rev0_131; __rev0_131 = __builtin_shufflevector(__s0_131, __s0_131, 3, 2, 1, 0); \ + bfloat16x8_t __rev1_131; __rev1_131 = __builtin_shufflevector(__s1_131, __s1_131, 7, 6, 5, 4, 3, 2, 1, 0); \ + bfloat16x8_t __rev2_131; __rev2_131 = __builtin_shufflevector(__s2_131, __s2_131, 7, 6, 5, 4, 3, 2, 1, 0); \ +bfloat16x8_t __reint_131 = __rev2_131; \ +float32x4_t __reint1_131 = __noswap_splatq_laneq_f32(*(float32x4_t *) &__reint_131, __p3_131); \ + __ret_131 = __noswap_vbfdotq_f32(__rev0_131, __rev1_131, *(bfloat16x8_t *) &__reint1_131); \ + __ret_131 = __builtin_shufflevector(__ret_131, __ret_131, 3, 2, 1, 0); \ + __ret_131; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vbfdot_laneq_f32(__p0_132, __p1_132, __p2_132, __p3_132) __extension__ ({ \ + float32x2_t __ret_132; \ + float32x2_t __s0_132 = __p0_132; \ + bfloat16x4_t __s1_132 = __p1_132; \ + bfloat16x8_t __s2_132 = __p2_132; \ +bfloat16x8_t __reint_132 = __s2_132; \ +float32x2_t __reint1_132 = splat_laneq_f32(*(float32x4_t *) &__reint_132, __p3_132); \ + __ret_132 = vbfdot_f32(__s0_132, __s1_132, *(bfloat16x4_t *) &__reint1_132); \ + __ret_132; \ +}) +#else +#define vbfdot_laneq_f32(__p0_133, __p1_133, __p2_133, __p3_133) __extension__ ({ \ + float32x2_t __ret_133; \ + float32x2_t __s0_133 = __p0_133; \ + bfloat16x4_t __s1_133 = __p1_133; \ + bfloat16x8_t __s2_133 = __p2_133; \ + float32x2_t __rev0_133; __rev0_133 = __builtin_shufflevector(__s0_133, __s0_133, 1, 0); \ + bfloat16x4_t __rev1_133; __rev1_133 = __builtin_shufflevector(__s1_133, __s1_133, 3, 2, 1, 0); \ + bfloat16x8_t __rev2_133; __rev2_133 = __builtin_shufflevector(__s2_133, __s2_133, 7, 6, 5, 4, 3, 2, 1, 0); \ +bfloat16x8_t __reint_133 = __rev2_133; \ +float32x2_t __reint1_133 = __noswap_splat_laneq_f32(*(float32x4_t *) &__reint_133, __p3_133); \ + __ret_133 = __noswap_vbfdot_f32(__rev0_133, __rev1_133, *(bfloat16x4_t *) &__reint1_133); \ + __ret_133 = __builtin_shufflevector(__ret_133, __ret_133, 1, 0); \ + __ret_133; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) float32x4_t vbfmlalbq_f32(float32x4_t __p0, bfloat16x8_t __p1, bfloat16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vbfmlalbq_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) float32x4_t vbfmlalbq_f32(float32x4_t __p0, bfloat16x8_t __p1, bfloat16x8_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + bfloat16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + bfloat16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vbfmlalbq_f32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("bf16"))) float32x4_t __noswap_vbfmlalbq_f32(float32x4_t __p0, bfloat16x8_t __p1, bfloat16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vbfmlalbq_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) float32x4_t vbfmlaltq_f32(float32x4_t __p0, bfloat16x8_t __p1, bfloat16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vbfmlaltq_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) float32x4_t vbfmlaltq_f32(float32x4_t __p0, bfloat16x8_t __p1, bfloat16x8_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + bfloat16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + bfloat16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vbfmlaltq_f32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("bf16"))) float32x4_t __noswap_vbfmlaltq_f32(float32x4_t __p0, bfloat16x8_t __p1, bfloat16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vbfmlaltq_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) float32x4_t vbfmmlaq_f32(float32x4_t __p0, bfloat16x8_t __p1, bfloat16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vbfmmlaq_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) float32x4_t vbfmmlaq_f32(float32x4_t __p0, bfloat16x8_t __p1, bfloat16x8_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + bfloat16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + bfloat16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vbfmmlaq_f32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x8_t vcombine_bf16(bfloat16x4_t __p0, bfloat16x4_t __p1) { + bfloat16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x8_t vcombine_bf16(bfloat16x4_t __p0, bfloat16x4_t __p1) { + bfloat16x8_t __ret; + bfloat16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + bfloat16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 1, 2, 3, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t __noswap_vcombine_bf16(bfloat16x4_t __p0, bfloat16x4_t __p1) { + bfloat16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1, 2, 3, 4, 5, 6, 7); + return __ret; +} +#endif + +#define vcreate_bf16(__p0) __extension__ ({ \ + bfloat16x4_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (bfloat16x4_t)(__promote); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) float32x4_t vcvt_f32_bf16(bfloat16x4_t __p0_134) { + float32x4_t __ret_134; +bfloat16x4_t __reint_134 = __p0_134; +int32x4_t __reint1_134 = vshll_n_s16(*(int16x4_t *) &__reint_134, 16); + __ret_134 = *(float32x4_t *) &__reint1_134; + return __ret_134; +} +#else +__ai __attribute__((target("bf16"))) float32x4_t vcvt_f32_bf16(bfloat16x4_t __p0_135) { + float32x4_t __ret_135; + bfloat16x4_t __rev0_135; __rev0_135 = __builtin_shufflevector(__p0_135, __p0_135, 3, 2, 1, 0); +bfloat16x4_t __reint_135 = __rev0_135; +int32x4_t __reint1_135 = __noswap_vshll_n_s16(*(int16x4_t *) &__reint_135, 16); + __ret_135 = *(float32x4_t *) &__reint1_135; + __ret_135 = __builtin_shufflevector(__ret_135, __ret_135, 3, 2, 1, 0); + return __ret_135; +} +__ai __attribute__((target("bf16"))) float32x4_t __noswap_vcvt_f32_bf16(bfloat16x4_t __p0_136) { + float32x4_t __ret_136; +bfloat16x4_t __reint_136 = __p0_136; +int32x4_t __reint1_136 = __noswap_vshll_n_s16(*(int16x4_t *) &__reint_136, 16); + __ret_136 = *(float32x4_t *) &__reint1_136; + return __ret_136; +} +#endif + +__ai __attribute__((target("bf16"))) float32_t vcvtah_f32_bf16(bfloat16_t __p0) { + float32_t __ret; +bfloat16_t __reint = __p0; +int32_t __reint1 = *(int32_t *) &__reint << 16; + __ret = *(float32_t *) &__reint1; + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16_t vcvth_bf16_f32(float32_t __p0) { + bfloat16_t __ret; + __ret = (bfloat16_t) __builtin_neon_vcvth_bf16_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +#define vduph_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16_t __ret; \ + bfloat16x4_t __s0 = __p0; \ + __ret = (bfloat16_t) __builtin_neon_vduph_lane_bf16((bfloat16x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vduph_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16_t __ret; \ + bfloat16x4_t __s0 = __p0; \ + bfloat16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (bfloat16_t) __builtin_neon_vduph_lane_bf16((bfloat16x4_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_bf16(__p0_137, __p1_137) __extension__ ({ \ + bfloat16x8_t __ret_137; \ + bfloat16x4_t __s0_137 = __p0_137; \ + __ret_137 = splatq_lane_bf16(__s0_137, __p1_137); \ + __ret_137; \ +}) +#else +#define vdupq_lane_bf16(__p0_138, __p1_138) __extension__ ({ \ + bfloat16x8_t __ret_138; \ + bfloat16x4_t __s0_138 = __p0_138; \ + bfloat16x4_t __rev0_138; __rev0_138 = __builtin_shufflevector(__s0_138, __s0_138, 3, 2, 1, 0); \ + __ret_138 = __noswap_splatq_lane_bf16(__rev0_138, __p1_138); \ + __ret_138 = __builtin_shufflevector(__ret_138, __ret_138, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_138; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_lane_bf16(__p0_139, __p1_139) __extension__ ({ \ + bfloat16x4_t __ret_139; \ + bfloat16x4_t __s0_139 = __p0_139; \ + __ret_139 = splat_lane_bf16(__s0_139, __p1_139); \ + __ret_139; \ +}) +#else +#define vdup_lane_bf16(__p0_140, __p1_140) __extension__ ({ \ + bfloat16x4_t __ret_140; \ + bfloat16x4_t __s0_140 = __p0_140; \ + bfloat16x4_t __rev0_140; __rev0_140 = __builtin_shufflevector(__s0_140, __s0_140, 3, 2, 1, 0); \ + __ret_140 = __noswap_splat_lane_bf16(__rev0_140, __p1_140); \ + __ret_140 = __builtin_shufflevector(__ret_140, __ret_140, 3, 2, 1, 0); \ + __ret_140; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vduph_laneq_bf16(__p0, __p1) __extension__ ({ \ + bfloat16_t __ret; \ + bfloat16x8_t __s0 = __p0; \ + __ret = (bfloat16_t) __builtin_neon_vduph_laneq_bf16((bfloat16x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vduph_laneq_bf16(__p0, __p1) __extension__ ({ \ + bfloat16_t __ret; \ + bfloat16x8_t __s0 = __p0; \ + bfloat16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (bfloat16_t) __builtin_neon_vduph_laneq_bf16((bfloat16x8_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_bf16(__p0_141, __p1_141) __extension__ ({ \ + bfloat16x8_t __ret_141; \ + bfloat16x8_t __s0_141 = __p0_141; \ + __ret_141 = splatq_laneq_bf16(__s0_141, __p1_141); \ + __ret_141; \ +}) +#else +#define vdupq_laneq_bf16(__p0_142, __p1_142) __extension__ ({ \ + bfloat16x8_t __ret_142; \ + bfloat16x8_t __s0_142 = __p0_142; \ + bfloat16x8_t __rev0_142; __rev0_142 = __builtin_shufflevector(__s0_142, __s0_142, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_142 = __noswap_splatq_laneq_bf16(__rev0_142, __p1_142); \ + __ret_142 = __builtin_shufflevector(__ret_142, __ret_142, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_142; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_bf16(__p0_143, __p1_143) __extension__ ({ \ + bfloat16x4_t __ret_143; \ + bfloat16x8_t __s0_143 = __p0_143; \ + __ret_143 = splat_laneq_bf16(__s0_143, __p1_143); \ + __ret_143; \ +}) +#else +#define vdup_laneq_bf16(__p0_144, __p1_144) __extension__ ({ \ + bfloat16x4_t __ret_144; \ + bfloat16x8_t __s0_144 = __p0_144; \ + bfloat16x8_t __rev0_144; __rev0_144 = __builtin_shufflevector(__s0_144, __s0_144, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_144 = __noswap_splat_laneq_bf16(__rev0_144, __p1_144); \ + __ret_144 = __builtin_shufflevector(__ret_144, __ret_144, 3, 2, 1, 0); \ + __ret_144; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x8_t vdupq_n_bf16(bfloat16_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x8_t vdupq_n_bf16(bfloat16_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t) {__p0, __p0, __p0, __p0, __p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x4_t vdup_n_bf16(bfloat16_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t) {__p0, __p0, __p0, __p0}; + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x4_t vdup_n_bf16(bfloat16_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t) {__p0, __p0, __p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x4_t vget_high_bf16(bfloat16x8_t __p0) { + bfloat16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 4, 5, 6, 7); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x4_t vget_high_bf16(bfloat16x8_t __p0) { + bfloat16x4_t __ret; + bfloat16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 4, 5, 6, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t __noswap_vget_high_bf16(bfloat16x8_t __p0) { + bfloat16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 4, 5, 6, 7); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16_t __ret; \ + bfloat16x8_t __s0 = __p0; \ + __ret = (bfloat16_t) __builtin_neon_vgetq_lane_bf16((bfloat16x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16_t __ret; \ + bfloat16x8_t __s0 = __p0; \ + bfloat16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (bfloat16_t) __builtin_neon_vgetq_lane_bf16((bfloat16x8_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16_t __ret; \ + bfloat16x8_t __s0 = __p0; \ + __ret = (bfloat16_t) __builtin_neon_vgetq_lane_bf16((bfloat16x8_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vget_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16_t __ret; \ + bfloat16x4_t __s0 = __p0; \ + __ret = (bfloat16_t) __builtin_neon_vget_lane_bf16((bfloat16x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vget_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16_t __ret; \ + bfloat16x4_t __s0 = __p0; \ + bfloat16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (bfloat16_t) __builtin_neon_vget_lane_bf16((bfloat16x4_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vget_lane_bf16(__p0, __p1) __extension__ ({ \ + bfloat16_t __ret; \ + bfloat16x4_t __s0 = __p0; \ + __ret = (bfloat16_t) __builtin_neon_vget_lane_bf16((bfloat16x4_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x4_t vget_low_bf16(bfloat16x8_t __p0) { + bfloat16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0, 1, 2, 3); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x4_t vget_low_bf16(bfloat16x8_t __p0) { + bfloat16x4_t __ret; + bfloat16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0, 1, 2, 3); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t __noswap_vget_low_bf16(bfloat16x8_t __p0) { + bfloat16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0, 1, 2, 3); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_bf16(__p0) __extension__ ({ \ + bfloat16x8_t __ret; \ + __ret = (bfloat16x8_t) __builtin_neon_vld1q_bf16(__p0, 43); \ + __ret; \ +}) +#else +#define vld1q_bf16(__p0) __extension__ ({ \ + bfloat16x8_t __ret; \ + __ret = (bfloat16x8_t) __builtin_neon_vld1q_bf16(__p0, 43); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_bf16(__p0) __extension__ ({ \ + bfloat16x4_t __ret; \ + __ret = (bfloat16x4_t) __builtin_neon_vld1_bf16(__p0, 11); \ + __ret; \ +}) +#else +#define vld1_bf16(__p0) __extension__ ({ \ + bfloat16x4_t __ret; \ + __ret = (bfloat16x4_t) __builtin_neon_vld1_bf16(__p0, 11); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_bf16(__p0) __extension__ ({ \ + bfloat16x8_t __ret; \ + __ret = (bfloat16x8_t) __builtin_neon_vld1q_dup_bf16(__p0, 43); \ + __ret; \ +}) +#else +#define vld1q_dup_bf16(__p0) __extension__ ({ \ + bfloat16x8_t __ret; \ + __ret = (bfloat16x8_t) __builtin_neon_vld1q_dup_bf16(__p0, 43); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_dup_bf16(__p0) __extension__ ({ \ + bfloat16x4_t __ret; \ + __ret = (bfloat16x4_t) __builtin_neon_vld1_dup_bf16(__p0, 11); \ + __ret; \ +}) +#else +#define vld1_dup_bf16(__p0) __extension__ ({ \ + bfloat16x4_t __ret; \ + __ret = (bfloat16x4_t) __builtin_neon_vld1_dup_bf16(__p0, 11); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8_t __ret; \ + bfloat16x8_t __s1 = __p1; \ + __ret = (bfloat16x8_t) __builtin_neon_vld1q_lane_bf16(__p0, (int8x16_t)__s1, __p2, 43); \ + __ret; \ +}) +#else +#define vld1q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8_t __ret; \ + bfloat16x8_t __s1 = __p1; \ + bfloat16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (bfloat16x8_t) __builtin_neon_vld1q_lane_bf16(__p0, (int8x16_t)__rev1, __p2, 43); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4_t __ret; \ + bfloat16x4_t __s1 = __p1; \ + __ret = (bfloat16x4_t) __builtin_neon_vld1_lane_bf16(__p0, (int8x8_t)__s1, __p2, 11); \ + __ret; \ +}) +#else +#define vld1_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4_t __ret; \ + bfloat16x4_t __s1 = __p1; \ + bfloat16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (bfloat16x4_t) __builtin_neon_vld1_lane_bf16(__p0, (int8x8_t)__rev1, __p2, 11); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_bf16_x2(__p0) __extension__ ({ \ + bfloat16x8x2_t __ret; \ + __builtin_neon_vld1q_bf16_x2(&__ret, __p0, 43); \ + __ret; \ +}) +#else +#define vld1q_bf16_x2(__p0) __extension__ ({ \ + bfloat16x8x2_t __ret; \ + __builtin_neon_vld1q_bf16_x2(&__ret, __p0, 43); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_bf16_x2(__p0) __extension__ ({ \ + bfloat16x4x2_t __ret; \ + __builtin_neon_vld1_bf16_x2(&__ret, __p0, 11); \ + __ret; \ +}) +#else +#define vld1_bf16_x2(__p0) __extension__ ({ \ + bfloat16x4x2_t __ret; \ + __builtin_neon_vld1_bf16_x2(&__ret, __p0, 11); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_bf16_x3(__p0) __extension__ ({ \ + bfloat16x8x3_t __ret; \ + __builtin_neon_vld1q_bf16_x3(&__ret, __p0, 43); \ + __ret; \ +}) +#else +#define vld1q_bf16_x3(__p0) __extension__ ({ \ + bfloat16x8x3_t __ret; \ + __builtin_neon_vld1q_bf16_x3(&__ret, __p0, 43); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_bf16_x3(__p0) __extension__ ({ \ + bfloat16x4x3_t __ret; \ + __builtin_neon_vld1_bf16_x3(&__ret, __p0, 11); \ + __ret; \ +}) +#else +#define vld1_bf16_x3(__p0) __extension__ ({ \ + bfloat16x4x3_t __ret; \ + __builtin_neon_vld1_bf16_x3(&__ret, __p0, 11); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_bf16_x4(__p0) __extension__ ({ \ + bfloat16x8x4_t __ret; \ + __builtin_neon_vld1q_bf16_x4(&__ret, __p0, 43); \ + __ret; \ +}) +#else +#define vld1q_bf16_x4(__p0) __extension__ ({ \ + bfloat16x8x4_t __ret; \ + __builtin_neon_vld1q_bf16_x4(&__ret, __p0, 43); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_bf16_x4(__p0) __extension__ ({ \ + bfloat16x4x4_t __ret; \ + __builtin_neon_vld1_bf16_x4(&__ret, __p0, 11); \ + __ret; \ +}) +#else +#define vld1_bf16_x4(__p0) __extension__ ({ \ + bfloat16x4x4_t __ret; \ + __builtin_neon_vld1_bf16_x4(&__ret, __p0, 11); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_bf16(__p0) __extension__ ({ \ + bfloat16x8x2_t __ret; \ + __builtin_neon_vld2q_bf16(&__ret, __p0, 43); \ + __ret; \ +}) +#else +#define vld2q_bf16(__p0) __extension__ ({ \ + bfloat16x8x2_t __ret; \ + __builtin_neon_vld2q_bf16(&__ret, __p0, 43); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_bf16(__p0) __extension__ ({ \ + bfloat16x4x2_t __ret; \ + __builtin_neon_vld2_bf16(&__ret, __p0, 11); \ + __ret; \ +}) +#else +#define vld2_bf16(__p0) __extension__ ({ \ + bfloat16x4x2_t __ret; \ + __builtin_neon_vld2_bf16(&__ret, __p0, 11); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_bf16(__p0) __extension__ ({ \ + bfloat16x8x2_t __ret; \ + __builtin_neon_vld2q_dup_bf16(&__ret, __p0, 43); \ + __ret; \ +}) +#else +#define vld2q_dup_bf16(__p0) __extension__ ({ \ + bfloat16x8x2_t __ret; \ + __builtin_neon_vld2q_dup_bf16(&__ret, __p0, 43); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_dup_bf16(__p0) __extension__ ({ \ + bfloat16x4x2_t __ret; \ + __builtin_neon_vld2_dup_bf16(&__ret, __p0, 11); \ + __ret; \ +}) +#else +#define vld2_dup_bf16(__p0) __extension__ ({ \ + bfloat16x4x2_t __ret; \ + __builtin_neon_vld2_dup_bf16(&__ret, __p0, 11); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8x2_t __ret; \ + bfloat16x8x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_bf16(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 43); \ + __ret; \ +}) +#else +#define vld2q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8x2_t __ret; \ + bfloat16x8x2_t __s1 = __p1; \ + bfloat16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld2q_lane_bf16(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 43); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4x2_t __ret; \ + bfloat16x4x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_bf16(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 11); \ + __ret; \ +}) +#else +#define vld2_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4x2_t __ret; \ + bfloat16x4x2_t __s1 = __p1; \ + bfloat16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vld2_lane_bf16(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 11); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_bf16(__p0) __extension__ ({ \ + bfloat16x8x3_t __ret; \ + __builtin_neon_vld3q_bf16(&__ret, __p0, 43); \ + __ret; \ +}) +#else +#define vld3q_bf16(__p0) __extension__ ({ \ + bfloat16x8x3_t __ret; \ + __builtin_neon_vld3q_bf16(&__ret, __p0, 43); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_bf16(__p0) __extension__ ({ \ + bfloat16x4x3_t __ret; \ + __builtin_neon_vld3_bf16(&__ret, __p0, 11); \ + __ret; \ +}) +#else +#define vld3_bf16(__p0) __extension__ ({ \ + bfloat16x4x3_t __ret; \ + __builtin_neon_vld3_bf16(&__ret, __p0, 11); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_bf16(__p0) __extension__ ({ \ + bfloat16x8x3_t __ret; \ + __builtin_neon_vld3q_dup_bf16(&__ret, __p0, 43); \ + __ret; \ +}) +#else +#define vld3q_dup_bf16(__p0) __extension__ ({ \ + bfloat16x8x3_t __ret; \ + __builtin_neon_vld3q_dup_bf16(&__ret, __p0, 43); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_dup_bf16(__p0) __extension__ ({ \ + bfloat16x4x3_t __ret; \ + __builtin_neon_vld3_dup_bf16(&__ret, __p0, 11); \ + __ret; \ +}) +#else +#define vld3_dup_bf16(__p0) __extension__ ({ \ + bfloat16x4x3_t __ret; \ + __builtin_neon_vld3_dup_bf16(&__ret, __p0, 11); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8x3_t __ret; \ + bfloat16x8x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_bf16(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 43); \ + __ret; \ +}) +#else +#define vld3q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8x3_t __ret; \ + bfloat16x8x3_t __s1 = __p1; \ + bfloat16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld3q_lane_bf16(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 43); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4x3_t __ret; \ + bfloat16x4x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_bf16(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 11); \ + __ret; \ +}) +#else +#define vld3_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4x3_t __ret; \ + bfloat16x4x3_t __s1 = __p1; \ + bfloat16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vld3_lane_bf16(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 11); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_bf16(__p0) __extension__ ({ \ + bfloat16x8x4_t __ret; \ + __builtin_neon_vld4q_bf16(&__ret, __p0, 43); \ + __ret; \ +}) +#else +#define vld4q_bf16(__p0) __extension__ ({ \ + bfloat16x8x4_t __ret; \ + __builtin_neon_vld4q_bf16(&__ret, __p0, 43); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_bf16(__p0) __extension__ ({ \ + bfloat16x4x4_t __ret; \ + __builtin_neon_vld4_bf16(&__ret, __p0, 11); \ + __ret; \ +}) +#else +#define vld4_bf16(__p0) __extension__ ({ \ + bfloat16x4x4_t __ret; \ + __builtin_neon_vld4_bf16(&__ret, __p0, 11); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_bf16(__p0) __extension__ ({ \ + bfloat16x8x4_t __ret; \ + __builtin_neon_vld4q_dup_bf16(&__ret, __p0, 43); \ + __ret; \ +}) +#else +#define vld4q_dup_bf16(__p0) __extension__ ({ \ + bfloat16x8x4_t __ret; \ + __builtin_neon_vld4q_dup_bf16(&__ret, __p0, 43); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_dup_bf16(__p0) __extension__ ({ \ + bfloat16x4x4_t __ret; \ + __builtin_neon_vld4_dup_bf16(&__ret, __p0, 11); \ + __ret; \ +}) +#else +#define vld4_dup_bf16(__p0) __extension__ ({ \ + bfloat16x4x4_t __ret; \ + __builtin_neon_vld4_dup_bf16(&__ret, __p0, 11); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8x4_t __ret; \ + bfloat16x8x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_bf16(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 43); \ + __ret; \ +}) +#else +#define vld4q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8x4_t __ret; \ + bfloat16x8x4_t __s1 = __p1; \ + bfloat16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld4q_lane_bf16(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 43); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4x4_t __ret; \ + bfloat16x4x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_bf16(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 11); \ + __ret; \ +}) +#else +#define vld4_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4x4_t __ret; \ + bfloat16x4x4_t __s1 = __p1; \ + bfloat16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vld4_lane_bf16(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 11); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8_t __ret; \ + bfloat16_t __s0 = __p0; \ + bfloat16x8_t __s1 = __p1; \ + __ret = (bfloat16x8_t) __builtin_neon_vsetq_lane_bf16(__s0, (bfloat16x8_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8_t __ret; \ + bfloat16_t __s0 = __p0; \ + bfloat16x8_t __s1 = __p1; \ + bfloat16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (bfloat16x8_t) __builtin_neon_vsetq_lane_bf16(__s0, (bfloat16x8_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8_t __ret; \ + bfloat16_t __s0 = __p0; \ + bfloat16x8_t __s1 = __p1; \ + __ret = (bfloat16x8_t) __builtin_neon_vsetq_lane_bf16(__s0, (bfloat16x8_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vset_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4_t __ret; \ + bfloat16_t __s0 = __p0; \ + bfloat16x4_t __s1 = __p1; \ + __ret = (bfloat16x4_t) __builtin_neon_vset_lane_bf16(__s0, (bfloat16x4_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vset_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4_t __ret; \ + bfloat16_t __s0 = __p0; \ + bfloat16x4_t __s1 = __p1; \ + bfloat16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (bfloat16x4_t) __builtin_neon_vset_lane_bf16(__s0, (bfloat16x4_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vset_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4_t __ret; \ + bfloat16_t __s0 = __p0; \ + bfloat16x4_t __s1 = __p1; \ + __ret = (bfloat16x4_t) __builtin_neon_vset_lane_bf16(__s0, (bfloat16x4_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8_t __s1 = __p1; \ + __builtin_neon_vst1q_bf16(__p0, (int8x16_t)__s1, 43); \ +}) +#else +#define vst1q_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8_t __s1 = __p1; \ + bfloat16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_bf16(__p0, (int8x16_t)__rev1, 43); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4_t __s1 = __p1; \ + __builtin_neon_vst1_bf16(__p0, (int8x8_t)__s1, 11); \ +}) +#else +#define vst1_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4_t __s1 = __p1; \ + bfloat16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1_bf16(__p0, (int8x8_t)__rev1, 11); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_bf16(__p0, (int8x16_t)__s1, __p2, 43); \ +}) +#else +#define vst1q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8_t __s1 = __p1; \ + bfloat16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_lane_bf16(__p0, (int8x16_t)__rev1, __p2, 43); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4_t __s1 = __p1; \ + __builtin_neon_vst1_lane_bf16(__p0, (int8x8_t)__s1, __p2, 11); \ +}) +#else +#define vst1_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4_t __s1 = __p1; \ + bfloat16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1_lane_bf16(__p0, (int8x8_t)__rev1, __p2, 11); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_bf16_x2(__p0, __p1) __extension__ ({ \ + bfloat16x8x2_t __s1 = __p1; \ + __builtin_neon_vst1q_bf16_x2(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 43); \ +}) +#else +#define vst1q_bf16_x2(__p0, __p1) __extension__ ({ \ + bfloat16x8x2_t __s1 = __p1; \ + bfloat16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_bf16_x2(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 43); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_bf16_x2(__p0, __p1) __extension__ ({ \ + bfloat16x4x2_t __s1 = __p1; \ + __builtin_neon_vst1_bf16_x2(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 11); \ +}) +#else +#define vst1_bf16_x2(__p0, __p1) __extension__ ({ \ + bfloat16x4x2_t __s1 = __p1; \ + bfloat16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst1_bf16_x2(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 11); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_bf16_x3(__p0, __p1) __extension__ ({ \ + bfloat16x8x3_t __s1 = __p1; \ + __builtin_neon_vst1q_bf16_x3(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 43); \ +}) +#else +#define vst1q_bf16_x3(__p0, __p1) __extension__ ({ \ + bfloat16x8x3_t __s1 = __p1; \ + bfloat16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_bf16_x3(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 43); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_bf16_x3(__p0, __p1) __extension__ ({ \ + bfloat16x4x3_t __s1 = __p1; \ + __builtin_neon_vst1_bf16_x3(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 11); \ +}) +#else +#define vst1_bf16_x3(__p0, __p1) __extension__ ({ \ + bfloat16x4x3_t __s1 = __p1; \ + bfloat16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst1_bf16_x3(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 11); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_bf16_x4(__p0, __p1) __extension__ ({ \ + bfloat16x8x4_t __s1 = __p1; \ + __builtin_neon_vst1q_bf16_x4(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 43); \ +}) +#else +#define vst1q_bf16_x4(__p0, __p1) __extension__ ({ \ + bfloat16x8x4_t __s1 = __p1; \ + bfloat16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_bf16_x4(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 43); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_bf16_x4(__p0, __p1) __extension__ ({ \ + bfloat16x4x4_t __s1 = __p1; \ + __builtin_neon_vst1_bf16_x4(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 11); \ +}) +#else +#define vst1_bf16_x4(__p0, __p1) __extension__ ({ \ + bfloat16x4x4_t __s1 = __p1; \ + bfloat16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst1_bf16_x4(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 11); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8x2_t __s1 = __p1; \ + __builtin_neon_vst2q_bf16(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 43); \ +}) +#else +#define vst2q_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8x2_t __s1 = __p1; \ + bfloat16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_bf16(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 43); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4x2_t __s1 = __p1; \ + __builtin_neon_vst2_bf16(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 11); \ +}) +#else +#define vst2_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4x2_t __s1 = __p1; \ + bfloat16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2_bf16(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 11); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_bf16(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 43); \ +}) +#else +#define vst2q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8x2_t __s1 = __p1; \ + bfloat16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_lane_bf16(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 43); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_bf16(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 11); \ +}) +#else +#define vst2_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4x2_t __s1 = __p1; \ + bfloat16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2_lane_bf16(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 11); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8x3_t __s1 = __p1; \ + __builtin_neon_vst3q_bf16(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 43); \ +}) +#else +#define vst3q_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8x3_t __s1 = __p1; \ + bfloat16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_bf16(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 43); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4x3_t __s1 = __p1; \ + __builtin_neon_vst3_bf16(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 11); \ +}) +#else +#define vst3_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4x3_t __s1 = __p1; \ + bfloat16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3_bf16(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 11); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_bf16(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 43); \ +}) +#else +#define vst3q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8x3_t __s1 = __p1; \ + bfloat16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_lane_bf16(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 43); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_bf16(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 11); \ +}) +#else +#define vst3_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4x3_t __s1 = __p1; \ + bfloat16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3_lane_bf16(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 11); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8x4_t __s1 = __p1; \ + __builtin_neon_vst4q_bf16(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 43); \ +}) +#else +#define vst4q_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x8x4_t __s1 = __p1; \ + bfloat16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_bf16(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 43); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4x4_t __s1 = __p1; \ + __builtin_neon_vst4_bf16(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 11); \ +}) +#else +#define vst4_bf16(__p0, __p1) __extension__ ({ \ + bfloat16x4x4_t __s1 = __p1; \ + bfloat16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4_bf16(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 11); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_bf16(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 43); \ +}) +#else +#define vst4q_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x8x4_t __s1 = __p1; \ + bfloat16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_lane_bf16(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 43); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_bf16(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 11); \ +}) +#else +#define vst4_lane_bf16(__p0, __p1, __p2) __extension__ ({ \ + bfloat16x4x4_t __s1 = __p1; \ + bfloat16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4_lane_bf16(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 11); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("dotprod"))) uint32x4_t vdotq_u32(uint32x4_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vdotq_u32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#else +__ai __attribute__((target("dotprod"))) uint32x4_t vdotq_u32(uint32x4_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vdotq_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("dotprod"))) uint32x4_t __noswap_vdotq_u32(uint32x4_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vdotq_u32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("dotprod"))) int32x4_t vdotq_s32(int32x4_t __p0, int8x16_t __p1, int8x16_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vdotq_s32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#else +__ai __attribute__((target("dotprod"))) int32x4_t vdotq_s32(int32x4_t __p0, int8x16_t __p1, int8x16_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vdotq_s32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("dotprod"))) int32x4_t __noswap_vdotq_s32(int32x4_t __p0, int8x16_t __p1, int8x16_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vdotq_s32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("dotprod"))) uint32x2_t vdot_u32(uint32x2_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vdot_u32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 18); + return __ret; +} +#else +__ai __attribute__((target("dotprod"))) uint32x2_t vdot_u32(uint32x2_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vdot_u32((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("dotprod"))) uint32x2_t __noswap_vdot_u32(uint32x2_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vdot_u32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 18); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("dotprod"))) int32x2_t vdot_s32(int32x2_t __p0, int8x8_t __p1, int8x8_t __p2) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vdot_s32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 2); + return __ret; +} +#else +__ai __attribute__((target("dotprod"))) int32x2_t vdot_s32(int32x2_t __p0, int8x8_t __p1, int8x8_t __p2) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int32x2_t) __builtin_neon_vdot_s32((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("dotprod"))) int32x2_t __noswap_vdot_s32(int32x2_t __p0, int8x8_t __p1, int8x8_t __p2) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vdot_s32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdotq_lane_u32(__p0_145, __p1_145, __p2_145, __p3_145) __extension__ ({ \ + uint32x4_t __ret_145; \ + uint32x4_t __s0_145 = __p0_145; \ + uint8x16_t __s1_145 = __p1_145; \ + uint8x8_t __s2_145 = __p2_145; \ +uint8x8_t __reint_145 = __s2_145; \ +uint32x4_t __reint1_145 = splatq_lane_u32(*(uint32x2_t *) &__reint_145, __p3_145); \ + __ret_145 = vdotq_u32(__s0_145, __s1_145, *(uint8x16_t *) &__reint1_145); \ + __ret_145; \ +}) +#else +#define vdotq_lane_u32(__p0_146, __p1_146, __p2_146, __p3_146) __extension__ ({ \ + uint32x4_t __ret_146; \ + uint32x4_t __s0_146 = __p0_146; \ + uint8x16_t __s1_146 = __p1_146; \ + uint8x8_t __s2_146 = __p2_146; \ + uint32x4_t __rev0_146; __rev0_146 = __builtin_shufflevector(__s0_146, __s0_146, 3, 2, 1, 0); \ + uint8x16_t __rev1_146; __rev1_146 = __builtin_shufflevector(__s1_146, __s1_146, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x8_t __rev2_146; __rev2_146 = __builtin_shufflevector(__s2_146, __s2_146, 7, 6, 5, 4, 3, 2, 1, 0); \ +uint8x8_t __reint_146 = __rev2_146; \ +uint32x4_t __reint1_146 = __noswap_splatq_lane_u32(*(uint32x2_t *) &__reint_146, __p3_146); \ + __ret_146 = __noswap_vdotq_u32(__rev0_146, __rev1_146, *(uint8x16_t *) &__reint1_146); \ + __ret_146 = __builtin_shufflevector(__ret_146, __ret_146, 3, 2, 1, 0); \ + __ret_146; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdotq_lane_s32(__p0_147, __p1_147, __p2_147, __p3_147) __extension__ ({ \ + int32x4_t __ret_147; \ + int32x4_t __s0_147 = __p0_147; \ + int8x16_t __s1_147 = __p1_147; \ + int8x8_t __s2_147 = __p2_147; \ +int8x8_t __reint_147 = __s2_147; \ +int32x4_t __reint1_147 = splatq_lane_s32(*(int32x2_t *) &__reint_147, __p3_147); \ + __ret_147 = vdotq_s32(__s0_147, __s1_147, *(int8x16_t *) &__reint1_147); \ + __ret_147; \ +}) +#else +#define vdotq_lane_s32(__p0_148, __p1_148, __p2_148, __p3_148) __extension__ ({ \ + int32x4_t __ret_148; \ + int32x4_t __s0_148 = __p0_148; \ + int8x16_t __s1_148 = __p1_148; \ + int8x8_t __s2_148 = __p2_148; \ + int32x4_t __rev0_148; __rev0_148 = __builtin_shufflevector(__s0_148, __s0_148, 3, 2, 1, 0); \ + int8x16_t __rev1_148; __rev1_148 = __builtin_shufflevector(__s1_148, __s1_148, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x8_t __rev2_148; __rev2_148 = __builtin_shufflevector(__s2_148, __s2_148, 7, 6, 5, 4, 3, 2, 1, 0); \ +int8x8_t __reint_148 = __rev2_148; \ +int32x4_t __reint1_148 = __noswap_splatq_lane_s32(*(int32x2_t *) &__reint_148, __p3_148); \ + __ret_148 = __noswap_vdotq_s32(__rev0_148, __rev1_148, *(int8x16_t *) &__reint1_148); \ + __ret_148 = __builtin_shufflevector(__ret_148, __ret_148, 3, 2, 1, 0); \ + __ret_148; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdot_lane_u32(__p0_149, __p1_149, __p2_149, __p3_149) __extension__ ({ \ + uint32x2_t __ret_149; \ + uint32x2_t __s0_149 = __p0_149; \ + uint8x8_t __s1_149 = __p1_149; \ + uint8x8_t __s2_149 = __p2_149; \ +uint8x8_t __reint_149 = __s2_149; \ +uint32x2_t __reint1_149 = splat_lane_u32(*(uint32x2_t *) &__reint_149, __p3_149); \ + __ret_149 = vdot_u32(__s0_149, __s1_149, *(uint8x8_t *) &__reint1_149); \ + __ret_149; \ +}) +#else +#define vdot_lane_u32(__p0_150, __p1_150, __p2_150, __p3_150) __extension__ ({ \ + uint32x2_t __ret_150; \ + uint32x2_t __s0_150 = __p0_150; \ + uint8x8_t __s1_150 = __p1_150; \ + uint8x8_t __s2_150 = __p2_150; \ + uint32x2_t __rev0_150; __rev0_150 = __builtin_shufflevector(__s0_150, __s0_150, 1, 0); \ + uint8x8_t __rev1_150; __rev1_150 = __builtin_shufflevector(__s1_150, __s1_150, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x8_t __rev2_150; __rev2_150 = __builtin_shufflevector(__s2_150, __s2_150, 7, 6, 5, 4, 3, 2, 1, 0); \ +uint8x8_t __reint_150 = __rev2_150; \ +uint32x2_t __reint1_150 = __noswap_splat_lane_u32(*(uint32x2_t *) &__reint_150, __p3_150); \ + __ret_150 = __noswap_vdot_u32(__rev0_150, __rev1_150, *(uint8x8_t *) &__reint1_150); \ + __ret_150 = __builtin_shufflevector(__ret_150, __ret_150, 1, 0); \ + __ret_150; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdot_lane_s32(__p0_151, __p1_151, __p2_151, __p3_151) __extension__ ({ \ + int32x2_t __ret_151; \ + int32x2_t __s0_151 = __p0_151; \ + int8x8_t __s1_151 = __p1_151; \ + int8x8_t __s2_151 = __p2_151; \ +int8x8_t __reint_151 = __s2_151; \ +int32x2_t __reint1_151 = splat_lane_s32(*(int32x2_t *) &__reint_151, __p3_151); \ + __ret_151 = vdot_s32(__s0_151, __s1_151, *(int8x8_t *) &__reint1_151); \ + __ret_151; \ +}) +#else +#define vdot_lane_s32(__p0_152, __p1_152, __p2_152, __p3_152) __extension__ ({ \ + int32x2_t __ret_152; \ + int32x2_t __s0_152 = __p0_152; \ + int8x8_t __s1_152 = __p1_152; \ + int8x8_t __s2_152 = __p2_152; \ + int32x2_t __rev0_152; __rev0_152 = __builtin_shufflevector(__s0_152, __s0_152, 1, 0); \ + int8x8_t __rev1_152; __rev1_152 = __builtin_shufflevector(__s1_152, __s1_152, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x8_t __rev2_152; __rev2_152 = __builtin_shufflevector(__s2_152, __s2_152, 7, 6, 5, 4, 3, 2, 1, 0); \ +int8x8_t __reint_152 = __rev2_152; \ +int32x2_t __reint1_152 = __noswap_splat_lane_s32(*(int32x2_t *) &__reint_152, __p3_152); \ + __ret_152 = __noswap_vdot_s32(__rev0_152, __rev1_152, *(int8x8_t *) &__reint1_152); \ + __ret_152 = __builtin_shufflevector(__ret_152, __ret_152, 1, 0); \ + __ret_152; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vabdq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vabdq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vabdq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vabdq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vabd_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vabd_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vabd_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vabd_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vabsq_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vabsq_f16((int8x16_t)__p0, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vabsq_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vabsq_f16((int8x16_t)__rev0, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vabs_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vabs_f16((int8x8_t)__p0, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vabs_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vabs_f16((int8x8_t)__rev0, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vaddq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vaddq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vadd_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vadd_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vbslq_f16(uint16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vbslq_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vbslq_f16(uint16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vbslq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vbsl_f16(uint16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vbsl_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vbsl_f16(uint16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vbsl_f16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcageq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcageq_f16((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcageq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcageq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcage_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcage_f16((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcage_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcage_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcagtq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcagtq_f16((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcagtq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcagtq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcagt_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcagt_f16((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcagt_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcagt_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcaleq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcaleq_f16((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcaleq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcaleq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcale_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcale_f16((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcale_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcale_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcaltq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcaltq_f16((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcaltq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcaltq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcalt_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcalt_f16((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcalt_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcalt_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vceqq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 == __p1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vceqq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vceq_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 == __p1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vceq_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vceqzq_f16(float16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vceqzq_f16((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vceqzq_f16(float16x8_t __p0) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vceqzq_f16((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vceqz_f16(float16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vceqz_f16((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vceqz_f16(float16x4_t __p0) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vceqz_f16((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcgeq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 >= __p1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcgeq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcge_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 >= __p1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcge_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcgezq_f16(float16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcgezq_f16((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcgezq_f16(float16x8_t __p0) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcgezq_f16((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcgez_f16(float16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcgez_f16((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcgez_f16(float16x4_t __p0) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcgez_f16((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcgtq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 > __p1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcgtq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcgt_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 > __p1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcgt_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcgtzq_f16(float16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcgtzq_f16((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcgtzq_f16(float16x8_t __p0) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcgtzq_f16((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcgtz_f16(float16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcgtz_f16((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcgtz_f16(float16x4_t __p0) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcgtz_f16((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcleq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 <= __p1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcleq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcle_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 <= __p1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcle_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vclezq_f16(float16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vclezq_f16((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vclezq_f16(float16x8_t __p0) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vclezq_f16((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vclez_f16(float16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vclez_f16((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vclez_f16(float16x4_t __p0) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vclez_f16((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcltq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0 < __p1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcltq_f16(float16x8_t __p0, float16x8_t __p1) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vclt_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0 < __p1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vclt_f16(float16x4_t __p0, float16x4_t __p1) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcltzq_f16(float16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcltzq_f16((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcltzq_f16(float16x8_t __p0) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcltzq_f16((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcltz_f16(float16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcltz_f16((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcltz_f16(float16x4_t __p0) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcltz_f16((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vcvtq_f16_u16(uint16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vcvtq_f16_u16((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vcvtq_f16_u16(uint16x8_t __p0) { + float16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vcvtq_f16_u16((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vcvtq_f16_s16(int16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vcvtq_f16_s16((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vcvtq_f16_s16(int16x8_t __p0) { + float16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vcvtq_f16_s16((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vcvt_f16_u16(uint16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcvt_f16_u16((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vcvt_f16_u16(uint16x4_t __p0) { + float16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vcvt_f16_u16((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vcvt_f16_s16(int16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcvt_f16_s16((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vcvt_f16_s16(int16x4_t __p0) { + float16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vcvt_f16_s16((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvtq_n_f16_u16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (float16x8_t) __builtin_neon_vcvtq_n_f16_u16((int8x16_t)__s0, __p1, 49); \ + __ret; \ +}) +#else +#define vcvtq_n_f16_u16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16x8_t) __builtin_neon_vcvtq_n_f16_u16((int8x16_t)__rev0, __p1, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvtq_n_f16_s16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (float16x8_t) __builtin_neon_vcvtq_n_f16_s16((int8x16_t)__s0, __p1, 33); \ + __ret; \ +}) +#else +#define vcvtq_n_f16_s16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16x8_t) __builtin_neon_vcvtq_n_f16_s16((int8x16_t)__rev0, __p1, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvt_n_f16_u16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (float16x4_t) __builtin_neon_vcvt_n_f16_u16((int8x8_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vcvt_n_f16_u16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float16x4_t) __builtin_neon_vcvt_n_f16_u16((int8x8_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvt_n_f16_s16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (float16x4_t) __builtin_neon_vcvt_n_f16_s16((int8x8_t)__s0, __p1, 1); \ + __ret; \ +}) +#else +#define vcvt_n_f16_s16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float16x4_t) __builtin_neon_vcvt_n_f16_s16((int8x8_t)__rev0, __p1, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvtq_n_s16_f16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + __ret = (int16x8_t) __builtin_neon_vcvtq_n_s16_f16((int8x16_t)__s0, __p1, 33); \ + __ret; \ +}) +#else +#define vcvtq_n_s16_f16(__p0, __p1) __extension__ ({ \ + int16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vcvtq_n_s16_f16((int8x16_t)__rev0, __p1, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvt_n_s16_f16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + __ret = (int16x4_t) __builtin_neon_vcvt_n_s16_f16((int8x8_t)__s0, __p1, 1); \ + __ret; \ +}) +#else +#define vcvt_n_s16_f16(__p0, __p1) __extension__ ({ \ + int16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vcvt_n_s16_f16((int8x8_t)__rev0, __p1, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvtq_n_u16_f16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + __ret = (uint16x8_t) __builtin_neon_vcvtq_n_u16_f16((int8x16_t)__s0, __p1, 49); \ + __ret; \ +}) +#else +#define vcvtq_n_u16_f16(__p0, __p1) __extension__ ({ \ + uint16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16x8_t) __builtin_neon_vcvtq_n_u16_f16((int8x16_t)__rev0, __p1, 49); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvt_n_u16_f16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + __ret = (uint16x4_t) __builtin_neon_vcvt_n_u16_f16((int8x8_t)__s0, __p1, 17); \ + __ret; \ +}) +#else +#define vcvt_n_u16_f16(__p0, __p1) __extension__ ({ \ + uint16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16x4_t) __builtin_neon_vcvt_n_u16_f16((int8x8_t)__rev0, __p1, 17); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) int16x8_t vcvtq_s16_f16(float16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vcvtq_s16_f16((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) int16x8_t vcvtq_s16_f16(float16x8_t __p0) { + int16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vcvtq_s16_f16((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) int16x4_t vcvt_s16_f16(float16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vcvt_s16_f16((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) int16x4_t vcvt_s16_f16(float16x4_t __p0) { + int16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vcvt_s16_f16((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcvtq_u16_f16(float16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcvtq_u16_f16((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcvtq_u16_f16(float16x8_t __p0) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcvtq_u16_f16((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcvt_u16_f16(float16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcvt_u16_f16((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcvt_u16_f16(float16x4_t __p0) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcvt_u16_f16((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) int16x8_t vcvtaq_s16_f16(float16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vcvtaq_s16_f16((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) int16x8_t vcvtaq_s16_f16(float16x8_t __p0) { + int16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vcvtaq_s16_f16((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) int16x4_t vcvta_s16_f16(float16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vcvta_s16_f16((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) int16x4_t vcvta_s16_f16(float16x4_t __p0) { + int16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vcvta_s16_f16((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcvtaq_u16_f16(float16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcvtaq_u16_f16((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcvtaq_u16_f16(float16x8_t __p0) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcvtaq_u16_f16((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcvta_u16_f16(float16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcvta_u16_f16((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcvta_u16_f16(float16x4_t __p0) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcvta_u16_f16((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) int16x8_t vcvtmq_s16_f16(float16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vcvtmq_s16_f16((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) int16x8_t vcvtmq_s16_f16(float16x8_t __p0) { + int16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vcvtmq_s16_f16((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) int16x4_t vcvtm_s16_f16(float16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vcvtm_s16_f16((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) int16x4_t vcvtm_s16_f16(float16x4_t __p0) { + int16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vcvtm_s16_f16((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcvtmq_u16_f16(float16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcvtmq_u16_f16((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcvtmq_u16_f16(float16x8_t __p0) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcvtmq_u16_f16((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcvtm_u16_f16(float16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcvtm_u16_f16((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcvtm_u16_f16(float16x4_t __p0) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcvtm_u16_f16((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) int16x8_t vcvtnq_s16_f16(float16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vcvtnq_s16_f16((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) int16x8_t vcvtnq_s16_f16(float16x8_t __p0) { + int16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vcvtnq_s16_f16((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) int16x4_t vcvtn_s16_f16(float16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vcvtn_s16_f16((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) int16x4_t vcvtn_s16_f16(float16x4_t __p0) { + int16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vcvtn_s16_f16((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcvtnq_u16_f16(float16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcvtnq_u16_f16((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcvtnq_u16_f16(float16x8_t __p0) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcvtnq_u16_f16((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcvtn_u16_f16(float16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcvtn_u16_f16((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcvtn_u16_f16(float16x4_t __p0) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcvtn_u16_f16((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) int16x8_t vcvtpq_s16_f16(float16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vcvtpq_s16_f16((int8x16_t)__p0, 33); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) int16x8_t vcvtpq_s16_f16(float16x8_t __p0) { + int16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vcvtpq_s16_f16((int8x16_t)__rev0, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) int16x4_t vcvtp_s16_f16(float16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vcvtp_s16_f16((int8x8_t)__p0, 1); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) int16x4_t vcvtp_s16_f16(float16x4_t __p0) { + int16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vcvtp_s16_f16((int8x8_t)__rev0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x8_t vcvtpq_u16_f16(float16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcvtpq_u16_f16((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x8_t vcvtpq_u16_f16(float16x8_t __p0) { + uint16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcvtpq_u16_f16((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) uint16x4_t vcvtp_u16_f16(float16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcvtp_u16_f16((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) uint16x4_t vcvtp_u16_f16(float16x4_t __p0) { + uint16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcvtp_u16_f16((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + __ret = (float16x8_t) __builtin_neon_vextq_f16((int8x16_t)__s0, (int8x16_t)__s1, __p2, 40); \ + __ret; \ +}) +#else +#define vextq_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16x8_t) __builtin_neon_vextq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 40); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vext_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + __ret = (float16x4_t) __builtin_neon_vext_f16((int8x8_t)__s0, (int8x8_t)__s1, __p2, 8); \ + __ret; \ +}) +#else +#define vext_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (float16x4_t) __builtin_neon_vext_f16((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 8); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vfmaq_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vfmaq_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vfmaq_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vfmaq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("fullfp16"))) float16x8_t __noswap_vfmaq_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vfmaq_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 40); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vfma_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vfma_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vfma_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vfma_f16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("fullfp16"))) float16x4_t __noswap_vfma_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vfma_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 8); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vfmsq_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + __ret = vfmaq_f16(__p0, -__p1, __p2); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vfmsq_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vfmaq_f16(__rev0, -__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vfms_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + __ret = vfma_f16(__p0, -__p1, __p2); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vfms_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vfma_f16(__rev0, -__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vmaxq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vmaxq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vmaxq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vmaxq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vmax_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vmax_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vmax_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vmax_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vminq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vminq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vminq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vminq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vmin_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vmin_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vmin_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vmin_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vmulq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vmulq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vmul_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vmul_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_lane_f16(__p0_153, __p1_153, __p2_153) __extension__ ({ \ + float16x8_t __ret_153; \ + float16x8_t __s0_153 = __p0_153; \ + float16x4_t __s1_153 = __p1_153; \ + __ret_153 = __s0_153 * splatq_lane_f16(__s1_153, __p2_153); \ + __ret_153; \ +}) +#else +#define vmulq_lane_f16(__p0_154, __p1_154, __p2_154) __extension__ ({ \ + float16x8_t __ret_154; \ + float16x8_t __s0_154 = __p0_154; \ + float16x4_t __s1_154 = __p1_154; \ + float16x8_t __rev0_154; __rev0_154 = __builtin_shufflevector(__s0_154, __s0_154, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x4_t __rev1_154; __rev1_154 = __builtin_shufflevector(__s1_154, __s1_154, 3, 2, 1, 0); \ + __ret_154 = __rev0_154 * __noswap_splatq_lane_f16(__rev1_154, __p2_154); \ + __ret_154 = __builtin_shufflevector(__ret_154, __ret_154, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_154; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_lane_f16(__p0_155, __p1_155, __p2_155) __extension__ ({ \ + float16x4_t __ret_155; \ + float16x4_t __s0_155 = __p0_155; \ + float16x4_t __s1_155 = __p1_155; \ + __ret_155 = __s0_155 * splat_lane_f16(__s1_155, __p2_155); \ + __ret_155; \ +}) +#else +#define vmul_lane_f16(__p0_156, __p1_156, __p2_156) __extension__ ({ \ + float16x4_t __ret_156; \ + float16x4_t __s0_156 = __p0_156; \ + float16x4_t __s1_156 = __p1_156; \ + float16x4_t __rev0_156; __rev0_156 = __builtin_shufflevector(__s0_156, __s0_156, 3, 2, 1, 0); \ + float16x4_t __rev1_156; __rev1_156 = __builtin_shufflevector(__s1_156, __s1_156, 3, 2, 1, 0); \ + __ret_156 = __rev0_156 * __noswap_splat_lane_f16(__rev1_156, __p2_156); \ + __ret_156 = __builtin_shufflevector(__ret_156, __ret_156, 3, 2, 1, 0); \ + __ret_156; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_n_f16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + __ret = __s0 * (float16x8_t) {__s1, __s1, __s1, __s1, __s1, __s1, __s1, __s1}; \ + __ret; \ +}) +#else +#define vmulq_n_f16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = __rev0 * (float16x8_t) {__s1, __s1, __s1, __s1, __s1, __s1, __s1, __s1}; \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_n_f16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + __ret = __s0 * (float16x4_t) {__s1, __s1, __s1, __s1}; \ + __ret; \ +}) +#else +#define vmul_n_f16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = __rev0 * (float16x4_t) {__s1, __s1, __s1, __s1}; \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vnegq_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = -__p0; + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vnegq_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = -__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vneg_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = -__p0; + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vneg_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = -__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vpadd_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vpadd_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vpadd_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vpadd_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vpmax_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vpmax_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vpmax_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vpmax_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vpmin_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vpmin_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vpmin_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vpmin_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vrecpeq_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vrecpeq_f16((int8x16_t)__p0, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vrecpeq_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vrecpeq_f16((int8x16_t)__rev0, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vrecpe_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vrecpe_f16((int8x8_t)__p0, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vrecpe_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vrecpe_f16((int8x8_t)__rev0, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vrecpsq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vrecpsq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vrecpsq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vrecpsq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vrecps_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vrecps_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vrecps_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vrecps_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vrev64q_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0, 7, 6, 5, 4); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vrev64q_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0, 7, 6, 5, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vrev64_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vrev64_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vrsqrteq_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vrsqrteq_f16((int8x16_t)__p0, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vrsqrteq_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vrsqrteq_f16((int8x16_t)__rev0, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vrsqrte_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vrsqrte_f16((int8x8_t)__p0, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vrsqrte_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vrsqrte_f16((int8x8_t)__rev0, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vrsqrtsq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vrsqrtsq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vrsqrtsq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vrsqrtsq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vrsqrts_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vrsqrts_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vrsqrts_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vrsqrts_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vsubq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vsubq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vsub_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vsub_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8x2_t vtrnq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8x2_t __ret; + __builtin_neon_vtrnq_f16(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8x2_t vtrnq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8x2_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vtrnq_f16(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 40); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4x2_t vtrn_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4x2_t __ret; + __builtin_neon_vtrn_f16(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4x2_t vtrn_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4x2_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vtrn_f16(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 8); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8x2_t vuzpq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8x2_t __ret; + __builtin_neon_vuzpq_f16(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8x2_t vuzpq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8x2_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vuzpq_f16(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 40); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4x2_t vuzp_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4x2_t __ret; + __builtin_neon_vuzp_f16(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4x2_t vuzp_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4x2_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vuzp_f16(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 8); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8x2_t vzipq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8x2_t __ret; + __builtin_neon_vzipq_f16(&__ret, (int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8x2_t vzipq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8x2_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __builtin_neon_vzipq_f16(&__ret, (int8x16_t)__rev0, (int8x16_t)__rev1, 40); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4x2_t vzip_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4x2_t __ret; + __builtin_neon_vzip_f16(&__ret, (int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4x2_t vzip_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4x2_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __builtin_neon_vzip_f16(&__ret, (int8x8_t)__rev0, (int8x8_t)__rev1, 8); + + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("i8mm"))) uint32x4_t vmmlaq_u32(uint32x4_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vmmlaq_u32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#else +__ai __attribute__((target("i8mm"))) uint32x4_t vmmlaq_u32(uint32x4_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vmmlaq_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("i8mm"))) int32x4_t vmmlaq_s32(int32x4_t __p0, int8x16_t __p1, int8x16_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vmmlaq_s32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#else +__ai __attribute__((target("i8mm"))) int32x4_t vmmlaq_s32(int32x4_t __p0, int8x16_t __p1, int8x16_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vmmlaq_s32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("i8mm"))) int32x4_t vusdotq_s32(int32x4_t __p0, uint8x16_t __p1, int8x16_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vusdotq_s32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#else +__ai __attribute__((target("i8mm"))) int32x4_t vusdotq_s32(int32x4_t __p0, uint8x16_t __p1, int8x16_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vusdotq_s32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("i8mm"))) int32x4_t __noswap_vusdotq_s32(int32x4_t __p0, uint8x16_t __p1, int8x16_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vusdotq_s32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("i8mm"))) int32x2_t vusdot_s32(int32x2_t __p0, uint8x8_t __p1, int8x8_t __p2) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vusdot_s32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 2); + return __ret; +} +#else +__ai __attribute__((target("i8mm"))) int32x2_t vusdot_s32(int32x2_t __p0, uint8x8_t __p1, int8x8_t __p2) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int32x2_t) __builtin_neon_vusdot_s32((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("i8mm"))) int32x2_t __noswap_vusdot_s32(int32x2_t __p0, uint8x8_t __p1, int8x8_t __p2) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vusdot_s32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vusdotq_lane_s32(__p0_157, __p1_157, __p2_157, __p3_157) __extension__ ({ \ + int32x4_t __ret_157; \ + int32x4_t __s0_157 = __p0_157; \ + uint8x16_t __s1_157 = __p1_157; \ + int8x8_t __s2_157 = __p2_157; \ +int8x8_t __reint_157 = __s2_157; \ + __ret_157 = vusdotq_s32(__s0_157, __s1_157, (int8x16_t)(splatq_lane_s32(*(int32x2_t *) &__reint_157, __p3_157))); \ + __ret_157; \ +}) +#else +#define vusdotq_lane_s32(__p0_158, __p1_158, __p2_158, __p3_158) __extension__ ({ \ + int32x4_t __ret_158; \ + int32x4_t __s0_158 = __p0_158; \ + uint8x16_t __s1_158 = __p1_158; \ + int8x8_t __s2_158 = __p2_158; \ + int32x4_t __rev0_158; __rev0_158 = __builtin_shufflevector(__s0_158, __s0_158, 3, 2, 1, 0); \ + uint8x16_t __rev1_158; __rev1_158 = __builtin_shufflevector(__s1_158, __s1_158, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x8_t __rev2_158; __rev2_158 = __builtin_shufflevector(__s2_158, __s2_158, 7, 6, 5, 4, 3, 2, 1, 0); \ +int8x8_t __reint_158 = __rev2_158; \ + __ret_158 = __noswap_vusdotq_s32(__rev0_158, __rev1_158, (int8x16_t)(__noswap_splatq_lane_s32(*(int32x2_t *) &__reint_158, __p3_158))); \ + __ret_158 = __builtin_shufflevector(__ret_158, __ret_158, 3, 2, 1, 0); \ + __ret_158; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vusdot_lane_s32(__p0_159, __p1_159, __p2_159, __p3_159) __extension__ ({ \ + int32x2_t __ret_159; \ + int32x2_t __s0_159 = __p0_159; \ + uint8x8_t __s1_159 = __p1_159; \ + int8x8_t __s2_159 = __p2_159; \ +int8x8_t __reint_159 = __s2_159; \ + __ret_159 = vusdot_s32(__s0_159, __s1_159, (int8x8_t)(splat_lane_s32(*(int32x2_t *) &__reint_159, __p3_159))); \ + __ret_159; \ +}) +#else +#define vusdot_lane_s32(__p0_160, __p1_160, __p2_160, __p3_160) __extension__ ({ \ + int32x2_t __ret_160; \ + int32x2_t __s0_160 = __p0_160; \ + uint8x8_t __s1_160 = __p1_160; \ + int8x8_t __s2_160 = __p2_160; \ + int32x2_t __rev0_160; __rev0_160 = __builtin_shufflevector(__s0_160, __s0_160, 1, 0); \ + uint8x8_t __rev1_160; __rev1_160 = __builtin_shufflevector(__s1_160, __s1_160, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x8_t __rev2_160; __rev2_160 = __builtin_shufflevector(__s2_160, __s2_160, 7, 6, 5, 4, 3, 2, 1, 0); \ +int8x8_t __reint_160 = __rev2_160; \ + __ret_160 = __noswap_vusdot_s32(__rev0_160, __rev1_160, (int8x8_t)(__noswap_splat_lane_s32(*(int32x2_t *) &__reint_160, __p3_160))); \ + __ret_160 = __builtin_shufflevector(__ret_160, __ret_160, 1, 0); \ + __ret_160; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("i8mm"))) int32x4_t vusmmlaq_s32(int32x4_t __p0, uint8x16_t __p1, int8x16_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vusmmlaq_s32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#else +__ai __attribute__((target("i8mm"))) int32x4_t vusmmlaq_s32(int32x4_t __p0, uint8x16_t __p1, int8x16_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vusmmlaq_s32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.1a"))) int32x4_t vqrdmlahq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqrdmlahq_s32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#else +__ai __attribute__((target("v8.1a"))) int32x4_t vqrdmlahq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqrdmlahq_s32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.1a"))) int32x4_t __noswap_vqrdmlahq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqrdmlahq_s32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.1a"))) int16x8_t vqrdmlahq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqrdmlahq_s16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 33); + return __ret; +} +#else +__ai __attribute__((target("v8.1a"))) int16x8_t vqrdmlahq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vqrdmlahq_s16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.1a"))) int16x8_t __noswap_vqrdmlahq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqrdmlahq_s16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 33); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.1a"))) int32x2_t vqrdmlah_s32(int32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqrdmlah_s32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 2); + return __ret; +} +#else +__ai __attribute__((target("v8.1a"))) int32x2_t vqrdmlah_s32(int32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (int32x2_t) __builtin_neon_vqrdmlah_s32((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.1a"))) int32x2_t __noswap_vqrdmlah_s32(int32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqrdmlah_s32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.1a"))) int16x4_t vqrdmlah_s16(int16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqrdmlah_s16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 1); + return __ret; +} +#else +__ai __attribute__((target("v8.1a"))) int16x4_t vqrdmlah_s16(int16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vqrdmlah_s16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.1a"))) int16x4_t __noswap_vqrdmlah_s16(int16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqrdmlah_s16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlahq_lane_s32(__p0_161, __p1_161, __p2_161, __p3_161) __extension__ ({ \ + int32x4_t __ret_161; \ + int32x4_t __s0_161 = __p0_161; \ + int32x4_t __s1_161 = __p1_161; \ + int32x2_t __s2_161 = __p2_161; \ + __ret_161 = vqrdmlahq_s32(__s0_161, __s1_161, splatq_lane_s32(__s2_161, __p3_161)); \ + __ret_161; \ +}) +#else +#define vqrdmlahq_lane_s32(__p0_162, __p1_162, __p2_162, __p3_162) __extension__ ({ \ + int32x4_t __ret_162; \ + int32x4_t __s0_162 = __p0_162; \ + int32x4_t __s1_162 = __p1_162; \ + int32x2_t __s2_162 = __p2_162; \ + int32x4_t __rev0_162; __rev0_162 = __builtin_shufflevector(__s0_162, __s0_162, 3, 2, 1, 0); \ + int32x4_t __rev1_162; __rev1_162 = __builtin_shufflevector(__s1_162, __s1_162, 3, 2, 1, 0); \ + int32x2_t __rev2_162; __rev2_162 = __builtin_shufflevector(__s2_162, __s2_162, 1, 0); \ + __ret_162 = __noswap_vqrdmlahq_s32(__rev0_162, __rev1_162, __noswap_splatq_lane_s32(__rev2_162, __p3_162)); \ + __ret_162 = __builtin_shufflevector(__ret_162, __ret_162, 3, 2, 1, 0); \ + __ret_162; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlahq_lane_s16(__p0_163, __p1_163, __p2_163, __p3_163) __extension__ ({ \ + int16x8_t __ret_163; \ + int16x8_t __s0_163 = __p0_163; \ + int16x8_t __s1_163 = __p1_163; \ + int16x4_t __s2_163 = __p2_163; \ + __ret_163 = vqrdmlahq_s16(__s0_163, __s1_163, splatq_lane_s16(__s2_163, __p3_163)); \ + __ret_163; \ +}) +#else +#define vqrdmlahq_lane_s16(__p0_164, __p1_164, __p2_164, __p3_164) __extension__ ({ \ + int16x8_t __ret_164; \ + int16x8_t __s0_164 = __p0_164; \ + int16x8_t __s1_164 = __p1_164; \ + int16x4_t __s2_164 = __p2_164; \ + int16x8_t __rev0_164; __rev0_164 = __builtin_shufflevector(__s0_164, __s0_164, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_164; __rev1_164 = __builtin_shufflevector(__s1_164, __s1_164, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev2_164; __rev2_164 = __builtin_shufflevector(__s2_164, __s2_164, 3, 2, 1, 0); \ + __ret_164 = __noswap_vqrdmlahq_s16(__rev0_164, __rev1_164, __noswap_splatq_lane_s16(__rev2_164, __p3_164)); \ + __ret_164 = __builtin_shufflevector(__ret_164, __ret_164, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_164; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlah_lane_s32(__p0_165, __p1_165, __p2_165, __p3_165) __extension__ ({ \ + int32x2_t __ret_165; \ + int32x2_t __s0_165 = __p0_165; \ + int32x2_t __s1_165 = __p1_165; \ + int32x2_t __s2_165 = __p2_165; \ + __ret_165 = vqrdmlah_s32(__s0_165, __s1_165, splat_lane_s32(__s2_165, __p3_165)); \ + __ret_165; \ +}) +#else +#define vqrdmlah_lane_s32(__p0_166, __p1_166, __p2_166, __p3_166) __extension__ ({ \ + int32x2_t __ret_166; \ + int32x2_t __s0_166 = __p0_166; \ + int32x2_t __s1_166 = __p1_166; \ + int32x2_t __s2_166 = __p2_166; \ + int32x2_t __rev0_166; __rev0_166 = __builtin_shufflevector(__s0_166, __s0_166, 1, 0); \ + int32x2_t __rev1_166; __rev1_166 = __builtin_shufflevector(__s1_166, __s1_166, 1, 0); \ + int32x2_t __rev2_166; __rev2_166 = __builtin_shufflevector(__s2_166, __s2_166, 1, 0); \ + __ret_166 = __noswap_vqrdmlah_s32(__rev0_166, __rev1_166, __noswap_splat_lane_s32(__rev2_166, __p3_166)); \ + __ret_166 = __builtin_shufflevector(__ret_166, __ret_166, 1, 0); \ + __ret_166; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlah_lane_s16(__p0_167, __p1_167, __p2_167, __p3_167) __extension__ ({ \ + int16x4_t __ret_167; \ + int16x4_t __s0_167 = __p0_167; \ + int16x4_t __s1_167 = __p1_167; \ + int16x4_t __s2_167 = __p2_167; \ + __ret_167 = vqrdmlah_s16(__s0_167, __s1_167, splat_lane_s16(__s2_167, __p3_167)); \ + __ret_167; \ +}) +#else +#define vqrdmlah_lane_s16(__p0_168, __p1_168, __p2_168, __p3_168) __extension__ ({ \ + int16x4_t __ret_168; \ + int16x4_t __s0_168 = __p0_168; \ + int16x4_t __s1_168 = __p1_168; \ + int16x4_t __s2_168 = __p2_168; \ + int16x4_t __rev0_168; __rev0_168 = __builtin_shufflevector(__s0_168, __s0_168, 3, 2, 1, 0); \ + int16x4_t __rev1_168; __rev1_168 = __builtin_shufflevector(__s1_168, __s1_168, 3, 2, 1, 0); \ + int16x4_t __rev2_168; __rev2_168 = __builtin_shufflevector(__s2_168, __s2_168, 3, 2, 1, 0); \ + __ret_168 = __noswap_vqrdmlah_s16(__rev0_168, __rev1_168, __noswap_splat_lane_s16(__rev2_168, __p3_168)); \ + __ret_168 = __builtin_shufflevector(__ret_168, __ret_168, 3, 2, 1, 0); \ + __ret_168; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.1a"))) int32x4_t vqrdmlshq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqrdmlshq_s32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#else +__ai __attribute__((target("v8.1a"))) int32x4_t vqrdmlshq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vqrdmlshq_s32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.1a"))) int32x4_t __noswap_vqrdmlshq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vqrdmlshq_s32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.1a"))) int16x8_t vqrdmlshq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqrdmlshq_s16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 33); + return __ret; +} +#else +__ai __attribute__((target("v8.1a"))) int16x8_t vqrdmlshq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vqrdmlshq_s16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.1a"))) int16x8_t __noswap_vqrdmlshq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vqrdmlshq_s16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 33); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.1a"))) int32x2_t vqrdmlsh_s32(int32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqrdmlsh_s32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 2); + return __ret; +} +#else +__ai __attribute__((target("v8.1a"))) int32x2_t vqrdmlsh_s32(int32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (int32x2_t) __builtin_neon_vqrdmlsh_s32((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.1a"))) int32x2_t __noswap_vqrdmlsh_s32(int32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vqrdmlsh_s32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.1a"))) int16x4_t vqrdmlsh_s16(int16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqrdmlsh_s16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 1); + return __ret; +} +#else +__ai __attribute__((target("v8.1a"))) int16x4_t vqrdmlsh_s16(int16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vqrdmlsh_s16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.1a"))) int16x4_t __noswap_vqrdmlsh_s16(int16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vqrdmlsh_s16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlshq_lane_s32(__p0_169, __p1_169, __p2_169, __p3_169) __extension__ ({ \ + int32x4_t __ret_169; \ + int32x4_t __s0_169 = __p0_169; \ + int32x4_t __s1_169 = __p1_169; \ + int32x2_t __s2_169 = __p2_169; \ + __ret_169 = vqrdmlshq_s32(__s0_169, __s1_169, splatq_lane_s32(__s2_169, __p3_169)); \ + __ret_169; \ +}) +#else +#define vqrdmlshq_lane_s32(__p0_170, __p1_170, __p2_170, __p3_170) __extension__ ({ \ + int32x4_t __ret_170; \ + int32x4_t __s0_170 = __p0_170; \ + int32x4_t __s1_170 = __p1_170; \ + int32x2_t __s2_170 = __p2_170; \ + int32x4_t __rev0_170; __rev0_170 = __builtin_shufflevector(__s0_170, __s0_170, 3, 2, 1, 0); \ + int32x4_t __rev1_170; __rev1_170 = __builtin_shufflevector(__s1_170, __s1_170, 3, 2, 1, 0); \ + int32x2_t __rev2_170; __rev2_170 = __builtin_shufflevector(__s2_170, __s2_170, 1, 0); \ + __ret_170 = __noswap_vqrdmlshq_s32(__rev0_170, __rev1_170, __noswap_splatq_lane_s32(__rev2_170, __p3_170)); \ + __ret_170 = __builtin_shufflevector(__ret_170, __ret_170, 3, 2, 1, 0); \ + __ret_170; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlshq_lane_s16(__p0_171, __p1_171, __p2_171, __p3_171) __extension__ ({ \ + int16x8_t __ret_171; \ + int16x8_t __s0_171 = __p0_171; \ + int16x8_t __s1_171 = __p1_171; \ + int16x4_t __s2_171 = __p2_171; \ + __ret_171 = vqrdmlshq_s16(__s0_171, __s1_171, splatq_lane_s16(__s2_171, __p3_171)); \ + __ret_171; \ +}) +#else +#define vqrdmlshq_lane_s16(__p0_172, __p1_172, __p2_172, __p3_172) __extension__ ({ \ + int16x8_t __ret_172; \ + int16x8_t __s0_172 = __p0_172; \ + int16x8_t __s1_172 = __p1_172; \ + int16x4_t __s2_172 = __p2_172; \ + int16x8_t __rev0_172; __rev0_172 = __builtin_shufflevector(__s0_172, __s0_172, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_172; __rev1_172 = __builtin_shufflevector(__s1_172, __s1_172, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev2_172; __rev2_172 = __builtin_shufflevector(__s2_172, __s2_172, 3, 2, 1, 0); \ + __ret_172 = __noswap_vqrdmlshq_s16(__rev0_172, __rev1_172, __noswap_splatq_lane_s16(__rev2_172, __p3_172)); \ + __ret_172 = __builtin_shufflevector(__ret_172, __ret_172, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_172; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlsh_lane_s32(__p0_173, __p1_173, __p2_173, __p3_173) __extension__ ({ \ + int32x2_t __ret_173; \ + int32x2_t __s0_173 = __p0_173; \ + int32x2_t __s1_173 = __p1_173; \ + int32x2_t __s2_173 = __p2_173; \ + __ret_173 = vqrdmlsh_s32(__s0_173, __s1_173, splat_lane_s32(__s2_173, __p3_173)); \ + __ret_173; \ +}) +#else +#define vqrdmlsh_lane_s32(__p0_174, __p1_174, __p2_174, __p3_174) __extension__ ({ \ + int32x2_t __ret_174; \ + int32x2_t __s0_174 = __p0_174; \ + int32x2_t __s1_174 = __p1_174; \ + int32x2_t __s2_174 = __p2_174; \ + int32x2_t __rev0_174; __rev0_174 = __builtin_shufflevector(__s0_174, __s0_174, 1, 0); \ + int32x2_t __rev1_174; __rev1_174 = __builtin_shufflevector(__s1_174, __s1_174, 1, 0); \ + int32x2_t __rev2_174; __rev2_174 = __builtin_shufflevector(__s2_174, __s2_174, 1, 0); \ + __ret_174 = __noswap_vqrdmlsh_s32(__rev0_174, __rev1_174, __noswap_splat_lane_s32(__rev2_174, __p3_174)); \ + __ret_174 = __builtin_shufflevector(__ret_174, __ret_174, 1, 0); \ + __ret_174; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlsh_lane_s16(__p0_175, __p1_175, __p2_175, __p3_175) __extension__ ({ \ + int16x4_t __ret_175; \ + int16x4_t __s0_175 = __p0_175; \ + int16x4_t __s1_175 = __p1_175; \ + int16x4_t __s2_175 = __p2_175; \ + __ret_175 = vqrdmlsh_s16(__s0_175, __s1_175, splat_lane_s16(__s2_175, __p3_175)); \ + __ret_175; \ +}) +#else +#define vqrdmlsh_lane_s16(__p0_176, __p1_176, __p2_176, __p3_176) __extension__ ({ \ + int16x4_t __ret_176; \ + int16x4_t __s0_176 = __p0_176; \ + int16x4_t __s1_176 = __p1_176; \ + int16x4_t __s2_176 = __p2_176; \ + int16x4_t __rev0_176; __rev0_176 = __builtin_shufflevector(__s0_176, __s0_176, 3, 2, 1, 0); \ + int16x4_t __rev1_176; __rev1_176 = __builtin_shufflevector(__s1_176, __s1_176, 3, 2, 1, 0); \ + int16x4_t __rev2_176; __rev2_176 = __builtin_shufflevector(__s2_176, __s2_176, 3, 2, 1, 0); \ + __ret_176 = __noswap_vqrdmlsh_s16(__rev0_176, __rev1_176, __noswap_splat_lane_s16(__rev2_176, __p3_176)); \ + __ret_176 = __builtin_shufflevector(__ret_176, __ret_176, 3, 2, 1, 0); \ + __ret_176; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float32x2_t vcadd_rot270_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcadd_rot270_f32((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float32x2_t vcadd_rot270_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vcadd_rot270_f32((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float32x2_t vcadd_rot90_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcadd_rot90_f32((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float32x2_t vcadd_rot90_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vcadd_rot90_f32((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float32x4_t vcaddq_rot270_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcaddq_rot270_f32((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float32x4_t vcaddq_rot270_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vcaddq_rot270_f32((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float32x4_t vcaddq_rot90_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcaddq_rot90_f32((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float32x4_t vcaddq_rot90_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vcaddq_rot90_f32((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float32x4_t vcmlaq_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcmlaq_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float32x4_t vcmlaq_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vcmlaq_f32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a"))) float32x4_t __noswap_vcmlaq_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcmlaq_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float32x2_t vcmla_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcmla_f32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float32x2_t vcmla_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (float32x2_t) __builtin_neon_vcmla_f32((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a"))) float32x2_t __noswap_vcmla_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcmla_f32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_lane_f32(__p0_177, __p1_177, __p2_177, __p3_177) __extension__ ({ \ + float32x2_t __ret_177; \ + float32x2_t __s0_177 = __p0_177; \ + float32x2_t __s1_177 = __p1_177; \ + float32x2_t __s2_177 = __p2_177; \ +float32x2_t __reint_177 = __s2_177; \ +uint64x1_t __reint1_177 = (uint64x1_t) {vget_lane_u64(*(uint64x1_t *) &__reint_177, __p3_177)}; \ + __ret_177 = vcmla_f32(__s0_177, __s1_177, *(float32x2_t *) &__reint1_177); \ + __ret_177; \ +}) +#else +#define vcmla_lane_f32(__p0_178, __p1_178, __p2_178, __p3_178) __extension__ ({ \ + float32x2_t __ret_178; \ + float32x2_t __s0_178 = __p0_178; \ + float32x2_t __s1_178 = __p1_178; \ + float32x2_t __s2_178 = __p2_178; \ + float32x2_t __rev0_178; __rev0_178 = __builtin_shufflevector(__s0_178, __s0_178, 1, 0); \ + float32x2_t __rev1_178; __rev1_178 = __builtin_shufflevector(__s1_178, __s1_178, 1, 0); \ + float32x2_t __rev2_178; __rev2_178 = __builtin_shufflevector(__s2_178, __s2_178, 1, 0); \ +float32x2_t __reint_178 = __rev2_178; \ +uint64x1_t __reint1_178 = (uint64x1_t) {vget_lane_u64(*(uint64x1_t *) &__reint_178, __p3_178)}; \ + __ret_178 = __noswap_vcmla_f32(__rev0_178, __rev1_178, *(float32x2_t *) &__reint1_178); \ + __ret_178 = __builtin_shufflevector(__ret_178, __ret_178, 1, 0); \ + __ret_178; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_lane_f32(__p0_179, __p1_179, __p2_179, __p3_179) __extension__ ({ \ + float32x4_t __ret_179; \ + float32x4_t __s0_179 = __p0_179; \ + float32x4_t __s1_179 = __p1_179; \ + float32x2_t __s2_179 = __p2_179; \ +float32x2_t __reint_179 = __s2_179; \ +uint64x2_t __reint1_179 = (uint64x2_t) {vget_lane_u64(*(uint64x1_t *) &__reint_179, __p3_179), vget_lane_u64(*(uint64x1_t *) &__reint_179, __p3_179)}; \ + __ret_179 = vcmlaq_f32(__s0_179, __s1_179, *(float32x4_t *) &__reint1_179); \ + __ret_179; \ +}) +#else +#define vcmlaq_lane_f32(__p0_180, __p1_180, __p2_180, __p3_180) __extension__ ({ \ + float32x4_t __ret_180; \ + float32x4_t __s0_180 = __p0_180; \ + float32x4_t __s1_180 = __p1_180; \ + float32x2_t __s2_180 = __p2_180; \ + float32x4_t __rev0_180; __rev0_180 = __builtin_shufflevector(__s0_180, __s0_180, 3, 2, 1, 0); \ + float32x4_t __rev1_180; __rev1_180 = __builtin_shufflevector(__s1_180, __s1_180, 3, 2, 1, 0); \ + float32x2_t __rev2_180; __rev2_180 = __builtin_shufflevector(__s2_180, __s2_180, 1, 0); \ +float32x2_t __reint_180 = __rev2_180; \ +uint64x2_t __reint1_180 = (uint64x2_t) {vget_lane_u64(*(uint64x1_t *) &__reint_180, __p3_180), vget_lane_u64(*(uint64x1_t *) &__reint_180, __p3_180)}; \ + __ret_180 = __noswap_vcmlaq_f32(__rev0_180, __rev1_180, *(float32x4_t *) &__reint1_180); \ + __ret_180 = __builtin_shufflevector(__ret_180, __ret_180, 3, 2, 1, 0); \ + __ret_180; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_laneq_f32(__p0_181, __p1_181, __p2_181, __p3_181) __extension__ ({ \ + float32x2_t __ret_181; \ + float32x2_t __s0_181 = __p0_181; \ + float32x2_t __s1_181 = __p1_181; \ + float32x4_t __s2_181 = __p2_181; \ +float32x4_t __reint_181 = __s2_181; \ +uint64x1_t __reint1_181 = (uint64x1_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_181, __p3_181)}; \ + __ret_181 = vcmla_f32(__s0_181, __s1_181, *(float32x2_t *) &__reint1_181); \ + __ret_181; \ +}) +#else +#define vcmla_laneq_f32(__p0_182, __p1_182, __p2_182, __p3_182) __extension__ ({ \ + float32x2_t __ret_182; \ + float32x2_t __s0_182 = __p0_182; \ + float32x2_t __s1_182 = __p1_182; \ + float32x4_t __s2_182 = __p2_182; \ + float32x2_t __rev0_182; __rev0_182 = __builtin_shufflevector(__s0_182, __s0_182, 1, 0); \ + float32x2_t __rev1_182; __rev1_182 = __builtin_shufflevector(__s1_182, __s1_182, 1, 0); \ + float32x4_t __rev2_182; __rev2_182 = __builtin_shufflevector(__s2_182, __s2_182, 3, 2, 1, 0); \ +float32x4_t __reint_182 = __rev2_182; \ +uint64x1_t __reint1_182 = (uint64x1_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_182, __p3_182)}; \ + __ret_182 = __noswap_vcmla_f32(__rev0_182, __rev1_182, *(float32x2_t *) &__reint1_182); \ + __ret_182 = __builtin_shufflevector(__ret_182, __ret_182, 1, 0); \ + __ret_182; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_laneq_f32(__p0_183, __p1_183, __p2_183, __p3_183) __extension__ ({ \ + float32x4_t __ret_183; \ + float32x4_t __s0_183 = __p0_183; \ + float32x4_t __s1_183 = __p1_183; \ + float32x4_t __s2_183 = __p2_183; \ +float32x4_t __reint_183 = __s2_183; \ +uint64x2_t __reint1_183 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_183, __p3_183), vgetq_lane_u64(*(uint64x2_t *) &__reint_183, __p3_183)}; \ + __ret_183 = vcmlaq_f32(__s0_183, __s1_183, *(float32x4_t *) &__reint1_183); \ + __ret_183; \ +}) +#else +#define vcmlaq_laneq_f32(__p0_184, __p1_184, __p2_184, __p3_184) __extension__ ({ \ + float32x4_t __ret_184; \ + float32x4_t __s0_184 = __p0_184; \ + float32x4_t __s1_184 = __p1_184; \ + float32x4_t __s2_184 = __p2_184; \ + float32x4_t __rev0_184; __rev0_184 = __builtin_shufflevector(__s0_184, __s0_184, 3, 2, 1, 0); \ + float32x4_t __rev1_184; __rev1_184 = __builtin_shufflevector(__s1_184, __s1_184, 3, 2, 1, 0); \ + float32x4_t __rev2_184; __rev2_184 = __builtin_shufflevector(__s2_184, __s2_184, 3, 2, 1, 0); \ +float32x4_t __reint_184 = __rev2_184; \ +uint64x2_t __reint1_184 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_184, __p3_184), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_184, __p3_184)}; \ + __ret_184 = __noswap_vcmlaq_f32(__rev0_184, __rev1_184, *(float32x4_t *) &__reint1_184); \ + __ret_184 = __builtin_shufflevector(__ret_184, __ret_184, 3, 2, 1, 0); \ + __ret_184; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float32x4_t vcmlaq_rot180_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcmlaq_rot180_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float32x4_t vcmlaq_rot180_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vcmlaq_rot180_f32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a"))) float32x4_t __noswap_vcmlaq_rot180_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcmlaq_rot180_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float32x2_t vcmla_rot180_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcmla_rot180_f32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float32x2_t vcmla_rot180_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (float32x2_t) __builtin_neon_vcmla_rot180_f32((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a"))) float32x2_t __noswap_vcmla_rot180_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcmla_rot180_f32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot180_lane_f32(__p0_185, __p1_185, __p2_185, __p3_185) __extension__ ({ \ + float32x2_t __ret_185; \ + float32x2_t __s0_185 = __p0_185; \ + float32x2_t __s1_185 = __p1_185; \ + float32x2_t __s2_185 = __p2_185; \ +float32x2_t __reint_185 = __s2_185; \ +uint64x1_t __reint1_185 = (uint64x1_t) {vget_lane_u64(*(uint64x1_t *) &__reint_185, __p3_185)}; \ + __ret_185 = vcmla_rot180_f32(__s0_185, __s1_185, *(float32x2_t *) &__reint1_185); \ + __ret_185; \ +}) +#else +#define vcmla_rot180_lane_f32(__p0_186, __p1_186, __p2_186, __p3_186) __extension__ ({ \ + float32x2_t __ret_186; \ + float32x2_t __s0_186 = __p0_186; \ + float32x2_t __s1_186 = __p1_186; \ + float32x2_t __s2_186 = __p2_186; \ + float32x2_t __rev0_186; __rev0_186 = __builtin_shufflevector(__s0_186, __s0_186, 1, 0); \ + float32x2_t __rev1_186; __rev1_186 = __builtin_shufflevector(__s1_186, __s1_186, 1, 0); \ + float32x2_t __rev2_186; __rev2_186 = __builtin_shufflevector(__s2_186, __s2_186, 1, 0); \ +float32x2_t __reint_186 = __rev2_186; \ +uint64x1_t __reint1_186 = (uint64x1_t) {vget_lane_u64(*(uint64x1_t *) &__reint_186, __p3_186)}; \ + __ret_186 = __noswap_vcmla_rot180_f32(__rev0_186, __rev1_186, *(float32x2_t *) &__reint1_186); \ + __ret_186 = __builtin_shufflevector(__ret_186, __ret_186, 1, 0); \ + __ret_186; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot180_lane_f32(__p0_187, __p1_187, __p2_187, __p3_187) __extension__ ({ \ + float32x4_t __ret_187; \ + float32x4_t __s0_187 = __p0_187; \ + float32x4_t __s1_187 = __p1_187; \ + float32x2_t __s2_187 = __p2_187; \ +float32x2_t __reint_187 = __s2_187; \ +uint64x2_t __reint1_187 = (uint64x2_t) {vget_lane_u64(*(uint64x1_t *) &__reint_187, __p3_187), vget_lane_u64(*(uint64x1_t *) &__reint_187, __p3_187)}; \ + __ret_187 = vcmlaq_rot180_f32(__s0_187, __s1_187, *(float32x4_t *) &__reint1_187); \ + __ret_187; \ +}) +#else +#define vcmlaq_rot180_lane_f32(__p0_188, __p1_188, __p2_188, __p3_188) __extension__ ({ \ + float32x4_t __ret_188; \ + float32x4_t __s0_188 = __p0_188; \ + float32x4_t __s1_188 = __p1_188; \ + float32x2_t __s2_188 = __p2_188; \ + float32x4_t __rev0_188; __rev0_188 = __builtin_shufflevector(__s0_188, __s0_188, 3, 2, 1, 0); \ + float32x4_t __rev1_188; __rev1_188 = __builtin_shufflevector(__s1_188, __s1_188, 3, 2, 1, 0); \ + float32x2_t __rev2_188; __rev2_188 = __builtin_shufflevector(__s2_188, __s2_188, 1, 0); \ +float32x2_t __reint_188 = __rev2_188; \ +uint64x2_t __reint1_188 = (uint64x2_t) {vget_lane_u64(*(uint64x1_t *) &__reint_188, __p3_188), vget_lane_u64(*(uint64x1_t *) &__reint_188, __p3_188)}; \ + __ret_188 = __noswap_vcmlaq_rot180_f32(__rev0_188, __rev1_188, *(float32x4_t *) &__reint1_188); \ + __ret_188 = __builtin_shufflevector(__ret_188, __ret_188, 3, 2, 1, 0); \ + __ret_188; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot180_laneq_f32(__p0_189, __p1_189, __p2_189, __p3_189) __extension__ ({ \ + float32x2_t __ret_189; \ + float32x2_t __s0_189 = __p0_189; \ + float32x2_t __s1_189 = __p1_189; \ + float32x4_t __s2_189 = __p2_189; \ +float32x4_t __reint_189 = __s2_189; \ +uint64x1_t __reint1_189 = (uint64x1_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_189, __p3_189)}; \ + __ret_189 = vcmla_rot180_f32(__s0_189, __s1_189, *(float32x2_t *) &__reint1_189); \ + __ret_189; \ +}) +#else +#define vcmla_rot180_laneq_f32(__p0_190, __p1_190, __p2_190, __p3_190) __extension__ ({ \ + float32x2_t __ret_190; \ + float32x2_t __s0_190 = __p0_190; \ + float32x2_t __s1_190 = __p1_190; \ + float32x4_t __s2_190 = __p2_190; \ + float32x2_t __rev0_190; __rev0_190 = __builtin_shufflevector(__s0_190, __s0_190, 1, 0); \ + float32x2_t __rev1_190; __rev1_190 = __builtin_shufflevector(__s1_190, __s1_190, 1, 0); \ + float32x4_t __rev2_190; __rev2_190 = __builtin_shufflevector(__s2_190, __s2_190, 3, 2, 1, 0); \ +float32x4_t __reint_190 = __rev2_190; \ +uint64x1_t __reint1_190 = (uint64x1_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_190, __p3_190)}; \ + __ret_190 = __noswap_vcmla_rot180_f32(__rev0_190, __rev1_190, *(float32x2_t *) &__reint1_190); \ + __ret_190 = __builtin_shufflevector(__ret_190, __ret_190, 1, 0); \ + __ret_190; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot180_laneq_f32(__p0_191, __p1_191, __p2_191, __p3_191) __extension__ ({ \ + float32x4_t __ret_191; \ + float32x4_t __s0_191 = __p0_191; \ + float32x4_t __s1_191 = __p1_191; \ + float32x4_t __s2_191 = __p2_191; \ +float32x4_t __reint_191 = __s2_191; \ +uint64x2_t __reint1_191 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_191, __p3_191), vgetq_lane_u64(*(uint64x2_t *) &__reint_191, __p3_191)}; \ + __ret_191 = vcmlaq_rot180_f32(__s0_191, __s1_191, *(float32x4_t *) &__reint1_191); \ + __ret_191; \ +}) +#else +#define vcmlaq_rot180_laneq_f32(__p0_192, __p1_192, __p2_192, __p3_192) __extension__ ({ \ + float32x4_t __ret_192; \ + float32x4_t __s0_192 = __p0_192; \ + float32x4_t __s1_192 = __p1_192; \ + float32x4_t __s2_192 = __p2_192; \ + float32x4_t __rev0_192; __rev0_192 = __builtin_shufflevector(__s0_192, __s0_192, 3, 2, 1, 0); \ + float32x4_t __rev1_192; __rev1_192 = __builtin_shufflevector(__s1_192, __s1_192, 3, 2, 1, 0); \ + float32x4_t __rev2_192; __rev2_192 = __builtin_shufflevector(__s2_192, __s2_192, 3, 2, 1, 0); \ +float32x4_t __reint_192 = __rev2_192; \ +uint64x2_t __reint1_192 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_192, __p3_192), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_192, __p3_192)}; \ + __ret_192 = __noswap_vcmlaq_rot180_f32(__rev0_192, __rev1_192, *(float32x4_t *) &__reint1_192); \ + __ret_192 = __builtin_shufflevector(__ret_192, __ret_192, 3, 2, 1, 0); \ + __ret_192; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float32x4_t vcmlaq_rot270_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcmlaq_rot270_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float32x4_t vcmlaq_rot270_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vcmlaq_rot270_f32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a"))) float32x4_t __noswap_vcmlaq_rot270_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcmlaq_rot270_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float32x2_t vcmla_rot270_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcmla_rot270_f32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float32x2_t vcmla_rot270_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (float32x2_t) __builtin_neon_vcmla_rot270_f32((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a"))) float32x2_t __noswap_vcmla_rot270_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcmla_rot270_f32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot270_lane_f32(__p0_193, __p1_193, __p2_193, __p3_193) __extension__ ({ \ + float32x2_t __ret_193; \ + float32x2_t __s0_193 = __p0_193; \ + float32x2_t __s1_193 = __p1_193; \ + float32x2_t __s2_193 = __p2_193; \ +float32x2_t __reint_193 = __s2_193; \ +uint64x1_t __reint1_193 = (uint64x1_t) {vget_lane_u64(*(uint64x1_t *) &__reint_193, __p3_193)}; \ + __ret_193 = vcmla_rot270_f32(__s0_193, __s1_193, *(float32x2_t *) &__reint1_193); \ + __ret_193; \ +}) +#else +#define vcmla_rot270_lane_f32(__p0_194, __p1_194, __p2_194, __p3_194) __extension__ ({ \ + float32x2_t __ret_194; \ + float32x2_t __s0_194 = __p0_194; \ + float32x2_t __s1_194 = __p1_194; \ + float32x2_t __s2_194 = __p2_194; \ + float32x2_t __rev0_194; __rev0_194 = __builtin_shufflevector(__s0_194, __s0_194, 1, 0); \ + float32x2_t __rev1_194; __rev1_194 = __builtin_shufflevector(__s1_194, __s1_194, 1, 0); \ + float32x2_t __rev2_194; __rev2_194 = __builtin_shufflevector(__s2_194, __s2_194, 1, 0); \ +float32x2_t __reint_194 = __rev2_194; \ +uint64x1_t __reint1_194 = (uint64x1_t) {vget_lane_u64(*(uint64x1_t *) &__reint_194, __p3_194)}; \ + __ret_194 = __noswap_vcmla_rot270_f32(__rev0_194, __rev1_194, *(float32x2_t *) &__reint1_194); \ + __ret_194 = __builtin_shufflevector(__ret_194, __ret_194, 1, 0); \ + __ret_194; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot270_lane_f32(__p0_195, __p1_195, __p2_195, __p3_195) __extension__ ({ \ + float32x4_t __ret_195; \ + float32x4_t __s0_195 = __p0_195; \ + float32x4_t __s1_195 = __p1_195; \ + float32x2_t __s2_195 = __p2_195; \ +float32x2_t __reint_195 = __s2_195; \ +uint64x2_t __reint1_195 = (uint64x2_t) {vget_lane_u64(*(uint64x1_t *) &__reint_195, __p3_195), vget_lane_u64(*(uint64x1_t *) &__reint_195, __p3_195)}; \ + __ret_195 = vcmlaq_rot270_f32(__s0_195, __s1_195, *(float32x4_t *) &__reint1_195); \ + __ret_195; \ +}) +#else +#define vcmlaq_rot270_lane_f32(__p0_196, __p1_196, __p2_196, __p3_196) __extension__ ({ \ + float32x4_t __ret_196; \ + float32x4_t __s0_196 = __p0_196; \ + float32x4_t __s1_196 = __p1_196; \ + float32x2_t __s2_196 = __p2_196; \ + float32x4_t __rev0_196; __rev0_196 = __builtin_shufflevector(__s0_196, __s0_196, 3, 2, 1, 0); \ + float32x4_t __rev1_196; __rev1_196 = __builtin_shufflevector(__s1_196, __s1_196, 3, 2, 1, 0); \ + float32x2_t __rev2_196; __rev2_196 = __builtin_shufflevector(__s2_196, __s2_196, 1, 0); \ +float32x2_t __reint_196 = __rev2_196; \ +uint64x2_t __reint1_196 = (uint64x2_t) {vget_lane_u64(*(uint64x1_t *) &__reint_196, __p3_196), vget_lane_u64(*(uint64x1_t *) &__reint_196, __p3_196)}; \ + __ret_196 = __noswap_vcmlaq_rot270_f32(__rev0_196, __rev1_196, *(float32x4_t *) &__reint1_196); \ + __ret_196 = __builtin_shufflevector(__ret_196, __ret_196, 3, 2, 1, 0); \ + __ret_196; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot270_laneq_f32(__p0_197, __p1_197, __p2_197, __p3_197) __extension__ ({ \ + float32x2_t __ret_197; \ + float32x2_t __s0_197 = __p0_197; \ + float32x2_t __s1_197 = __p1_197; \ + float32x4_t __s2_197 = __p2_197; \ +float32x4_t __reint_197 = __s2_197; \ +uint64x1_t __reint1_197 = (uint64x1_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_197, __p3_197)}; \ + __ret_197 = vcmla_rot270_f32(__s0_197, __s1_197, *(float32x2_t *) &__reint1_197); \ + __ret_197; \ +}) +#else +#define vcmla_rot270_laneq_f32(__p0_198, __p1_198, __p2_198, __p3_198) __extension__ ({ \ + float32x2_t __ret_198; \ + float32x2_t __s0_198 = __p0_198; \ + float32x2_t __s1_198 = __p1_198; \ + float32x4_t __s2_198 = __p2_198; \ + float32x2_t __rev0_198; __rev0_198 = __builtin_shufflevector(__s0_198, __s0_198, 1, 0); \ + float32x2_t __rev1_198; __rev1_198 = __builtin_shufflevector(__s1_198, __s1_198, 1, 0); \ + float32x4_t __rev2_198; __rev2_198 = __builtin_shufflevector(__s2_198, __s2_198, 3, 2, 1, 0); \ +float32x4_t __reint_198 = __rev2_198; \ +uint64x1_t __reint1_198 = (uint64x1_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_198, __p3_198)}; \ + __ret_198 = __noswap_vcmla_rot270_f32(__rev0_198, __rev1_198, *(float32x2_t *) &__reint1_198); \ + __ret_198 = __builtin_shufflevector(__ret_198, __ret_198, 1, 0); \ + __ret_198; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot270_laneq_f32(__p0_199, __p1_199, __p2_199, __p3_199) __extension__ ({ \ + float32x4_t __ret_199; \ + float32x4_t __s0_199 = __p0_199; \ + float32x4_t __s1_199 = __p1_199; \ + float32x4_t __s2_199 = __p2_199; \ +float32x4_t __reint_199 = __s2_199; \ +uint64x2_t __reint1_199 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_199, __p3_199), vgetq_lane_u64(*(uint64x2_t *) &__reint_199, __p3_199)}; \ + __ret_199 = vcmlaq_rot270_f32(__s0_199, __s1_199, *(float32x4_t *) &__reint1_199); \ + __ret_199; \ +}) +#else +#define vcmlaq_rot270_laneq_f32(__p0_200, __p1_200, __p2_200, __p3_200) __extension__ ({ \ + float32x4_t __ret_200; \ + float32x4_t __s0_200 = __p0_200; \ + float32x4_t __s1_200 = __p1_200; \ + float32x4_t __s2_200 = __p2_200; \ + float32x4_t __rev0_200; __rev0_200 = __builtin_shufflevector(__s0_200, __s0_200, 3, 2, 1, 0); \ + float32x4_t __rev1_200; __rev1_200 = __builtin_shufflevector(__s1_200, __s1_200, 3, 2, 1, 0); \ + float32x4_t __rev2_200; __rev2_200 = __builtin_shufflevector(__s2_200, __s2_200, 3, 2, 1, 0); \ +float32x4_t __reint_200 = __rev2_200; \ +uint64x2_t __reint1_200 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_200, __p3_200), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_200, __p3_200)}; \ + __ret_200 = __noswap_vcmlaq_rot270_f32(__rev0_200, __rev1_200, *(float32x4_t *) &__reint1_200); \ + __ret_200 = __builtin_shufflevector(__ret_200, __ret_200, 3, 2, 1, 0); \ + __ret_200; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float32x4_t vcmlaq_rot90_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcmlaq_rot90_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float32x4_t vcmlaq_rot90_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vcmlaq_rot90_f32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a"))) float32x4_t __noswap_vcmlaq_rot90_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcmlaq_rot90_f32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float32x2_t vcmla_rot90_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcmla_rot90_f32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float32x2_t vcmla_rot90_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (float32x2_t) __builtin_neon_vcmla_rot90_f32((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a"))) float32x2_t __noswap_vcmla_rot90_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcmla_rot90_f32((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot90_lane_f32(__p0_201, __p1_201, __p2_201, __p3_201) __extension__ ({ \ + float32x2_t __ret_201; \ + float32x2_t __s0_201 = __p0_201; \ + float32x2_t __s1_201 = __p1_201; \ + float32x2_t __s2_201 = __p2_201; \ +float32x2_t __reint_201 = __s2_201; \ +uint64x1_t __reint1_201 = (uint64x1_t) {vget_lane_u64(*(uint64x1_t *) &__reint_201, __p3_201)}; \ + __ret_201 = vcmla_rot90_f32(__s0_201, __s1_201, *(float32x2_t *) &__reint1_201); \ + __ret_201; \ +}) +#else +#define vcmla_rot90_lane_f32(__p0_202, __p1_202, __p2_202, __p3_202) __extension__ ({ \ + float32x2_t __ret_202; \ + float32x2_t __s0_202 = __p0_202; \ + float32x2_t __s1_202 = __p1_202; \ + float32x2_t __s2_202 = __p2_202; \ + float32x2_t __rev0_202; __rev0_202 = __builtin_shufflevector(__s0_202, __s0_202, 1, 0); \ + float32x2_t __rev1_202; __rev1_202 = __builtin_shufflevector(__s1_202, __s1_202, 1, 0); \ + float32x2_t __rev2_202; __rev2_202 = __builtin_shufflevector(__s2_202, __s2_202, 1, 0); \ +float32x2_t __reint_202 = __rev2_202; \ +uint64x1_t __reint1_202 = (uint64x1_t) {vget_lane_u64(*(uint64x1_t *) &__reint_202, __p3_202)}; \ + __ret_202 = __noswap_vcmla_rot90_f32(__rev0_202, __rev1_202, *(float32x2_t *) &__reint1_202); \ + __ret_202 = __builtin_shufflevector(__ret_202, __ret_202, 1, 0); \ + __ret_202; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot90_lane_f32(__p0_203, __p1_203, __p2_203, __p3_203) __extension__ ({ \ + float32x4_t __ret_203; \ + float32x4_t __s0_203 = __p0_203; \ + float32x4_t __s1_203 = __p1_203; \ + float32x2_t __s2_203 = __p2_203; \ +float32x2_t __reint_203 = __s2_203; \ +uint64x2_t __reint1_203 = (uint64x2_t) {vget_lane_u64(*(uint64x1_t *) &__reint_203, __p3_203), vget_lane_u64(*(uint64x1_t *) &__reint_203, __p3_203)}; \ + __ret_203 = vcmlaq_rot90_f32(__s0_203, __s1_203, *(float32x4_t *) &__reint1_203); \ + __ret_203; \ +}) +#else +#define vcmlaq_rot90_lane_f32(__p0_204, __p1_204, __p2_204, __p3_204) __extension__ ({ \ + float32x4_t __ret_204; \ + float32x4_t __s0_204 = __p0_204; \ + float32x4_t __s1_204 = __p1_204; \ + float32x2_t __s2_204 = __p2_204; \ + float32x4_t __rev0_204; __rev0_204 = __builtin_shufflevector(__s0_204, __s0_204, 3, 2, 1, 0); \ + float32x4_t __rev1_204; __rev1_204 = __builtin_shufflevector(__s1_204, __s1_204, 3, 2, 1, 0); \ + float32x2_t __rev2_204; __rev2_204 = __builtin_shufflevector(__s2_204, __s2_204, 1, 0); \ +float32x2_t __reint_204 = __rev2_204; \ +uint64x2_t __reint1_204 = (uint64x2_t) {vget_lane_u64(*(uint64x1_t *) &__reint_204, __p3_204), vget_lane_u64(*(uint64x1_t *) &__reint_204, __p3_204)}; \ + __ret_204 = __noswap_vcmlaq_rot90_f32(__rev0_204, __rev1_204, *(float32x4_t *) &__reint1_204); \ + __ret_204 = __builtin_shufflevector(__ret_204, __ret_204, 3, 2, 1, 0); \ + __ret_204; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot90_laneq_f32(__p0_205, __p1_205, __p2_205, __p3_205) __extension__ ({ \ + float32x2_t __ret_205; \ + float32x2_t __s0_205 = __p0_205; \ + float32x2_t __s1_205 = __p1_205; \ + float32x4_t __s2_205 = __p2_205; \ +float32x4_t __reint_205 = __s2_205; \ +uint64x1_t __reint1_205 = (uint64x1_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_205, __p3_205)}; \ + __ret_205 = vcmla_rot90_f32(__s0_205, __s1_205, *(float32x2_t *) &__reint1_205); \ + __ret_205; \ +}) +#else +#define vcmla_rot90_laneq_f32(__p0_206, __p1_206, __p2_206, __p3_206) __extension__ ({ \ + float32x2_t __ret_206; \ + float32x2_t __s0_206 = __p0_206; \ + float32x2_t __s1_206 = __p1_206; \ + float32x4_t __s2_206 = __p2_206; \ + float32x2_t __rev0_206; __rev0_206 = __builtin_shufflevector(__s0_206, __s0_206, 1, 0); \ + float32x2_t __rev1_206; __rev1_206 = __builtin_shufflevector(__s1_206, __s1_206, 1, 0); \ + float32x4_t __rev2_206; __rev2_206 = __builtin_shufflevector(__s2_206, __s2_206, 3, 2, 1, 0); \ +float32x4_t __reint_206 = __rev2_206; \ +uint64x1_t __reint1_206 = (uint64x1_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_206, __p3_206)}; \ + __ret_206 = __noswap_vcmla_rot90_f32(__rev0_206, __rev1_206, *(float32x2_t *) &__reint1_206); \ + __ret_206 = __builtin_shufflevector(__ret_206, __ret_206, 1, 0); \ + __ret_206; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot90_laneq_f32(__p0_207, __p1_207, __p2_207, __p3_207) __extension__ ({ \ + float32x4_t __ret_207; \ + float32x4_t __s0_207 = __p0_207; \ + float32x4_t __s1_207 = __p1_207; \ + float32x4_t __s2_207 = __p2_207; \ +float32x4_t __reint_207 = __s2_207; \ +uint64x2_t __reint1_207 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_207, __p3_207), vgetq_lane_u64(*(uint64x2_t *) &__reint_207, __p3_207)}; \ + __ret_207 = vcmlaq_rot90_f32(__s0_207, __s1_207, *(float32x4_t *) &__reint1_207); \ + __ret_207; \ +}) +#else +#define vcmlaq_rot90_laneq_f32(__p0_208, __p1_208, __p2_208, __p3_208) __extension__ ({ \ + float32x4_t __ret_208; \ + float32x4_t __s0_208 = __p0_208; \ + float32x4_t __s1_208 = __p1_208; \ + float32x4_t __s2_208 = __p2_208; \ + float32x4_t __rev0_208; __rev0_208 = __builtin_shufflevector(__s0_208, __s0_208, 3, 2, 1, 0); \ + float32x4_t __rev1_208; __rev1_208 = __builtin_shufflevector(__s1_208, __s1_208, 3, 2, 1, 0); \ + float32x4_t __rev2_208; __rev2_208 = __builtin_shufflevector(__s2_208, __s2_208, 3, 2, 1, 0); \ +float32x4_t __reint_208 = __rev2_208; \ +uint64x2_t __reint1_208 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_208, __p3_208), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_208, __p3_208)}; \ + __ret_208 = __noswap_vcmlaq_rot90_f32(__rev0_208, __rev1_208, *(float32x4_t *) &__reint1_208); \ + __ret_208 = __builtin_shufflevector(__ret_208, __ret_208, 3, 2, 1, 0); \ + __ret_208; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t vcadd_rot270_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcadd_rot270_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t vcadd_rot270_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vcadd_rot270_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t vcadd_rot90_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcadd_rot90_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t vcadd_rot90_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vcadd_rot90_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t vcaddq_rot270_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vcaddq_rot270_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t vcaddq_rot270_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vcaddq_rot270_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t vcaddq_rot90_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vcaddq_rot90_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t vcaddq_rot90_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vcaddq_rot90_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t vcmlaq_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vcmlaq_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 40); + return __ret; +} +#else +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t vcmlaq_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vcmlaq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t __noswap_vcmlaq_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vcmlaq_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 40); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t vcmla_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcmla_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 8); + return __ret; +} +#else +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t vcmla_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vcmla_f16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t __noswap_vcmla_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcmla_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 8); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_lane_f16(__p0_209, __p1_209, __p2_209, __p3_209) __extension__ ({ \ + float16x4_t __ret_209; \ + float16x4_t __s0_209 = __p0_209; \ + float16x4_t __s1_209 = __p1_209; \ + float16x4_t __s2_209 = __p2_209; \ +float16x4_t __reint_209 = __s2_209; \ +uint32x2_t __reint1_209 = (uint32x2_t) {vget_lane_u32(*(uint32x2_t *) &__reint_209, __p3_209), vget_lane_u32(*(uint32x2_t *) &__reint_209, __p3_209)}; \ + __ret_209 = vcmla_f16(__s0_209, __s1_209, *(float16x4_t *) &__reint1_209); \ + __ret_209; \ +}) +#else +#define vcmla_lane_f16(__p0_210, __p1_210, __p2_210, __p3_210) __extension__ ({ \ + float16x4_t __ret_210; \ + float16x4_t __s0_210 = __p0_210; \ + float16x4_t __s1_210 = __p1_210; \ + float16x4_t __s2_210 = __p2_210; \ + float16x4_t __rev0_210; __rev0_210 = __builtin_shufflevector(__s0_210, __s0_210, 3, 2, 1, 0); \ + float16x4_t __rev1_210; __rev1_210 = __builtin_shufflevector(__s1_210, __s1_210, 3, 2, 1, 0); \ + float16x4_t __rev2_210; __rev2_210 = __builtin_shufflevector(__s2_210, __s2_210, 3, 2, 1, 0); \ +float16x4_t __reint_210 = __rev2_210; \ +uint32x2_t __reint1_210 = (uint32x2_t) {__noswap_vget_lane_u32(*(uint32x2_t *) &__reint_210, __p3_210), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_210, __p3_210)}; \ + __ret_210 = __noswap_vcmla_f16(__rev0_210, __rev1_210, *(float16x4_t *) &__reint1_210); \ + __ret_210 = __builtin_shufflevector(__ret_210, __ret_210, 3, 2, 1, 0); \ + __ret_210; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_lane_f16(__p0_211, __p1_211, __p2_211, __p3_211) __extension__ ({ \ + float16x8_t __ret_211; \ + float16x8_t __s0_211 = __p0_211; \ + float16x8_t __s1_211 = __p1_211; \ + float16x4_t __s2_211 = __p2_211; \ +float16x4_t __reint_211 = __s2_211; \ +uint32x4_t __reint1_211 = (uint32x4_t) {vget_lane_u32(*(uint32x2_t *) &__reint_211, __p3_211), vget_lane_u32(*(uint32x2_t *) &__reint_211, __p3_211), vget_lane_u32(*(uint32x2_t *) &__reint_211, __p3_211), vget_lane_u32(*(uint32x2_t *) &__reint_211, __p3_211)}; \ + __ret_211 = vcmlaq_f16(__s0_211, __s1_211, *(float16x8_t *) &__reint1_211); \ + __ret_211; \ +}) +#else +#define vcmlaq_lane_f16(__p0_212, __p1_212, __p2_212, __p3_212) __extension__ ({ \ + float16x8_t __ret_212; \ + float16x8_t __s0_212 = __p0_212; \ + float16x8_t __s1_212 = __p1_212; \ + float16x4_t __s2_212 = __p2_212; \ + float16x8_t __rev0_212; __rev0_212 = __builtin_shufflevector(__s0_212, __s0_212, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1_212; __rev1_212 = __builtin_shufflevector(__s1_212, __s1_212, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x4_t __rev2_212; __rev2_212 = __builtin_shufflevector(__s2_212, __s2_212, 3, 2, 1, 0); \ +float16x4_t __reint_212 = __rev2_212; \ +uint32x4_t __reint1_212 = (uint32x4_t) {__noswap_vget_lane_u32(*(uint32x2_t *) &__reint_212, __p3_212), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_212, __p3_212), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_212, __p3_212), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_212, __p3_212)}; \ + __ret_212 = __noswap_vcmlaq_f16(__rev0_212, __rev1_212, *(float16x8_t *) &__reint1_212); \ + __ret_212 = __builtin_shufflevector(__ret_212, __ret_212, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_212; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_laneq_f16(__p0_213, __p1_213, __p2_213, __p3_213) __extension__ ({ \ + float16x4_t __ret_213; \ + float16x4_t __s0_213 = __p0_213; \ + float16x4_t __s1_213 = __p1_213; \ + float16x8_t __s2_213 = __p2_213; \ +float16x8_t __reint_213 = __s2_213; \ +uint32x2_t __reint1_213 = (uint32x2_t) {vgetq_lane_u32(*(uint32x4_t *) &__reint_213, __p3_213), vgetq_lane_u32(*(uint32x4_t *) &__reint_213, __p3_213)}; \ + __ret_213 = vcmla_f16(__s0_213, __s1_213, *(float16x4_t *) &__reint1_213); \ + __ret_213; \ +}) +#else +#define vcmla_laneq_f16(__p0_214, __p1_214, __p2_214, __p3_214) __extension__ ({ \ + float16x4_t __ret_214; \ + float16x4_t __s0_214 = __p0_214; \ + float16x4_t __s1_214 = __p1_214; \ + float16x8_t __s2_214 = __p2_214; \ + float16x4_t __rev0_214; __rev0_214 = __builtin_shufflevector(__s0_214, __s0_214, 3, 2, 1, 0); \ + float16x4_t __rev1_214; __rev1_214 = __builtin_shufflevector(__s1_214, __s1_214, 3, 2, 1, 0); \ + float16x8_t __rev2_214; __rev2_214 = __builtin_shufflevector(__s2_214, __s2_214, 7, 6, 5, 4, 3, 2, 1, 0); \ +float16x8_t __reint_214 = __rev2_214; \ +uint32x2_t __reint1_214 = (uint32x2_t) {__noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_214, __p3_214), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_214, __p3_214)}; \ + __ret_214 = __noswap_vcmla_f16(__rev0_214, __rev1_214, *(float16x4_t *) &__reint1_214); \ + __ret_214 = __builtin_shufflevector(__ret_214, __ret_214, 3, 2, 1, 0); \ + __ret_214; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_laneq_f16(__p0_215, __p1_215, __p2_215, __p3_215) __extension__ ({ \ + float16x8_t __ret_215; \ + float16x8_t __s0_215 = __p0_215; \ + float16x8_t __s1_215 = __p1_215; \ + float16x8_t __s2_215 = __p2_215; \ +float16x8_t __reint_215 = __s2_215; \ +uint32x4_t __reint1_215 = (uint32x4_t) {vgetq_lane_u32(*(uint32x4_t *) &__reint_215, __p3_215), vgetq_lane_u32(*(uint32x4_t *) &__reint_215, __p3_215), vgetq_lane_u32(*(uint32x4_t *) &__reint_215, __p3_215), vgetq_lane_u32(*(uint32x4_t *) &__reint_215, __p3_215)}; \ + __ret_215 = vcmlaq_f16(__s0_215, __s1_215, *(float16x8_t *) &__reint1_215); \ + __ret_215; \ +}) +#else +#define vcmlaq_laneq_f16(__p0_216, __p1_216, __p2_216, __p3_216) __extension__ ({ \ + float16x8_t __ret_216; \ + float16x8_t __s0_216 = __p0_216; \ + float16x8_t __s1_216 = __p1_216; \ + float16x8_t __s2_216 = __p2_216; \ + float16x8_t __rev0_216; __rev0_216 = __builtin_shufflevector(__s0_216, __s0_216, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1_216; __rev1_216 = __builtin_shufflevector(__s1_216, __s1_216, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev2_216; __rev2_216 = __builtin_shufflevector(__s2_216, __s2_216, 7, 6, 5, 4, 3, 2, 1, 0); \ +float16x8_t __reint_216 = __rev2_216; \ +uint32x4_t __reint1_216 = (uint32x4_t) {__noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_216, __p3_216), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_216, __p3_216), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_216, __p3_216), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_216, __p3_216)}; \ + __ret_216 = __noswap_vcmlaq_f16(__rev0_216, __rev1_216, *(float16x8_t *) &__reint1_216); \ + __ret_216 = __builtin_shufflevector(__ret_216, __ret_216, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_216; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t vcmlaq_rot180_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vcmlaq_rot180_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 40); + return __ret; +} +#else +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t vcmlaq_rot180_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vcmlaq_rot180_f16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t __noswap_vcmlaq_rot180_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vcmlaq_rot180_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 40); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t vcmla_rot180_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcmla_rot180_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 8); + return __ret; +} +#else +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t vcmla_rot180_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vcmla_rot180_f16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t __noswap_vcmla_rot180_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcmla_rot180_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 8); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot180_lane_f16(__p0_217, __p1_217, __p2_217, __p3_217) __extension__ ({ \ + float16x4_t __ret_217; \ + float16x4_t __s0_217 = __p0_217; \ + float16x4_t __s1_217 = __p1_217; \ + float16x4_t __s2_217 = __p2_217; \ +float16x4_t __reint_217 = __s2_217; \ +uint32x2_t __reint1_217 = (uint32x2_t) {vget_lane_u32(*(uint32x2_t *) &__reint_217, __p3_217), vget_lane_u32(*(uint32x2_t *) &__reint_217, __p3_217)}; \ + __ret_217 = vcmla_rot180_f16(__s0_217, __s1_217, *(float16x4_t *) &__reint1_217); \ + __ret_217; \ +}) +#else +#define vcmla_rot180_lane_f16(__p0_218, __p1_218, __p2_218, __p3_218) __extension__ ({ \ + float16x4_t __ret_218; \ + float16x4_t __s0_218 = __p0_218; \ + float16x4_t __s1_218 = __p1_218; \ + float16x4_t __s2_218 = __p2_218; \ + float16x4_t __rev0_218; __rev0_218 = __builtin_shufflevector(__s0_218, __s0_218, 3, 2, 1, 0); \ + float16x4_t __rev1_218; __rev1_218 = __builtin_shufflevector(__s1_218, __s1_218, 3, 2, 1, 0); \ + float16x4_t __rev2_218; __rev2_218 = __builtin_shufflevector(__s2_218, __s2_218, 3, 2, 1, 0); \ +float16x4_t __reint_218 = __rev2_218; \ +uint32x2_t __reint1_218 = (uint32x2_t) {__noswap_vget_lane_u32(*(uint32x2_t *) &__reint_218, __p3_218), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_218, __p3_218)}; \ + __ret_218 = __noswap_vcmla_rot180_f16(__rev0_218, __rev1_218, *(float16x4_t *) &__reint1_218); \ + __ret_218 = __builtin_shufflevector(__ret_218, __ret_218, 3, 2, 1, 0); \ + __ret_218; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot180_lane_f16(__p0_219, __p1_219, __p2_219, __p3_219) __extension__ ({ \ + float16x8_t __ret_219; \ + float16x8_t __s0_219 = __p0_219; \ + float16x8_t __s1_219 = __p1_219; \ + float16x4_t __s2_219 = __p2_219; \ +float16x4_t __reint_219 = __s2_219; \ +uint32x4_t __reint1_219 = (uint32x4_t) {vget_lane_u32(*(uint32x2_t *) &__reint_219, __p3_219), vget_lane_u32(*(uint32x2_t *) &__reint_219, __p3_219), vget_lane_u32(*(uint32x2_t *) &__reint_219, __p3_219), vget_lane_u32(*(uint32x2_t *) &__reint_219, __p3_219)}; \ + __ret_219 = vcmlaq_rot180_f16(__s0_219, __s1_219, *(float16x8_t *) &__reint1_219); \ + __ret_219; \ +}) +#else +#define vcmlaq_rot180_lane_f16(__p0_220, __p1_220, __p2_220, __p3_220) __extension__ ({ \ + float16x8_t __ret_220; \ + float16x8_t __s0_220 = __p0_220; \ + float16x8_t __s1_220 = __p1_220; \ + float16x4_t __s2_220 = __p2_220; \ + float16x8_t __rev0_220; __rev0_220 = __builtin_shufflevector(__s0_220, __s0_220, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1_220; __rev1_220 = __builtin_shufflevector(__s1_220, __s1_220, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x4_t __rev2_220; __rev2_220 = __builtin_shufflevector(__s2_220, __s2_220, 3, 2, 1, 0); \ +float16x4_t __reint_220 = __rev2_220; \ +uint32x4_t __reint1_220 = (uint32x4_t) {__noswap_vget_lane_u32(*(uint32x2_t *) &__reint_220, __p3_220), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_220, __p3_220), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_220, __p3_220), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_220, __p3_220)}; \ + __ret_220 = __noswap_vcmlaq_rot180_f16(__rev0_220, __rev1_220, *(float16x8_t *) &__reint1_220); \ + __ret_220 = __builtin_shufflevector(__ret_220, __ret_220, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_220; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot180_laneq_f16(__p0_221, __p1_221, __p2_221, __p3_221) __extension__ ({ \ + float16x4_t __ret_221; \ + float16x4_t __s0_221 = __p0_221; \ + float16x4_t __s1_221 = __p1_221; \ + float16x8_t __s2_221 = __p2_221; \ +float16x8_t __reint_221 = __s2_221; \ +uint32x2_t __reint1_221 = (uint32x2_t) {vgetq_lane_u32(*(uint32x4_t *) &__reint_221, __p3_221), vgetq_lane_u32(*(uint32x4_t *) &__reint_221, __p3_221)}; \ + __ret_221 = vcmla_rot180_f16(__s0_221, __s1_221, *(float16x4_t *) &__reint1_221); \ + __ret_221; \ +}) +#else +#define vcmla_rot180_laneq_f16(__p0_222, __p1_222, __p2_222, __p3_222) __extension__ ({ \ + float16x4_t __ret_222; \ + float16x4_t __s0_222 = __p0_222; \ + float16x4_t __s1_222 = __p1_222; \ + float16x8_t __s2_222 = __p2_222; \ + float16x4_t __rev0_222; __rev0_222 = __builtin_shufflevector(__s0_222, __s0_222, 3, 2, 1, 0); \ + float16x4_t __rev1_222; __rev1_222 = __builtin_shufflevector(__s1_222, __s1_222, 3, 2, 1, 0); \ + float16x8_t __rev2_222; __rev2_222 = __builtin_shufflevector(__s2_222, __s2_222, 7, 6, 5, 4, 3, 2, 1, 0); \ +float16x8_t __reint_222 = __rev2_222; \ +uint32x2_t __reint1_222 = (uint32x2_t) {__noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_222, __p3_222), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_222, __p3_222)}; \ + __ret_222 = __noswap_vcmla_rot180_f16(__rev0_222, __rev1_222, *(float16x4_t *) &__reint1_222); \ + __ret_222 = __builtin_shufflevector(__ret_222, __ret_222, 3, 2, 1, 0); \ + __ret_222; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot180_laneq_f16(__p0_223, __p1_223, __p2_223, __p3_223) __extension__ ({ \ + float16x8_t __ret_223; \ + float16x8_t __s0_223 = __p0_223; \ + float16x8_t __s1_223 = __p1_223; \ + float16x8_t __s2_223 = __p2_223; \ +float16x8_t __reint_223 = __s2_223; \ +uint32x4_t __reint1_223 = (uint32x4_t) {vgetq_lane_u32(*(uint32x4_t *) &__reint_223, __p3_223), vgetq_lane_u32(*(uint32x4_t *) &__reint_223, __p3_223), vgetq_lane_u32(*(uint32x4_t *) &__reint_223, __p3_223), vgetq_lane_u32(*(uint32x4_t *) &__reint_223, __p3_223)}; \ + __ret_223 = vcmlaq_rot180_f16(__s0_223, __s1_223, *(float16x8_t *) &__reint1_223); \ + __ret_223; \ +}) +#else +#define vcmlaq_rot180_laneq_f16(__p0_224, __p1_224, __p2_224, __p3_224) __extension__ ({ \ + float16x8_t __ret_224; \ + float16x8_t __s0_224 = __p0_224; \ + float16x8_t __s1_224 = __p1_224; \ + float16x8_t __s2_224 = __p2_224; \ + float16x8_t __rev0_224; __rev0_224 = __builtin_shufflevector(__s0_224, __s0_224, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1_224; __rev1_224 = __builtin_shufflevector(__s1_224, __s1_224, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev2_224; __rev2_224 = __builtin_shufflevector(__s2_224, __s2_224, 7, 6, 5, 4, 3, 2, 1, 0); \ +float16x8_t __reint_224 = __rev2_224; \ +uint32x4_t __reint1_224 = (uint32x4_t) {__noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_224, __p3_224), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_224, __p3_224), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_224, __p3_224), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_224, __p3_224)}; \ + __ret_224 = __noswap_vcmlaq_rot180_f16(__rev0_224, __rev1_224, *(float16x8_t *) &__reint1_224); \ + __ret_224 = __builtin_shufflevector(__ret_224, __ret_224, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_224; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t vcmlaq_rot270_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vcmlaq_rot270_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 40); + return __ret; +} +#else +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t vcmlaq_rot270_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vcmlaq_rot270_f16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t __noswap_vcmlaq_rot270_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vcmlaq_rot270_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 40); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t vcmla_rot270_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcmla_rot270_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 8); + return __ret; +} +#else +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t vcmla_rot270_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vcmla_rot270_f16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t __noswap_vcmla_rot270_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcmla_rot270_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 8); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot270_lane_f16(__p0_225, __p1_225, __p2_225, __p3_225) __extension__ ({ \ + float16x4_t __ret_225; \ + float16x4_t __s0_225 = __p0_225; \ + float16x4_t __s1_225 = __p1_225; \ + float16x4_t __s2_225 = __p2_225; \ +float16x4_t __reint_225 = __s2_225; \ +uint32x2_t __reint1_225 = (uint32x2_t) {vget_lane_u32(*(uint32x2_t *) &__reint_225, __p3_225), vget_lane_u32(*(uint32x2_t *) &__reint_225, __p3_225)}; \ + __ret_225 = vcmla_rot270_f16(__s0_225, __s1_225, *(float16x4_t *) &__reint1_225); \ + __ret_225; \ +}) +#else +#define vcmla_rot270_lane_f16(__p0_226, __p1_226, __p2_226, __p3_226) __extension__ ({ \ + float16x4_t __ret_226; \ + float16x4_t __s0_226 = __p0_226; \ + float16x4_t __s1_226 = __p1_226; \ + float16x4_t __s2_226 = __p2_226; \ + float16x4_t __rev0_226; __rev0_226 = __builtin_shufflevector(__s0_226, __s0_226, 3, 2, 1, 0); \ + float16x4_t __rev1_226; __rev1_226 = __builtin_shufflevector(__s1_226, __s1_226, 3, 2, 1, 0); \ + float16x4_t __rev2_226; __rev2_226 = __builtin_shufflevector(__s2_226, __s2_226, 3, 2, 1, 0); \ +float16x4_t __reint_226 = __rev2_226; \ +uint32x2_t __reint1_226 = (uint32x2_t) {__noswap_vget_lane_u32(*(uint32x2_t *) &__reint_226, __p3_226), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_226, __p3_226)}; \ + __ret_226 = __noswap_vcmla_rot270_f16(__rev0_226, __rev1_226, *(float16x4_t *) &__reint1_226); \ + __ret_226 = __builtin_shufflevector(__ret_226, __ret_226, 3, 2, 1, 0); \ + __ret_226; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot270_lane_f16(__p0_227, __p1_227, __p2_227, __p3_227) __extension__ ({ \ + float16x8_t __ret_227; \ + float16x8_t __s0_227 = __p0_227; \ + float16x8_t __s1_227 = __p1_227; \ + float16x4_t __s2_227 = __p2_227; \ +float16x4_t __reint_227 = __s2_227; \ +uint32x4_t __reint1_227 = (uint32x4_t) {vget_lane_u32(*(uint32x2_t *) &__reint_227, __p3_227), vget_lane_u32(*(uint32x2_t *) &__reint_227, __p3_227), vget_lane_u32(*(uint32x2_t *) &__reint_227, __p3_227), vget_lane_u32(*(uint32x2_t *) &__reint_227, __p3_227)}; \ + __ret_227 = vcmlaq_rot270_f16(__s0_227, __s1_227, *(float16x8_t *) &__reint1_227); \ + __ret_227; \ +}) +#else +#define vcmlaq_rot270_lane_f16(__p0_228, __p1_228, __p2_228, __p3_228) __extension__ ({ \ + float16x8_t __ret_228; \ + float16x8_t __s0_228 = __p0_228; \ + float16x8_t __s1_228 = __p1_228; \ + float16x4_t __s2_228 = __p2_228; \ + float16x8_t __rev0_228; __rev0_228 = __builtin_shufflevector(__s0_228, __s0_228, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1_228; __rev1_228 = __builtin_shufflevector(__s1_228, __s1_228, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x4_t __rev2_228; __rev2_228 = __builtin_shufflevector(__s2_228, __s2_228, 3, 2, 1, 0); \ +float16x4_t __reint_228 = __rev2_228; \ +uint32x4_t __reint1_228 = (uint32x4_t) {__noswap_vget_lane_u32(*(uint32x2_t *) &__reint_228, __p3_228), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_228, __p3_228), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_228, __p3_228), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_228, __p3_228)}; \ + __ret_228 = __noswap_vcmlaq_rot270_f16(__rev0_228, __rev1_228, *(float16x8_t *) &__reint1_228); \ + __ret_228 = __builtin_shufflevector(__ret_228, __ret_228, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_228; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot270_laneq_f16(__p0_229, __p1_229, __p2_229, __p3_229) __extension__ ({ \ + float16x4_t __ret_229; \ + float16x4_t __s0_229 = __p0_229; \ + float16x4_t __s1_229 = __p1_229; \ + float16x8_t __s2_229 = __p2_229; \ +float16x8_t __reint_229 = __s2_229; \ +uint32x2_t __reint1_229 = (uint32x2_t) {vgetq_lane_u32(*(uint32x4_t *) &__reint_229, __p3_229), vgetq_lane_u32(*(uint32x4_t *) &__reint_229, __p3_229)}; \ + __ret_229 = vcmla_rot270_f16(__s0_229, __s1_229, *(float16x4_t *) &__reint1_229); \ + __ret_229; \ +}) +#else +#define vcmla_rot270_laneq_f16(__p0_230, __p1_230, __p2_230, __p3_230) __extension__ ({ \ + float16x4_t __ret_230; \ + float16x4_t __s0_230 = __p0_230; \ + float16x4_t __s1_230 = __p1_230; \ + float16x8_t __s2_230 = __p2_230; \ + float16x4_t __rev0_230; __rev0_230 = __builtin_shufflevector(__s0_230, __s0_230, 3, 2, 1, 0); \ + float16x4_t __rev1_230; __rev1_230 = __builtin_shufflevector(__s1_230, __s1_230, 3, 2, 1, 0); \ + float16x8_t __rev2_230; __rev2_230 = __builtin_shufflevector(__s2_230, __s2_230, 7, 6, 5, 4, 3, 2, 1, 0); \ +float16x8_t __reint_230 = __rev2_230; \ +uint32x2_t __reint1_230 = (uint32x2_t) {__noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_230, __p3_230), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_230, __p3_230)}; \ + __ret_230 = __noswap_vcmla_rot270_f16(__rev0_230, __rev1_230, *(float16x4_t *) &__reint1_230); \ + __ret_230 = __builtin_shufflevector(__ret_230, __ret_230, 3, 2, 1, 0); \ + __ret_230; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot270_laneq_f16(__p0_231, __p1_231, __p2_231, __p3_231) __extension__ ({ \ + float16x8_t __ret_231; \ + float16x8_t __s0_231 = __p0_231; \ + float16x8_t __s1_231 = __p1_231; \ + float16x8_t __s2_231 = __p2_231; \ +float16x8_t __reint_231 = __s2_231; \ +uint32x4_t __reint1_231 = (uint32x4_t) {vgetq_lane_u32(*(uint32x4_t *) &__reint_231, __p3_231), vgetq_lane_u32(*(uint32x4_t *) &__reint_231, __p3_231), vgetq_lane_u32(*(uint32x4_t *) &__reint_231, __p3_231), vgetq_lane_u32(*(uint32x4_t *) &__reint_231, __p3_231)}; \ + __ret_231 = vcmlaq_rot270_f16(__s0_231, __s1_231, *(float16x8_t *) &__reint1_231); \ + __ret_231; \ +}) +#else +#define vcmlaq_rot270_laneq_f16(__p0_232, __p1_232, __p2_232, __p3_232) __extension__ ({ \ + float16x8_t __ret_232; \ + float16x8_t __s0_232 = __p0_232; \ + float16x8_t __s1_232 = __p1_232; \ + float16x8_t __s2_232 = __p2_232; \ + float16x8_t __rev0_232; __rev0_232 = __builtin_shufflevector(__s0_232, __s0_232, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1_232; __rev1_232 = __builtin_shufflevector(__s1_232, __s1_232, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev2_232; __rev2_232 = __builtin_shufflevector(__s2_232, __s2_232, 7, 6, 5, 4, 3, 2, 1, 0); \ +float16x8_t __reint_232 = __rev2_232; \ +uint32x4_t __reint1_232 = (uint32x4_t) {__noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_232, __p3_232), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_232, __p3_232), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_232, __p3_232), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_232, __p3_232)}; \ + __ret_232 = __noswap_vcmlaq_rot270_f16(__rev0_232, __rev1_232, *(float16x8_t *) &__reint1_232); \ + __ret_232 = __builtin_shufflevector(__ret_232, __ret_232, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_232; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t vcmlaq_rot90_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vcmlaq_rot90_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 40); + return __ret; +} +#else +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t vcmlaq_rot90_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vcmlaq_rot90_f16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a,fullfp16"))) float16x8_t __noswap_vcmlaq_rot90_f16(float16x8_t __p0, float16x8_t __p1, float16x8_t __p2) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vcmlaq_rot90_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 40); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t vcmla_rot90_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcmla_rot90_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 8); + return __ret; +} +#else +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t vcmla_rot90_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vcmla_rot90_f16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a,fullfp16"))) float16x4_t __noswap_vcmla_rot90_f16(float16x4_t __p0, float16x4_t __p1, float16x4_t __p2) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcmla_rot90_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 8); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot90_lane_f16(__p0_233, __p1_233, __p2_233, __p3_233) __extension__ ({ \ + float16x4_t __ret_233; \ + float16x4_t __s0_233 = __p0_233; \ + float16x4_t __s1_233 = __p1_233; \ + float16x4_t __s2_233 = __p2_233; \ +float16x4_t __reint_233 = __s2_233; \ +uint32x2_t __reint1_233 = (uint32x2_t) {vget_lane_u32(*(uint32x2_t *) &__reint_233, __p3_233), vget_lane_u32(*(uint32x2_t *) &__reint_233, __p3_233)}; \ + __ret_233 = vcmla_rot90_f16(__s0_233, __s1_233, *(float16x4_t *) &__reint1_233); \ + __ret_233; \ +}) +#else +#define vcmla_rot90_lane_f16(__p0_234, __p1_234, __p2_234, __p3_234) __extension__ ({ \ + float16x4_t __ret_234; \ + float16x4_t __s0_234 = __p0_234; \ + float16x4_t __s1_234 = __p1_234; \ + float16x4_t __s2_234 = __p2_234; \ + float16x4_t __rev0_234; __rev0_234 = __builtin_shufflevector(__s0_234, __s0_234, 3, 2, 1, 0); \ + float16x4_t __rev1_234; __rev1_234 = __builtin_shufflevector(__s1_234, __s1_234, 3, 2, 1, 0); \ + float16x4_t __rev2_234; __rev2_234 = __builtin_shufflevector(__s2_234, __s2_234, 3, 2, 1, 0); \ +float16x4_t __reint_234 = __rev2_234; \ +uint32x2_t __reint1_234 = (uint32x2_t) {__noswap_vget_lane_u32(*(uint32x2_t *) &__reint_234, __p3_234), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_234, __p3_234)}; \ + __ret_234 = __noswap_vcmla_rot90_f16(__rev0_234, __rev1_234, *(float16x4_t *) &__reint1_234); \ + __ret_234 = __builtin_shufflevector(__ret_234, __ret_234, 3, 2, 1, 0); \ + __ret_234; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot90_lane_f16(__p0_235, __p1_235, __p2_235, __p3_235) __extension__ ({ \ + float16x8_t __ret_235; \ + float16x8_t __s0_235 = __p0_235; \ + float16x8_t __s1_235 = __p1_235; \ + float16x4_t __s2_235 = __p2_235; \ +float16x4_t __reint_235 = __s2_235; \ +uint32x4_t __reint1_235 = (uint32x4_t) {vget_lane_u32(*(uint32x2_t *) &__reint_235, __p3_235), vget_lane_u32(*(uint32x2_t *) &__reint_235, __p3_235), vget_lane_u32(*(uint32x2_t *) &__reint_235, __p3_235), vget_lane_u32(*(uint32x2_t *) &__reint_235, __p3_235)}; \ + __ret_235 = vcmlaq_rot90_f16(__s0_235, __s1_235, *(float16x8_t *) &__reint1_235); \ + __ret_235; \ +}) +#else +#define vcmlaq_rot90_lane_f16(__p0_236, __p1_236, __p2_236, __p3_236) __extension__ ({ \ + float16x8_t __ret_236; \ + float16x8_t __s0_236 = __p0_236; \ + float16x8_t __s1_236 = __p1_236; \ + float16x4_t __s2_236 = __p2_236; \ + float16x8_t __rev0_236; __rev0_236 = __builtin_shufflevector(__s0_236, __s0_236, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1_236; __rev1_236 = __builtin_shufflevector(__s1_236, __s1_236, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x4_t __rev2_236; __rev2_236 = __builtin_shufflevector(__s2_236, __s2_236, 3, 2, 1, 0); \ +float16x4_t __reint_236 = __rev2_236; \ +uint32x4_t __reint1_236 = (uint32x4_t) {__noswap_vget_lane_u32(*(uint32x2_t *) &__reint_236, __p3_236), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_236, __p3_236), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_236, __p3_236), __noswap_vget_lane_u32(*(uint32x2_t *) &__reint_236, __p3_236)}; \ + __ret_236 = __noswap_vcmlaq_rot90_f16(__rev0_236, __rev1_236, *(float16x8_t *) &__reint1_236); \ + __ret_236 = __builtin_shufflevector(__ret_236, __ret_236, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_236; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot90_laneq_f16(__p0_237, __p1_237, __p2_237, __p3_237) __extension__ ({ \ + float16x4_t __ret_237; \ + float16x4_t __s0_237 = __p0_237; \ + float16x4_t __s1_237 = __p1_237; \ + float16x8_t __s2_237 = __p2_237; \ +float16x8_t __reint_237 = __s2_237; \ +uint32x2_t __reint1_237 = (uint32x2_t) {vgetq_lane_u32(*(uint32x4_t *) &__reint_237, __p3_237), vgetq_lane_u32(*(uint32x4_t *) &__reint_237, __p3_237)}; \ + __ret_237 = vcmla_rot90_f16(__s0_237, __s1_237, *(float16x4_t *) &__reint1_237); \ + __ret_237; \ +}) +#else +#define vcmla_rot90_laneq_f16(__p0_238, __p1_238, __p2_238, __p3_238) __extension__ ({ \ + float16x4_t __ret_238; \ + float16x4_t __s0_238 = __p0_238; \ + float16x4_t __s1_238 = __p1_238; \ + float16x8_t __s2_238 = __p2_238; \ + float16x4_t __rev0_238; __rev0_238 = __builtin_shufflevector(__s0_238, __s0_238, 3, 2, 1, 0); \ + float16x4_t __rev1_238; __rev1_238 = __builtin_shufflevector(__s1_238, __s1_238, 3, 2, 1, 0); \ + float16x8_t __rev2_238; __rev2_238 = __builtin_shufflevector(__s2_238, __s2_238, 7, 6, 5, 4, 3, 2, 1, 0); \ +float16x8_t __reint_238 = __rev2_238; \ +uint32x2_t __reint1_238 = (uint32x2_t) {__noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_238, __p3_238), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_238, __p3_238)}; \ + __ret_238 = __noswap_vcmla_rot90_f16(__rev0_238, __rev1_238, *(float16x4_t *) &__reint1_238); \ + __ret_238 = __builtin_shufflevector(__ret_238, __ret_238, 3, 2, 1, 0); \ + __ret_238; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot90_laneq_f16(__p0_239, __p1_239, __p2_239, __p3_239) __extension__ ({ \ + float16x8_t __ret_239; \ + float16x8_t __s0_239 = __p0_239; \ + float16x8_t __s1_239 = __p1_239; \ + float16x8_t __s2_239 = __p2_239; \ +float16x8_t __reint_239 = __s2_239; \ +uint32x4_t __reint1_239 = (uint32x4_t) {vgetq_lane_u32(*(uint32x4_t *) &__reint_239, __p3_239), vgetq_lane_u32(*(uint32x4_t *) &__reint_239, __p3_239), vgetq_lane_u32(*(uint32x4_t *) &__reint_239, __p3_239), vgetq_lane_u32(*(uint32x4_t *) &__reint_239, __p3_239)}; \ + __ret_239 = vcmlaq_rot90_f16(__s0_239, __s1_239, *(float16x8_t *) &__reint1_239); \ + __ret_239; \ +}) +#else +#define vcmlaq_rot90_laneq_f16(__p0_240, __p1_240, __p2_240, __p3_240) __extension__ ({ \ + float16x8_t __ret_240; \ + float16x8_t __s0_240 = __p0_240; \ + float16x8_t __s1_240 = __p1_240; \ + float16x8_t __s2_240 = __p2_240; \ + float16x8_t __rev0_240; __rev0_240 = __builtin_shufflevector(__s0_240, __s0_240, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1_240; __rev1_240 = __builtin_shufflevector(__s1_240, __s1_240, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev2_240; __rev2_240 = __builtin_shufflevector(__s2_240, __s2_240, 7, 6, 5, 4, 3, 2, 1, 0); \ +float16x8_t __reint_240 = __rev2_240; \ +uint32x4_t __reint1_240 = (uint32x4_t) {__noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_240, __p3_240), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_240, __p3_240), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_240, __p3_240), __noswap_vgetq_lane_u32(*(uint32x4_t *) &__reint_240, __p3_240)}; \ + __ret_240 = __noswap_vcmlaq_rot90_f16(__rev0_240, __rev1_240, *(float16x8_t *) &__reint1_240); \ + __ret_240 = __builtin_shufflevector(__ret_240, __ret_240, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_240; \ +}) +#endif + +#if !defined(__aarch64__) +#ifdef __LITTLE_ENDIAN__ +#define vqdmulhq_lane_s32(__p0_241, __p1_241, __p2_241) __extension__ ({ \ + int32x4_t __ret_241; \ + int32x4_t __s0_241 = __p0_241; \ + int32x2_t __s1_241 = __p1_241; \ + __ret_241 = vqdmulhq_s32(__s0_241, splatq_lane_s32(__s1_241, __p2_241)); \ + __ret_241; \ +}) +#else +#define vqdmulhq_lane_s32(__p0_242, __p1_242, __p2_242) __extension__ ({ \ + int32x4_t __ret_242; \ + int32x4_t __s0_242 = __p0_242; \ + int32x2_t __s1_242 = __p1_242; \ + int32x4_t __rev0_242; __rev0_242 = __builtin_shufflevector(__s0_242, __s0_242, 3, 2, 1, 0); \ + int32x2_t __rev1_242; __rev1_242 = __builtin_shufflevector(__s1_242, __s1_242, 1, 0); \ + __ret_242 = __noswap_vqdmulhq_s32(__rev0_242, __noswap_splatq_lane_s32(__rev1_242, __p2_242)); \ + __ret_242 = __builtin_shufflevector(__ret_242, __ret_242, 3, 2, 1, 0); \ + __ret_242; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulhq_lane_s16(__p0_243, __p1_243, __p2_243) __extension__ ({ \ + int16x8_t __ret_243; \ + int16x8_t __s0_243 = __p0_243; \ + int16x4_t __s1_243 = __p1_243; \ + __ret_243 = vqdmulhq_s16(__s0_243, splatq_lane_s16(__s1_243, __p2_243)); \ + __ret_243; \ +}) +#else +#define vqdmulhq_lane_s16(__p0_244, __p1_244, __p2_244) __extension__ ({ \ + int16x8_t __ret_244; \ + int16x8_t __s0_244 = __p0_244; \ + int16x4_t __s1_244 = __p1_244; \ + int16x8_t __rev0_244; __rev0_244 = __builtin_shufflevector(__s0_244, __s0_244, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev1_244; __rev1_244 = __builtin_shufflevector(__s1_244, __s1_244, 3, 2, 1, 0); \ + __ret_244 = __noswap_vqdmulhq_s16(__rev0_244, __noswap_splatq_lane_s16(__rev1_244, __p2_244)); \ + __ret_244 = __builtin_shufflevector(__ret_244, __ret_244, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_244; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulh_lane_s32(__p0_245, __p1_245, __p2_245) __extension__ ({ \ + int32x2_t __ret_245; \ + int32x2_t __s0_245 = __p0_245; \ + int32x2_t __s1_245 = __p1_245; \ + __ret_245 = vqdmulh_s32(__s0_245, splat_lane_s32(__s1_245, __p2_245)); \ + __ret_245; \ +}) +#else +#define vqdmulh_lane_s32(__p0_246, __p1_246, __p2_246) __extension__ ({ \ + int32x2_t __ret_246; \ + int32x2_t __s0_246 = __p0_246; \ + int32x2_t __s1_246 = __p1_246; \ + int32x2_t __rev0_246; __rev0_246 = __builtin_shufflevector(__s0_246, __s0_246, 1, 0); \ + int32x2_t __rev1_246; __rev1_246 = __builtin_shufflevector(__s1_246, __s1_246, 1, 0); \ + __ret_246 = __noswap_vqdmulh_s32(__rev0_246, __noswap_splat_lane_s32(__rev1_246, __p2_246)); \ + __ret_246 = __builtin_shufflevector(__ret_246, __ret_246, 1, 0); \ + __ret_246; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulh_lane_s16(__p0_247, __p1_247, __p2_247) __extension__ ({ \ + int16x4_t __ret_247; \ + int16x4_t __s0_247 = __p0_247; \ + int16x4_t __s1_247 = __p1_247; \ + __ret_247 = vqdmulh_s16(__s0_247, splat_lane_s16(__s1_247, __p2_247)); \ + __ret_247; \ +}) +#else +#define vqdmulh_lane_s16(__p0_248, __p1_248, __p2_248) __extension__ ({ \ + int16x4_t __ret_248; \ + int16x4_t __s0_248 = __p0_248; \ + int16x4_t __s1_248 = __p1_248; \ + int16x4_t __rev0_248; __rev0_248 = __builtin_shufflevector(__s0_248, __s0_248, 3, 2, 1, 0); \ + int16x4_t __rev1_248; __rev1_248 = __builtin_shufflevector(__s1_248, __s1_248, 3, 2, 1, 0); \ + __ret_248 = __noswap_vqdmulh_s16(__rev0_248, __noswap_splat_lane_s16(__rev1_248, __p2_248)); \ + __ret_248 = __builtin_shufflevector(__ret_248, __ret_248, 3, 2, 1, 0); \ + __ret_248; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulhq_lane_s32(__p0_249, __p1_249, __p2_249) __extension__ ({ \ + int32x4_t __ret_249; \ + int32x4_t __s0_249 = __p0_249; \ + int32x2_t __s1_249 = __p1_249; \ + __ret_249 = vqrdmulhq_s32(__s0_249, splatq_lane_s32(__s1_249, __p2_249)); \ + __ret_249; \ +}) +#else +#define vqrdmulhq_lane_s32(__p0_250, __p1_250, __p2_250) __extension__ ({ \ + int32x4_t __ret_250; \ + int32x4_t __s0_250 = __p0_250; \ + int32x2_t __s1_250 = __p1_250; \ + int32x4_t __rev0_250; __rev0_250 = __builtin_shufflevector(__s0_250, __s0_250, 3, 2, 1, 0); \ + int32x2_t __rev1_250; __rev1_250 = __builtin_shufflevector(__s1_250, __s1_250, 1, 0); \ + __ret_250 = __noswap_vqrdmulhq_s32(__rev0_250, __noswap_splatq_lane_s32(__rev1_250, __p2_250)); \ + __ret_250 = __builtin_shufflevector(__ret_250, __ret_250, 3, 2, 1, 0); \ + __ret_250; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulhq_lane_s16(__p0_251, __p1_251, __p2_251) __extension__ ({ \ + int16x8_t __ret_251; \ + int16x8_t __s0_251 = __p0_251; \ + int16x4_t __s1_251 = __p1_251; \ + __ret_251 = vqrdmulhq_s16(__s0_251, splatq_lane_s16(__s1_251, __p2_251)); \ + __ret_251; \ +}) +#else +#define vqrdmulhq_lane_s16(__p0_252, __p1_252, __p2_252) __extension__ ({ \ + int16x8_t __ret_252; \ + int16x8_t __s0_252 = __p0_252; \ + int16x4_t __s1_252 = __p1_252; \ + int16x8_t __rev0_252; __rev0_252 = __builtin_shufflevector(__s0_252, __s0_252, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev1_252; __rev1_252 = __builtin_shufflevector(__s1_252, __s1_252, 3, 2, 1, 0); \ + __ret_252 = __noswap_vqrdmulhq_s16(__rev0_252, __noswap_splatq_lane_s16(__rev1_252, __p2_252)); \ + __ret_252 = __builtin_shufflevector(__ret_252, __ret_252, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_252; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulh_lane_s32(__p0_253, __p1_253, __p2_253) __extension__ ({ \ + int32x2_t __ret_253; \ + int32x2_t __s0_253 = __p0_253; \ + int32x2_t __s1_253 = __p1_253; \ + __ret_253 = vqrdmulh_s32(__s0_253, splat_lane_s32(__s1_253, __p2_253)); \ + __ret_253; \ +}) +#else +#define vqrdmulh_lane_s32(__p0_254, __p1_254, __p2_254) __extension__ ({ \ + int32x2_t __ret_254; \ + int32x2_t __s0_254 = __p0_254; \ + int32x2_t __s1_254 = __p1_254; \ + int32x2_t __rev0_254; __rev0_254 = __builtin_shufflevector(__s0_254, __s0_254, 1, 0); \ + int32x2_t __rev1_254; __rev1_254 = __builtin_shufflevector(__s1_254, __s1_254, 1, 0); \ + __ret_254 = __noswap_vqrdmulh_s32(__rev0_254, __noswap_splat_lane_s32(__rev1_254, __p2_254)); \ + __ret_254 = __builtin_shufflevector(__ret_254, __ret_254, 1, 0); \ + __ret_254; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulh_lane_s16(__p0_255, __p1_255, __p2_255) __extension__ ({ \ + int16x4_t __ret_255; \ + int16x4_t __s0_255 = __p0_255; \ + int16x4_t __s1_255 = __p1_255; \ + __ret_255 = vqrdmulh_s16(__s0_255, splat_lane_s16(__s1_255, __p2_255)); \ + __ret_255; \ +}) +#else +#define vqrdmulh_lane_s16(__p0_256, __p1_256, __p2_256) __extension__ ({ \ + int16x4_t __ret_256; \ + int16x4_t __s0_256 = __p0_256; \ + int16x4_t __s1_256 = __p1_256; \ + int16x4_t __rev0_256; __rev0_256 = __builtin_shufflevector(__s0_256, __s0_256, 3, 2, 1, 0); \ + int16x4_t __rev1_256; __rev1_256 = __builtin_shufflevector(__s1_256, __s1_256, 3, 2, 1, 0); \ + __ret_256 = __noswap_vqrdmulh_s16(__rev0_256, __noswap_splat_lane_s16(__rev1_256, __p2_256)); \ + __ret_256 = __builtin_shufflevector(__ret_256, __ret_256, 3, 2, 1, 0); \ + __ret_256; \ +}) +#endif + +__ai poly8x8_t vreinterpret_p8_p16(poly16x4_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_u8(uint8x8_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_u32(uint32x2_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_u64(uint64x1_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_u16(uint16x4_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_s8(int8x8_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_f32(float32x2_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_f16(float16x4_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_s32(int32x2_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_s64(int64x1_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_s16(int16x4_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_p8(poly8x8_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_u8(uint8x8_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_u32(uint32x2_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_u64(uint64x1_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_u16(uint16x4_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_s8(int8x8_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_f32(float32x2_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_f16(float16x4_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_s32(int32x2_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_s64(int64x1_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_s16(int16x4_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_p16(poly16x8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_u8(uint8x16_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_u32(uint32x4_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_u64(uint64x2_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_u16(uint16x8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_s8(int8x16_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_f32(float32x4_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_f16(float16x8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_s32(int32x4_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_s64(int64x2_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_s16(int16x8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_p8(poly8x16_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_u8(uint8x16_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_u32(uint32x4_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_u64(uint64x2_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_u16(uint16x8_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_s8(int8x16_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_f32(float32x4_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_f16(float16x8_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_s32(int32x4_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_s64(int64x2_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_s16(int16x8_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_p8(poly8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_p16(poly16x8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_u32(uint32x4_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_u64(uint64x2_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_u16(uint16x8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_s8(int8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_f32(float32x4_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_f16(float16x8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_s32(int32x4_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_s64(int64x2_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_s16(int16x8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_p8(poly8x16_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_p16(poly16x8_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_u8(uint8x16_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_u64(uint64x2_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_u16(uint16x8_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_s8(int8x16_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_f32(float32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_f16(float16x8_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_s32(int32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_s64(int64x2_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_s16(int16x8_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_p8(poly8x16_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_p16(poly16x8_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_u8(uint8x16_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_u32(uint32x4_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_u16(uint16x8_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_s8(int8x16_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_f32(float32x4_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_f16(float16x8_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_s32(int32x4_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_s64(int64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_s16(int16x8_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_p8(poly8x16_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_p16(poly16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_u8(uint8x16_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_u32(uint32x4_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_u64(uint64x2_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_s8(int8x16_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_f32(float32x4_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_f16(float16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_s32(int32x4_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_s64(int64x2_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_s16(int16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_p8(poly8x16_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_p16(poly16x8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_u8(uint8x16_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_u32(uint32x4_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_u64(uint64x2_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_u16(uint16x8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_f32(float32x4_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_f16(float16x8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_s32(int32x4_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_s64(int64x2_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_s16(int16x8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_p8(poly8x16_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_p16(poly16x8_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_u8(uint8x16_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_u32(uint32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_u64(uint64x2_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_u16(uint16x8_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_s8(int8x16_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_f16(float16x8_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_s32(int32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_s64(int64x2_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_s16(int16x8_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_p8(poly8x16_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_p16(poly16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_u8(uint8x16_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_u32(uint32x4_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_u64(uint64x2_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_u16(uint16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_s8(int8x16_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_f32(float32x4_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_s32(int32x4_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_s64(int64x2_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_s16(int16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_p8(poly8x16_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_p16(poly16x8_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_u8(uint8x16_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_u32(uint32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_u64(uint64x2_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_u16(uint16x8_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_s8(int8x16_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_f32(float32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_f16(float16x8_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_s64(int64x2_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_s16(int16x8_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_p8(poly8x16_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_p16(poly16x8_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_u8(uint8x16_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_u32(uint32x4_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_u64(uint64x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_u16(uint16x8_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_s8(int8x16_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_f32(float32x4_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_f16(float16x8_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_s32(int32x4_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_s16(int16x8_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_p8(poly8x16_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_p16(poly16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_u8(uint8x16_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_u32(uint32x4_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_u64(uint64x2_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_u16(uint16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_s8(int8x16_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_f32(float32x4_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_f16(float16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_s32(int32x4_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_s64(int64x2_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_p8(poly8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_p16(poly16x4_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_u32(uint32x2_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_u64(uint64x1_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_u16(uint16x4_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_s8(int8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_f32(float32x2_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_f16(float16x4_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_s32(int32x2_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_s64(int64x1_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_s16(int16x4_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_p8(poly8x8_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_p16(poly16x4_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_u8(uint8x8_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_u64(uint64x1_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_u16(uint16x4_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_s8(int8x8_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_f32(float32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_f16(float16x4_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_s32(int32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_s64(int64x1_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_s16(int16x4_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_p8(poly8x8_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_p16(poly16x4_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_u8(uint8x8_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_u32(uint32x2_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_u16(uint16x4_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_s8(int8x8_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_f32(float32x2_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_f16(float16x4_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_s32(int32x2_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_s64(int64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_s16(int16x4_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_p8(poly8x8_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_p16(poly16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_u8(uint8x8_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_u32(uint32x2_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_u64(uint64x1_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_s8(int8x8_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_f32(float32x2_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_f16(float16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_s32(int32x2_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_s64(int64x1_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_s16(int16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_p8(poly8x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_p16(poly16x4_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_u8(uint8x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_u32(uint32x2_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_u64(uint64x1_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_u16(uint16x4_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_f32(float32x2_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_f16(float16x4_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_s32(int32x2_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_s64(int64x1_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_s16(int16x4_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_p8(poly8x8_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_p16(poly16x4_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_u8(uint8x8_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_u32(uint32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_u64(uint64x1_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_u16(uint16x4_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_s8(int8x8_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_f16(float16x4_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_s32(int32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_s64(int64x1_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_s16(int16x4_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_p8(poly8x8_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_p16(poly16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_u8(uint8x8_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_u32(uint32x2_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_u64(uint64x1_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_u16(uint16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_s8(int8x8_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_f32(float32x2_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_s32(int32x2_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_s64(int64x1_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_s16(int16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_p8(poly8x8_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_p16(poly16x4_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_u8(uint8x8_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_u32(uint32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_u64(uint64x1_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_u16(uint16x4_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_s8(int8x8_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_f32(float32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_f16(float16x4_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_s64(int64x1_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_s16(int16x4_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_p8(poly8x8_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_p16(poly16x4_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_u8(uint8x8_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_u32(uint32x2_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_u64(uint64x1_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_u16(uint16x4_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_s8(int8x8_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_f32(float32x2_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_f16(float16x4_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_s32(int32x2_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_s16(int16x4_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_p8(poly8x8_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_p16(poly16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_u8(uint8x8_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_u32(uint32x2_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_u64(uint64x1_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_u16(uint16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_s8(int8x8_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_f32(float32x2_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_f16(float16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_s32(int32x2_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_s64(int64x1_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x4_t __a32_vcvt_bf16_f32(float32x4_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t) __builtin_neon___a32_vcvt_bf16_f32((int8x16_t)__p0, 11); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x4_t __a32_vcvt_bf16_f32(float32x4_t __p0) { + bfloat16x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (bfloat16x4_t) __builtin_neon___a32_vcvt_bf16_f32((int8x16_t)__rev0, 11); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t __noswap___a32_vcvt_bf16_f32(float32x4_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t) __builtin_neon___a32_vcvt_bf16_f32((int8x16_t)__p0, 11); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x4_t vcvt_bf16_f32(float32x4_t __p0) { + bfloat16x4_t __ret; + __ret = __a32_vcvt_bf16_f32(__p0); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x4_t vcvt_bf16_f32(float32x4_t __p0) { + bfloat16x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap___a32_vcvt_bf16_f32(__rev0); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x8_t vcvtq_high_bf16_f32(bfloat16x8_t __p0, float32x4_t __p1) { + bfloat16x8_t __ret; + __ret = vcombine_bf16(__a32_vcvt_bf16_f32(__p1), vget_low_bf16(__p0)); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x8_t vcvtq_high_bf16_f32(bfloat16x8_t __p0, float32x4_t __p1) { + bfloat16x8_t __ret; + bfloat16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vcombine_bf16(__noswap___a32_vcvt_bf16_f32(__rev1), __noswap_vget_low_bf16(__rev0)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x8_t vcvtq_low_bf16_f32(float32x4_t __p0) { + bfloat16x8_t __ret; + __ret = vcombine_bf16((bfloat16x4_t)(0ULL), __a32_vcvt_bf16_f32(__p0)); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x8_t vcvtq_low_bf16_f32(float32x4_t __p0) { + bfloat16x8_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vcombine_bf16((bfloat16x4_t)(0ULL), __noswap___a32_vcvt_bf16_f32(__rev0)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai __attribute__((target("bf16"))) poly8x8_t vreinterpret_p8_bf16(bfloat16x4_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) poly64x1_t vreinterpret_p64_bf16(bfloat16x4_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) poly16x4_t vreinterpret_p16_bf16(bfloat16x4_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) poly8x16_t vreinterpretq_p8_bf16(bfloat16x8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) poly64x2_t vreinterpretq_p64_bf16(bfloat16x8_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) poly16x8_t vreinterpretq_p16_bf16(bfloat16x8_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint8x16_t vreinterpretq_u8_bf16(bfloat16x8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint32x4_t vreinterpretq_u32_bf16(bfloat16x8_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint64x2_t vreinterpretq_u64_bf16(bfloat16x8_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint16x8_t vreinterpretq_u16_bf16(bfloat16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int8x16_t vreinterpretq_s8_bf16(bfloat16x8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) float32x4_t vreinterpretq_f32_bf16(bfloat16x8_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) float16x8_t vreinterpretq_f16_bf16(bfloat16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int32x4_t vreinterpretq_s32_bf16(bfloat16x8_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int64x2_t vreinterpretq_s64_bf16(bfloat16x8_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int16x8_t vreinterpretq_s16_bf16(bfloat16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint8x8_t vreinterpret_u8_bf16(bfloat16x4_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint32x2_t vreinterpret_u32_bf16(bfloat16x4_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint64x1_t vreinterpret_u64_bf16(bfloat16x4_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint16x4_t vreinterpret_u16_bf16(bfloat16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int8x8_t vreinterpret_s8_bf16(bfloat16x4_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) float32x2_t vreinterpret_f32_bf16(bfloat16x4_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) float16x4_t vreinterpret_f16_bf16(bfloat16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int32x2_t vreinterpret_s32_bf16(bfloat16x4_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int64x1_t vreinterpret_s64_bf16(bfloat16x4_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int16x4_t vreinterpret_s16_bf16(bfloat16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_p8(poly8x16_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_p64(poly64x2_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_p16(poly16x8_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_u8(uint8x16_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_u32(uint32x4_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_u64(uint64x2_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_u16(uint16x8_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_s8(int8x16_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_f32(float32x4_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_f16(float16x8_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_s32(int32x4_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_s64(int64x2_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_s16(int16x8_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_p8(poly8x8_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_p64(poly64x1_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_p16(poly16x4_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_u8(uint8x8_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_u32(uint32x2_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_u64(uint64x1_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_u16(uint16x4_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_s8(int8x8_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_f32(float32x2_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_f16(float16x4_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_s32(int32x2_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_s64(int64x1_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_s16(int16x4_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +#endif +#if (__ARM_FP & 2) +#ifdef __LITTLE_ENDIAN__ +__ai float16x4_t vcvt_f16_f32(float32x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcvt_f16_f32((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai float16x4_t vcvt_f16_f32(float32x4_t __p0) { + float16x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vcvt_f16_f32((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai float16x4_t __noswap_vcvt_f16_f32(float32x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vcvt_f16_f32((int8x16_t)__p0, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vcvt_f32_f16(float16x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcvt_f32_f16((int8x8_t)__p0, 8); + return __ret; +} +#else +__ai float32x4_t vcvt_f32_f16(float16x4_t __p0) { + float32x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vcvt_f32_f16((int8x8_t)__rev0, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai float32x4_t __noswap_vcvt_f32_f16(float16x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vcvt_f32_f16((int8x8_t)__p0, 8); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_f16(__p0) __extension__ ({ \ + float16x8_t __ret; \ + __ret = (float16x8_t) __builtin_neon_vld1q_v(__p0, 40); \ + __ret; \ +}) +#else +#define vld1q_f16(__p0) __extension__ ({ \ + float16x8_t __ret; \ + __ret = (float16x8_t) __builtin_neon_vld1q_v(__p0, 40); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_f16(__p0) __extension__ ({ \ + float16x4_t __ret; \ + __ret = (float16x4_t) __builtin_neon_vld1_v(__p0, 8); \ + __ret; \ +}) +#else +#define vld1_f16(__p0) __extension__ ({ \ + float16x4_t __ret; \ + __ret = (float16x4_t) __builtin_neon_vld1_v(__p0, 8); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_f16(__p0) __extension__ ({ \ + float16x8_t __ret; \ + __ret = (float16x8_t) __builtin_neon_vld1q_dup_v(__p0, 40); \ + __ret; \ +}) +#else +#define vld1q_dup_f16(__p0) __extension__ ({ \ + float16x8_t __ret; \ + __ret = (float16x8_t) __builtin_neon_vld1q_dup_v(__p0, 40); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_dup_f16(__p0) __extension__ ({ \ + float16x4_t __ret; \ + __ret = (float16x4_t) __builtin_neon_vld1_dup_v(__p0, 8); \ + __ret; \ +}) +#else +#define vld1_dup_f16(__p0) __extension__ ({ \ + float16x4_t __ret; \ + __ret = (float16x4_t) __builtin_neon_vld1_dup_v(__p0, 8); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s1 = __p1; \ + __ret = (float16x8_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 40); \ + __ret; \ +}) +#else +#define vld1q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s1 = __p1; \ + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16x8_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 40); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s1 = __p1; \ + __ret = (float16x4_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 8); \ + __ret; \ +}) +#else +#define vld1_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s1 = __p1; \ + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (float16x4_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__rev1, __p2, 8); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_f16_x2(__p0) __extension__ ({ \ + float16x8x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 40); \ + __ret; \ +}) +#else +#define vld1q_f16_x2(__p0) __extension__ ({ \ + float16x8x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 40); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_f16_x2(__p0) __extension__ ({ \ + float16x4x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 8); \ + __ret; \ +}) +#else +#define vld1_f16_x2(__p0) __extension__ ({ \ + float16x4x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 8); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_f16_x3(__p0) __extension__ ({ \ + float16x8x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 40); \ + __ret; \ +}) +#else +#define vld1q_f16_x3(__p0) __extension__ ({ \ + float16x8x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 40); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_f16_x3(__p0) __extension__ ({ \ + float16x4x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 8); \ + __ret; \ +}) +#else +#define vld1_f16_x3(__p0) __extension__ ({ \ + float16x4x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 8); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_f16_x4(__p0) __extension__ ({ \ + float16x8x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 40); \ + __ret; \ +}) +#else +#define vld1q_f16_x4(__p0) __extension__ ({ \ + float16x8x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 40); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1_f16_x4(__p0) __extension__ ({ \ + float16x4x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 8); \ + __ret; \ +}) +#else +#define vld1_f16_x4(__p0) __extension__ ({ \ + float16x4x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 8); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_f16(__p0) __extension__ ({ \ + float16x8x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 40); \ + __ret; \ +}) +#else +#define vld2q_f16(__p0) __extension__ ({ \ + float16x8x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 40); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_f16(__p0) __extension__ ({ \ + float16x4x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 8); \ + __ret; \ +}) +#else +#define vld2_f16(__p0) __extension__ ({ \ + float16x4x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 8); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_f16(__p0) __extension__ ({ \ + float16x8x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 40); \ + __ret; \ +}) +#else +#define vld2q_dup_f16(__p0) __extension__ ({ \ + float16x8x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 40); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_dup_f16(__p0) __extension__ ({ \ + float16x4x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 8); \ + __ret; \ +}) +#else +#define vld2_dup_f16(__p0) __extension__ ({ \ + float16x4x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 8); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8x2_t __ret; \ + float16x8x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 40); \ + __ret; \ +}) +#else +#define vld2q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8x2_t __ret; \ + float16x8x2_t __s1 = __p1; \ + float16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 40); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4x2_t __ret; \ + float16x4x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 8); \ + __ret; \ +}) +#else +#define vld2_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4x2_t __ret; \ + float16x4x2_t __s1 = __p1; \ + float16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 8); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_f16(__p0) __extension__ ({ \ + float16x8x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 40); \ + __ret; \ +}) +#else +#define vld3q_f16(__p0) __extension__ ({ \ + float16x8x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 40); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_f16(__p0) __extension__ ({ \ + float16x4x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 8); \ + __ret; \ +}) +#else +#define vld3_f16(__p0) __extension__ ({ \ + float16x4x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 8); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_f16(__p0) __extension__ ({ \ + float16x8x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 40); \ + __ret; \ +}) +#else +#define vld3q_dup_f16(__p0) __extension__ ({ \ + float16x8x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 40); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_dup_f16(__p0) __extension__ ({ \ + float16x4x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 8); \ + __ret; \ +}) +#else +#define vld3_dup_f16(__p0) __extension__ ({ \ + float16x4x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 8); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8x3_t __ret; \ + float16x8x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 40); \ + __ret; \ +}) +#else +#define vld3q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8x3_t __ret; \ + float16x8x3_t __s1 = __p1; \ + float16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 40); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4x3_t __ret; \ + float16x4x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 8); \ + __ret; \ +}) +#else +#define vld3_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4x3_t __ret; \ + float16x4x3_t __s1 = __p1; \ + float16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 8); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_f16(__p0) __extension__ ({ \ + float16x8x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 40); \ + __ret; \ +}) +#else +#define vld4q_f16(__p0) __extension__ ({ \ + float16x8x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 40); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_f16(__p0) __extension__ ({ \ + float16x4x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 8); \ + __ret; \ +}) +#else +#define vld4_f16(__p0) __extension__ ({ \ + float16x4x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 8); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_f16(__p0) __extension__ ({ \ + float16x8x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 40); \ + __ret; \ +}) +#else +#define vld4q_dup_f16(__p0) __extension__ ({ \ + float16x8x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 40); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_dup_f16(__p0) __extension__ ({ \ + float16x4x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 8); \ + __ret; \ +}) +#else +#define vld4_dup_f16(__p0) __extension__ ({ \ + float16x4x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 8); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8x4_t __ret; \ + float16x8x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 40); \ + __ret; \ +}) +#else +#define vld4q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8x4_t __ret; \ + float16x8x4_t __s1 = __p1; \ + float16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 40); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4x4_t __ret; \ + float16x4x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 8); \ + __ret; \ +}) +#else +#define vld4_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4x4_t __ret; \ + float16x4x4_t __s1 = __p1; \ + float16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 8); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_f16(__p0, __p1) __extension__ ({ \ + float16x8_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 40); \ +}) +#else +#define vst1q_f16(__p0, __p1) __extension__ ({ \ + float16x8_t __s1 = __p1; \ + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 40); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_f16(__p0, __p1) __extension__ ({ \ + float16x4_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 8); \ +}) +#else +#define vst1_f16(__p0, __p1) __extension__ ({ \ + float16x4_t __s1 = __p1; \ + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__rev1, 8); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 40); \ +}) +#else +#define vst1q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8_t __s1 = __p1; \ + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 40); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 8); \ +}) +#else +#define vst1_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4_t __s1 = __p1; \ + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__rev1, __p2, 8); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_f16_x2(__p0, __p1) __extension__ ({ \ + float16x8x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 40); \ +}) +#else +#define vst1q_f16_x2(__p0, __p1) __extension__ ({ \ + float16x8x2_t __s1 = __p1; \ + float16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 40); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_f16_x2(__p0, __p1) __extension__ ({ \ + float16x4x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 8); \ +}) +#else +#define vst1_f16_x2(__p0, __p1) __extension__ ({ \ + float16x4x2_t __s1 = __p1; \ + float16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 8); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_f16_x3(__p0, __p1) __extension__ ({ \ + float16x8x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 40); \ +}) +#else +#define vst1q_f16_x3(__p0, __p1) __extension__ ({ \ + float16x8x3_t __s1 = __p1; \ + float16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 40); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_f16_x3(__p0, __p1) __extension__ ({ \ + float16x4x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 8); \ +}) +#else +#define vst1_f16_x3(__p0, __p1) __extension__ ({ \ + float16x4x3_t __s1 = __p1; \ + float16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 8); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_f16_x4(__p0, __p1) __extension__ ({ \ + float16x8x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 40); \ +}) +#else +#define vst1q_f16_x4(__p0, __p1) __extension__ ({ \ + float16x8x4_t __s1 = __p1; \ + float16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 40); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1_f16_x4(__p0, __p1) __extension__ ({ \ + float16x4x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 8); \ +}) +#else +#define vst1_f16_x4(__p0, __p1) __extension__ ({ \ + float16x4x4_t __s1 = __p1; \ + float16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 8); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_f16(__p0, __p1) __extension__ ({ \ + float16x8x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 40); \ +}) +#else +#define vst2q_f16(__p0, __p1) __extension__ ({ \ + float16x8x2_t __s1 = __p1; \ + float16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 40); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_f16(__p0, __p1) __extension__ ({ \ + float16x4x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 8); \ +}) +#else +#define vst2_f16(__p0, __p1) __extension__ ({ \ + float16x4x2_t __s1 = __p1; \ + float16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], 8); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 40); \ +}) +#else +#define vst2q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8x2_t __s1 = __p1; \ + float16x8x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 40); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 8); \ +}) +#else +#define vst2_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4x2_t __s1 = __p1; \ + float16x4x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], __p2, 8); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_f16(__p0, __p1) __extension__ ({ \ + float16x8x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 40); \ +}) +#else +#define vst3q_f16(__p0, __p1) __extension__ ({ \ + float16x8x3_t __s1 = __p1; \ + float16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 40); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_f16(__p0, __p1) __extension__ ({ \ + float16x4x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 8); \ +}) +#else +#define vst3_f16(__p0, __p1) __extension__ ({ \ + float16x4x3_t __s1 = __p1; \ + float16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], 8); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 40); \ +}) +#else +#define vst3q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8x3_t __s1 = __p1; \ + float16x8x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 40); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 8); \ +}) +#else +#define vst3_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4x3_t __s1 = __p1; \ + float16x4x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], __p2, 8); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_f16(__p0, __p1) __extension__ ({ \ + float16x8x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 40); \ +}) +#else +#define vst4q_f16(__p0, __p1) __extension__ ({ \ + float16x8x4_t __s1 = __p1; \ + float16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 40); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_f16(__p0, __p1) __extension__ ({ \ + float16x4x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 8); \ +}) +#else +#define vst4_f16(__p0, __p1) __extension__ ({ \ + float16x4x4_t __s1 = __p1; \ + float16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], 8); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 40); \ +}) +#else +#define vst4q_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8x4_t __s1 = __p1; \ + float16x8x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 40); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 8); \ +}) +#else +#define vst4_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4x4_t __s1 = __p1; \ + float16x4x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 3, 2, 1, 0); \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__rev1.val[0], (int8x8_t)__rev1.val[1], (int8x8_t)__rev1.val[2], (int8x8_t)__rev1.val[3], __p2, 8); \ +}) +#endif + +#endif +#if __ARM_ARCH >= 8 +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vcvtaq_s32_f32(float32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vcvtaq_s32_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vcvtaq_s32_f32(float32x4_t __p0) { + int32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vcvtaq_s32_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vcvta_s32_f32(float32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vcvta_s32_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vcvta_s32_f32(float32x2_t __p0) { + int32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vcvta_s32_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcvtaq_u32_f32(float32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcvtaq_u32_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vcvtaq_u32_f32(float32x4_t __p0) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcvtaq_u32_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcvta_u32_f32(float32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcvta_u32_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vcvta_u32_f32(float32x2_t __p0) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcvta_u32_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vcvtmq_s32_f32(float32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vcvtmq_s32_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vcvtmq_s32_f32(float32x4_t __p0) { + int32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vcvtmq_s32_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vcvtm_s32_f32(float32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vcvtm_s32_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vcvtm_s32_f32(float32x2_t __p0) { + int32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vcvtm_s32_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcvtmq_u32_f32(float32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcvtmq_u32_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vcvtmq_u32_f32(float32x4_t __p0) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcvtmq_u32_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcvtm_u32_f32(float32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcvtm_u32_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vcvtm_u32_f32(float32x2_t __p0) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcvtm_u32_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vcvtnq_s32_f32(float32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vcvtnq_s32_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vcvtnq_s32_f32(float32x4_t __p0) { + int32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vcvtnq_s32_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vcvtn_s32_f32(float32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vcvtn_s32_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vcvtn_s32_f32(float32x2_t __p0) { + int32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vcvtn_s32_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcvtnq_u32_f32(float32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcvtnq_u32_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vcvtnq_u32_f32(float32x4_t __p0) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcvtnq_u32_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcvtn_u32_f32(float32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcvtn_u32_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vcvtn_u32_f32(float32x2_t __p0) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcvtn_u32_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vcvtpq_s32_f32(float32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vcvtpq_s32_v((int8x16_t)__p0, 34); + return __ret; +} +#else +__ai int32x4_t vcvtpq_s32_f32(float32x4_t __p0) { + int32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vcvtpq_s32_v((int8x16_t)__rev0, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vcvtp_s32_f32(float32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vcvtp_s32_v((int8x8_t)__p0, 2); + return __ret; +} +#else +__ai int32x2_t vcvtp_s32_f32(float32x2_t __p0) { + int32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32x2_t) __builtin_neon_vcvtp_s32_v((int8x8_t)__rev0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcvtpq_u32_f32(float32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcvtpq_u32_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vcvtpq_u32_f32(float32x4_t __p0) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcvtpq_u32_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcvtp_u32_f32(float32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcvtp_u32_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vcvtp_u32_f32(float32x2_t __p0) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcvtp_u32_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("aes"))) uint8x16_t vaesdq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vaesdq_u8((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai __attribute__((target("aes"))) uint8x16_t vaesdq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vaesdq_u8((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("aes"))) uint8x16_t vaeseq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vaeseq_u8((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai __attribute__((target("aes"))) uint8x16_t vaeseq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vaeseq_u8((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("aes"))) uint8x16_t vaesimcq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vaesimcq_u8((int8x16_t)__p0, 48); + return __ret; +} +#else +__ai __attribute__((target("aes"))) uint8x16_t vaesimcq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vaesimcq_u8((int8x16_t)__rev0, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("aes"))) uint8x16_t vaesmcq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vaesmcq_u8((int8x16_t)__p0, 48); + return __ret; +} +#else +__ai __attribute__((target("aes"))) uint8x16_t vaesmcq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vaesmcq_u8((int8x16_t)__rev0, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha2"))) uint32x4_t vsha1cq_u32(uint32x4_t __p0, uint32_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsha1cq_u32(__p0, __p1, __p2); + return __ret; +} +#else +__ai __attribute__((target("sha2"))) uint32x4_t vsha1cq_u32(uint32x4_t __p0, uint32_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsha1cq_u32(__rev0, __p1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai __attribute__((target("sha2"))) uint32_t vsha1h_u32(uint32_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vsha1h_u32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha2"))) uint32x4_t vsha1mq_u32(uint32x4_t __p0, uint32_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsha1mq_u32(__p0, __p1, __p2); + return __ret; +} +#else +__ai __attribute__((target("sha2"))) uint32x4_t vsha1mq_u32(uint32x4_t __p0, uint32_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsha1mq_u32(__rev0, __p1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha2"))) uint32x4_t vsha1pq_u32(uint32x4_t __p0, uint32_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsha1pq_u32(__p0, __p1, __p2); + return __ret; +} +#else +__ai __attribute__((target("sha2"))) uint32x4_t vsha1pq_u32(uint32x4_t __p0, uint32_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsha1pq_u32(__rev0, __p1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha2"))) uint32x4_t vsha1su0q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsha1su0q_u32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#else +__ai __attribute__((target("sha2"))) uint32x4_t vsha1su0q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsha1su0q_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha2"))) uint32x4_t vsha1su1q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsha1su1q_u32((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai __attribute__((target("sha2"))) uint32x4_t vsha1su1q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsha1su1q_u32((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha2"))) uint32x4_t vsha256hq_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsha256hq_u32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#else +__ai __attribute__((target("sha2"))) uint32x4_t vsha256hq_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsha256hq_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha2"))) uint32x4_t vsha256h2q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsha256h2q_u32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#else +__ai __attribute__((target("sha2"))) uint32x4_t vsha256h2q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsha256h2q_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha2"))) uint32x4_t vsha256su0q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsha256su0q_u32((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai __attribute__((target("sha2"))) uint32x4_t vsha256su0q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsha256su0q_u32((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha2"))) uint32x4_t vsha256su1q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsha256su1q_u32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#else +__ai __attribute__((target("sha2"))) uint32x4_t vsha256su1q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsha256su1q_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#endif +#if __ARM_ARCH >= 8 && defined(__ARM_FEATURE_DIRECTED_ROUNDING) +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vrndq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrndq_v((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai float32x4_t vrndq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrndq_v((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vrnd_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrnd_v((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai float32x2_t vrnd_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrnd_v((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vrndaq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrndaq_v((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai float32x4_t vrndaq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrndaq_v((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vrnda_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrnda_v((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai float32x2_t vrnda_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrnda_v((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vrndiq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrndiq_v((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai float32x4_t vrndiq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrndiq_v((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vrndi_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrndi_v((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai float32x2_t vrndi_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrndi_v((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vrndmq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrndmq_v((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai float32x4_t vrndmq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrndmq_v((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vrndm_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrndm_v((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai float32x2_t vrndm_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrndm_v((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vrndnq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrndnq_v((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai float32x4_t vrndnq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrndnq_v((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vrndn_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrndn_v((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai float32x2_t vrndn_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrndn_v((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float32_t vrndns_f32(float32_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vrndns_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vrndpq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrndpq_v((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai float32x4_t vrndpq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrndpq_v((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vrndp_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrndp_v((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai float32x2_t vrndp_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrndp_v((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vrndxq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrndxq_v((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai float32x4_t vrndxq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrndxq_v((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vrndx_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrndx_v((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai float32x2_t vrndx_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrndx_v((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vrndq_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vrndq_f16((int8x16_t)__p0, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vrndq_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vrndq_f16((int8x16_t)__rev0, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vrnd_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vrnd_f16((int8x8_t)__p0, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vrnd_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vrnd_f16((int8x8_t)__rev0, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vrndaq_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vrndaq_f16((int8x16_t)__p0, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vrndaq_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vrndaq_f16((int8x16_t)__rev0, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vrnda_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vrnda_f16((int8x8_t)__p0, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vrnda_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vrnda_f16((int8x8_t)__rev0, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vrndmq_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vrndmq_f16((int8x16_t)__p0, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vrndmq_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vrndmq_f16((int8x16_t)__rev0, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vrndm_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vrndm_f16((int8x8_t)__p0, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vrndm_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vrndm_f16((int8x8_t)__rev0, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vrndnq_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vrndnq_f16((int8x16_t)__p0, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vrndnq_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vrndnq_f16((int8x16_t)__rev0, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vrndn_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vrndn_f16((int8x8_t)__p0, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vrndn_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vrndn_f16((int8x8_t)__rev0, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vrndpq_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vrndpq_f16((int8x16_t)__p0, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vrndpq_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vrndpq_f16((int8x16_t)__rev0, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vrndp_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vrndp_f16((int8x8_t)__p0, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vrndp_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vrndp_f16((int8x8_t)__rev0, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vrndxq_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vrndxq_f16((int8x16_t)__p0, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vrndxq_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vrndxq_f16((int8x16_t)__rev0, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vrndx_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vrndx_f16((int8x8_t)__p0, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vrndx_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vrndx_f16((int8x8_t)__rev0, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#endif +#if __ARM_ARCH >= 8 && defined(__ARM_FEATURE_NUMERIC_MAXMIN) +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vmaxnmq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vmaxnmq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vmaxnmq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vmaxnmq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vmaxnm_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vmaxnm_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vmaxnm_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vmaxnm_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vminnmq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vminnmq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vminnmq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vminnmq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vminnm_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vminnm_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vminnm_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vminnm_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vmaxnmq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vmaxnmq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vmaxnmq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vmaxnmq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vmaxnm_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vmaxnm_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vmaxnm_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vmaxnm_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vminnmq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vminnmq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vminnmq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vminnmq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vminnm_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vminnm_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vminnm_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vminnm_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#endif +#if defined(__ARM_FEATURE_FMA) +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vfmaq_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vfmaq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai float32x4_t vfmaq_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vfmaq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai float32x4_t __noswap_vfmaq_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vfmaq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vfma_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vfma_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#else +__ai float32x2_t vfma_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (float32x2_t) __builtin_neon_vfma_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai float32x2_t __noswap_vfma_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vfma_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vfmaq_n_f32(float32x4_t __p0, float32x4_t __p1, float32_t __p2) { + float32x4_t __ret; + __ret = vfmaq_f32(__p0, __p1, (float32x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#else +__ai float32x4_t vfmaq_n_f32(float32x4_t __p0, float32x4_t __p1, float32_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vfmaq_f32(__rev0, __rev1, (float32x4_t) {__p2, __p2, __p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vfma_n_f32(float32x2_t __p0, float32x2_t __p1, float32_t __p2) { + float32x2_t __ret; + __ret = vfma_f32(__p0, __p1, (float32x2_t) {__p2, __p2}); + return __ret; +} +#else +__ai float32x2_t vfma_n_f32(float32x2_t __p0, float32x2_t __p1, float32_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vfma_f32(__rev0, __rev1, (float32x2_t) {__p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vfmsq_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + __ret = vfmaq_f32(__p0, -__p1, __p2); + return __ret; +} +#else +__ai float32x4_t vfmsq_f32(float32x4_t __p0, float32x4_t __p1, float32x4_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vfmaq_f32(__rev0, -__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vfms_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + __ret = vfma_f32(__p0, -__p1, __p2); + return __ret; +} +#else +__ai float32x2_t vfms_f32(float32x2_t __p0, float32x2_t __p1, float32x2_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __noswap_vfma_f32(__rev0, -__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#endif +#if defined(__aarch64__) +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vabdq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vabdq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vabdq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vabdq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vabd_f64(float64x1_t __p0, float64x1_t __p1) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vabd_v((int8x8_t)__p0, (int8x8_t)__p1, 10); + return __ret; +} +__ai float64_t vabdd_f64(float64_t __p0, float64_t __p1) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vabdd_f64(__p0, __p1); + return __ret; +} +__ai float32_t vabds_f32(float32_t __p0, float32_t __p1) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vabds_f32(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vabsq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vabsq_v((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai float64x2_t vabsq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vabsq_v((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vabsq_s64(int64x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vabsq_v((int8x16_t)__p0, 35); + return __ret; +} +#else +__ai int64x2_t vabsq_s64(int64x2_t __p0) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64x2_t) __builtin_neon_vabsq_v((int8x16_t)__rev0, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vabs_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vabs_v((int8x8_t)__p0, 10); + return __ret; +} +__ai int64x1_t vabs_s64(int64x1_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vabs_v((int8x8_t)__p0, 3); + return __ret; +} +__ai int64_t vabsd_s64(int64_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vabsd_s64(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vaddq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = __p0 + __p1; + return __ret; +} +#else +__ai float64x2_t vaddq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vadd_f64(float64x1_t __p0, float64x1_t __p1) { + float64x1_t __ret; + __ret = __p0 + __p1; + return __ret; +} +__ai uint64_t vaddd_u64(uint64_t __p0, uint64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vaddd_u64(__p0, __p1); + return __ret; +} +__ai int64_t vaddd_s64(int64_t __p0, int64_t __p1) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vaddd_s64(__p0, __p1); + return __ret; +} +__ai poly128_t vaddq_p128(poly128_t __p0, poly128_t __p1) { + poly128_t __ret; + __ret = (poly128_t) __builtin_neon_vaddq_p128(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vaddhn_high_u32(uint16x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint16x8_t __ret; + __ret = vcombine_u16(__p0, vaddhn_u32(__p1, __p2)); + return __ret; +} +#else +__ai uint16x8_t vaddhn_high_u32(uint16x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint16x8_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vcombine_u16(__rev0, __noswap_vaddhn_u32(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vaddhn_high_u64(uint32x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint32x4_t __ret; + __ret = vcombine_u32(__p0, vaddhn_u64(__p1, __p2)); + return __ret; +} +#else +__ai uint32x4_t vaddhn_high_u64(uint32x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint32x4_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __noswap_vcombine_u32(__rev0, __noswap_vaddhn_u64(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vaddhn_high_u16(uint8x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint8x16_t __ret; + __ret = vcombine_u8(__p0, vaddhn_u16(__p1, __p2)); + return __ret; +} +#else +__ai uint8x16_t vaddhn_high_u16(uint8x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint8x16_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_u8(__rev0, __noswap_vaddhn_u16(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vaddhn_high_s32(int16x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int16x8_t __ret; + __ret = vcombine_s16(__p0, vaddhn_s32(__p1, __p2)); + return __ret; +} +#else +__ai int16x8_t vaddhn_high_s32(int16x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int16x8_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vcombine_s16(__rev0, __noswap_vaddhn_s32(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vaddhn_high_s64(int32x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int32x4_t __ret; + __ret = vcombine_s32(__p0, vaddhn_s64(__p1, __p2)); + return __ret; +} +#else +__ai int32x4_t vaddhn_high_s64(int32x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int32x4_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __noswap_vcombine_s32(__rev0, __noswap_vaddhn_s64(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vaddhn_high_s16(int8x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int8x16_t __ret; + __ret = vcombine_s8(__p0, vaddhn_s16(__p1, __p2)); + return __ret; +} +#else +__ai int8x16_t vaddhn_high_s16(int8x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int8x16_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_s8(__rev0, __noswap_vaddhn_s16(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16_t vaddlvq_u8(uint8x16_t __p0) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vaddlvq_u8(__p0); + return __ret; +} +#else +__ai uint16_t vaddlvq_u8(uint8x16_t __p0) { + uint16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16_t) __builtin_neon_vaddlvq_u8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64_t vaddlvq_u32(uint32x4_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vaddlvq_u32(__p0); + return __ret; +} +#else +__ai uint64_t vaddlvq_u32(uint32x4_t __p0) { + uint64_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint64_t) __builtin_neon_vaddlvq_u32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32_t vaddlvq_u16(uint16x8_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vaddlvq_u16(__p0); + return __ret; +} +#else +__ai uint32_t vaddlvq_u16(uint16x8_t __p0) { + uint32_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint32_t) __builtin_neon_vaddlvq_u16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16_t vaddlvq_s8(int8x16_t __p0) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vaddlvq_s8(__p0); + return __ret; +} +#else +__ai int16_t vaddlvq_s8(int8x16_t __p0) { + int16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16_t) __builtin_neon_vaddlvq_s8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64_t vaddlvq_s32(int32x4_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vaddlvq_s32(__p0); + return __ret; +} +#else +__ai int64_t vaddlvq_s32(int32x4_t __p0) { + int64_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int64_t) __builtin_neon_vaddlvq_s32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32_t vaddlvq_s16(int16x8_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vaddlvq_s16(__p0); + return __ret; +} +#else +__ai int32_t vaddlvq_s16(int16x8_t __p0) { + int32_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int32_t) __builtin_neon_vaddlvq_s16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16_t vaddlv_u8(uint8x8_t __p0) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vaddlv_u8(__p0); + return __ret; +} +#else +__ai uint16_t vaddlv_u8(uint8x8_t __p0) { + uint16_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16_t) __builtin_neon_vaddlv_u8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64_t vaddlv_u32(uint32x2_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vaddlv_u32(__p0); + return __ret; +} +#else +__ai uint64_t vaddlv_u32(uint32x2_t __p0) { + uint64_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64_t) __builtin_neon_vaddlv_u32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32_t vaddlv_u16(uint16x4_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vaddlv_u16(__p0); + return __ret; +} +#else +__ai uint32_t vaddlv_u16(uint16x4_t __p0) { + uint32_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32_t) __builtin_neon_vaddlv_u16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16_t vaddlv_s8(int8x8_t __p0) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vaddlv_s8(__p0); + return __ret; +} +#else +__ai int16_t vaddlv_s8(int8x8_t __p0) { + int16_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16_t) __builtin_neon_vaddlv_s8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64_t vaddlv_s32(int32x2_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vaddlv_s32(__p0); + return __ret; +} +#else +__ai int64_t vaddlv_s32(int32x2_t __p0) { + int64_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64_t) __builtin_neon_vaddlv_s32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32_t vaddlv_s16(int16x4_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vaddlv_s16(__p0); + return __ret; +} +#else +__ai int32_t vaddlv_s16(int16x4_t __p0) { + int32_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32_t) __builtin_neon_vaddlv_s16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8_t vaddvq_u8(uint8x16_t __p0) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vaddvq_u8(__p0); + return __ret; +} +#else +__ai uint8_t vaddvq_u8(uint8x16_t __p0) { + uint8_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8_t) __builtin_neon_vaddvq_u8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32_t vaddvq_u32(uint32x4_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vaddvq_u32(__p0); + return __ret; +} +#else +__ai uint32_t vaddvq_u32(uint32x4_t __p0) { + uint32_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32_t) __builtin_neon_vaddvq_u32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64_t vaddvq_u64(uint64x2_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vaddvq_u64(__p0); + return __ret; +} +#else +__ai uint64_t vaddvq_u64(uint64x2_t __p0) { + uint64_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64_t) __builtin_neon_vaddvq_u64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16_t vaddvq_u16(uint16x8_t __p0) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vaddvq_u16(__p0); + return __ret; +} +#else +__ai uint16_t vaddvq_u16(uint16x8_t __p0) { + uint16_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16_t) __builtin_neon_vaddvq_u16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8_t vaddvq_s8(int8x16_t __p0) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vaddvq_s8(__p0); + return __ret; +} +#else +__ai int8_t vaddvq_s8(int8x16_t __p0) { + int8_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8_t) __builtin_neon_vaddvq_s8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64_t vaddvq_f64(float64x2_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vaddvq_f64(__p0); + return __ret; +} +#else +__ai float64_t vaddvq_f64(float64x2_t __p0) { + float64_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64_t) __builtin_neon_vaddvq_f64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vaddvq_f32(float32x4_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vaddvq_f32(__p0); + return __ret; +} +#else +__ai float32_t vaddvq_f32(float32x4_t __p0) { + float32_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32_t) __builtin_neon_vaddvq_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32_t vaddvq_s32(int32x4_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vaddvq_s32(__p0); + return __ret; +} +#else +__ai int32_t vaddvq_s32(int32x4_t __p0) { + int32_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32_t) __builtin_neon_vaddvq_s32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64_t vaddvq_s64(int64x2_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vaddvq_s64(__p0); + return __ret; +} +#else +__ai int64_t vaddvq_s64(int64x2_t __p0) { + int64_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64_t) __builtin_neon_vaddvq_s64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16_t vaddvq_s16(int16x8_t __p0) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vaddvq_s16(__p0); + return __ret; +} +#else +__ai int16_t vaddvq_s16(int16x8_t __p0) { + int16_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16_t) __builtin_neon_vaddvq_s16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8_t vaddv_u8(uint8x8_t __p0) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vaddv_u8(__p0); + return __ret; +} +#else +__ai uint8_t vaddv_u8(uint8x8_t __p0) { + uint8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8_t) __builtin_neon_vaddv_u8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32_t vaddv_u32(uint32x2_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vaddv_u32(__p0); + return __ret; +} +#else +__ai uint32_t vaddv_u32(uint32x2_t __p0) { + uint32_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32_t) __builtin_neon_vaddv_u32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16_t vaddv_u16(uint16x4_t __p0) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vaddv_u16(__p0); + return __ret; +} +#else +__ai uint16_t vaddv_u16(uint16x4_t __p0) { + uint16_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16_t) __builtin_neon_vaddv_u16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8_t vaddv_s8(int8x8_t __p0) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vaddv_s8(__p0); + return __ret; +} +#else +__ai int8_t vaddv_s8(int8x8_t __p0) { + int8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8_t) __builtin_neon_vaddv_s8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vaddv_f32(float32x2_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vaddv_f32(__p0); + return __ret; +} +#else +__ai float32_t vaddv_f32(float32x2_t __p0) { + float32_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32_t) __builtin_neon_vaddv_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32_t vaddv_s32(int32x2_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vaddv_s32(__p0); + return __ret; +} +#else +__ai int32_t vaddv_s32(int32x2_t __p0) { + int32_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32_t) __builtin_neon_vaddv_s32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16_t vaddv_s16(int16x4_t __p0) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vaddv_s16(__p0); + return __ret; +} +#else +__ai int16_t vaddv_s16(int16x4_t __p0) { + int16_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16_t) __builtin_neon_vaddv_s16(__rev0); + return __ret; +} +#endif + +__ai poly64x1_t vbsl_p64(uint64x1_t __p0, poly64x1_t __p1, poly64x1_t __p2) { + poly64x1_t __ret; + __ret = (poly64x1_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 6); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai poly64x2_t vbslq_p64(uint64x2_t __p0, poly64x2_t __p1, poly64x2_t __p2) { + poly64x2_t __ret; + __ret = (poly64x2_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 38); + return __ret; +} +#else +__ai poly64x2_t vbslq_p64(uint64x2_t __p0, poly64x2_t __p1, poly64x2_t __p2) { + poly64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + poly64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (poly64x2_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 38); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vbslq_f64(uint64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vbslq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 42); + return __ret; +} +#else +__ai float64x2_t vbslq_f64(uint64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (float64x2_t) __builtin_neon_vbslq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vbsl_f64(uint64x1_t __p0, float64x1_t __p1, float64x1_t __p2) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vbsl_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcageq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcageq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vcageq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcageq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcage_f64(float64x1_t __p0, float64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcage_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +__ai uint64_t vcaged_f64(float64_t __p0, float64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcaged_f64(__p0, __p1); + return __ret; +} +__ai uint32_t vcages_f32(float32_t __p0, float32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcages_f32(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcagtq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcagtq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vcagtq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcagtq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcagt_f64(float64x1_t __p0, float64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcagt_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +__ai uint64_t vcagtd_f64(float64_t __p0, float64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcagtd_f64(__p0, __p1); + return __ret; +} +__ai uint32_t vcagts_f32(float32_t __p0, float32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcagts_f32(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcaleq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcaleq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vcaleq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcaleq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcale_f64(float64x1_t __p0, float64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcale_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +__ai uint64_t vcaled_f64(float64_t __p0, float64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcaled_f64(__p0, __p1); + return __ret; +} +__ai uint32_t vcales_f32(float32_t __p0, float32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcales_f32(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcaltq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcaltq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vcaltq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcaltq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcalt_f64(float64x1_t __p0, float64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcalt_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +__ai uint64_t vcaltd_f64(float64_t __p0, float64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcaltd_f64(__p0, __p1); + return __ret; +} +__ai uint32_t vcalts_f32(float32_t __p0, float32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcalts_f32(__p0, __p1); + return __ret; +} +__ai uint64x1_t vceq_p64(poly64x1_t __p0, poly64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 == __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vceqq_p64(poly64x2_t __p0, poly64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint64x2_t vceqq_p64(poly64x2_t __p0, poly64x2_t __p1) { + uint64x2_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vceqq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint64x2_t vceqq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vceqq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint64x2_t vceqq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vceqq_s64(int64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 == __p1); + return __ret; +} +#else +__ai uint64x2_t vceqq_s64(int64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 == __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vceq_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 == __p1); + return __ret; +} +__ai uint64x1_t vceq_f64(float64x1_t __p0, float64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 == __p1); + return __ret; +} +__ai uint64x1_t vceq_s64(int64x1_t __p0, int64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 == __p1); + return __ret; +} +__ai uint64_t vceqd_u64(uint64_t __p0, uint64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vceqd_u64(__p0, __p1); + return __ret; +} +__ai uint64_t vceqd_s64(int64_t __p0, int64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vceqd_s64(__p0, __p1); + return __ret; +} +__ai uint64_t vceqd_f64(float64_t __p0, float64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vceqd_f64(__p0, __p1); + return __ret; +} +__ai uint32_t vceqs_f32(float32_t __p0, float32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vceqs_f32(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vceqz_p8(poly8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vceqz_v((int8x8_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vceqz_p8(poly8x8_t __p0) { + uint8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vceqz_v((int8x8_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vceqz_p64(poly64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vceqz_v((int8x8_t)__p0, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vceqzq_p8(poly8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vceqzq_v((int8x16_t)__p0, 48); + return __ret; +} +#else +__ai uint8x16_t vceqzq_p8(poly8x16_t __p0) { + uint8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vceqzq_v((int8x16_t)__rev0, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vceqzq_p64(poly64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vceqzq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vceqzq_p64(poly64x2_t __p0) { + uint64x2_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vceqzq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vceqzq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vceqzq_v((int8x16_t)__p0, 48); + return __ret; +} +#else +__ai uint8x16_t vceqzq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vceqzq_v((int8x16_t)__rev0, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vceqzq_u32(uint32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vceqzq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vceqzq_u32(uint32x4_t __p0) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vceqzq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vceqzq_u64(uint64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vceqzq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vceqzq_u64(uint64x2_t __p0) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vceqzq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vceqzq_u16(uint16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vceqzq_v((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai uint16x8_t vceqzq_u16(uint16x8_t __p0) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vceqzq_v((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vceqzq_s8(int8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vceqzq_v((int8x16_t)__p0, 48); + return __ret; +} +#else +__ai uint8x16_t vceqzq_s8(int8x16_t __p0) { + uint8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vceqzq_v((int8x16_t)__rev0, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vceqzq_f64(float64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vceqzq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vceqzq_f64(float64x2_t __p0) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vceqzq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vceqzq_f32(float32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vceqzq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vceqzq_f32(float32x4_t __p0) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vceqzq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vceqzq_s32(int32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vceqzq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vceqzq_s32(int32x4_t __p0) { + uint32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vceqzq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vceqzq_s64(int64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vceqzq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vceqzq_s64(int64x2_t __p0) { + uint64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vceqzq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vceqzq_s16(int16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vceqzq_v((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai uint16x8_t vceqzq_s16(int16x8_t __p0) { + uint16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vceqzq_v((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vceqz_u8(uint8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vceqz_v((int8x8_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vceqz_u8(uint8x8_t __p0) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vceqz_v((int8x8_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vceqz_u32(uint32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vceqz_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vceqz_u32(uint32x2_t __p0) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vceqz_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vceqz_u64(uint64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vceqz_v((int8x8_t)__p0, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vceqz_u16(uint16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vceqz_v((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai uint16x4_t vceqz_u16(uint16x4_t __p0) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vceqz_v((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vceqz_s8(int8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vceqz_v((int8x8_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vceqz_s8(int8x8_t __p0) { + uint8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vceqz_v((int8x8_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vceqz_f64(float64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vceqz_v((int8x8_t)__p0, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vceqz_f32(float32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vceqz_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vceqz_f32(float32x2_t __p0) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vceqz_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vceqz_s32(int32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vceqz_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vceqz_s32(int32x2_t __p0) { + uint32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vceqz_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vceqz_s64(int64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vceqz_v((int8x8_t)__p0, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vceqz_s16(int16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vceqz_v((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai uint16x4_t vceqz_s16(int16x4_t __p0) { + uint16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vceqz_v((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint64_t vceqzd_u64(uint64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vceqzd_u64(__p0); + return __ret; +} +__ai uint64_t vceqzd_s64(int64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vceqzd_s64(__p0); + return __ret; +} +__ai uint64_t vceqzd_f64(float64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vceqzd_f64(__p0); + return __ret; +} +__ai uint32_t vceqzs_f32(float32_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vceqzs_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcgeq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint64x2_t vcgeq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcgeq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint64x2_t vcgeq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcgeq_s64(int64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 >= __p1); + return __ret; +} +#else +__ai uint64x2_t vcgeq_s64(int64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 >= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcge_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 >= __p1); + return __ret; +} +__ai uint64x1_t vcge_f64(float64x1_t __p0, float64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 >= __p1); + return __ret; +} +__ai uint64x1_t vcge_s64(int64x1_t __p0, int64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 >= __p1); + return __ret; +} +__ai uint64_t vcged_s64(int64_t __p0, int64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcged_s64(__p0, __p1); + return __ret; +} +__ai uint64_t vcged_u64(uint64_t __p0, uint64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcged_u64(__p0, __p1); + return __ret; +} +__ai uint64_t vcged_f64(float64_t __p0, float64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcged_f64(__p0, __p1); + return __ret; +} +__ai uint32_t vcges_f32(float32_t __p0, float32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcges_f32(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcgezq_s8(int8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vcgezq_v((int8x16_t)__p0, 48); + return __ret; +} +#else +__ai uint8x16_t vcgezq_s8(int8x16_t __p0) { + uint8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vcgezq_v((int8x16_t)__rev0, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcgezq_f64(float64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcgezq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vcgezq_f64(float64x2_t __p0) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcgezq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcgezq_f32(float32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcgezq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vcgezq_f32(float32x4_t __p0) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcgezq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcgezq_s32(int32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcgezq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vcgezq_s32(int32x4_t __p0) { + uint32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcgezq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcgezq_s64(int64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcgezq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vcgezq_s64(int64x2_t __p0) { + uint64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcgezq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vcgezq_s16(int16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcgezq_v((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai uint16x8_t vcgezq_s16(int16x8_t __p0) { + uint16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcgezq_v((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vcgez_s8(int8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vcgez_v((int8x8_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vcgez_s8(int8x8_t __p0) { + uint8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vcgez_v((int8x8_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcgez_f64(float64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcgez_v((int8x8_t)__p0, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcgez_f32(float32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcgez_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vcgez_f32(float32x2_t __p0) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcgez_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcgez_s32(int32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcgez_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vcgez_s32(int32x2_t __p0) { + uint32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcgez_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcgez_s64(int64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcgez_v((int8x8_t)__p0, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vcgez_s16(int16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcgez_v((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai uint16x4_t vcgez_s16(int16x4_t __p0) { + uint16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcgez_v((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint64_t vcgezd_s64(int64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcgezd_s64(__p0); + return __ret; +} +__ai uint64_t vcgezd_f64(float64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcgezd_f64(__p0); + return __ret; +} +__ai uint32_t vcgezs_f32(float32_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcgezs_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcgtq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint64x2_t vcgtq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcgtq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint64x2_t vcgtq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcgtq_s64(int64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 > __p1); + return __ret; +} +#else +__ai uint64x2_t vcgtq_s64(int64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 > __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcgt_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 > __p1); + return __ret; +} +__ai uint64x1_t vcgt_f64(float64x1_t __p0, float64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 > __p1); + return __ret; +} +__ai uint64x1_t vcgt_s64(int64x1_t __p0, int64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 > __p1); + return __ret; +} +__ai uint64_t vcgtd_s64(int64_t __p0, int64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcgtd_s64(__p0, __p1); + return __ret; +} +__ai uint64_t vcgtd_u64(uint64_t __p0, uint64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcgtd_u64(__p0, __p1); + return __ret; +} +__ai uint64_t vcgtd_f64(float64_t __p0, float64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcgtd_f64(__p0, __p1); + return __ret; +} +__ai uint32_t vcgts_f32(float32_t __p0, float32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcgts_f32(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcgtzq_s8(int8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vcgtzq_v((int8x16_t)__p0, 48); + return __ret; +} +#else +__ai uint8x16_t vcgtzq_s8(int8x16_t __p0) { + uint8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vcgtzq_v((int8x16_t)__rev0, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcgtzq_f64(float64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcgtzq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vcgtzq_f64(float64x2_t __p0) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcgtzq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcgtzq_f32(float32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcgtzq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vcgtzq_f32(float32x4_t __p0) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcgtzq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcgtzq_s32(int32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcgtzq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vcgtzq_s32(int32x4_t __p0) { + uint32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcgtzq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcgtzq_s64(int64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcgtzq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vcgtzq_s64(int64x2_t __p0) { + uint64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcgtzq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vcgtzq_s16(int16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcgtzq_v((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai uint16x8_t vcgtzq_s16(int16x8_t __p0) { + uint16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcgtzq_v((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vcgtz_s8(int8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vcgtz_v((int8x8_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vcgtz_s8(int8x8_t __p0) { + uint8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vcgtz_v((int8x8_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcgtz_f64(float64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcgtz_v((int8x8_t)__p0, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcgtz_f32(float32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcgtz_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vcgtz_f32(float32x2_t __p0) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcgtz_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcgtz_s32(int32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcgtz_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vcgtz_s32(int32x2_t __p0) { + uint32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcgtz_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcgtz_s64(int64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcgtz_v((int8x8_t)__p0, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vcgtz_s16(int16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcgtz_v((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai uint16x4_t vcgtz_s16(int16x4_t __p0) { + uint16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcgtz_v((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint64_t vcgtzd_s64(int64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcgtzd_s64(__p0); + return __ret; +} +__ai uint64_t vcgtzd_f64(float64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcgtzd_f64(__p0); + return __ret; +} +__ai uint32_t vcgtzs_f32(float32_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcgtzs_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcleq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint64x2_t vcleq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcleq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint64x2_t vcleq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcleq_s64(int64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 <= __p1); + return __ret; +} +#else +__ai uint64x2_t vcleq_s64(int64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 <= __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcle_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 <= __p1); + return __ret; +} +__ai uint64x1_t vcle_f64(float64x1_t __p0, float64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 <= __p1); + return __ret; +} +__ai uint64x1_t vcle_s64(int64x1_t __p0, int64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 <= __p1); + return __ret; +} +__ai uint64_t vcled_u64(uint64_t __p0, uint64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcled_u64(__p0, __p1); + return __ret; +} +__ai uint64_t vcled_s64(int64_t __p0, int64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcled_s64(__p0, __p1); + return __ret; +} +__ai uint64_t vcled_f64(float64_t __p0, float64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcled_f64(__p0, __p1); + return __ret; +} +__ai uint32_t vcles_f32(float32_t __p0, float32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcles_f32(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vclezq_s8(int8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vclezq_v((int8x16_t)__p0, 48); + return __ret; +} +#else +__ai uint8x16_t vclezq_s8(int8x16_t __p0) { + uint8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vclezq_v((int8x16_t)__rev0, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vclezq_f64(float64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vclezq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vclezq_f64(float64x2_t __p0) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vclezq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vclezq_f32(float32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vclezq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vclezq_f32(float32x4_t __p0) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vclezq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vclezq_s32(int32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vclezq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vclezq_s32(int32x4_t __p0) { + uint32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vclezq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vclezq_s64(int64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vclezq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vclezq_s64(int64x2_t __p0) { + uint64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vclezq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vclezq_s16(int16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vclezq_v((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai uint16x8_t vclezq_s16(int16x8_t __p0) { + uint16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vclezq_v((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vclez_s8(int8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vclez_v((int8x8_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vclez_s8(int8x8_t __p0) { + uint8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vclez_v((int8x8_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vclez_f64(float64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vclez_v((int8x8_t)__p0, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vclez_f32(float32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vclez_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vclez_f32(float32x2_t __p0) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vclez_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vclez_s32(int32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vclez_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vclez_s32(int32x2_t __p0) { + uint32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vclez_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vclez_s64(int64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vclez_v((int8x8_t)__p0, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vclez_s16(int16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vclez_v((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai uint16x4_t vclez_s16(int16x4_t __p0) { + uint16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vclez_v((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint64_t vclezd_s64(int64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vclezd_s64(__p0); + return __ret; +} +__ai uint64_t vclezd_f64(float64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vclezd_f64(__p0); + return __ret; +} +__ai uint32_t vclezs_f32(float32_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vclezs_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcltq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint64x2_t vcltq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcltq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint64x2_t vcltq_f64(float64x2_t __p0, float64x2_t __p1) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcltq_s64(int64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0 < __p1); + return __ret; +} +#else +__ai uint64x2_t vcltq_s64(int64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__rev0 < __rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vclt_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 < __p1); + return __ret; +} +__ai uint64x1_t vclt_f64(float64x1_t __p0, float64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 < __p1); + return __ret; +} +__ai uint64x1_t vclt_s64(int64x1_t __p0, int64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0 < __p1); + return __ret; +} +__ai uint64_t vcltd_u64(uint64_t __p0, uint64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcltd_u64(__p0, __p1); + return __ret; +} +__ai uint64_t vcltd_s64(int64_t __p0, int64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcltd_s64(__p0, __p1); + return __ret; +} +__ai uint64_t vcltd_f64(float64_t __p0, float64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcltd_f64(__p0, __p1); + return __ret; +} +__ai uint32_t vclts_f32(float32_t __p0, float32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vclts_f32(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vcltzq_s8(int8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vcltzq_v((int8x16_t)__p0, 48); + return __ret; +} +#else +__ai uint8x16_t vcltzq_s8(int8x16_t __p0) { + uint8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vcltzq_v((int8x16_t)__rev0, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcltzq_f64(float64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcltzq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vcltzq_f64(float64x2_t __p0) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcltzq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcltzq_f32(float32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcltzq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vcltzq_f32(float32x4_t __p0) { + uint32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcltzq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vcltzq_s32(int32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vcltzq_v((int8x16_t)__p0, 50); + return __ret; +} +#else +__ai uint32x4_t vcltzq_s32(int32x4_t __p0) { + uint32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vcltzq_v((int8x16_t)__rev0, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcltzq_s64(int64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcltzq_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vcltzq_s64(int64x2_t __p0) { + uint64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcltzq_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vcltzq_s16(int16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vcltzq_v((int8x16_t)__p0, 49); + return __ret; +} +#else +__ai uint16x8_t vcltzq_s16(int16x8_t __p0) { + uint16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vcltzq_v((int8x16_t)__rev0, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vcltz_s8(int8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vcltz_v((int8x8_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vcltz_s8(int8x8_t __p0) { + uint8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vcltz_v((int8x8_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcltz_f64(float64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcltz_v((int8x8_t)__p0, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcltz_f32(float32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcltz_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vcltz_f32(float32x2_t __p0) { + uint32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcltz_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vcltz_s32(int32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vcltz_v((int8x8_t)__p0, 18); + return __ret; +} +#else +__ai uint32x2_t vcltz_s32(int32x2_t __p0) { + uint32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vcltz_v((int8x8_t)__rev0, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcltz_s64(int64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcltz_v((int8x8_t)__p0, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vcltz_s16(int16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vcltz_v((int8x8_t)__p0, 17); + return __ret; +} +#else +__ai uint16x4_t vcltz_s16(int16x4_t __p0) { + uint16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vcltz_v((int8x8_t)__rev0, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint64_t vcltzd_s64(int64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcltzd_s64(__p0); + return __ret; +} +__ai uint64_t vcltzd_f64(float64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcltzd_f64(__p0); + return __ret; +} +__ai uint32_t vcltzs_f32(float32_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcltzs_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai poly64x2_t vcombine_p64(poly64x1_t __p0, poly64x1_t __p1) { + poly64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1); + return __ret; +} +#else +__ai poly64x2_t vcombine_p64(poly64x1_t __p0, poly64x1_t __p1) { + poly64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vcombine_f64(float64x1_t __p0, float64x1_t __p1) { + float64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1); + return __ret; +} +#else +__ai float64x2_t vcombine_f64(float64x1_t __p0, float64x1_t __p1) { + float64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_p8(__p0_257, __p1_257, __p2_257, __p3_257) __extension__ ({ \ + poly8x16_t __ret_257; \ + poly8x16_t __s0_257 = __p0_257; \ + poly8x8_t __s2_257 = __p2_257; \ + __ret_257 = vsetq_lane_p8(vget_lane_p8(__s2_257, __p3_257), __s0_257, __p1_257); \ + __ret_257; \ +}) +#else +#define vcopyq_lane_p8(__p0_258, __p1_258, __p2_258, __p3_258) __extension__ ({ \ + poly8x16_t __ret_258; \ + poly8x16_t __s0_258 = __p0_258; \ + poly8x8_t __s2_258 = __p2_258; \ + poly8x16_t __rev0_258; __rev0_258 = __builtin_shufflevector(__s0_258, __s0_258, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly8x8_t __rev2_258; __rev2_258 = __builtin_shufflevector(__s2_258, __s2_258, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_258 = __noswap_vsetq_lane_p8(__noswap_vget_lane_p8(__rev2_258, __p3_258), __rev0_258, __p1_258); \ + __ret_258 = __builtin_shufflevector(__ret_258, __ret_258, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_258; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_p16(__p0_259, __p1_259, __p2_259, __p3_259) __extension__ ({ \ + poly16x8_t __ret_259; \ + poly16x8_t __s0_259 = __p0_259; \ + poly16x4_t __s2_259 = __p2_259; \ + __ret_259 = vsetq_lane_p16(vget_lane_p16(__s2_259, __p3_259), __s0_259, __p1_259); \ + __ret_259; \ +}) +#else +#define vcopyq_lane_p16(__p0_260, __p1_260, __p2_260, __p3_260) __extension__ ({ \ + poly16x8_t __ret_260; \ + poly16x8_t __s0_260 = __p0_260; \ + poly16x4_t __s2_260 = __p2_260; \ + poly16x8_t __rev0_260; __rev0_260 = __builtin_shufflevector(__s0_260, __s0_260, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly16x4_t __rev2_260; __rev2_260 = __builtin_shufflevector(__s2_260, __s2_260, 3, 2, 1, 0); \ + __ret_260 = __noswap_vsetq_lane_p16(__noswap_vget_lane_p16(__rev2_260, __p3_260), __rev0_260, __p1_260); \ + __ret_260 = __builtin_shufflevector(__ret_260, __ret_260, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_260; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_u8(__p0_261, __p1_261, __p2_261, __p3_261) __extension__ ({ \ + uint8x16_t __ret_261; \ + uint8x16_t __s0_261 = __p0_261; \ + uint8x8_t __s2_261 = __p2_261; \ + __ret_261 = vsetq_lane_u8(vget_lane_u8(__s2_261, __p3_261), __s0_261, __p1_261); \ + __ret_261; \ +}) +#else +#define vcopyq_lane_u8(__p0_262, __p1_262, __p2_262, __p3_262) __extension__ ({ \ + uint8x16_t __ret_262; \ + uint8x16_t __s0_262 = __p0_262; \ + uint8x8_t __s2_262 = __p2_262; \ + uint8x16_t __rev0_262; __rev0_262 = __builtin_shufflevector(__s0_262, __s0_262, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x8_t __rev2_262; __rev2_262 = __builtin_shufflevector(__s2_262, __s2_262, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_262 = __noswap_vsetq_lane_u8(__noswap_vget_lane_u8(__rev2_262, __p3_262), __rev0_262, __p1_262); \ + __ret_262 = __builtin_shufflevector(__ret_262, __ret_262, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_262; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_u32(__p0_263, __p1_263, __p2_263, __p3_263) __extension__ ({ \ + uint32x4_t __ret_263; \ + uint32x4_t __s0_263 = __p0_263; \ + uint32x2_t __s2_263 = __p2_263; \ + __ret_263 = vsetq_lane_u32(vget_lane_u32(__s2_263, __p3_263), __s0_263, __p1_263); \ + __ret_263; \ +}) +#else +#define vcopyq_lane_u32(__p0_264, __p1_264, __p2_264, __p3_264) __extension__ ({ \ + uint32x4_t __ret_264; \ + uint32x4_t __s0_264 = __p0_264; \ + uint32x2_t __s2_264 = __p2_264; \ + uint32x4_t __rev0_264; __rev0_264 = __builtin_shufflevector(__s0_264, __s0_264, 3, 2, 1, 0); \ + uint32x2_t __rev2_264; __rev2_264 = __builtin_shufflevector(__s2_264, __s2_264, 1, 0); \ + __ret_264 = __noswap_vsetq_lane_u32(__noswap_vget_lane_u32(__rev2_264, __p3_264), __rev0_264, __p1_264); \ + __ret_264 = __builtin_shufflevector(__ret_264, __ret_264, 3, 2, 1, 0); \ + __ret_264; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_u64(__p0_265, __p1_265, __p2_265, __p3_265) __extension__ ({ \ + uint64x2_t __ret_265; \ + uint64x2_t __s0_265 = __p0_265; \ + uint64x1_t __s2_265 = __p2_265; \ + __ret_265 = vsetq_lane_u64(vget_lane_u64(__s2_265, __p3_265), __s0_265, __p1_265); \ + __ret_265; \ +}) +#else +#define vcopyq_lane_u64(__p0_266, __p1_266, __p2_266, __p3_266) __extension__ ({ \ + uint64x2_t __ret_266; \ + uint64x2_t __s0_266 = __p0_266; \ + uint64x1_t __s2_266 = __p2_266; \ + uint64x2_t __rev0_266; __rev0_266 = __builtin_shufflevector(__s0_266, __s0_266, 1, 0); \ + __ret_266 = __noswap_vsetq_lane_u64(vget_lane_u64(__s2_266, __p3_266), __rev0_266, __p1_266); \ + __ret_266 = __builtin_shufflevector(__ret_266, __ret_266, 1, 0); \ + __ret_266; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_u16(__p0_267, __p1_267, __p2_267, __p3_267) __extension__ ({ \ + uint16x8_t __ret_267; \ + uint16x8_t __s0_267 = __p0_267; \ + uint16x4_t __s2_267 = __p2_267; \ + __ret_267 = vsetq_lane_u16(vget_lane_u16(__s2_267, __p3_267), __s0_267, __p1_267); \ + __ret_267; \ +}) +#else +#define vcopyq_lane_u16(__p0_268, __p1_268, __p2_268, __p3_268) __extension__ ({ \ + uint16x8_t __ret_268; \ + uint16x8_t __s0_268 = __p0_268; \ + uint16x4_t __s2_268 = __p2_268; \ + uint16x8_t __rev0_268; __rev0_268 = __builtin_shufflevector(__s0_268, __s0_268, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x4_t __rev2_268; __rev2_268 = __builtin_shufflevector(__s2_268, __s2_268, 3, 2, 1, 0); \ + __ret_268 = __noswap_vsetq_lane_u16(__noswap_vget_lane_u16(__rev2_268, __p3_268), __rev0_268, __p1_268); \ + __ret_268 = __builtin_shufflevector(__ret_268, __ret_268, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_268; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_s8(__p0_269, __p1_269, __p2_269, __p3_269) __extension__ ({ \ + int8x16_t __ret_269; \ + int8x16_t __s0_269 = __p0_269; \ + int8x8_t __s2_269 = __p2_269; \ + __ret_269 = vsetq_lane_s8(vget_lane_s8(__s2_269, __p3_269), __s0_269, __p1_269); \ + __ret_269; \ +}) +#else +#define vcopyq_lane_s8(__p0_270, __p1_270, __p2_270, __p3_270) __extension__ ({ \ + int8x16_t __ret_270; \ + int8x16_t __s0_270 = __p0_270; \ + int8x8_t __s2_270 = __p2_270; \ + int8x16_t __rev0_270; __rev0_270 = __builtin_shufflevector(__s0_270, __s0_270, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x8_t __rev2_270; __rev2_270 = __builtin_shufflevector(__s2_270, __s2_270, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_270 = __noswap_vsetq_lane_s8(__noswap_vget_lane_s8(__rev2_270, __p3_270), __rev0_270, __p1_270); \ + __ret_270 = __builtin_shufflevector(__ret_270, __ret_270, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_270; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_f32(__p0_271, __p1_271, __p2_271, __p3_271) __extension__ ({ \ + float32x4_t __ret_271; \ + float32x4_t __s0_271 = __p0_271; \ + float32x2_t __s2_271 = __p2_271; \ + __ret_271 = vsetq_lane_f32(vget_lane_f32(__s2_271, __p3_271), __s0_271, __p1_271); \ + __ret_271; \ +}) +#else +#define vcopyq_lane_f32(__p0_272, __p1_272, __p2_272, __p3_272) __extension__ ({ \ + float32x4_t __ret_272; \ + float32x4_t __s0_272 = __p0_272; \ + float32x2_t __s2_272 = __p2_272; \ + float32x4_t __rev0_272; __rev0_272 = __builtin_shufflevector(__s0_272, __s0_272, 3, 2, 1, 0); \ + float32x2_t __rev2_272; __rev2_272 = __builtin_shufflevector(__s2_272, __s2_272, 1, 0); \ + __ret_272 = __noswap_vsetq_lane_f32(__noswap_vget_lane_f32(__rev2_272, __p3_272), __rev0_272, __p1_272); \ + __ret_272 = __builtin_shufflevector(__ret_272, __ret_272, 3, 2, 1, 0); \ + __ret_272; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_s32(__p0_273, __p1_273, __p2_273, __p3_273) __extension__ ({ \ + int32x4_t __ret_273; \ + int32x4_t __s0_273 = __p0_273; \ + int32x2_t __s2_273 = __p2_273; \ + __ret_273 = vsetq_lane_s32(vget_lane_s32(__s2_273, __p3_273), __s0_273, __p1_273); \ + __ret_273; \ +}) +#else +#define vcopyq_lane_s32(__p0_274, __p1_274, __p2_274, __p3_274) __extension__ ({ \ + int32x4_t __ret_274; \ + int32x4_t __s0_274 = __p0_274; \ + int32x2_t __s2_274 = __p2_274; \ + int32x4_t __rev0_274; __rev0_274 = __builtin_shufflevector(__s0_274, __s0_274, 3, 2, 1, 0); \ + int32x2_t __rev2_274; __rev2_274 = __builtin_shufflevector(__s2_274, __s2_274, 1, 0); \ + __ret_274 = __noswap_vsetq_lane_s32(__noswap_vget_lane_s32(__rev2_274, __p3_274), __rev0_274, __p1_274); \ + __ret_274 = __builtin_shufflevector(__ret_274, __ret_274, 3, 2, 1, 0); \ + __ret_274; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_s64(__p0_275, __p1_275, __p2_275, __p3_275) __extension__ ({ \ + int64x2_t __ret_275; \ + int64x2_t __s0_275 = __p0_275; \ + int64x1_t __s2_275 = __p2_275; \ + __ret_275 = vsetq_lane_s64(vget_lane_s64(__s2_275, __p3_275), __s0_275, __p1_275); \ + __ret_275; \ +}) +#else +#define vcopyq_lane_s64(__p0_276, __p1_276, __p2_276, __p3_276) __extension__ ({ \ + int64x2_t __ret_276; \ + int64x2_t __s0_276 = __p0_276; \ + int64x1_t __s2_276 = __p2_276; \ + int64x2_t __rev0_276; __rev0_276 = __builtin_shufflevector(__s0_276, __s0_276, 1, 0); \ + __ret_276 = __noswap_vsetq_lane_s64(vget_lane_s64(__s2_276, __p3_276), __rev0_276, __p1_276); \ + __ret_276 = __builtin_shufflevector(__ret_276, __ret_276, 1, 0); \ + __ret_276; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_s16(__p0_277, __p1_277, __p2_277, __p3_277) __extension__ ({ \ + int16x8_t __ret_277; \ + int16x8_t __s0_277 = __p0_277; \ + int16x4_t __s2_277 = __p2_277; \ + __ret_277 = vsetq_lane_s16(vget_lane_s16(__s2_277, __p3_277), __s0_277, __p1_277); \ + __ret_277; \ +}) +#else +#define vcopyq_lane_s16(__p0_278, __p1_278, __p2_278, __p3_278) __extension__ ({ \ + int16x8_t __ret_278; \ + int16x8_t __s0_278 = __p0_278; \ + int16x4_t __s2_278 = __p2_278; \ + int16x8_t __rev0_278; __rev0_278 = __builtin_shufflevector(__s0_278, __s0_278, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev2_278; __rev2_278 = __builtin_shufflevector(__s2_278, __s2_278, 3, 2, 1, 0); \ + __ret_278 = __noswap_vsetq_lane_s16(__noswap_vget_lane_s16(__rev2_278, __p3_278), __rev0_278, __p1_278); \ + __ret_278 = __builtin_shufflevector(__ret_278, __ret_278, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_278; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_lane_p8(__p0_279, __p1_279, __p2_279, __p3_279) __extension__ ({ \ + poly8x8_t __ret_279; \ + poly8x8_t __s0_279 = __p0_279; \ + poly8x8_t __s2_279 = __p2_279; \ + __ret_279 = vset_lane_p8(vget_lane_p8(__s2_279, __p3_279), __s0_279, __p1_279); \ + __ret_279; \ +}) +#else +#define vcopy_lane_p8(__p0_280, __p1_280, __p2_280, __p3_280) __extension__ ({ \ + poly8x8_t __ret_280; \ + poly8x8_t __s0_280 = __p0_280; \ + poly8x8_t __s2_280 = __p2_280; \ + poly8x8_t __rev0_280; __rev0_280 = __builtin_shufflevector(__s0_280, __s0_280, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly8x8_t __rev2_280; __rev2_280 = __builtin_shufflevector(__s2_280, __s2_280, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_280 = __noswap_vset_lane_p8(__noswap_vget_lane_p8(__rev2_280, __p3_280), __rev0_280, __p1_280); \ + __ret_280 = __builtin_shufflevector(__ret_280, __ret_280, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_280; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_lane_p16(__p0_281, __p1_281, __p2_281, __p3_281) __extension__ ({ \ + poly16x4_t __ret_281; \ + poly16x4_t __s0_281 = __p0_281; \ + poly16x4_t __s2_281 = __p2_281; \ + __ret_281 = vset_lane_p16(vget_lane_p16(__s2_281, __p3_281), __s0_281, __p1_281); \ + __ret_281; \ +}) +#else +#define vcopy_lane_p16(__p0_282, __p1_282, __p2_282, __p3_282) __extension__ ({ \ + poly16x4_t __ret_282; \ + poly16x4_t __s0_282 = __p0_282; \ + poly16x4_t __s2_282 = __p2_282; \ + poly16x4_t __rev0_282; __rev0_282 = __builtin_shufflevector(__s0_282, __s0_282, 3, 2, 1, 0); \ + poly16x4_t __rev2_282; __rev2_282 = __builtin_shufflevector(__s2_282, __s2_282, 3, 2, 1, 0); \ + __ret_282 = __noswap_vset_lane_p16(__noswap_vget_lane_p16(__rev2_282, __p3_282), __rev0_282, __p1_282); \ + __ret_282 = __builtin_shufflevector(__ret_282, __ret_282, 3, 2, 1, 0); \ + __ret_282; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_lane_u8(__p0_283, __p1_283, __p2_283, __p3_283) __extension__ ({ \ + uint8x8_t __ret_283; \ + uint8x8_t __s0_283 = __p0_283; \ + uint8x8_t __s2_283 = __p2_283; \ + __ret_283 = vset_lane_u8(vget_lane_u8(__s2_283, __p3_283), __s0_283, __p1_283); \ + __ret_283; \ +}) +#else +#define vcopy_lane_u8(__p0_284, __p1_284, __p2_284, __p3_284) __extension__ ({ \ + uint8x8_t __ret_284; \ + uint8x8_t __s0_284 = __p0_284; \ + uint8x8_t __s2_284 = __p2_284; \ + uint8x8_t __rev0_284; __rev0_284 = __builtin_shufflevector(__s0_284, __s0_284, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x8_t __rev2_284; __rev2_284 = __builtin_shufflevector(__s2_284, __s2_284, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_284 = __noswap_vset_lane_u8(__noswap_vget_lane_u8(__rev2_284, __p3_284), __rev0_284, __p1_284); \ + __ret_284 = __builtin_shufflevector(__ret_284, __ret_284, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_284; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_lane_u32(__p0_285, __p1_285, __p2_285, __p3_285) __extension__ ({ \ + uint32x2_t __ret_285; \ + uint32x2_t __s0_285 = __p0_285; \ + uint32x2_t __s2_285 = __p2_285; \ + __ret_285 = vset_lane_u32(vget_lane_u32(__s2_285, __p3_285), __s0_285, __p1_285); \ + __ret_285; \ +}) +#else +#define vcopy_lane_u32(__p0_286, __p1_286, __p2_286, __p3_286) __extension__ ({ \ + uint32x2_t __ret_286; \ + uint32x2_t __s0_286 = __p0_286; \ + uint32x2_t __s2_286 = __p2_286; \ + uint32x2_t __rev0_286; __rev0_286 = __builtin_shufflevector(__s0_286, __s0_286, 1, 0); \ + uint32x2_t __rev2_286; __rev2_286 = __builtin_shufflevector(__s2_286, __s2_286, 1, 0); \ + __ret_286 = __noswap_vset_lane_u32(__noswap_vget_lane_u32(__rev2_286, __p3_286), __rev0_286, __p1_286); \ + __ret_286 = __builtin_shufflevector(__ret_286, __ret_286, 1, 0); \ + __ret_286; \ +}) +#endif + +#define vcopy_lane_u64(__p0_287, __p1_287, __p2_287, __p3_287) __extension__ ({ \ + uint64x1_t __ret_287; \ + uint64x1_t __s0_287 = __p0_287; \ + uint64x1_t __s2_287 = __p2_287; \ + __ret_287 = vset_lane_u64(vget_lane_u64(__s2_287, __p3_287), __s0_287, __p1_287); \ + __ret_287; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vcopy_lane_u16(__p0_288, __p1_288, __p2_288, __p3_288) __extension__ ({ \ + uint16x4_t __ret_288; \ + uint16x4_t __s0_288 = __p0_288; \ + uint16x4_t __s2_288 = __p2_288; \ + __ret_288 = vset_lane_u16(vget_lane_u16(__s2_288, __p3_288), __s0_288, __p1_288); \ + __ret_288; \ +}) +#else +#define vcopy_lane_u16(__p0_289, __p1_289, __p2_289, __p3_289) __extension__ ({ \ + uint16x4_t __ret_289; \ + uint16x4_t __s0_289 = __p0_289; \ + uint16x4_t __s2_289 = __p2_289; \ + uint16x4_t __rev0_289; __rev0_289 = __builtin_shufflevector(__s0_289, __s0_289, 3, 2, 1, 0); \ + uint16x4_t __rev2_289; __rev2_289 = __builtin_shufflevector(__s2_289, __s2_289, 3, 2, 1, 0); \ + __ret_289 = __noswap_vset_lane_u16(__noswap_vget_lane_u16(__rev2_289, __p3_289), __rev0_289, __p1_289); \ + __ret_289 = __builtin_shufflevector(__ret_289, __ret_289, 3, 2, 1, 0); \ + __ret_289; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_lane_s8(__p0_290, __p1_290, __p2_290, __p3_290) __extension__ ({ \ + int8x8_t __ret_290; \ + int8x8_t __s0_290 = __p0_290; \ + int8x8_t __s2_290 = __p2_290; \ + __ret_290 = vset_lane_s8(vget_lane_s8(__s2_290, __p3_290), __s0_290, __p1_290); \ + __ret_290; \ +}) +#else +#define vcopy_lane_s8(__p0_291, __p1_291, __p2_291, __p3_291) __extension__ ({ \ + int8x8_t __ret_291; \ + int8x8_t __s0_291 = __p0_291; \ + int8x8_t __s2_291 = __p2_291; \ + int8x8_t __rev0_291; __rev0_291 = __builtin_shufflevector(__s0_291, __s0_291, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x8_t __rev2_291; __rev2_291 = __builtin_shufflevector(__s2_291, __s2_291, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_291 = __noswap_vset_lane_s8(__noswap_vget_lane_s8(__rev2_291, __p3_291), __rev0_291, __p1_291); \ + __ret_291 = __builtin_shufflevector(__ret_291, __ret_291, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_291; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_lane_f32(__p0_292, __p1_292, __p2_292, __p3_292) __extension__ ({ \ + float32x2_t __ret_292; \ + float32x2_t __s0_292 = __p0_292; \ + float32x2_t __s2_292 = __p2_292; \ + __ret_292 = vset_lane_f32(vget_lane_f32(__s2_292, __p3_292), __s0_292, __p1_292); \ + __ret_292; \ +}) +#else +#define vcopy_lane_f32(__p0_293, __p1_293, __p2_293, __p3_293) __extension__ ({ \ + float32x2_t __ret_293; \ + float32x2_t __s0_293 = __p0_293; \ + float32x2_t __s2_293 = __p2_293; \ + float32x2_t __rev0_293; __rev0_293 = __builtin_shufflevector(__s0_293, __s0_293, 1, 0); \ + float32x2_t __rev2_293; __rev2_293 = __builtin_shufflevector(__s2_293, __s2_293, 1, 0); \ + __ret_293 = __noswap_vset_lane_f32(__noswap_vget_lane_f32(__rev2_293, __p3_293), __rev0_293, __p1_293); \ + __ret_293 = __builtin_shufflevector(__ret_293, __ret_293, 1, 0); \ + __ret_293; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_lane_s32(__p0_294, __p1_294, __p2_294, __p3_294) __extension__ ({ \ + int32x2_t __ret_294; \ + int32x2_t __s0_294 = __p0_294; \ + int32x2_t __s2_294 = __p2_294; \ + __ret_294 = vset_lane_s32(vget_lane_s32(__s2_294, __p3_294), __s0_294, __p1_294); \ + __ret_294; \ +}) +#else +#define vcopy_lane_s32(__p0_295, __p1_295, __p2_295, __p3_295) __extension__ ({ \ + int32x2_t __ret_295; \ + int32x2_t __s0_295 = __p0_295; \ + int32x2_t __s2_295 = __p2_295; \ + int32x2_t __rev0_295; __rev0_295 = __builtin_shufflevector(__s0_295, __s0_295, 1, 0); \ + int32x2_t __rev2_295; __rev2_295 = __builtin_shufflevector(__s2_295, __s2_295, 1, 0); \ + __ret_295 = __noswap_vset_lane_s32(__noswap_vget_lane_s32(__rev2_295, __p3_295), __rev0_295, __p1_295); \ + __ret_295 = __builtin_shufflevector(__ret_295, __ret_295, 1, 0); \ + __ret_295; \ +}) +#endif + +#define vcopy_lane_s64(__p0_296, __p1_296, __p2_296, __p3_296) __extension__ ({ \ + int64x1_t __ret_296; \ + int64x1_t __s0_296 = __p0_296; \ + int64x1_t __s2_296 = __p2_296; \ + __ret_296 = vset_lane_s64(vget_lane_s64(__s2_296, __p3_296), __s0_296, __p1_296); \ + __ret_296; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vcopy_lane_s16(__p0_297, __p1_297, __p2_297, __p3_297) __extension__ ({ \ + int16x4_t __ret_297; \ + int16x4_t __s0_297 = __p0_297; \ + int16x4_t __s2_297 = __p2_297; \ + __ret_297 = vset_lane_s16(vget_lane_s16(__s2_297, __p3_297), __s0_297, __p1_297); \ + __ret_297; \ +}) +#else +#define vcopy_lane_s16(__p0_298, __p1_298, __p2_298, __p3_298) __extension__ ({ \ + int16x4_t __ret_298; \ + int16x4_t __s0_298 = __p0_298; \ + int16x4_t __s2_298 = __p2_298; \ + int16x4_t __rev0_298; __rev0_298 = __builtin_shufflevector(__s0_298, __s0_298, 3, 2, 1, 0); \ + int16x4_t __rev2_298; __rev2_298 = __builtin_shufflevector(__s2_298, __s2_298, 3, 2, 1, 0); \ + __ret_298 = __noswap_vset_lane_s16(__noswap_vget_lane_s16(__rev2_298, __p3_298), __rev0_298, __p1_298); \ + __ret_298 = __builtin_shufflevector(__ret_298, __ret_298, 3, 2, 1, 0); \ + __ret_298; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_p8(__p0_299, __p1_299, __p2_299, __p3_299) __extension__ ({ \ + poly8x16_t __ret_299; \ + poly8x16_t __s0_299 = __p0_299; \ + poly8x16_t __s2_299 = __p2_299; \ + __ret_299 = vsetq_lane_p8(vgetq_lane_p8(__s2_299, __p3_299), __s0_299, __p1_299); \ + __ret_299; \ +}) +#else +#define vcopyq_laneq_p8(__p0_300, __p1_300, __p2_300, __p3_300) __extension__ ({ \ + poly8x16_t __ret_300; \ + poly8x16_t __s0_300 = __p0_300; \ + poly8x16_t __s2_300 = __p2_300; \ + poly8x16_t __rev0_300; __rev0_300 = __builtin_shufflevector(__s0_300, __s0_300, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly8x16_t __rev2_300; __rev2_300 = __builtin_shufflevector(__s2_300, __s2_300, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_300 = __noswap_vsetq_lane_p8(__noswap_vgetq_lane_p8(__rev2_300, __p3_300), __rev0_300, __p1_300); \ + __ret_300 = __builtin_shufflevector(__ret_300, __ret_300, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_300; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_p16(__p0_301, __p1_301, __p2_301, __p3_301) __extension__ ({ \ + poly16x8_t __ret_301; \ + poly16x8_t __s0_301 = __p0_301; \ + poly16x8_t __s2_301 = __p2_301; \ + __ret_301 = vsetq_lane_p16(vgetq_lane_p16(__s2_301, __p3_301), __s0_301, __p1_301); \ + __ret_301; \ +}) +#else +#define vcopyq_laneq_p16(__p0_302, __p1_302, __p2_302, __p3_302) __extension__ ({ \ + poly16x8_t __ret_302; \ + poly16x8_t __s0_302 = __p0_302; \ + poly16x8_t __s2_302 = __p2_302; \ + poly16x8_t __rev0_302; __rev0_302 = __builtin_shufflevector(__s0_302, __s0_302, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly16x8_t __rev2_302; __rev2_302 = __builtin_shufflevector(__s2_302, __s2_302, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_302 = __noswap_vsetq_lane_p16(__noswap_vgetq_lane_p16(__rev2_302, __p3_302), __rev0_302, __p1_302); \ + __ret_302 = __builtin_shufflevector(__ret_302, __ret_302, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_302; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_u8(__p0_303, __p1_303, __p2_303, __p3_303) __extension__ ({ \ + uint8x16_t __ret_303; \ + uint8x16_t __s0_303 = __p0_303; \ + uint8x16_t __s2_303 = __p2_303; \ + __ret_303 = vsetq_lane_u8(vgetq_lane_u8(__s2_303, __p3_303), __s0_303, __p1_303); \ + __ret_303; \ +}) +#else +#define vcopyq_laneq_u8(__p0_304, __p1_304, __p2_304, __p3_304) __extension__ ({ \ + uint8x16_t __ret_304; \ + uint8x16_t __s0_304 = __p0_304; \ + uint8x16_t __s2_304 = __p2_304; \ + uint8x16_t __rev0_304; __rev0_304 = __builtin_shufflevector(__s0_304, __s0_304, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x16_t __rev2_304; __rev2_304 = __builtin_shufflevector(__s2_304, __s2_304, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_304 = __noswap_vsetq_lane_u8(__noswap_vgetq_lane_u8(__rev2_304, __p3_304), __rev0_304, __p1_304); \ + __ret_304 = __builtin_shufflevector(__ret_304, __ret_304, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_304; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_u32(__p0_305, __p1_305, __p2_305, __p3_305) __extension__ ({ \ + uint32x4_t __ret_305; \ + uint32x4_t __s0_305 = __p0_305; \ + uint32x4_t __s2_305 = __p2_305; \ + __ret_305 = vsetq_lane_u32(vgetq_lane_u32(__s2_305, __p3_305), __s0_305, __p1_305); \ + __ret_305; \ +}) +#else +#define vcopyq_laneq_u32(__p0_306, __p1_306, __p2_306, __p3_306) __extension__ ({ \ + uint32x4_t __ret_306; \ + uint32x4_t __s0_306 = __p0_306; \ + uint32x4_t __s2_306 = __p2_306; \ + uint32x4_t __rev0_306; __rev0_306 = __builtin_shufflevector(__s0_306, __s0_306, 3, 2, 1, 0); \ + uint32x4_t __rev2_306; __rev2_306 = __builtin_shufflevector(__s2_306, __s2_306, 3, 2, 1, 0); \ + __ret_306 = __noswap_vsetq_lane_u32(__noswap_vgetq_lane_u32(__rev2_306, __p3_306), __rev0_306, __p1_306); \ + __ret_306 = __builtin_shufflevector(__ret_306, __ret_306, 3, 2, 1, 0); \ + __ret_306; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_u64(__p0_307, __p1_307, __p2_307, __p3_307) __extension__ ({ \ + uint64x2_t __ret_307; \ + uint64x2_t __s0_307 = __p0_307; \ + uint64x2_t __s2_307 = __p2_307; \ + __ret_307 = vsetq_lane_u64(vgetq_lane_u64(__s2_307, __p3_307), __s0_307, __p1_307); \ + __ret_307; \ +}) +#else +#define vcopyq_laneq_u64(__p0_308, __p1_308, __p2_308, __p3_308) __extension__ ({ \ + uint64x2_t __ret_308; \ + uint64x2_t __s0_308 = __p0_308; \ + uint64x2_t __s2_308 = __p2_308; \ + uint64x2_t __rev0_308; __rev0_308 = __builtin_shufflevector(__s0_308, __s0_308, 1, 0); \ + uint64x2_t __rev2_308; __rev2_308 = __builtin_shufflevector(__s2_308, __s2_308, 1, 0); \ + __ret_308 = __noswap_vsetq_lane_u64(__noswap_vgetq_lane_u64(__rev2_308, __p3_308), __rev0_308, __p1_308); \ + __ret_308 = __builtin_shufflevector(__ret_308, __ret_308, 1, 0); \ + __ret_308; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_u16(__p0_309, __p1_309, __p2_309, __p3_309) __extension__ ({ \ + uint16x8_t __ret_309; \ + uint16x8_t __s0_309 = __p0_309; \ + uint16x8_t __s2_309 = __p2_309; \ + __ret_309 = vsetq_lane_u16(vgetq_lane_u16(__s2_309, __p3_309), __s0_309, __p1_309); \ + __ret_309; \ +}) +#else +#define vcopyq_laneq_u16(__p0_310, __p1_310, __p2_310, __p3_310) __extension__ ({ \ + uint16x8_t __ret_310; \ + uint16x8_t __s0_310 = __p0_310; \ + uint16x8_t __s2_310 = __p2_310; \ + uint16x8_t __rev0_310; __rev0_310 = __builtin_shufflevector(__s0_310, __s0_310, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev2_310; __rev2_310 = __builtin_shufflevector(__s2_310, __s2_310, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_310 = __noswap_vsetq_lane_u16(__noswap_vgetq_lane_u16(__rev2_310, __p3_310), __rev0_310, __p1_310); \ + __ret_310 = __builtin_shufflevector(__ret_310, __ret_310, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_310; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_s8(__p0_311, __p1_311, __p2_311, __p3_311) __extension__ ({ \ + int8x16_t __ret_311; \ + int8x16_t __s0_311 = __p0_311; \ + int8x16_t __s2_311 = __p2_311; \ + __ret_311 = vsetq_lane_s8(vgetq_lane_s8(__s2_311, __p3_311), __s0_311, __p1_311); \ + __ret_311; \ +}) +#else +#define vcopyq_laneq_s8(__p0_312, __p1_312, __p2_312, __p3_312) __extension__ ({ \ + int8x16_t __ret_312; \ + int8x16_t __s0_312 = __p0_312; \ + int8x16_t __s2_312 = __p2_312; \ + int8x16_t __rev0_312; __rev0_312 = __builtin_shufflevector(__s0_312, __s0_312, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x16_t __rev2_312; __rev2_312 = __builtin_shufflevector(__s2_312, __s2_312, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_312 = __noswap_vsetq_lane_s8(__noswap_vgetq_lane_s8(__rev2_312, __p3_312), __rev0_312, __p1_312); \ + __ret_312 = __builtin_shufflevector(__ret_312, __ret_312, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_312; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_f32(__p0_313, __p1_313, __p2_313, __p3_313) __extension__ ({ \ + float32x4_t __ret_313; \ + float32x4_t __s0_313 = __p0_313; \ + float32x4_t __s2_313 = __p2_313; \ + __ret_313 = vsetq_lane_f32(vgetq_lane_f32(__s2_313, __p3_313), __s0_313, __p1_313); \ + __ret_313; \ +}) +#else +#define vcopyq_laneq_f32(__p0_314, __p1_314, __p2_314, __p3_314) __extension__ ({ \ + float32x4_t __ret_314; \ + float32x4_t __s0_314 = __p0_314; \ + float32x4_t __s2_314 = __p2_314; \ + float32x4_t __rev0_314; __rev0_314 = __builtin_shufflevector(__s0_314, __s0_314, 3, 2, 1, 0); \ + float32x4_t __rev2_314; __rev2_314 = __builtin_shufflevector(__s2_314, __s2_314, 3, 2, 1, 0); \ + __ret_314 = __noswap_vsetq_lane_f32(__noswap_vgetq_lane_f32(__rev2_314, __p3_314), __rev0_314, __p1_314); \ + __ret_314 = __builtin_shufflevector(__ret_314, __ret_314, 3, 2, 1, 0); \ + __ret_314; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_s32(__p0_315, __p1_315, __p2_315, __p3_315) __extension__ ({ \ + int32x4_t __ret_315; \ + int32x4_t __s0_315 = __p0_315; \ + int32x4_t __s2_315 = __p2_315; \ + __ret_315 = vsetq_lane_s32(vgetq_lane_s32(__s2_315, __p3_315), __s0_315, __p1_315); \ + __ret_315; \ +}) +#else +#define vcopyq_laneq_s32(__p0_316, __p1_316, __p2_316, __p3_316) __extension__ ({ \ + int32x4_t __ret_316; \ + int32x4_t __s0_316 = __p0_316; \ + int32x4_t __s2_316 = __p2_316; \ + int32x4_t __rev0_316; __rev0_316 = __builtin_shufflevector(__s0_316, __s0_316, 3, 2, 1, 0); \ + int32x4_t __rev2_316; __rev2_316 = __builtin_shufflevector(__s2_316, __s2_316, 3, 2, 1, 0); \ + __ret_316 = __noswap_vsetq_lane_s32(__noswap_vgetq_lane_s32(__rev2_316, __p3_316), __rev0_316, __p1_316); \ + __ret_316 = __builtin_shufflevector(__ret_316, __ret_316, 3, 2, 1, 0); \ + __ret_316; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_s64(__p0_317, __p1_317, __p2_317, __p3_317) __extension__ ({ \ + int64x2_t __ret_317; \ + int64x2_t __s0_317 = __p0_317; \ + int64x2_t __s2_317 = __p2_317; \ + __ret_317 = vsetq_lane_s64(vgetq_lane_s64(__s2_317, __p3_317), __s0_317, __p1_317); \ + __ret_317; \ +}) +#else +#define vcopyq_laneq_s64(__p0_318, __p1_318, __p2_318, __p3_318) __extension__ ({ \ + int64x2_t __ret_318; \ + int64x2_t __s0_318 = __p0_318; \ + int64x2_t __s2_318 = __p2_318; \ + int64x2_t __rev0_318; __rev0_318 = __builtin_shufflevector(__s0_318, __s0_318, 1, 0); \ + int64x2_t __rev2_318; __rev2_318 = __builtin_shufflevector(__s2_318, __s2_318, 1, 0); \ + __ret_318 = __noswap_vsetq_lane_s64(__noswap_vgetq_lane_s64(__rev2_318, __p3_318), __rev0_318, __p1_318); \ + __ret_318 = __builtin_shufflevector(__ret_318, __ret_318, 1, 0); \ + __ret_318; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_s16(__p0_319, __p1_319, __p2_319, __p3_319) __extension__ ({ \ + int16x8_t __ret_319; \ + int16x8_t __s0_319 = __p0_319; \ + int16x8_t __s2_319 = __p2_319; \ + __ret_319 = vsetq_lane_s16(vgetq_lane_s16(__s2_319, __p3_319), __s0_319, __p1_319); \ + __ret_319; \ +}) +#else +#define vcopyq_laneq_s16(__p0_320, __p1_320, __p2_320, __p3_320) __extension__ ({ \ + int16x8_t __ret_320; \ + int16x8_t __s0_320 = __p0_320; \ + int16x8_t __s2_320 = __p2_320; \ + int16x8_t __rev0_320; __rev0_320 = __builtin_shufflevector(__s0_320, __s0_320, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev2_320; __rev2_320 = __builtin_shufflevector(__s2_320, __s2_320, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_320 = __noswap_vsetq_lane_s16(__noswap_vgetq_lane_s16(__rev2_320, __p3_320), __rev0_320, __p1_320); \ + __ret_320 = __builtin_shufflevector(__ret_320, __ret_320, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_320; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_p8(__p0_321, __p1_321, __p2_321, __p3_321) __extension__ ({ \ + poly8x8_t __ret_321; \ + poly8x8_t __s0_321 = __p0_321; \ + poly8x16_t __s2_321 = __p2_321; \ + __ret_321 = vset_lane_p8(vgetq_lane_p8(__s2_321, __p3_321), __s0_321, __p1_321); \ + __ret_321; \ +}) +#else +#define vcopy_laneq_p8(__p0_322, __p1_322, __p2_322, __p3_322) __extension__ ({ \ + poly8x8_t __ret_322; \ + poly8x8_t __s0_322 = __p0_322; \ + poly8x16_t __s2_322 = __p2_322; \ + poly8x8_t __rev0_322; __rev0_322 = __builtin_shufflevector(__s0_322, __s0_322, 7, 6, 5, 4, 3, 2, 1, 0); \ + poly8x16_t __rev2_322; __rev2_322 = __builtin_shufflevector(__s2_322, __s2_322, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_322 = __noswap_vset_lane_p8(__noswap_vgetq_lane_p8(__rev2_322, __p3_322), __rev0_322, __p1_322); \ + __ret_322 = __builtin_shufflevector(__ret_322, __ret_322, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_322; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_p16(__p0_323, __p1_323, __p2_323, __p3_323) __extension__ ({ \ + poly16x4_t __ret_323; \ + poly16x4_t __s0_323 = __p0_323; \ + poly16x8_t __s2_323 = __p2_323; \ + __ret_323 = vset_lane_p16(vgetq_lane_p16(__s2_323, __p3_323), __s0_323, __p1_323); \ + __ret_323; \ +}) +#else +#define vcopy_laneq_p16(__p0_324, __p1_324, __p2_324, __p3_324) __extension__ ({ \ + poly16x4_t __ret_324; \ + poly16x4_t __s0_324 = __p0_324; \ + poly16x8_t __s2_324 = __p2_324; \ + poly16x4_t __rev0_324; __rev0_324 = __builtin_shufflevector(__s0_324, __s0_324, 3, 2, 1, 0); \ + poly16x8_t __rev2_324; __rev2_324 = __builtin_shufflevector(__s2_324, __s2_324, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_324 = __noswap_vset_lane_p16(__noswap_vgetq_lane_p16(__rev2_324, __p3_324), __rev0_324, __p1_324); \ + __ret_324 = __builtin_shufflevector(__ret_324, __ret_324, 3, 2, 1, 0); \ + __ret_324; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_u8(__p0_325, __p1_325, __p2_325, __p3_325) __extension__ ({ \ + uint8x8_t __ret_325; \ + uint8x8_t __s0_325 = __p0_325; \ + uint8x16_t __s2_325 = __p2_325; \ + __ret_325 = vset_lane_u8(vgetq_lane_u8(__s2_325, __p3_325), __s0_325, __p1_325); \ + __ret_325; \ +}) +#else +#define vcopy_laneq_u8(__p0_326, __p1_326, __p2_326, __p3_326) __extension__ ({ \ + uint8x8_t __ret_326; \ + uint8x8_t __s0_326 = __p0_326; \ + uint8x16_t __s2_326 = __p2_326; \ + uint8x8_t __rev0_326; __rev0_326 = __builtin_shufflevector(__s0_326, __s0_326, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x16_t __rev2_326; __rev2_326 = __builtin_shufflevector(__s2_326, __s2_326, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_326 = __noswap_vset_lane_u8(__noswap_vgetq_lane_u8(__rev2_326, __p3_326), __rev0_326, __p1_326); \ + __ret_326 = __builtin_shufflevector(__ret_326, __ret_326, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_326; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_u32(__p0_327, __p1_327, __p2_327, __p3_327) __extension__ ({ \ + uint32x2_t __ret_327; \ + uint32x2_t __s0_327 = __p0_327; \ + uint32x4_t __s2_327 = __p2_327; \ + __ret_327 = vset_lane_u32(vgetq_lane_u32(__s2_327, __p3_327), __s0_327, __p1_327); \ + __ret_327; \ +}) +#else +#define vcopy_laneq_u32(__p0_328, __p1_328, __p2_328, __p3_328) __extension__ ({ \ + uint32x2_t __ret_328; \ + uint32x2_t __s0_328 = __p0_328; \ + uint32x4_t __s2_328 = __p2_328; \ + uint32x2_t __rev0_328; __rev0_328 = __builtin_shufflevector(__s0_328, __s0_328, 1, 0); \ + uint32x4_t __rev2_328; __rev2_328 = __builtin_shufflevector(__s2_328, __s2_328, 3, 2, 1, 0); \ + __ret_328 = __noswap_vset_lane_u32(__noswap_vgetq_lane_u32(__rev2_328, __p3_328), __rev0_328, __p1_328); \ + __ret_328 = __builtin_shufflevector(__ret_328, __ret_328, 1, 0); \ + __ret_328; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_u64(__p0_329, __p1_329, __p2_329, __p3_329) __extension__ ({ \ + uint64x1_t __ret_329; \ + uint64x1_t __s0_329 = __p0_329; \ + uint64x2_t __s2_329 = __p2_329; \ + __ret_329 = vset_lane_u64(vgetq_lane_u64(__s2_329, __p3_329), __s0_329, __p1_329); \ + __ret_329; \ +}) +#else +#define vcopy_laneq_u64(__p0_330, __p1_330, __p2_330, __p3_330) __extension__ ({ \ + uint64x1_t __ret_330; \ + uint64x1_t __s0_330 = __p0_330; \ + uint64x2_t __s2_330 = __p2_330; \ + uint64x2_t __rev2_330; __rev2_330 = __builtin_shufflevector(__s2_330, __s2_330, 1, 0); \ + __ret_330 = vset_lane_u64(__noswap_vgetq_lane_u64(__rev2_330, __p3_330), __s0_330, __p1_330); \ + __ret_330; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_u16(__p0_331, __p1_331, __p2_331, __p3_331) __extension__ ({ \ + uint16x4_t __ret_331; \ + uint16x4_t __s0_331 = __p0_331; \ + uint16x8_t __s2_331 = __p2_331; \ + __ret_331 = vset_lane_u16(vgetq_lane_u16(__s2_331, __p3_331), __s0_331, __p1_331); \ + __ret_331; \ +}) +#else +#define vcopy_laneq_u16(__p0_332, __p1_332, __p2_332, __p3_332) __extension__ ({ \ + uint16x4_t __ret_332; \ + uint16x4_t __s0_332 = __p0_332; \ + uint16x8_t __s2_332 = __p2_332; \ + uint16x4_t __rev0_332; __rev0_332 = __builtin_shufflevector(__s0_332, __s0_332, 3, 2, 1, 0); \ + uint16x8_t __rev2_332; __rev2_332 = __builtin_shufflevector(__s2_332, __s2_332, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_332 = __noswap_vset_lane_u16(__noswap_vgetq_lane_u16(__rev2_332, __p3_332), __rev0_332, __p1_332); \ + __ret_332 = __builtin_shufflevector(__ret_332, __ret_332, 3, 2, 1, 0); \ + __ret_332; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_s8(__p0_333, __p1_333, __p2_333, __p3_333) __extension__ ({ \ + int8x8_t __ret_333; \ + int8x8_t __s0_333 = __p0_333; \ + int8x16_t __s2_333 = __p2_333; \ + __ret_333 = vset_lane_s8(vgetq_lane_s8(__s2_333, __p3_333), __s0_333, __p1_333); \ + __ret_333; \ +}) +#else +#define vcopy_laneq_s8(__p0_334, __p1_334, __p2_334, __p3_334) __extension__ ({ \ + int8x8_t __ret_334; \ + int8x8_t __s0_334 = __p0_334; \ + int8x16_t __s2_334 = __p2_334; \ + int8x8_t __rev0_334; __rev0_334 = __builtin_shufflevector(__s0_334, __s0_334, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x16_t __rev2_334; __rev2_334 = __builtin_shufflevector(__s2_334, __s2_334, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_334 = __noswap_vset_lane_s8(__noswap_vgetq_lane_s8(__rev2_334, __p3_334), __rev0_334, __p1_334); \ + __ret_334 = __builtin_shufflevector(__ret_334, __ret_334, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_334; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_f32(__p0_335, __p1_335, __p2_335, __p3_335) __extension__ ({ \ + float32x2_t __ret_335; \ + float32x2_t __s0_335 = __p0_335; \ + float32x4_t __s2_335 = __p2_335; \ + __ret_335 = vset_lane_f32(vgetq_lane_f32(__s2_335, __p3_335), __s0_335, __p1_335); \ + __ret_335; \ +}) +#else +#define vcopy_laneq_f32(__p0_336, __p1_336, __p2_336, __p3_336) __extension__ ({ \ + float32x2_t __ret_336; \ + float32x2_t __s0_336 = __p0_336; \ + float32x4_t __s2_336 = __p2_336; \ + float32x2_t __rev0_336; __rev0_336 = __builtin_shufflevector(__s0_336, __s0_336, 1, 0); \ + float32x4_t __rev2_336; __rev2_336 = __builtin_shufflevector(__s2_336, __s2_336, 3, 2, 1, 0); \ + __ret_336 = __noswap_vset_lane_f32(__noswap_vgetq_lane_f32(__rev2_336, __p3_336), __rev0_336, __p1_336); \ + __ret_336 = __builtin_shufflevector(__ret_336, __ret_336, 1, 0); \ + __ret_336; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_s32(__p0_337, __p1_337, __p2_337, __p3_337) __extension__ ({ \ + int32x2_t __ret_337; \ + int32x2_t __s0_337 = __p0_337; \ + int32x4_t __s2_337 = __p2_337; \ + __ret_337 = vset_lane_s32(vgetq_lane_s32(__s2_337, __p3_337), __s0_337, __p1_337); \ + __ret_337; \ +}) +#else +#define vcopy_laneq_s32(__p0_338, __p1_338, __p2_338, __p3_338) __extension__ ({ \ + int32x2_t __ret_338; \ + int32x2_t __s0_338 = __p0_338; \ + int32x4_t __s2_338 = __p2_338; \ + int32x2_t __rev0_338; __rev0_338 = __builtin_shufflevector(__s0_338, __s0_338, 1, 0); \ + int32x4_t __rev2_338; __rev2_338 = __builtin_shufflevector(__s2_338, __s2_338, 3, 2, 1, 0); \ + __ret_338 = __noswap_vset_lane_s32(__noswap_vgetq_lane_s32(__rev2_338, __p3_338), __rev0_338, __p1_338); \ + __ret_338 = __builtin_shufflevector(__ret_338, __ret_338, 1, 0); \ + __ret_338; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_s64(__p0_339, __p1_339, __p2_339, __p3_339) __extension__ ({ \ + int64x1_t __ret_339; \ + int64x1_t __s0_339 = __p0_339; \ + int64x2_t __s2_339 = __p2_339; \ + __ret_339 = vset_lane_s64(vgetq_lane_s64(__s2_339, __p3_339), __s0_339, __p1_339); \ + __ret_339; \ +}) +#else +#define vcopy_laneq_s64(__p0_340, __p1_340, __p2_340, __p3_340) __extension__ ({ \ + int64x1_t __ret_340; \ + int64x1_t __s0_340 = __p0_340; \ + int64x2_t __s2_340 = __p2_340; \ + int64x2_t __rev2_340; __rev2_340 = __builtin_shufflevector(__s2_340, __s2_340, 1, 0); \ + __ret_340 = vset_lane_s64(__noswap_vgetq_lane_s64(__rev2_340, __p3_340), __s0_340, __p1_340); \ + __ret_340; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_s16(__p0_341, __p1_341, __p2_341, __p3_341) __extension__ ({ \ + int16x4_t __ret_341; \ + int16x4_t __s0_341 = __p0_341; \ + int16x8_t __s2_341 = __p2_341; \ + __ret_341 = vset_lane_s16(vgetq_lane_s16(__s2_341, __p3_341), __s0_341, __p1_341); \ + __ret_341; \ +}) +#else +#define vcopy_laneq_s16(__p0_342, __p1_342, __p2_342, __p3_342) __extension__ ({ \ + int16x4_t __ret_342; \ + int16x4_t __s0_342 = __p0_342; \ + int16x8_t __s2_342 = __p2_342; \ + int16x4_t __rev0_342; __rev0_342 = __builtin_shufflevector(__s0_342, __s0_342, 3, 2, 1, 0); \ + int16x8_t __rev2_342; __rev2_342 = __builtin_shufflevector(__s2_342, __s2_342, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_342 = __noswap_vset_lane_s16(__noswap_vgetq_lane_s16(__rev2_342, __p3_342), __rev0_342, __p1_342); \ + __ret_342 = __builtin_shufflevector(__ret_342, __ret_342, 3, 2, 1, 0); \ + __ret_342; \ +}) +#endif + +#define vcreate_p64(__p0) __extension__ ({ \ + poly64x1_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (poly64x1_t)(__promote); \ + __ret; \ +}) +#define vcreate_f64(__p0) __extension__ ({ \ + float64x1_t __ret; \ + uint64_t __promote = __p0; \ + __ret = (float64x1_t)(__promote); \ + __ret; \ +}) +__ai float32_t vcvts_f32_s32(int32_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vcvts_f32_s32(__p0); + return __ret; +} +__ai float32_t vcvts_f32_u32(uint32_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vcvts_f32_u32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vcvt_f32_f64(float64x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcvt_f32_f64((int8x16_t)__p0, 9); + return __ret; +} +#else +__ai float32x2_t vcvt_f32_f64(float64x2_t __p0) { + float32x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vcvt_f32_f64((int8x16_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai float32x2_t __noswap_vcvt_f32_f64(float64x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcvt_f32_f64((int8x16_t)__p0, 9); + return __ret; +} +#endif + +__ai float64_t vcvtd_f64_s64(int64_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vcvtd_f64_s64(__p0); + return __ret; +} +__ai float64_t vcvtd_f64_u64(uint64_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vcvtd_f64_u64(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vcvtq_f64_u64(uint64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcvtq_f64_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai float64x2_t vcvtq_f64_u64(uint64x2_t __p0) { + float64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vcvtq_f64_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vcvtq_f64_s64(int64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcvtq_f64_v((int8x16_t)__p0, 35); + return __ret; +} +#else +__ai float64x2_t vcvtq_f64_s64(int64x2_t __p0) { + float64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vcvtq_f64_v((int8x16_t)__rev0, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vcvt_f64_u64(uint64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vcvt_f64_v((int8x8_t)__p0, 19); + return __ret; +} +__ai float64x1_t vcvt_f64_s64(int64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vcvt_f64_v((int8x8_t)__p0, 3); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vcvt_f64_f32(float32x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcvt_f64_f32((int8x8_t)__p0, 42); + return __ret; +} +#else +__ai float64x2_t vcvt_f64_f32(float32x2_t __p0) { + float64x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vcvt_f64_f32((int8x8_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai float64x2_t __noswap_vcvt_f64_f32(float32x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcvt_f64_f32((int8x8_t)__p0, 42); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float16x8_t vcvt_high_f16_f32(float16x4_t __p0, float32x4_t __p1) { + float16x8_t __ret; + __ret = vcombine_f16(__p0, vcvt_f16_f32(__p1)); + return __ret; +} +#else +__ai float16x8_t vcvt_high_f16_f32(float16x4_t __p0, float32x4_t __p1) { + float16x8_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vcombine_f16(__rev0, __noswap_vcvt_f16_f32(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vcvt_high_f32_f16(float16x8_t __p0) { + float32x4_t __ret; + __ret = vcvt_f32_f16(vget_high_f16(__p0)); + return __ret; +} +#else +__ai float32x4_t vcvt_high_f32_f16(float16x8_t __p0) { + float32x4_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcvt_f32_f16(__noswap_vget_high_f16(__rev0)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vcvt_high_f32_f64(float32x2_t __p0, float64x2_t __p1) { + float32x4_t __ret; + __ret = vcombine_f32(__p0, vcvt_f32_f64(__p1)); + return __ret; +} +#else +__ai float32x4_t vcvt_high_f32_f64(float32x2_t __p0, float64x2_t __p1) { + float32x4_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vcombine_f32(__rev0, __noswap_vcvt_f32_f64(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vcvt_high_f64_f32(float32x4_t __p0) { + float64x2_t __ret; + __ret = vcvt_f64_f32(vget_high_f32(__p0)); + return __ret; +} +#else +__ai float64x2_t vcvt_high_f64_f32(float32x4_t __p0) { + float64x2_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vcvt_f64_f32(__noswap_vget_high_f32(__rev0)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#define vcvts_n_f32_u32(__p0, __p1) __extension__ ({ \ + float32_t __ret; \ + uint32_t __s0 = __p0; \ + __ret = (float32_t) __builtin_neon_vcvts_n_f32_u32(__s0, __p1); \ + __ret; \ +}) +#define vcvts_n_f32_s32(__p0, __p1) __extension__ ({ \ + float32_t __ret; \ + int32_t __s0 = __p0; \ + __ret = (float32_t) __builtin_neon_vcvts_n_f32_s32(__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vcvtq_n_f64_u64(__p0, __p1) __extension__ ({ \ + float64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (float64x2_t) __builtin_neon_vcvtq_n_f64_v((int8x16_t)__s0, __p1, 51); \ + __ret; \ +}) +#else +#define vcvtq_n_f64_u64(__p0, __p1) __extension__ ({ \ + float64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (float64x2_t) __builtin_neon_vcvtq_n_f64_v((int8x16_t)__rev0, __p1, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcvtq_n_f64_s64(__p0, __p1) __extension__ ({ \ + float64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (float64x2_t) __builtin_neon_vcvtq_n_f64_v((int8x16_t)__s0, __p1, 35); \ + __ret; \ +}) +#else +#define vcvtq_n_f64_s64(__p0, __p1) __extension__ ({ \ + float64x2_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (float64x2_t) __builtin_neon_vcvtq_n_f64_v((int8x16_t)__rev0, __p1, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vcvt_n_f64_u64(__p0, __p1) __extension__ ({ \ + float64x1_t __ret; \ + uint64x1_t __s0 = __p0; \ + __ret = (float64x1_t) __builtin_neon_vcvt_n_f64_v((int8x8_t)__s0, __p1, 19); \ + __ret; \ +}) +#define vcvt_n_f64_s64(__p0, __p1) __extension__ ({ \ + float64x1_t __ret; \ + int64x1_t __s0 = __p0; \ + __ret = (float64x1_t) __builtin_neon_vcvt_n_f64_v((int8x8_t)__s0, __p1, 3); \ + __ret; \ +}) +#define vcvtd_n_f64_u64(__p0, __p1) __extension__ ({ \ + float64_t __ret; \ + uint64_t __s0 = __p0; \ + __ret = (float64_t) __builtin_neon_vcvtd_n_f64_u64(__s0, __p1); \ + __ret; \ +}) +#define vcvtd_n_f64_s64(__p0, __p1) __extension__ ({ \ + float64_t __ret; \ + int64_t __s0 = __p0; \ + __ret = (float64_t) __builtin_neon_vcvtd_n_f64_s64(__s0, __p1); \ + __ret; \ +}) +#define vcvts_n_s32_f32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + float32_t __s0 = __p0; \ + __ret = (int32_t) __builtin_neon_vcvts_n_s32_f32(__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vcvtq_n_s64_f64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + __ret = (int64x2_t) __builtin_neon_vcvtq_n_s64_v((int8x16_t)__s0, __p1, 35); \ + __ret; \ +}) +#else +#define vcvtq_n_s64_f64(__p0, __p1) __extension__ ({ \ + int64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vcvtq_n_s64_v((int8x16_t)__rev0, __p1, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vcvt_n_s64_f64(__p0, __p1) __extension__ ({ \ + int64x1_t __ret; \ + float64x1_t __s0 = __p0; \ + __ret = (int64x1_t) __builtin_neon_vcvt_n_s64_v((int8x8_t)__s0, __p1, 3); \ + __ret; \ +}) +#define vcvtd_n_s64_f64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + float64_t __s0 = __p0; \ + __ret = (int64_t) __builtin_neon_vcvtd_n_s64_f64(__s0, __p1); \ + __ret; \ +}) +#define vcvts_n_u32_f32(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + float32_t __s0 = __p0; \ + __ret = (uint32_t) __builtin_neon_vcvts_n_u32_f32(__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vcvtq_n_u64_f64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + __ret = (uint64x2_t) __builtin_neon_vcvtq_n_u64_v((int8x16_t)__s0, __p1, 51); \ + __ret; \ +}) +#else +#define vcvtq_n_u64_f64(__p0, __p1) __extension__ ({ \ + uint64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vcvtq_n_u64_v((int8x16_t)__rev0, __p1, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vcvt_n_u64_f64(__p0, __p1) __extension__ ({ \ + uint64x1_t __ret; \ + float64x1_t __s0 = __p0; \ + __ret = (uint64x1_t) __builtin_neon_vcvt_n_u64_v((int8x8_t)__s0, __p1, 19); \ + __ret; \ +}) +#define vcvtd_n_u64_f64(__p0, __p1) __extension__ ({ \ + uint64_t __ret; \ + float64_t __s0 = __p0; \ + __ret = (uint64_t) __builtin_neon_vcvtd_n_u64_f64(__s0, __p1); \ + __ret; \ +}) +__ai int32_t vcvts_s32_f32(float32_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vcvts_s32_f32(__p0); + return __ret; +} +__ai int64_t vcvtd_s64_f64(float64_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vcvtd_s64_f64(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vcvtq_s64_f64(float64x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vcvtq_s64_v((int8x16_t)__p0, 35); + return __ret; +} +#else +__ai int64x2_t vcvtq_s64_f64(float64x2_t __p0) { + int64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64x2_t) __builtin_neon_vcvtq_s64_v((int8x16_t)__rev0, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vcvt_s64_f64(float64x1_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vcvt_s64_v((int8x8_t)__p0, 3); + return __ret; +} +__ai uint32_t vcvts_u32_f32(float32_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcvts_u32_f32(__p0); + return __ret; +} +__ai uint64_t vcvtd_u64_f64(float64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcvtd_u64_f64(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcvtq_u64_f64(float64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcvtq_u64_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vcvtq_u64_f64(float64x2_t __p0) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcvtq_u64_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcvt_u64_f64(float64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcvt_u64_v((int8x8_t)__p0, 19); + return __ret; +} +__ai int32_t vcvtas_s32_f32(float32_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vcvtas_s32_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vcvtaq_s64_f64(float64x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vcvtaq_s64_v((int8x16_t)__p0, 35); + return __ret; +} +#else +__ai int64x2_t vcvtaq_s64_f64(float64x2_t __p0) { + int64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64x2_t) __builtin_neon_vcvtaq_s64_v((int8x16_t)__rev0, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vcvta_s64_f64(float64x1_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vcvta_s64_v((int8x8_t)__p0, 3); + return __ret; +} +__ai int64_t vcvtad_s64_f64(float64_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vcvtad_s64_f64(__p0); + return __ret; +} +__ai uint32_t vcvtas_u32_f32(float32_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcvtas_u32_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcvtaq_u64_f64(float64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcvtaq_u64_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vcvtaq_u64_f64(float64x2_t __p0) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcvtaq_u64_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcvta_u64_f64(float64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcvta_u64_v((int8x8_t)__p0, 19); + return __ret; +} +__ai uint64_t vcvtad_u64_f64(float64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcvtad_u64_f64(__p0); + return __ret; +} +__ai int32_t vcvtms_s32_f32(float32_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vcvtms_s32_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vcvtmq_s64_f64(float64x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vcvtmq_s64_v((int8x16_t)__p0, 35); + return __ret; +} +#else +__ai int64x2_t vcvtmq_s64_f64(float64x2_t __p0) { + int64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64x2_t) __builtin_neon_vcvtmq_s64_v((int8x16_t)__rev0, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vcvtm_s64_f64(float64x1_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vcvtm_s64_v((int8x8_t)__p0, 3); + return __ret; +} +__ai int64_t vcvtmd_s64_f64(float64_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vcvtmd_s64_f64(__p0); + return __ret; +} +__ai uint32_t vcvtms_u32_f32(float32_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcvtms_u32_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcvtmq_u64_f64(float64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcvtmq_u64_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vcvtmq_u64_f64(float64x2_t __p0) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcvtmq_u64_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcvtm_u64_f64(float64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcvtm_u64_v((int8x8_t)__p0, 19); + return __ret; +} +__ai uint64_t vcvtmd_u64_f64(float64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcvtmd_u64_f64(__p0); + return __ret; +} +__ai int32_t vcvtns_s32_f32(float32_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vcvtns_s32_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vcvtnq_s64_f64(float64x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vcvtnq_s64_v((int8x16_t)__p0, 35); + return __ret; +} +#else +__ai int64x2_t vcvtnq_s64_f64(float64x2_t __p0) { + int64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64x2_t) __builtin_neon_vcvtnq_s64_v((int8x16_t)__rev0, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vcvtn_s64_f64(float64x1_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vcvtn_s64_v((int8x8_t)__p0, 3); + return __ret; +} +__ai int64_t vcvtnd_s64_f64(float64_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vcvtnd_s64_f64(__p0); + return __ret; +} +__ai uint32_t vcvtns_u32_f32(float32_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcvtns_u32_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcvtnq_u64_f64(float64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcvtnq_u64_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vcvtnq_u64_f64(float64x2_t __p0) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcvtnq_u64_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcvtn_u64_f64(float64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcvtn_u64_v((int8x8_t)__p0, 19); + return __ret; +} +__ai uint64_t vcvtnd_u64_f64(float64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcvtnd_u64_f64(__p0); + return __ret; +} +__ai int32_t vcvtps_s32_f32(float32_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vcvtps_s32_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vcvtpq_s64_f64(float64x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vcvtpq_s64_v((int8x16_t)__p0, 35); + return __ret; +} +#else +__ai int64x2_t vcvtpq_s64_f64(float64x2_t __p0) { + int64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64x2_t) __builtin_neon_vcvtpq_s64_v((int8x16_t)__rev0, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vcvtp_s64_f64(float64x1_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vcvtp_s64_v((int8x8_t)__p0, 3); + return __ret; +} +__ai int64_t vcvtpd_s64_f64(float64_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vcvtpd_s64_f64(__p0); + return __ret; +} +__ai uint32_t vcvtps_u32_f32(float32_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vcvtps_u32_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vcvtpq_u64_f64(float64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vcvtpq_u64_v((int8x16_t)__p0, 51); + return __ret; +} +#else +__ai uint64x2_t vcvtpq_u64_f64(float64x2_t __p0) { + uint64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vcvtpq_u64_v((int8x16_t)__rev0, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vcvtp_u64_f64(float64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vcvtp_u64_v((int8x8_t)__p0, 19); + return __ret; +} +__ai uint64_t vcvtpd_u64_f64(float64_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vcvtpd_u64_f64(__p0); + return __ret; +} +__ai float32_t vcvtxd_f32_f64(float64_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vcvtxd_f32_f64(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vcvtx_f32_f64(float64x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcvtx_f32_v((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai float32x2_t vcvtx_f32_f64(float64x2_t __p0) { + float32x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vcvtx_f32_v((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai float32x2_t __noswap_vcvtx_f32_f64(float64x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vcvtx_f32_v((int8x16_t)__p0, 42); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vcvtx_high_f32_f64(float32x2_t __p0, float64x2_t __p1) { + float32x4_t __ret; + __ret = vcombine_f32(__p0, vcvtx_f32_f64(__p1)); + return __ret; +} +#else +__ai float32x4_t vcvtx_high_f32_f64(float32x2_t __p0, float64x2_t __p1) { + float32x4_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vcombine_f32(__rev0, __noswap_vcvtx_f32_f64(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vdivq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = __p0 / __p1; + return __ret; +} +#else +__ai float64x2_t vdivq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 / __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vdivq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = __p0 / __p1; + return __ret; +} +#else +__ai float32x4_t vdivq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 / __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vdiv_f64(float64x1_t __p0, float64x1_t __p1) { + float64x1_t __ret; + __ret = __p0 / __p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vdiv_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = __p0 / __p1; + return __ret; +} +#else +__ai float32x2_t vdiv_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 / __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupb_lane_p8(__p0, __p1) __extension__ ({ \ + poly8_t __ret; \ + poly8x8_t __s0 = __p0; \ + __ret = (poly8_t) __builtin_neon_vdupb_lane_i8((poly8x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdupb_lane_p8(__p0, __p1) __extension__ ({ \ + poly8_t __ret; \ + poly8x8_t __s0 = __p0; \ + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8_t) __builtin_neon_vdupb_lane_i8((poly8x8_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vduph_lane_p16(__p0, __p1) __extension__ ({ \ + poly16_t __ret; \ + poly16x4_t __s0 = __p0; \ + __ret = (poly16_t) __builtin_neon_vduph_lane_i16((poly16x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vduph_lane_p16(__p0, __p1) __extension__ ({ \ + poly16_t __ret; \ + poly16x4_t __s0 = __p0; \ + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (poly16_t) __builtin_neon_vduph_lane_i16((poly16x4_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupb_lane_u8(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint8x8_t __s0 = __p0; \ + __ret = (uint8_t) __builtin_neon_vdupb_lane_i8((int8x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdupb_lane_u8(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint8x8_t __s0 = __p0; \ + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8_t) __builtin_neon_vdupb_lane_i8((int8x8_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdups_lane_u32(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint32x2_t __s0 = __p0; \ + __ret = (uint32_t) __builtin_neon_vdups_lane_i32((int32x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdups_lane_u32(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint32x2_t __s0 = __p0; \ + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint32_t) __builtin_neon_vdups_lane_i32((int32x2_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#define vdupd_lane_u64(__p0, __p1) __extension__ ({ \ + uint64_t __ret; \ + uint64x1_t __s0 = __p0; \ + __ret = (uint64_t) __builtin_neon_vdupd_lane_i64((int64x1_t)__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vduph_lane_u16(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint16x4_t __s0 = __p0; \ + __ret = (uint16_t) __builtin_neon_vduph_lane_i16((int16x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vduph_lane_u16(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint16x4_t __s0 = __p0; \ + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint16_t) __builtin_neon_vduph_lane_i16((int16x4_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupb_lane_s8(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int8x8_t __s0 = __p0; \ + __ret = (int8_t) __builtin_neon_vdupb_lane_i8((int8x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdupb_lane_s8(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int8x8_t __s0 = __p0; \ + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8_t) __builtin_neon_vdupb_lane_i8((int8x8_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#define vdupd_lane_f64(__p0, __p1) __extension__ ({ \ + float64_t __ret; \ + float64x1_t __s0 = __p0; \ + __ret = (float64_t) __builtin_neon_vdupd_lane_f64((float64x1_t)__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vdups_lane_f32(__p0, __p1) __extension__ ({ \ + float32_t __ret; \ + float32x2_t __s0 = __p0; \ + __ret = (float32_t) __builtin_neon_vdups_lane_f32((float32x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdups_lane_f32(__p0, __p1) __extension__ ({ \ + float32_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (float32_t) __builtin_neon_vdups_lane_f32((float32x2_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdups_lane_s32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int32x2_t __s0 = __p0; \ + __ret = (int32_t) __builtin_neon_vdups_lane_i32((int32x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdups_lane_s32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int32_t) __builtin_neon_vdups_lane_i32((int32x2_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#define vdupd_lane_s64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + int64x1_t __s0 = __p0; \ + __ret = (int64_t) __builtin_neon_vdupd_lane_i64((int64x1_t)__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vduph_lane_s16(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int16x4_t __s0 = __p0; \ + __ret = (int16_t) __builtin_neon_vduph_lane_i16((int16x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vduph_lane_s16(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int16_t) __builtin_neon_vduph_lane_i16((int16x4_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#define vdup_lane_p64(__p0_343, __p1_343) __extension__ ({ \ + poly64x1_t __ret_343; \ + poly64x1_t __s0_343 = __p0_343; \ + __ret_343 = splat_lane_p64(__s0_343, __p1_343); \ + __ret_343; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_p64(__p0_344, __p1_344) __extension__ ({ \ + poly64x2_t __ret_344; \ + poly64x1_t __s0_344 = __p0_344; \ + __ret_344 = splatq_lane_p64(__s0_344, __p1_344); \ + __ret_344; \ +}) +#else +#define vdupq_lane_p64(__p0_345, __p1_345) __extension__ ({ \ + poly64x2_t __ret_345; \ + poly64x1_t __s0_345 = __p0_345; \ + __ret_345 = __noswap_splatq_lane_p64(__s0_345, __p1_345); \ + __ret_345 = __builtin_shufflevector(__ret_345, __ret_345, 1, 0); \ + __ret_345; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_lane_f64(__p0_346, __p1_346) __extension__ ({ \ + float64x2_t __ret_346; \ + float64x1_t __s0_346 = __p0_346; \ + __ret_346 = splatq_lane_f64(__s0_346, __p1_346); \ + __ret_346; \ +}) +#else +#define vdupq_lane_f64(__p0_347, __p1_347) __extension__ ({ \ + float64x2_t __ret_347; \ + float64x1_t __s0_347 = __p0_347; \ + __ret_347 = __noswap_splatq_lane_f64(__s0_347, __p1_347); \ + __ret_347 = __builtin_shufflevector(__ret_347, __ret_347, 1, 0); \ + __ret_347; \ +}) +#endif + +#define vdup_lane_f64(__p0_348, __p1_348) __extension__ ({ \ + float64x1_t __ret_348; \ + float64x1_t __s0_348 = __p0_348; \ + __ret_348 = splat_lane_f64(__s0_348, __p1_348); \ + __ret_348; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vdupb_laneq_p8(__p0, __p1) __extension__ ({ \ + poly8_t __ret; \ + poly8x16_t __s0 = __p0; \ + __ret = (poly8_t) __builtin_neon_vdupb_laneq_i8((poly8x16_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdupb_laneq_p8(__p0, __p1) __extension__ ({ \ + poly8_t __ret; \ + poly8x16_t __s0 = __p0; \ + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly8_t) __builtin_neon_vdupb_laneq_i8((poly8x16_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vduph_laneq_p16(__p0, __p1) __extension__ ({ \ + poly16_t __ret; \ + poly16x8_t __s0 = __p0; \ + __ret = (poly16_t) __builtin_neon_vduph_laneq_i16((poly16x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vduph_laneq_p16(__p0, __p1) __extension__ ({ \ + poly16_t __ret; \ + poly16x8_t __s0 = __p0; \ + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (poly16_t) __builtin_neon_vduph_laneq_i16((poly16x8_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupb_laneq_u8(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint8x16_t __s0 = __p0; \ + __ret = (uint8_t) __builtin_neon_vdupb_laneq_i8((int8x16_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdupb_laneq_u8(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint8x16_t __s0 = __p0; \ + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint8_t) __builtin_neon_vdupb_laneq_i8((int8x16_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdups_laneq_u32(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint32x4_t __s0 = __p0; \ + __ret = (uint32_t) __builtin_neon_vdups_laneq_i32((int32x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdups_laneq_u32(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (uint32_t) __builtin_neon_vdups_laneq_i32((int32x4_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupd_laneq_u64(__p0, __p1) __extension__ ({ \ + uint64_t __ret; \ + uint64x2_t __s0 = __p0; \ + __ret = (uint64_t) __builtin_neon_vdupd_laneq_i64((int64x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdupd_laneq_u64(__p0, __p1) __extension__ ({ \ + uint64_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (uint64_t) __builtin_neon_vdupd_laneq_i64((int64x2_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vduph_laneq_u16(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint16x8_t __s0 = __p0; \ + __ret = (uint16_t) __builtin_neon_vduph_laneq_i16((int16x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vduph_laneq_u16(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint16x8_t __s0 = __p0; \ + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (uint16_t) __builtin_neon_vduph_laneq_i16((int16x8_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupb_laneq_s8(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int8x16_t __s0 = __p0; \ + __ret = (int8_t) __builtin_neon_vdupb_laneq_i8((int8x16_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdupb_laneq_s8(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int8x16_t __s0 = __p0; \ + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int8_t) __builtin_neon_vdupb_laneq_i8((int8x16_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupd_laneq_f64(__p0, __p1) __extension__ ({ \ + float64_t __ret; \ + float64x2_t __s0 = __p0; \ + __ret = (float64_t) __builtin_neon_vdupd_laneq_f64((float64x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdupd_laneq_f64(__p0, __p1) __extension__ ({ \ + float64_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (float64_t) __builtin_neon_vdupd_laneq_f64((float64x2_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdups_laneq_f32(__p0, __p1) __extension__ ({ \ + float32_t __ret; \ + float32x4_t __s0 = __p0; \ + __ret = (float32_t) __builtin_neon_vdups_laneq_f32((float32x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdups_laneq_f32(__p0, __p1) __extension__ ({ \ + float32_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float32_t) __builtin_neon_vdups_laneq_f32((float32x4_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdups_laneq_s32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int32x4_t __s0 = __p0; \ + __ret = (int32_t) __builtin_neon_vdups_laneq_i32((int32x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdups_laneq_s32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (int32_t) __builtin_neon_vdups_laneq_i32((int32x4_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupd_laneq_s64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + int64x2_t __s0 = __p0; \ + __ret = (int64_t) __builtin_neon_vdupd_laneq_i64((int64x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vdupd_laneq_s64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + int64x2_t __s0 = __p0; \ + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (int64_t) __builtin_neon_vdupd_laneq_i64((int64x2_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vduph_laneq_s16(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int16x8_t __s0 = __p0; \ + __ret = (int16_t) __builtin_neon_vduph_laneq_i16((int16x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vduph_laneq_s16(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16_t) __builtin_neon_vduph_laneq_i16((int16x8_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_p8(__p0_349, __p1_349) __extension__ ({ \ + poly8x8_t __ret_349; \ + poly8x16_t __s0_349 = __p0_349; \ + __ret_349 = splat_laneq_p8(__s0_349, __p1_349); \ + __ret_349; \ +}) +#else +#define vdup_laneq_p8(__p0_350, __p1_350) __extension__ ({ \ + poly8x8_t __ret_350; \ + poly8x16_t __s0_350 = __p0_350; \ + poly8x16_t __rev0_350; __rev0_350 = __builtin_shufflevector(__s0_350, __s0_350, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_350 = __noswap_splat_laneq_p8(__rev0_350, __p1_350); \ + __ret_350 = __builtin_shufflevector(__ret_350, __ret_350, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_350; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_p64(__p0_351, __p1_351) __extension__ ({ \ + poly64x1_t __ret_351; \ + poly64x2_t __s0_351 = __p0_351; \ + __ret_351 = splat_laneq_p64(__s0_351, __p1_351); \ + __ret_351; \ +}) +#else +#define vdup_laneq_p64(__p0_352, __p1_352) __extension__ ({ \ + poly64x1_t __ret_352; \ + poly64x2_t __s0_352 = __p0_352; \ + poly64x2_t __rev0_352; __rev0_352 = __builtin_shufflevector(__s0_352, __s0_352, 1, 0); \ + __ret_352 = __noswap_splat_laneq_p64(__rev0_352, __p1_352); \ + __ret_352; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_p16(__p0_353, __p1_353) __extension__ ({ \ + poly16x4_t __ret_353; \ + poly16x8_t __s0_353 = __p0_353; \ + __ret_353 = splat_laneq_p16(__s0_353, __p1_353); \ + __ret_353; \ +}) +#else +#define vdup_laneq_p16(__p0_354, __p1_354) __extension__ ({ \ + poly16x4_t __ret_354; \ + poly16x8_t __s0_354 = __p0_354; \ + poly16x8_t __rev0_354; __rev0_354 = __builtin_shufflevector(__s0_354, __s0_354, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_354 = __noswap_splat_laneq_p16(__rev0_354, __p1_354); \ + __ret_354 = __builtin_shufflevector(__ret_354, __ret_354, 3, 2, 1, 0); \ + __ret_354; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_p8(__p0_355, __p1_355) __extension__ ({ \ + poly8x16_t __ret_355; \ + poly8x16_t __s0_355 = __p0_355; \ + __ret_355 = splatq_laneq_p8(__s0_355, __p1_355); \ + __ret_355; \ +}) +#else +#define vdupq_laneq_p8(__p0_356, __p1_356) __extension__ ({ \ + poly8x16_t __ret_356; \ + poly8x16_t __s0_356 = __p0_356; \ + poly8x16_t __rev0_356; __rev0_356 = __builtin_shufflevector(__s0_356, __s0_356, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_356 = __noswap_splatq_laneq_p8(__rev0_356, __p1_356); \ + __ret_356 = __builtin_shufflevector(__ret_356, __ret_356, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_356; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_p64(__p0_357, __p1_357) __extension__ ({ \ + poly64x2_t __ret_357; \ + poly64x2_t __s0_357 = __p0_357; \ + __ret_357 = splatq_laneq_p64(__s0_357, __p1_357); \ + __ret_357; \ +}) +#else +#define vdupq_laneq_p64(__p0_358, __p1_358) __extension__ ({ \ + poly64x2_t __ret_358; \ + poly64x2_t __s0_358 = __p0_358; \ + poly64x2_t __rev0_358; __rev0_358 = __builtin_shufflevector(__s0_358, __s0_358, 1, 0); \ + __ret_358 = __noswap_splatq_laneq_p64(__rev0_358, __p1_358); \ + __ret_358 = __builtin_shufflevector(__ret_358, __ret_358, 1, 0); \ + __ret_358; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_p16(__p0_359, __p1_359) __extension__ ({ \ + poly16x8_t __ret_359; \ + poly16x8_t __s0_359 = __p0_359; \ + __ret_359 = splatq_laneq_p16(__s0_359, __p1_359); \ + __ret_359; \ +}) +#else +#define vdupq_laneq_p16(__p0_360, __p1_360) __extension__ ({ \ + poly16x8_t __ret_360; \ + poly16x8_t __s0_360 = __p0_360; \ + poly16x8_t __rev0_360; __rev0_360 = __builtin_shufflevector(__s0_360, __s0_360, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_360 = __noswap_splatq_laneq_p16(__rev0_360, __p1_360); \ + __ret_360 = __builtin_shufflevector(__ret_360, __ret_360, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_360; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_u8(__p0_361, __p1_361) __extension__ ({ \ + uint8x16_t __ret_361; \ + uint8x16_t __s0_361 = __p0_361; \ + __ret_361 = splatq_laneq_u8(__s0_361, __p1_361); \ + __ret_361; \ +}) +#else +#define vdupq_laneq_u8(__p0_362, __p1_362) __extension__ ({ \ + uint8x16_t __ret_362; \ + uint8x16_t __s0_362 = __p0_362; \ + uint8x16_t __rev0_362; __rev0_362 = __builtin_shufflevector(__s0_362, __s0_362, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_362 = __noswap_splatq_laneq_u8(__rev0_362, __p1_362); \ + __ret_362 = __builtin_shufflevector(__ret_362, __ret_362, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_362; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_u32(__p0_363, __p1_363) __extension__ ({ \ + uint32x4_t __ret_363; \ + uint32x4_t __s0_363 = __p0_363; \ + __ret_363 = splatq_laneq_u32(__s0_363, __p1_363); \ + __ret_363; \ +}) +#else +#define vdupq_laneq_u32(__p0_364, __p1_364) __extension__ ({ \ + uint32x4_t __ret_364; \ + uint32x4_t __s0_364 = __p0_364; \ + uint32x4_t __rev0_364; __rev0_364 = __builtin_shufflevector(__s0_364, __s0_364, 3, 2, 1, 0); \ + __ret_364 = __noswap_splatq_laneq_u32(__rev0_364, __p1_364); \ + __ret_364 = __builtin_shufflevector(__ret_364, __ret_364, 3, 2, 1, 0); \ + __ret_364; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_u64(__p0_365, __p1_365) __extension__ ({ \ + uint64x2_t __ret_365; \ + uint64x2_t __s0_365 = __p0_365; \ + __ret_365 = splatq_laneq_u64(__s0_365, __p1_365); \ + __ret_365; \ +}) +#else +#define vdupq_laneq_u64(__p0_366, __p1_366) __extension__ ({ \ + uint64x2_t __ret_366; \ + uint64x2_t __s0_366 = __p0_366; \ + uint64x2_t __rev0_366; __rev0_366 = __builtin_shufflevector(__s0_366, __s0_366, 1, 0); \ + __ret_366 = __noswap_splatq_laneq_u64(__rev0_366, __p1_366); \ + __ret_366 = __builtin_shufflevector(__ret_366, __ret_366, 1, 0); \ + __ret_366; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_u16(__p0_367, __p1_367) __extension__ ({ \ + uint16x8_t __ret_367; \ + uint16x8_t __s0_367 = __p0_367; \ + __ret_367 = splatq_laneq_u16(__s0_367, __p1_367); \ + __ret_367; \ +}) +#else +#define vdupq_laneq_u16(__p0_368, __p1_368) __extension__ ({ \ + uint16x8_t __ret_368; \ + uint16x8_t __s0_368 = __p0_368; \ + uint16x8_t __rev0_368; __rev0_368 = __builtin_shufflevector(__s0_368, __s0_368, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_368 = __noswap_splatq_laneq_u16(__rev0_368, __p1_368); \ + __ret_368 = __builtin_shufflevector(__ret_368, __ret_368, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_368; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_s8(__p0_369, __p1_369) __extension__ ({ \ + int8x16_t __ret_369; \ + int8x16_t __s0_369 = __p0_369; \ + __ret_369 = splatq_laneq_s8(__s0_369, __p1_369); \ + __ret_369; \ +}) +#else +#define vdupq_laneq_s8(__p0_370, __p1_370) __extension__ ({ \ + int8x16_t __ret_370; \ + int8x16_t __s0_370 = __p0_370; \ + int8x16_t __rev0_370; __rev0_370 = __builtin_shufflevector(__s0_370, __s0_370, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_370 = __noswap_splatq_laneq_s8(__rev0_370, __p1_370); \ + __ret_370 = __builtin_shufflevector(__ret_370, __ret_370, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_370; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_f64(__p0_371, __p1_371) __extension__ ({ \ + float64x2_t __ret_371; \ + float64x2_t __s0_371 = __p0_371; \ + __ret_371 = splatq_laneq_f64(__s0_371, __p1_371); \ + __ret_371; \ +}) +#else +#define vdupq_laneq_f64(__p0_372, __p1_372) __extension__ ({ \ + float64x2_t __ret_372; \ + float64x2_t __s0_372 = __p0_372; \ + float64x2_t __rev0_372; __rev0_372 = __builtin_shufflevector(__s0_372, __s0_372, 1, 0); \ + __ret_372 = __noswap_splatq_laneq_f64(__rev0_372, __p1_372); \ + __ret_372 = __builtin_shufflevector(__ret_372, __ret_372, 1, 0); \ + __ret_372; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_f32(__p0_373, __p1_373) __extension__ ({ \ + float32x4_t __ret_373; \ + float32x4_t __s0_373 = __p0_373; \ + __ret_373 = splatq_laneq_f32(__s0_373, __p1_373); \ + __ret_373; \ +}) +#else +#define vdupq_laneq_f32(__p0_374, __p1_374) __extension__ ({ \ + float32x4_t __ret_374; \ + float32x4_t __s0_374 = __p0_374; \ + float32x4_t __rev0_374; __rev0_374 = __builtin_shufflevector(__s0_374, __s0_374, 3, 2, 1, 0); \ + __ret_374 = __noswap_splatq_laneq_f32(__rev0_374, __p1_374); \ + __ret_374 = __builtin_shufflevector(__ret_374, __ret_374, 3, 2, 1, 0); \ + __ret_374; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_f16(__p0_375, __p1_375) __extension__ ({ \ + float16x8_t __ret_375; \ + float16x8_t __s0_375 = __p0_375; \ + __ret_375 = splatq_laneq_f16(__s0_375, __p1_375); \ + __ret_375; \ +}) +#else +#define vdupq_laneq_f16(__p0_376, __p1_376) __extension__ ({ \ + float16x8_t __ret_376; \ + float16x8_t __s0_376 = __p0_376; \ + float16x8_t __rev0_376; __rev0_376 = __builtin_shufflevector(__s0_376, __s0_376, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_376 = __noswap_splatq_laneq_f16(__rev0_376, __p1_376); \ + __ret_376 = __builtin_shufflevector(__ret_376, __ret_376, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_376; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_s32(__p0_377, __p1_377) __extension__ ({ \ + int32x4_t __ret_377; \ + int32x4_t __s0_377 = __p0_377; \ + __ret_377 = splatq_laneq_s32(__s0_377, __p1_377); \ + __ret_377; \ +}) +#else +#define vdupq_laneq_s32(__p0_378, __p1_378) __extension__ ({ \ + int32x4_t __ret_378; \ + int32x4_t __s0_378 = __p0_378; \ + int32x4_t __rev0_378; __rev0_378 = __builtin_shufflevector(__s0_378, __s0_378, 3, 2, 1, 0); \ + __ret_378 = __noswap_splatq_laneq_s32(__rev0_378, __p1_378); \ + __ret_378 = __builtin_shufflevector(__ret_378, __ret_378, 3, 2, 1, 0); \ + __ret_378; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_s64(__p0_379, __p1_379) __extension__ ({ \ + int64x2_t __ret_379; \ + int64x2_t __s0_379 = __p0_379; \ + __ret_379 = splatq_laneq_s64(__s0_379, __p1_379); \ + __ret_379; \ +}) +#else +#define vdupq_laneq_s64(__p0_380, __p1_380) __extension__ ({ \ + int64x2_t __ret_380; \ + int64x2_t __s0_380 = __p0_380; \ + int64x2_t __rev0_380; __rev0_380 = __builtin_shufflevector(__s0_380, __s0_380, 1, 0); \ + __ret_380 = __noswap_splatq_laneq_s64(__rev0_380, __p1_380); \ + __ret_380 = __builtin_shufflevector(__ret_380, __ret_380, 1, 0); \ + __ret_380; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdupq_laneq_s16(__p0_381, __p1_381) __extension__ ({ \ + int16x8_t __ret_381; \ + int16x8_t __s0_381 = __p0_381; \ + __ret_381 = splatq_laneq_s16(__s0_381, __p1_381); \ + __ret_381; \ +}) +#else +#define vdupq_laneq_s16(__p0_382, __p1_382) __extension__ ({ \ + int16x8_t __ret_382; \ + int16x8_t __s0_382 = __p0_382; \ + int16x8_t __rev0_382; __rev0_382 = __builtin_shufflevector(__s0_382, __s0_382, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_382 = __noswap_splatq_laneq_s16(__rev0_382, __p1_382); \ + __ret_382 = __builtin_shufflevector(__ret_382, __ret_382, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_382; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_u8(__p0_383, __p1_383) __extension__ ({ \ + uint8x8_t __ret_383; \ + uint8x16_t __s0_383 = __p0_383; \ + __ret_383 = splat_laneq_u8(__s0_383, __p1_383); \ + __ret_383; \ +}) +#else +#define vdup_laneq_u8(__p0_384, __p1_384) __extension__ ({ \ + uint8x8_t __ret_384; \ + uint8x16_t __s0_384 = __p0_384; \ + uint8x16_t __rev0_384; __rev0_384 = __builtin_shufflevector(__s0_384, __s0_384, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_384 = __noswap_splat_laneq_u8(__rev0_384, __p1_384); \ + __ret_384 = __builtin_shufflevector(__ret_384, __ret_384, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_384; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_u32(__p0_385, __p1_385) __extension__ ({ \ + uint32x2_t __ret_385; \ + uint32x4_t __s0_385 = __p0_385; \ + __ret_385 = splat_laneq_u32(__s0_385, __p1_385); \ + __ret_385; \ +}) +#else +#define vdup_laneq_u32(__p0_386, __p1_386) __extension__ ({ \ + uint32x2_t __ret_386; \ + uint32x4_t __s0_386 = __p0_386; \ + uint32x4_t __rev0_386; __rev0_386 = __builtin_shufflevector(__s0_386, __s0_386, 3, 2, 1, 0); \ + __ret_386 = __noswap_splat_laneq_u32(__rev0_386, __p1_386); \ + __ret_386 = __builtin_shufflevector(__ret_386, __ret_386, 1, 0); \ + __ret_386; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_u64(__p0_387, __p1_387) __extension__ ({ \ + uint64x1_t __ret_387; \ + uint64x2_t __s0_387 = __p0_387; \ + __ret_387 = splat_laneq_u64(__s0_387, __p1_387); \ + __ret_387; \ +}) +#else +#define vdup_laneq_u64(__p0_388, __p1_388) __extension__ ({ \ + uint64x1_t __ret_388; \ + uint64x2_t __s0_388 = __p0_388; \ + uint64x2_t __rev0_388; __rev0_388 = __builtin_shufflevector(__s0_388, __s0_388, 1, 0); \ + __ret_388 = __noswap_splat_laneq_u64(__rev0_388, __p1_388); \ + __ret_388; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_u16(__p0_389, __p1_389) __extension__ ({ \ + uint16x4_t __ret_389; \ + uint16x8_t __s0_389 = __p0_389; \ + __ret_389 = splat_laneq_u16(__s0_389, __p1_389); \ + __ret_389; \ +}) +#else +#define vdup_laneq_u16(__p0_390, __p1_390) __extension__ ({ \ + uint16x4_t __ret_390; \ + uint16x8_t __s0_390 = __p0_390; \ + uint16x8_t __rev0_390; __rev0_390 = __builtin_shufflevector(__s0_390, __s0_390, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_390 = __noswap_splat_laneq_u16(__rev0_390, __p1_390); \ + __ret_390 = __builtin_shufflevector(__ret_390, __ret_390, 3, 2, 1, 0); \ + __ret_390; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_s8(__p0_391, __p1_391) __extension__ ({ \ + int8x8_t __ret_391; \ + int8x16_t __s0_391 = __p0_391; \ + __ret_391 = splat_laneq_s8(__s0_391, __p1_391); \ + __ret_391; \ +}) +#else +#define vdup_laneq_s8(__p0_392, __p1_392) __extension__ ({ \ + int8x8_t __ret_392; \ + int8x16_t __s0_392 = __p0_392; \ + int8x16_t __rev0_392; __rev0_392 = __builtin_shufflevector(__s0_392, __s0_392, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_392 = __noswap_splat_laneq_s8(__rev0_392, __p1_392); \ + __ret_392 = __builtin_shufflevector(__ret_392, __ret_392, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_392; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_f64(__p0_393, __p1_393) __extension__ ({ \ + float64x1_t __ret_393; \ + float64x2_t __s0_393 = __p0_393; \ + __ret_393 = splat_laneq_f64(__s0_393, __p1_393); \ + __ret_393; \ +}) +#else +#define vdup_laneq_f64(__p0_394, __p1_394) __extension__ ({ \ + float64x1_t __ret_394; \ + float64x2_t __s0_394 = __p0_394; \ + float64x2_t __rev0_394; __rev0_394 = __builtin_shufflevector(__s0_394, __s0_394, 1, 0); \ + __ret_394 = __noswap_splat_laneq_f64(__rev0_394, __p1_394); \ + __ret_394; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_f32(__p0_395, __p1_395) __extension__ ({ \ + float32x2_t __ret_395; \ + float32x4_t __s0_395 = __p0_395; \ + __ret_395 = splat_laneq_f32(__s0_395, __p1_395); \ + __ret_395; \ +}) +#else +#define vdup_laneq_f32(__p0_396, __p1_396) __extension__ ({ \ + float32x2_t __ret_396; \ + float32x4_t __s0_396 = __p0_396; \ + float32x4_t __rev0_396; __rev0_396 = __builtin_shufflevector(__s0_396, __s0_396, 3, 2, 1, 0); \ + __ret_396 = __noswap_splat_laneq_f32(__rev0_396, __p1_396); \ + __ret_396 = __builtin_shufflevector(__ret_396, __ret_396, 1, 0); \ + __ret_396; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_f16(__p0_397, __p1_397) __extension__ ({ \ + float16x4_t __ret_397; \ + float16x8_t __s0_397 = __p0_397; \ + __ret_397 = splat_laneq_f16(__s0_397, __p1_397); \ + __ret_397; \ +}) +#else +#define vdup_laneq_f16(__p0_398, __p1_398) __extension__ ({ \ + float16x4_t __ret_398; \ + float16x8_t __s0_398 = __p0_398; \ + float16x8_t __rev0_398; __rev0_398 = __builtin_shufflevector(__s0_398, __s0_398, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_398 = __noswap_splat_laneq_f16(__rev0_398, __p1_398); \ + __ret_398 = __builtin_shufflevector(__ret_398, __ret_398, 3, 2, 1, 0); \ + __ret_398; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_s32(__p0_399, __p1_399) __extension__ ({ \ + int32x2_t __ret_399; \ + int32x4_t __s0_399 = __p0_399; \ + __ret_399 = splat_laneq_s32(__s0_399, __p1_399); \ + __ret_399; \ +}) +#else +#define vdup_laneq_s32(__p0_400, __p1_400) __extension__ ({ \ + int32x2_t __ret_400; \ + int32x4_t __s0_400 = __p0_400; \ + int32x4_t __rev0_400; __rev0_400 = __builtin_shufflevector(__s0_400, __s0_400, 3, 2, 1, 0); \ + __ret_400 = __noswap_splat_laneq_s32(__rev0_400, __p1_400); \ + __ret_400 = __builtin_shufflevector(__ret_400, __ret_400, 1, 0); \ + __ret_400; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_s64(__p0_401, __p1_401) __extension__ ({ \ + int64x1_t __ret_401; \ + int64x2_t __s0_401 = __p0_401; \ + __ret_401 = splat_laneq_s64(__s0_401, __p1_401); \ + __ret_401; \ +}) +#else +#define vdup_laneq_s64(__p0_402, __p1_402) __extension__ ({ \ + int64x1_t __ret_402; \ + int64x2_t __s0_402 = __p0_402; \ + int64x2_t __rev0_402; __rev0_402 = __builtin_shufflevector(__s0_402, __s0_402, 1, 0); \ + __ret_402 = __noswap_splat_laneq_s64(__rev0_402, __p1_402); \ + __ret_402; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdup_laneq_s16(__p0_403, __p1_403) __extension__ ({ \ + int16x4_t __ret_403; \ + int16x8_t __s0_403 = __p0_403; \ + __ret_403 = splat_laneq_s16(__s0_403, __p1_403); \ + __ret_403; \ +}) +#else +#define vdup_laneq_s16(__p0_404, __p1_404) __extension__ ({ \ + int16x4_t __ret_404; \ + int16x8_t __s0_404 = __p0_404; \ + int16x8_t __rev0_404; __rev0_404 = __builtin_shufflevector(__s0_404, __s0_404, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_404 = __noswap_splat_laneq_s16(__rev0_404, __p1_404); \ + __ret_404 = __builtin_shufflevector(__ret_404, __ret_404, 3, 2, 1, 0); \ + __ret_404; \ +}) +#endif + +__ai poly64x1_t vdup_n_p64(poly64_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t) {__p0}; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai poly64x2_t vdupq_n_p64(poly64_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai poly64x2_t vdupq_n_p64(poly64_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vdupq_n_f64(float64_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai float64x2_t vdupq_n_f64(float64_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vdup_n_f64(float64_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) {__p0}; + return __ret; +} +#define vext_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1_t __ret; \ + poly64x1_t __s0 = __p0; \ + poly64x1_t __s1 = __p1; \ + __ret = (poly64x1_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vextq_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s0 = __p0; \ + poly64x2_t __s1 = __p1; \ + __ret = (poly64x2_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 38); \ + __ret; \ +}) +#else +#define vextq_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s0 = __p0; \ + poly64x2_t __s1 = __p1; \ + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (poly64x2_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 38); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vextq_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + __ret = (float64x2_t) __builtin_neon_vextq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 42); \ + __ret; \ +}) +#else +#define vextq_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (float64x2_t) __builtin_neon_vextq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 42); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vext_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1_t __ret; \ + float64x1_t __s0 = __p0; \ + float64x1_t __s1 = __p1; \ + __ret = (float64x1_t) __builtin_neon_vext_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 10); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vfmaq_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vfmaq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 42); + return __ret; +} +#else +__ai float64x2_t vfmaq_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (float64x2_t) __builtin_neon_vfmaq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai float64x2_t __noswap_vfmaq_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vfmaq_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 42); + return __ret; +} +#endif + +__ai float64x1_t vfma_f64(float64x1_t __p0, float64x1_t __p1, float64x1_t __p2) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vfma_v((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 10); + return __ret; +} +#define vfmad_lane_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64_t __ret; \ + float64_t __s0 = __p0; \ + float64_t __s1 = __p1; \ + float64x1_t __s2 = __p2; \ + __ret = (float64_t) __builtin_neon_vfmad_lane_f64(__s0, __s1, (float64x1_t)__s2, __p3); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vfmas_lane_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32_t __ret; \ + float32_t __s0 = __p0; \ + float32_t __s1 = __p1; \ + float32x2_t __s2 = __p2; \ + __ret = (float32_t) __builtin_neon_vfmas_lane_f32(__s0, __s1, (float32x2_t)__s2, __p3); \ + __ret; \ +}) +#else +#define vfmas_lane_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32_t __ret; \ + float32_t __s0 = __p0; \ + float32_t __s1 = __p1; \ + float32x2_t __s2 = __p2; \ + float32x2_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 1, 0); \ + __ret = (float32_t) __builtin_neon_vfmas_lane_f32(__s0, __s1, (float32x2_t)__rev2, __p3); \ + __ret; \ +}) +#define __noswap_vfmas_lane_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32_t __ret; \ + float32_t __s0 = __p0; \ + float32_t __s1 = __p1; \ + float32x2_t __s2 = __p2; \ + __ret = (float32_t) __builtin_neon_vfmas_lane_f32(__s0, __s1, (float32x2_t)__s2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmaq_lane_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + float64x1_t __s2 = __p2; \ + __ret = (float64x2_t) __builtin_neon_vfmaq_lane_v((int8x16_t)__s0, (int8x16_t)__s1, (int8x8_t)__s2, __p3, 42); \ + __ret; \ +}) +#else +#define vfmaq_lane_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + float64x1_t __s2 = __p2; \ + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (float64x2_t) __builtin_neon_vfmaq_lane_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x8_t)__s2, __p3, 42); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vfmaq_lane_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + float64x1_t __s2 = __p2; \ + __ret = (float64x2_t) __builtin_neon_vfmaq_lane_v((int8x16_t)__s0, (int8x16_t)__s1, (int8x8_t)__s2, __p3, 42); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmaq_lane_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __s1 = __p1; \ + float32x2_t __s2 = __p2; \ + __ret = (float32x4_t) __builtin_neon_vfmaq_lane_v((int8x16_t)__s0, (int8x16_t)__s1, (int8x8_t)__s2, __p3, 41); \ + __ret; \ +}) +#else +#define vfmaq_lane_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __s1 = __p1; \ + float32x2_t __s2 = __p2; \ + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + float32x2_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 1, 0); \ + __ret = (float32x4_t) __builtin_neon_vfmaq_lane_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x8_t)__rev2, __p3, 41); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vfmaq_lane_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __s1 = __p1; \ + float32x2_t __s2 = __p2; \ + __ret = (float32x4_t) __builtin_neon_vfmaq_lane_v((int8x16_t)__s0, (int8x16_t)__s1, (int8x8_t)__s2, __p3, 41); \ + __ret; \ +}) +#endif + +#define vfma_lane_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64x1_t __ret; \ + float64x1_t __s0 = __p0; \ + float64x1_t __s1 = __p1; \ + float64x1_t __s2 = __p2; \ + __ret = (float64x1_t) __builtin_neon_vfma_lane_v((int8x8_t)__s0, (int8x8_t)__s1, (int8x8_t)__s2, __p3, 10); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vfma_lane_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __s1 = __p1; \ + float32x2_t __s2 = __p2; \ + __ret = (float32x2_t) __builtin_neon_vfma_lane_v((int8x8_t)__s0, (int8x8_t)__s1, (int8x8_t)__s2, __p3, 9); \ + __ret; \ +}) +#else +#define vfma_lane_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __s1 = __p1; \ + float32x2_t __s2 = __p2; \ + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + float32x2_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 1, 0); \ + __ret = (float32x2_t) __builtin_neon_vfma_lane_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, __p3, 9); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vfma_lane_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __s1 = __p1; \ + float32x2_t __s2 = __p2; \ + __ret = (float32x2_t) __builtin_neon_vfma_lane_v((int8x8_t)__s0, (int8x8_t)__s1, (int8x8_t)__s2, __p3, 9); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmad_laneq_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64_t __ret; \ + float64_t __s0 = __p0; \ + float64_t __s1 = __p1; \ + float64x2_t __s2 = __p2; \ + __ret = (float64_t) __builtin_neon_vfmad_laneq_f64(__s0, __s1, (float64x2_t)__s2, __p3); \ + __ret; \ +}) +#else +#define vfmad_laneq_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64_t __ret; \ + float64_t __s0 = __p0; \ + float64_t __s1 = __p1; \ + float64x2_t __s2 = __p2; \ + float64x2_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 1, 0); \ + __ret = (float64_t) __builtin_neon_vfmad_laneq_f64(__s0, __s1, (float64x2_t)__rev2, __p3); \ + __ret; \ +}) +#define __noswap_vfmad_laneq_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64_t __ret; \ + float64_t __s0 = __p0; \ + float64_t __s1 = __p1; \ + float64x2_t __s2 = __p2; \ + __ret = (float64_t) __builtin_neon_vfmad_laneq_f64(__s0, __s1, (float64x2_t)__s2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmas_laneq_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32_t __ret; \ + float32_t __s0 = __p0; \ + float32_t __s1 = __p1; \ + float32x4_t __s2 = __p2; \ + __ret = (float32_t) __builtin_neon_vfmas_laneq_f32(__s0, __s1, (float32x4_t)__s2, __p3); \ + __ret; \ +}) +#else +#define vfmas_laneq_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32_t __ret; \ + float32_t __s0 = __p0; \ + float32_t __s1 = __p1; \ + float32x4_t __s2 = __p2; \ + float32x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (float32_t) __builtin_neon_vfmas_laneq_f32(__s0, __s1, (float32x4_t)__rev2, __p3); \ + __ret; \ +}) +#define __noswap_vfmas_laneq_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32_t __ret; \ + float32_t __s0 = __p0; \ + float32_t __s1 = __p1; \ + float32x4_t __s2 = __p2; \ + __ret = (float32_t) __builtin_neon_vfmas_laneq_f32(__s0, __s1, (float32x4_t)__s2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmaq_laneq_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + float64x2_t __s2 = __p2; \ + __ret = (float64x2_t) __builtin_neon_vfmaq_laneq_v((int8x16_t)__s0, (int8x16_t)__s1, (int8x16_t)__s2, __p3, 42); \ + __ret; \ +}) +#else +#define vfmaq_laneq_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + float64x2_t __s2 = __p2; \ + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + float64x2_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 1, 0); \ + __ret = (float64x2_t) __builtin_neon_vfmaq_laneq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, __p3, 42); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vfmaq_laneq_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + float64x2_t __s2 = __p2; \ + __ret = (float64x2_t) __builtin_neon_vfmaq_laneq_v((int8x16_t)__s0, (int8x16_t)__s1, (int8x16_t)__s2, __p3, 42); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmaq_laneq_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __s1 = __p1; \ + float32x4_t __s2 = __p2; \ + __ret = (float32x4_t) __builtin_neon_vfmaq_laneq_v((int8x16_t)__s0, (int8x16_t)__s1, (int8x16_t)__s2, __p3, 41); \ + __ret; \ +}) +#else +#define vfmaq_laneq_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __s1 = __p1; \ + float32x4_t __s2 = __p2; \ + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + float32x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (float32x4_t) __builtin_neon_vfmaq_laneq_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, __p3, 41); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vfmaq_laneq_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32x4_t __ret; \ + float32x4_t __s0 = __p0; \ + float32x4_t __s1 = __p1; \ + float32x4_t __s2 = __p2; \ + __ret = (float32x4_t) __builtin_neon_vfmaq_laneq_v((int8x16_t)__s0, (int8x16_t)__s1, (int8x16_t)__s2, __p3, 41); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfma_laneq_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64x1_t __ret; \ + float64x1_t __s0 = __p0; \ + float64x1_t __s1 = __p1; \ + float64x2_t __s2 = __p2; \ + __ret = (float64x1_t) __builtin_neon_vfma_laneq_v((int8x8_t)__s0, (int8x8_t)__s1, (int8x16_t)__s2, __p3, 10); \ + __ret; \ +}) +#else +#define vfma_laneq_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64x1_t __ret; \ + float64x1_t __s0 = __p0; \ + float64x1_t __s1 = __p1; \ + float64x2_t __s2 = __p2; \ + float64x2_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 1, 0); \ + __ret = (float64x1_t) __builtin_neon_vfma_laneq_v((int8x8_t)__s0, (int8x8_t)__s1, (int8x16_t)__rev2, __p3, 10); \ + __ret; \ +}) +#define __noswap_vfma_laneq_f64(__p0, __p1, __p2, __p3) __extension__ ({ \ + float64x1_t __ret; \ + float64x1_t __s0 = __p0; \ + float64x1_t __s1 = __p1; \ + float64x2_t __s2 = __p2; \ + __ret = (float64x1_t) __builtin_neon_vfma_laneq_v((int8x8_t)__s0, (int8x8_t)__s1, (int8x16_t)__s2, __p3, 10); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfma_laneq_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __s1 = __p1; \ + float32x4_t __s2 = __p2; \ + __ret = (float32x2_t) __builtin_neon_vfma_laneq_v((int8x8_t)__s0, (int8x8_t)__s1, (int8x16_t)__s2, __p3, 9); \ + __ret; \ +}) +#else +#define vfma_laneq_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __s1 = __p1; \ + float32x4_t __s2 = __p2; \ + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + float32x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (float32x2_t) __builtin_neon_vfma_laneq_v((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x16_t)__rev2, __p3, 9); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vfma_laneq_f32(__p0, __p1, __p2, __p3) __extension__ ({ \ + float32x2_t __ret; \ + float32x2_t __s0 = __p0; \ + float32x2_t __s1 = __p1; \ + float32x4_t __s2 = __p2; \ + __ret = (float32x2_t) __builtin_neon_vfma_laneq_v((int8x8_t)__s0, (int8x8_t)__s1, (int8x16_t)__s2, __p3, 9); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vfmaq_n_f64(float64x2_t __p0, float64x2_t __p1, float64_t __p2) { + float64x2_t __ret; + __ret = vfmaq_f64(__p0, __p1, (float64x2_t) {__p2, __p2}); + return __ret; +} +#else +__ai float64x2_t vfmaq_n_f64(float64x2_t __p0, float64x2_t __p1, float64_t __p2) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vfmaq_f64(__rev0, __rev1, (float64x2_t) {__p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vfma_n_f64(float64x1_t __p0, float64x1_t __p1, float64_t __p2) { + float64x1_t __ret; + __ret = vfma_f64(__p0, __p1, (float64x1_t) {__p2}); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vfmsq_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = vfmaq_f64(__p0, -__p1, __p2); + return __ret; +} +#else +__ai float64x2_t vfmsq_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __noswap_vfmaq_f64(__rev0, -__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vfms_f64(float64x1_t __p0, float64x1_t __p1, float64x1_t __p2) { + float64x1_t __ret; + __ret = vfma_f64(__p0, -__p1, __p2); + return __ret; +} +#define vfmsd_lane_f64(__p0_405, __p1_405, __p2_405, __p3_405) __extension__ ({ \ + float64_t __ret_405; \ + float64_t __s0_405 = __p0_405; \ + float64_t __s1_405 = __p1_405; \ + float64x1_t __s2_405 = __p2_405; \ + __ret_405 = vfmad_lane_f64(__s0_405, -__s1_405, __s2_405, __p3_405); \ + __ret_405; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vfmss_lane_f32(__p0_406, __p1_406, __p2_406, __p3_406) __extension__ ({ \ + float32_t __ret_406; \ + float32_t __s0_406 = __p0_406; \ + float32_t __s1_406 = __p1_406; \ + float32x2_t __s2_406 = __p2_406; \ + __ret_406 = vfmas_lane_f32(__s0_406, -__s1_406, __s2_406, __p3_406); \ + __ret_406; \ +}) +#else +#define vfmss_lane_f32(__p0_407, __p1_407, __p2_407, __p3_407) __extension__ ({ \ + float32_t __ret_407; \ + float32_t __s0_407 = __p0_407; \ + float32_t __s1_407 = __p1_407; \ + float32x2_t __s2_407 = __p2_407; \ + float32x2_t __rev2_407; __rev2_407 = __builtin_shufflevector(__s2_407, __s2_407, 1, 0); \ + __ret_407 = __noswap_vfmas_lane_f32(__s0_407, -__s1_407, __rev2_407, __p3_407); \ + __ret_407; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmsq_lane_f64(__p0_408, __p1_408, __p2_408, __p3_408) __extension__ ({ \ + float64x2_t __ret_408; \ + float64x2_t __s0_408 = __p0_408; \ + float64x2_t __s1_408 = __p1_408; \ + float64x1_t __s2_408 = __p2_408; \ + __ret_408 = vfmaq_lane_f64(__s0_408, -__s1_408, __s2_408, __p3_408); \ + __ret_408; \ +}) +#else +#define vfmsq_lane_f64(__p0_409, __p1_409, __p2_409, __p3_409) __extension__ ({ \ + float64x2_t __ret_409; \ + float64x2_t __s0_409 = __p0_409; \ + float64x2_t __s1_409 = __p1_409; \ + float64x1_t __s2_409 = __p2_409; \ + float64x2_t __rev0_409; __rev0_409 = __builtin_shufflevector(__s0_409, __s0_409, 1, 0); \ + float64x2_t __rev1_409; __rev1_409 = __builtin_shufflevector(__s1_409, __s1_409, 1, 0); \ + __ret_409 = __noswap_vfmaq_lane_f64(__rev0_409, -__rev1_409, __s2_409, __p3_409); \ + __ret_409 = __builtin_shufflevector(__ret_409, __ret_409, 1, 0); \ + __ret_409; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmsq_lane_f32(__p0_410, __p1_410, __p2_410, __p3_410) __extension__ ({ \ + float32x4_t __ret_410; \ + float32x4_t __s0_410 = __p0_410; \ + float32x4_t __s1_410 = __p1_410; \ + float32x2_t __s2_410 = __p2_410; \ + __ret_410 = vfmaq_lane_f32(__s0_410, -__s1_410, __s2_410, __p3_410); \ + __ret_410; \ +}) +#else +#define vfmsq_lane_f32(__p0_411, __p1_411, __p2_411, __p3_411) __extension__ ({ \ + float32x4_t __ret_411; \ + float32x4_t __s0_411 = __p0_411; \ + float32x4_t __s1_411 = __p1_411; \ + float32x2_t __s2_411 = __p2_411; \ + float32x4_t __rev0_411; __rev0_411 = __builtin_shufflevector(__s0_411, __s0_411, 3, 2, 1, 0); \ + float32x4_t __rev1_411; __rev1_411 = __builtin_shufflevector(__s1_411, __s1_411, 3, 2, 1, 0); \ + float32x2_t __rev2_411; __rev2_411 = __builtin_shufflevector(__s2_411, __s2_411, 1, 0); \ + __ret_411 = __noswap_vfmaq_lane_f32(__rev0_411, -__rev1_411, __rev2_411, __p3_411); \ + __ret_411 = __builtin_shufflevector(__ret_411, __ret_411, 3, 2, 1, 0); \ + __ret_411; \ +}) +#endif + +#define vfms_lane_f64(__p0_412, __p1_412, __p2_412, __p3_412) __extension__ ({ \ + float64x1_t __ret_412; \ + float64x1_t __s0_412 = __p0_412; \ + float64x1_t __s1_412 = __p1_412; \ + float64x1_t __s2_412 = __p2_412; \ + __ret_412 = vfma_lane_f64(__s0_412, -__s1_412, __s2_412, __p3_412); \ + __ret_412; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vfms_lane_f32(__p0_413, __p1_413, __p2_413, __p3_413) __extension__ ({ \ + float32x2_t __ret_413; \ + float32x2_t __s0_413 = __p0_413; \ + float32x2_t __s1_413 = __p1_413; \ + float32x2_t __s2_413 = __p2_413; \ + __ret_413 = vfma_lane_f32(__s0_413, -__s1_413, __s2_413, __p3_413); \ + __ret_413; \ +}) +#else +#define vfms_lane_f32(__p0_414, __p1_414, __p2_414, __p3_414) __extension__ ({ \ + float32x2_t __ret_414; \ + float32x2_t __s0_414 = __p0_414; \ + float32x2_t __s1_414 = __p1_414; \ + float32x2_t __s2_414 = __p2_414; \ + float32x2_t __rev0_414; __rev0_414 = __builtin_shufflevector(__s0_414, __s0_414, 1, 0); \ + float32x2_t __rev1_414; __rev1_414 = __builtin_shufflevector(__s1_414, __s1_414, 1, 0); \ + float32x2_t __rev2_414; __rev2_414 = __builtin_shufflevector(__s2_414, __s2_414, 1, 0); \ + __ret_414 = __noswap_vfma_lane_f32(__rev0_414, -__rev1_414, __rev2_414, __p3_414); \ + __ret_414 = __builtin_shufflevector(__ret_414, __ret_414, 1, 0); \ + __ret_414; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmsd_laneq_f64(__p0_415, __p1_415, __p2_415, __p3_415) __extension__ ({ \ + float64_t __ret_415; \ + float64_t __s0_415 = __p0_415; \ + float64_t __s1_415 = __p1_415; \ + float64x2_t __s2_415 = __p2_415; \ + __ret_415 = vfmad_laneq_f64(__s0_415, -__s1_415, __s2_415, __p3_415); \ + __ret_415; \ +}) +#else +#define vfmsd_laneq_f64(__p0_416, __p1_416, __p2_416, __p3_416) __extension__ ({ \ + float64_t __ret_416; \ + float64_t __s0_416 = __p0_416; \ + float64_t __s1_416 = __p1_416; \ + float64x2_t __s2_416 = __p2_416; \ + float64x2_t __rev2_416; __rev2_416 = __builtin_shufflevector(__s2_416, __s2_416, 1, 0); \ + __ret_416 = __noswap_vfmad_laneq_f64(__s0_416, -__s1_416, __rev2_416, __p3_416); \ + __ret_416; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmss_laneq_f32(__p0_417, __p1_417, __p2_417, __p3_417) __extension__ ({ \ + float32_t __ret_417; \ + float32_t __s0_417 = __p0_417; \ + float32_t __s1_417 = __p1_417; \ + float32x4_t __s2_417 = __p2_417; \ + __ret_417 = vfmas_laneq_f32(__s0_417, -__s1_417, __s2_417, __p3_417); \ + __ret_417; \ +}) +#else +#define vfmss_laneq_f32(__p0_418, __p1_418, __p2_418, __p3_418) __extension__ ({ \ + float32_t __ret_418; \ + float32_t __s0_418 = __p0_418; \ + float32_t __s1_418 = __p1_418; \ + float32x4_t __s2_418 = __p2_418; \ + float32x4_t __rev2_418; __rev2_418 = __builtin_shufflevector(__s2_418, __s2_418, 3, 2, 1, 0); \ + __ret_418 = __noswap_vfmas_laneq_f32(__s0_418, -__s1_418, __rev2_418, __p3_418); \ + __ret_418; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmsq_laneq_f64(__p0_419, __p1_419, __p2_419, __p3_419) __extension__ ({ \ + float64x2_t __ret_419; \ + float64x2_t __s0_419 = __p0_419; \ + float64x2_t __s1_419 = __p1_419; \ + float64x2_t __s2_419 = __p2_419; \ + __ret_419 = vfmaq_laneq_f64(__s0_419, -__s1_419, __s2_419, __p3_419); \ + __ret_419; \ +}) +#else +#define vfmsq_laneq_f64(__p0_420, __p1_420, __p2_420, __p3_420) __extension__ ({ \ + float64x2_t __ret_420; \ + float64x2_t __s0_420 = __p0_420; \ + float64x2_t __s1_420 = __p1_420; \ + float64x2_t __s2_420 = __p2_420; \ + float64x2_t __rev0_420; __rev0_420 = __builtin_shufflevector(__s0_420, __s0_420, 1, 0); \ + float64x2_t __rev1_420; __rev1_420 = __builtin_shufflevector(__s1_420, __s1_420, 1, 0); \ + float64x2_t __rev2_420; __rev2_420 = __builtin_shufflevector(__s2_420, __s2_420, 1, 0); \ + __ret_420 = __noswap_vfmaq_laneq_f64(__rev0_420, -__rev1_420, __rev2_420, __p3_420); \ + __ret_420 = __builtin_shufflevector(__ret_420, __ret_420, 1, 0); \ + __ret_420; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmsq_laneq_f32(__p0_421, __p1_421, __p2_421, __p3_421) __extension__ ({ \ + float32x4_t __ret_421; \ + float32x4_t __s0_421 = __p0_421; \ + float32x4_t __s1_421 = __p1_421; \ + float32x4_t __s2_421 = __p2_421; \ + __ret_421 = vfmaq_laneq_f32(__s0_421, -__s1_421, __s2_421, __p3_421); \ + __ret_421; \ +}) +#else +#define vfmsq_laneq_f32(__p0_422, __p1_422, __p2_422, __p3_422) __extension__ ({ \ + float32x4_t __ret_422; \ + float32x4_t __s0_422 = __p0_422; \ + float32x4_t __s1_422 = __p1_422; \ + float32x4_t __s2_422 = __p2_422; \ + float32x4_t __rev0_422; __rev0_422 = __builtin_shufflevector(__s0_422, __s0_422, 3, 2, 1, 0); \ + float32x4_t __rev1_422; __rev1_422 = __builtin_shufflevector(__s1_422, __s1_422, 3, 2, 1, 0); \ + float32x4_t __rev2_422; __rev2_422 = __builtin_shufflevector(__s2_422, __s2_422, 3, 2, 1, 0); \ + __ret_422 = __noswap_vfmaq_laneq_f32(__rev0_422, -__rev1_422, __rev2_422, __p3_422); \ + __ret_422 = __builtin_shufflevector(__ret_422, __ret_422, 3, 2, 1, 0); \ + __ret_422; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfms_laneq_f64(__p0_423, __p1_423, __p2_423, __p3_423) __extension__ ({ \ + float64x1_t __ret_423; \ + float64x1_t __s0_423 = __p0_423; \ + float64x1_t __s1_423 = __p1_423; \ + float64x2_t __s2_423 = __p2_423; \ + __ret_423 = vfma_laneq_f64(__s0_423, -__s1_423, __s2_423, __p3_423); \ + __ret_423; \ +}) +#else +#define vfms_laneq_f64(__p0_424, __p1_424, __p2_424, __p3_424) __extension__ ({ \ + float64x1_t __ret_424; \ + float64x1_t __s0_424 = __p0_424; \ + float64x1_t __s1_424 = __p1_424; \ + float64x2_t __s2_424 = __p2_424; \ + float64x2_t __rev2_424; __rev2_424 = __builtin_shufflevector(__s2_424, __s2_424, 1, 0); \ + __ret_424 = __noswap_vfma_laneq_f64(__s0_424, -__s1_424, __rev2_424, __p3_424); \ + __ret_424; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfms_laneq_f32(__p0_425, __p1_425, __p2_425, __p3_425) __extension__ ({ \ + float32x2_t __ret_425; \ + float32x2_t __s0_425 = __p0_425; \ + float32x2_t __s1_425 = __p1_425; \ + float32x4_t __s2_425 = __p2_425; \ + __ret_425 = vfma_laneq_f32(__s0_425, -__s1_425, __s2_425, __p3_425); \ + __ret_425; \ +}) +#else +#define vfms_laneq_f32(__p0_426, __p1_426, __p2_426, __p3_426) __extension__ ({ \ + float32x2_t __ret_426; \ + float32x2_t __s0_426 = __p0_426; \ + float32x2_t __s1_426 = __p1_426; \ + float32x4_t __s2_426 = __p2_426; \ + float32x2_t __rev0_426; __rev0_426 = __builtin_shufflevector(__s0_426, __s0_426, 1, 0); \ + float32x2_t __rev1_426; __rev1_426 = __builtin_shufflevector(__s1_426, __s1_426, 1, 0); \ + float32x4_t __rev2_426; __rev2_426 = __builtin_shufflevector(__s2_426, __s2_426, 3, 2, 1, 0); \ + __ret_426 = __noswap_vfma_laneq_f32(__rev0_426, -__rev1_426, __rev2_426, __p3_426); \ + __ret_426 = __builtin_shufflevector(__ret_426, __ret_426, 1, 0); \ + __ret_426; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vfmsq_n_f64(float64x2_t __p0, float64x2_t __p1, float64_t __p2) { + float64x2_t __ret; + __ret = vfmaq_f64(__p0, -__p1, (float64x2_t) {__p2, __p2}); + return __ret; +} +#else +__ai float64x2_t vfmsq_n_f64(float64x2_t __p0, float64x2_t __p1, float64_t __p2) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vfmaq_f64(__rev0, -__rev1, (float64x2_t) {__p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vfmsq_n_f32(float32x4_t __p0, float32x4_t __p1, float32_t __p2) { + float32x4_t __ret; + __ret = vfmaq_f32(__p0, -__p1, (float32x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#else +__ai float32x4_t vfmsq_n_f32(float32x4_t __p0, float32x4_t __p1, float32_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vfmaq_f32(__rev0, -__rev1, (float32x4_t) {__p2, __p2, __p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vfms_n_f64(float64x1_t __p0, float64x1_t __p1, float64_t __p2) { + float64x1_t __ret; + __ret = vfma_f64(__p0, -__p1, (float64x1_t) {__p2}); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vfms_n_f32(float32x2_t __p0, float32x2_t __p1, float32_t __p2) { + float32x2_t __ret; + __ret = vfma_f32(__p0, -__p1, (float32x2_t) {__p2, __p2}); + return __ret; +} +#else +__ai float32x2_t vfms_n_f32(float32x2_t __p0, float32x2_t __p1, float32_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vfma_f32(__rev0, -__rev1, (float32x2_t) {__p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly64x1_t vget_high_p64(poly64x2_t __p0) { + poly64x1_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1); + return __ret; +} +#else +__ai poly64x1_t vget_high_p64(poly64x2_t __p0) { + poly64x1_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1); + return __ret; +} +__ai poly64x1_t __noswap_vget_high_p64(poly64x2_t __p0) { + poly64x1_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x1_t vget_high_f64(float64x2_t __p0) { + float64x1_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 1); + return __ret; +} +#else +__ai float64x1_t vget_high_f64(float64x2_t __p0) { + float64x1_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 1); + return __ret; +} +#endif + +#define vget_lane_p64(__p0, __p1) __extension__ ({ \ + poly64_t __ret; \ + poly64x1_t __s0 = __p0; \ + __ret = (poly64_t) __builtin_neon_vget_lane_i64((poly64x1_t)__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_p64(__p0, __p1) __extension__ ({ \ + poly64_t __ret; \ + poly64x2_t __s0 = __p0; \ + __ret = (poly64_t) __builtin_neon_vgetq_lane_i64((poly64x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_p64(__p0, __p1) __extension__ ({ \ + poly64_t __ret; \ + poly64x2_t __s0 = __p0; \ + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (poly64_t) __builtin_neon_vgetq_lane_i64((poly64x2_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_p64(__p0, __p1) __extension__ ({ \ + poly64_t __ret; \ + poly64x2_t __s0 = __p0; \ + __ret = (poly64_t) __builtin_neon_vgetq_lane_i64((poly64x2_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_f64(__p0, __p1) __extension__ ({ \ + float64_t __ret; \ + float64x2_t __s0 = __p0; \ + __ret = (float64_t) __builtin_neon_vgetq_lane_f64((float64x2_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vgetq_lane_f64(__p0, __p1) __extension__ ({ \ + float64_t __ret; \ + float64x2_t __s0 = __p0; \ + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + __ret = (float64_t) __builtin_neon_vgetq_lane_f64((float64x2_t)__rev0, __p1); \ + __ret; \ +}) +#define __noswap_vgetq_lane_f64(__p0, __p1) __extension__ ({ \ + float64_t __ret; \ + float64x2_t __s0 = __p0; \ + __ret = (float64_t) __builtin_neon_vgetq_lane_f64((float64x2_t)__s0, __p1); \ + __ret; \ +}) +#endif + +#define vget_lane_f64(__p0, __p1) __extension__ ({ \ + float64_t __ret; \ + float64x1_t __s0 = __p0; \ + __ret = (float64_t) __builtin_neon_vget_lane_f64((float64x1_t)__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +__ai poly64x1_t vget_low_p64(poly64x2_t __p0) { + poly64x1_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0); + return __ret; +} +#else +__ai poly64x1_t vget_low_p64(poly64x2_t __p0) { + poly64x1_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x1_t vget_low_f64(float64x2_t __p0) { + float64x1_t __ret; + __ret = __builtin_shufflevector(__p0, __p0, 0); + return __ret; +} +#else +__ai float64x1_t vget_low_f64(float64x2_t __p0) { + float64x1_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev0, 0); + return __ret; +} +#endif + +#define vld1_p64(__p0) __extension__ ({ \ + poly64x1_t __ret; \ + __ret = (poly64x1_t) __builtin_neon_vld1_v(__p0, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1q_p64(__p0) __extension__ ({ \ + poly64x2_t __ret; \ + __ret = (poly64x2_t) __builtin_neon_vld1q_v(__p0, 38); \ + __ret; \ +}) +#else +#define vld1q_p64(__p0) __extension__ ({ \ + poly64x2_t __ret; \ + __ret = (poly64x2_t) __builtin_neon_vld1q_v(__p0, 38); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_f64(__p0) __extension__ ({ \ + float64x2_t __ret; \ + __ret = (float64x2_t) __builtin_neon_vld1q_v(__p0, 42); \ + __ret; \ +}) +#else +#define vld1q_f64(__p0) __extension__ ({ \ + float64x2_t __ret; \ + __ret = (float64x2_t) __builtin_neon_vld1q_v(__p0, 42); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_f64(__p0) __extension__ ({ \ + float64x1_t __ret; \ + __ret = (float64x1_t) __builtin_neon_vld1_v(__p0, 10); \ + __ret; \ +}) +#define vld1_dup_p64(__p0) __extension__ ({ \ + poly64x1_t __ret; \ + __ret = (poly64x1_t) __builtin_neon_vld1_dup_v(__p0, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_p64(__p0) __extension__ ({ \ + poly64x2_t __ret; \ + __ret = (poly64x2_t) __builtin_neon_vld1q_dup_v(__p0, 38); \ + __ret; \ +}) +#else +#define vld1q_dup_p64(__p0) __extension__ ({ \ + poly64x2_t __ret; \ + __ret = (poly64x2_t) __builtin_neon_vld1q_dup_v(__p0, 38); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_dup_f64(__p0) __extension__ ({ \ + float64x2_t __ret; \ + __ret = (float64x2_t) __builtin_neon_vld1q_dup_v(__p0, 42); \ + __ret; \ +}) +#else +#define vld1q_dup_f64(__p0) __extension__ ({ \ + float64x2_t __ret; \ + __ret = (float64x2_t) __builtin_neon_vld1q_dup_v(__p0, 42); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_dup_f64(__p0) __extension__ ({ \ + float64x1_t __ret; \ + __ret = (float64x1_t) __builtin_neon_vld1_dup_v(__p0, 10); \ + __ret; \ +}) +#define vld1_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1_t __ret; \ + poly64x1_t __s1 = __p1; \ + __ret = (poly64x1_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s1 = __p1; \ + __ret = (poly64x2_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 38); \ + __ret; \ +}) +#else +#define vld1q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s1 = __p1; \ + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (poly64x2_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 38); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s1 = __p1; \ + __ret = (float64x2_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__s1, __p2, 42); \ + __ret; \ +}) +#else +#define vld1q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s1 = __p1; \ + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (float64x2_t) __builtin_neon_vld1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 42); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1_t __ret; \ + float64x1_t __s1 = __p1; \ + __ret = (float64x1_t) __builtin_neon_vld1_lane_v(__p0, (int8x8_t)__s1, __p2, 10); \ + __ret; \ +}) +#define vld1_p64_x2(__p0) __extension__ ({ \ + poly64x1x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1q_p64_x2(__p0) __extension__ ({ \ + poly64x2x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 38); \ + __ret; \ +}) +#else +#define vld1q_p64_x2(__p0) __extension__ ({ \ + poly64x2x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 38); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_f64_x2(__p0) __extension__ ({ \ + float64x2x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 42); \ + __ret; \ +}) +#else +#define vld1q_f64_x2(__p0) __extension__ ({ \ + float64x2x2_t __ret; \ + __builtin_neon_vld1q_x2_v(&__ret, __p0, 42); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_f64_x2(__p0) __extension__ ({ \ + float64x1x2_t __ret; \ + __builtin_neon_vld1_x2_v(&__ret, __p0, 10); \ + __ret; \ +}) +#define vld1_p64_x3(__p0) __extension__ ({ \ + poly64x1x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1q_p64_x3(__p0) __extension__ ({ \ + poly64x2x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 38); \ + __ret; \ +}) +#else +#define vld1q_p64_x3(__p0) __extension__ ({ \ + poly64x2x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 38); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_f64_x3(__p0) __extension__ ({ \ + float64x2x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 42); \ + __ret; \ +}) +#else +#define vld1q_f64_x3(__p0) __extension__ ({ \ + float64x2x3_t __ret; \ + __builtin_neon_vld1q_x3_v(&__ret, __p0, 42); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_f64_x3(__p0) __extension__ ({ \ + float64x1x3_t __ret; \ + __builtin_neon_vld1_x3_v(&__ret, __p0, 10); \ + __ret; \ +}) +#define vld1_p64_x4(__p0) __extension__ ({ \ + poly64x1x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld1q_p64_x4(__p0) __extension__ ({ \ + poly64x2x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 38); \ + __ret; \ +}) +#else +#define vld1q_p64_x4(__p0) __extension__ ({ \ + poly64x2x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 38); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld1q_f64_x4(__p0) __extension__ ({ \ + float64x2x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 42); \ + __ret; \ +}) +#else +#define vld1q_f64_x4(__p0) __extension__ ({ \ + float64x2x4_t __ret; \ + __builtin_neon_vld1q_x4_v(&__ret, __p0, 42); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#define vld1_f64_x4(__p0) __extension__ ({ \ + float64x1x4_t __ret; \ + __builtin_neon_vld1_x4_v(&__ret, __p0, 10); \ + __ret; \ +}) +#define vld2_p64(__p0) __extension__ ({ \ + poly64x1x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld2q_p64(__p0) __extension__ ({ \ + poly64x2x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 38); \ + __ret; \ +}) +#else +#define vld2q_p64(__p0) __extension__ ({ \ + poly64x2x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 38); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_u64(__p0) __extension__ ({ \ + uint64x2x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 51); \ + __ret; \ +}) +#else +#define vld2q_u64(__p0) __extension__ ({ \ + uint64x2x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 51); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_f64(__p0) __extension__ ({ \ + float64x2x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 42); \ + __ret; \ +}) +#else +#define vld2q_f64(__p0) __extension__ ({ \ + float64x2x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 42); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_s64(__p0) __extension__ ({ \ + int64x2x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 35); \ + __ret; \ +}) +#else +#define vld2q_s64(__p0) __extension__ ({ \ + int64x2x2_t __ret; \ + __builtin_neon_vld2q_v(&__ret, __p0, 35); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#define vld2_f64(__p0) __extension__ ({ \ + float64x1x2_t __ret; \ + __builtin_neon_vld2_v(&__ret, __p0, 10); \ + __ret; \ +}) +#define vld2_dup_p64(__p0) __extension__ ({ \ + poly64x1x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_p64(__p0) __extension__ ({ \ + poly64x2x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 38); \ + __ret; \ +}) +#else +#define vld2q_dup_p64(__p0) __extension__ ({ \ + poly64x2x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 38); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_dup_f64(__p0) __extension__ ({ \ + float64x2x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 42); \ + __ret; \ +}) +#else +#define vld2q_dup_f64(__p0) __extension__ ({ \ + float64x2x2_t __ret; \ + __builtin_neon_vld2q_dup_v(&__ret, __p0, 42); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#define vld2_dup_f64(__p0) __extension__ ({ \ + float64x1x2_t __ret; \ + __builtin_neon_vld2_dup_v(&__ret, __p0, 10); \ + __ret; \ +}) +#define vld2_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1x2_t __ret; \ + poly64x1x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16x2_t __ret; \ + poly8x16x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 36); \ + __ret; \ +}) +#else +#define vld2q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16x2_t __ret; \ + poly8x16x2_t __s1 = __p1; \ + poly8x16x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 36); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2x2_t __ret; \ + poly64x2x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 38); \ + __ret; \ +}) +#else +#define vld2q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2x2_t __ret; \ + poly64x2x2_t __s1 = __p1; \ + poly64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 38); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16x2_t __ret; \ + uint8x16x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 48); \ + __ret; \ +}) +#else +#define vld2q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16x2_t __ret; \ + uint8x16x2_t __s1 = __p1; \ + uint8x16x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 48); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2x2_t __ret; \ + uint64x2x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 51); \ + __ret; \ +}) +#else +#define vld2q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2x2_t __ret; \ + uint64x2x2_t __s1 = __p1; \ + uint64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 51); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16x2_t __ret; \ + int8x16x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 32); \ + __ret; \ +}) +#else +#define vld2q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16x2_t __ret; \ + int8x16x2_t __s1 = __p1; \ + int8x16x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 32); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2x2_t __ret; \ + float64x2x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 42); \ + __ret; \ +}) +#else +#define vld2q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2x2_t __ret; \ + float64x2x2_t __s1 = __p1; \ + float64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 42); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld2q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2x2_t __ret; \ + int64x2x2_t __s1 = __p1; \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 35); \ + __ret; \ +}) +#else +#define vld2q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2x2_t __ret; \ + int64x2x2_t __s1 = __p1; \ + int64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vld2q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 35); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret; \ +}) +#endif + +#define vld2_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1x2_t __ret; \ + uint64x1x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 19); \ + __ret; \ +}) +#define vld2_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1x2_t __ret; \ + float64x1x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 10); \ + __ret; \ +}) +#define vld2_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1x2_t __ret; \ + int64x1x2_t __s1 = __p1; \ + __builtin_neon_vld2_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 3); \ + __ret; \ +}) +#define vld3_p64(__p0) __extension__ ({ \ + poly64x1x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld3q_p64(__p0) __extension__ ({ \ + poly64x2x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 38); \ + __ret; \ +}) +#else +#define vld3q_p64(__p0) __extension__ ({ \ + poly64x2x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 38); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_u64(__p0) __extension__ ({ \ + uint64x2x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 51); \ + __ret; \ +}) +#else +#define vld3q_u64(__p0) __extension__ ({ \ + uint64x2x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 51); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_f64(__p0) __extension__ ({ \ + float64x2x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 42); \ + __ret; \ +}) +#else +#define vld3q_f64(__p0) __extension__ ({ \ + float64x2x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 42); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_s64(__p0) __extension__ ({ \ + int64x2x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 35); \ + __ret; \ +}) +#else +#define vld3q_s64(__p0) __extension__ ({ \ + int64x2x3_t __ret; \ + __builtin_neon_vld3q_v(&__ret, __p0, 35); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#define vld3_f64(__p0) __extension__ ({ \ + float64x1x3_t __ret; \ + __builtin_neon_vld3_v(&__ret, __p0, 10); \ + __ret; \ +}) +#define vld3_dup_p64(__p0) __extension__ ({ \ + poly64x1x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_p64(__p0) __extension__ ({ \ + poly64x2x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 38); \ + __ret; \ +}) +#else +#define vld3q_dup_p64(__p0) __extension__ ({ \ + poly64x2x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 38); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_dup_f64(__p0) __extension__ ({ \ + float64x2x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 42); \ + __ret; \ +}) +#else +#define vld3q_dup_f64(__p0) __extension__ ({ \ + float64x2x3_t __ret; \ + __builtin_neon_vld3q_dup_v(&__ret, __p0, 42); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#define vld3_dup_f64(__p0) __extension__ ({ \ + float64x1x3_t __ret; \ + __builtin_neon_vld3_dup_v(&__ret, __p0, 10); \ + __ret; \ +}) +#define vld3_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1x3_t __ret; \ + poly64x1x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16x3_t __ret; \ + poly8x16x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 36); \ + __ret; \ +}) +#else +#define vld3q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16x3_t __ret; \ + poly8x16x3_t __s1 = __p1; \ + poly8x16x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 36); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2x3_t __ret; \ + poly64x2x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 38); \ + __ret; \ +}) +#else +#define vld3q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2x3_t __ret; \ + poly64x2x3_t __s1 = __p1; \ + poly64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 38); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16x3_t __ret; \ + uint8x16x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 48); \ + __ret; \ +}) +#else +#define vld3q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16x3_t __ret; \ + uint8x16x3_t __s1 = __p1; \ + uint8x16x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 48); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2x3_t __ret; \ + uint64x2x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 51); \ + __ret; \ +}) +#else +#define vld3q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2x3_t __ret; \ + uint64x2x3_t __s1 = __p1; \ + uint64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 51); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16x3_t __ret; \ + int8x16x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 32); \ + __ret; \ +}) +#else +#define vld3q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16x3_t __ret; \ + int8x16x3_t __s1 = __p1; \ + int8x16x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 32); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2x3_t __ret; \ + float64x2x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 42); \ + __ret; \ +}) +#else +#define vld3q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2x3_t __ret; \ + float64x2x3_t __s1 = __p1; \ + float64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 42); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld3q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2x3_t __ret; \ + int64x2x3_t __s1 = __p1; \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 35); \ + __ret; \ +}) +#else +#define vld3q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2x3_t __ret; \ + int64x2x3_t __s1 = __p1; \ + int64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vld3q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 35); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret; \ +}) +#endif + +#define vld3_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1x3_t __ret; \ + uint64x1x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 19); \ + __ret; \ +}) +#define vld3_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1x3_t __ret; \ + float64x1x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 10); \ + __ret; \ +}) +#define vld3_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1x3_t __ret; \ + int64x1x3_t __s1 = __p1; \ + __builtin_neon_vld3_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 3); \ + __ret; \ +}) +#define vld4_p64(__p0) __extension__ ({ \ + poly64x1x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld4q_p64(__p0) __extension__ ({ \ + poly64x2x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 38); \ + __ret; \ +}) +#else +#define vld4q_p64(__p0) __extension__ ({ \ + poly64x2x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 38); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_u64(__p0) __extension__ ({ \ + uint64x2x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 51); \ + __ret; \ +}) +#else +#define vld4q_u64(__p0) __extension__ ({ \ + uint64x2x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 51); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_f64(__p0) __extension__ ({ \ + float64x2x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 42); \ + __ret; \ +}) +#else +#define vld4q_f64(__p0) __extension__ ({ \ + float64x2x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 42); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_s64(__p0) __extension__ ({ \ + int64x2x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 35); \ + __ret; \ +}) +#else +#define vld4q_s64(__p0) __extension__ ({ \ + int64x2x4_t __ret; \ + __builtin_neon_vld4q_v(&__ret, __p0, 35); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#define vld4_f64(__p0) __extension__ ({ \ + float64x1x4_t __ret; \ + __builtin_neon_vld4_v(&__ret, __p0, 10); \ + __ret; \ +}) +#define vld4_dup_p64(__p0) __extension__ ({ \ + poly64x1x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_p64(__p0) __extension__ ({ \ + poly64x2x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 38); \ + __ret; \ +}) +#else +#define vld4q_dup_p64(__p0) __extension__ ({ \ + poly64x2x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 38); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_dup_f64(__p0) __extension__ ({ \ + float64x2x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 42); \ + __ret; \ +}) +#else +#define vld4q_dup_f64(__p0) __extension__ ({ \ + float64x2x4_t __ret; \ + __builtin_neon_vld4q_dup_v(&__ret, __p0, 42); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#define vld4_dup_f64(__p0) __extension__ ({ \ + float64x1x4_t __ret; \ + __builtin_neon_vld4_dup_v(&__ret, __p0, 10); \ + __ret; \ +}) +#define vld4_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1x4_t __ret; \ + poly64x1x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16x4_t __ret; \ + poly8x16x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 36); \ + __ret; \ +}) +#else +#define vld4q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16x4_t __ret; \ + poly8x16x4_t __s1 = __p1; \ + poly8x16x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 36); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2x4_t __ret; \ + poly64x2x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 38); \ + __ret; \ +}) +#else +#define vld4q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2x4_t __ret; \ + poly64x2x4_t __s1 = __p1; \ + poly64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 38); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16x4_t __ret; \ + uint8x16x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 48); \ + __ret; \ +}) +#else +#define vld4q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16x4_t __ret; \ + uint8x16x4_t __s1 = __p1; \ + uint8x16x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 48); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2x4_t __ret; \ + uint64x2x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 51); \ + __ret; \ +}) +#else +#define vld4q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2x4_t __ret; \ + uint64x2x4_t __s1 = __p1; \ + uint64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 51); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16x4_t __ret; \ + int8x16x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 32); \ + __ret; \ +}) +#else +#define vld4q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16x4_t __ret; \ + int8x16x4_t __s1 = __p1; \ + int8x16x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 32); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2x4_t __ret; \ + float64x2x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 42); \ + __ret; \ +}) +#else +#define vld4q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2x4_t __ret; \ + float64x2x4_t __s1 = __p1; \ + float64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 42); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vld4q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2x4_t __ret; \ + int64x2x4_t __s1 = __p1; \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 35); \ + __ret; \ +}) +#else +#define vld4q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2x4_t __ret; \ + int64x2x4_t __s1 = __p1; \ + int64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vld4q_lane_v(&__ret, __p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 35); \ + \ + __ret.val[0] = __builtin_shufflevector(__ret.val[0], __ret.val[0], 1, 0); \ + __ret.val[1] = __builtin_shufflevector(__ret.val[1], __ret.val[1], 1, 0); \ + __ret.val[2] = __builtin_shufflevector(__ret.val[2], __ret.val[2], 1, 0); \ + __ret.val[3] = __builtin_shufflevector(__ret.val[3], __ret.val[3], 1, 0); \ + __ret; \ +}) +#endif + +#define vld4_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1x4_t __ret; \ + uint64x1x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 19); \ + __ret; \ +}) +#define vld4_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1x4_t __ret; \ + float64x1x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 10); \ + __ret; \ +}) +#define vld4_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1x4_t __ret; \ + int64x1x4_t __s1 = __p1; \ + __builtin_neon_vld4_lane_v(&__ret, __p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 3); \ + __ret; \ +}) +#define vldrq_p128(__p0) __extension__ ({ \ + poly128_t __ret; \ + __ret = (poly128_t) __builtin_neon_vldrq_p128(__p0); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vmaxq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vmaxq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vmax_f64(float64x1_t __p0, float64x1_t __p1) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vmax_v((int8x8_t)__p0, (int8x8_t)__p1, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64_t vmaxnmvq_f64(float64x2_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vmaxnmvq_f64(__p0); + return __ret; +} +#else +__ai float64_t vmaxnmvq_f64(float64x2_t __p0) { + float64_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64_t) __builtin_neon_vmaxnmvq_f64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vmaxnmvq_f32(float32x4_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vmaxnmvq_f32(__p0); + return __ret; +} +#else +__ai float32_t vmaxnmvq_f32(float32x4_t __p0) { + float32_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32_t) __builtin_neon_vmaxnmvq_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vmaxnmv_f32(float32x2_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vmaxnmv_f32(__p0); + return __ret; +} +#else +__ai float32_t vmaxnmv_f32(float32x2_t __p0) { + float32_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32_t) __builtin_neon_vmaxnmv_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8_t vmaxvq_u8(uint8x16_t __p0) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vmaxvq_u8(__p0); + return __ret; +} +#else +__ai uint8_t vmaxvq_u8(uint8x16_t __p0) { + uint8_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8_t) __builtin_neon_vmaxvq_u8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32_t vmaxvq_u32(uint32x4_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vmaxvq_u32(__p0); + return __ret; +} +#else +__ai uint32_t vmaxvq_u32(uint32x4_t __p0) { + uint32_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32_t) __builtin_neon_vmaxvq_u32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16_t vmaxvq_u16(uint16x8_t __p0) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vmaxvq_u16(__p0); + return __ret; +} +#else +__ai uint16_t vmaxvq_u16(uint16x8_t __p0) { + uint16_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16_t) __builtin_neon_vmaxvq_u16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8_t vmaxvq_s8(int8x16_t __p0) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vmaxvq_s8(__p0); + return __ret; +} +#else +__ai int8_t vmaxvq_s8(int8x16_t __p0) { + int8_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8_t) __builtin_neon_vmaxvq_s8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64_t vmaxvq_f64(float64x2_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vmaxvq_f64(__p0); + return __ret; +} +#else +__ai float64_t vmaxvq_f64(float64x2_t __p0) { + float64_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64_t) __builtin_neon_vmaxvq_f64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vmaxvq_f32(float32x4_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vmaxvq_f32(__p0); + return __ret; +} +#else +__ai float32_t vmaxvq_f32(float32x4_t __p0) { + float32_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32_t) __builtin_neon_vmaxvq_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32_t vmaxvq_s32(int32x4_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vmaxvq_s32(__p0); + return __ret; +} +#else +__ai int32_t vmaxvq_s32(int32x4_t __p0) { + int32_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32_t) __builtin_neon_vmaxvq_s32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16_t vmaxvq_s16(int16x8_t __p0) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vmaxvq_s16(__p0); + return __ret; +} +#else +__ai int16_t vmaxvq_s16(int16x8_t __p0) { + int16_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16_t) __builtin_neon_vmaxvq_s16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8_t vmaxv_u8(uint8x8_t __p0) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vmaxv_u8(__p0); + return __ret; +} +#else +__ai uint8_t vmaxv_u8(uint8x8_t __p0) { + uint8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8_t) __builtin_neon_vmaxv_u8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32_t vmaxv_u32(uint32x2_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vmaxv_u32(__p0); + return __ret; +} +#else +__ai uint32_t vmaxv_u32(uint32x2_t __p0) { + uint32_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32_t) __builtin_neon_vmaxv_u32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16_t vmaxv_u16(uint16x4_t __p0) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vmaxv_u16(__p0); + return __ret; +} +#else +__ai uint16_t vmaxv_u16(uint16x4_t __p0) { + uint16_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16_t) __builtin_neon_vmaxv_u16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8_t vmaxv_s8(int8x8_t __p0) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vmaxv_s8(__p0); + return __ret; +} +#else +__ai int8_t vmaxv_s8(int8x8_t __p0) { + int8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8_t) __builtin_neon_vmaxv_s8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vmaxv_f32(float32x2_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vmaxv_f32(__p0); + return __ret; +} +#else +__ai float32_t vmaxv_f32(float32x2_t __p0) { + float32_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32_t) __builtin_neon_vmaxv_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32_t vmaxv_s32(int32x2_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vmaxv_s32(__p0); + return __ret; +} +#else +__ai int32_t vmaxv_s32(int32x2_t __p0) { + int32_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32_t) __builtin_neon_vmaxv_s32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16_t vmaxv_s16(int16x4_t __p0) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vmaxv_s16(__p0); + return __ret; +} +#else +__ai int16_t vmaxv_s16(int16x4_t __p0) { + int16_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16_t) __builtin_neon_vmaxv_s16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vminq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vminq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vminq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vmin_f64(float64x1_t __p0, float64x1_t __p1) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vmin_v((int8x8_t)__p0, (int8x8_t)__p1, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64_t vminnmvq_f64(float64x2_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vminnmvq_f64(__p0); + return __ret; +} +#else +__ai float64_t vminnmvq_f64(float64x2_t __p0) { + float64_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64_t) __builtin_neon_vminnmvq_f64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vminnmvq_f32(float32x4_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vminnmvq_f32(__p0); + return __ret; +} +#else +__ai float32_t vminnmvq_f32(float32x4_t __p0) { + float32_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32_t) __builtin_neon_vminnmvq_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vminnmv_f32(float32x2_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vminnmv_f32(__p0); + return __ret; +} +#else +__ai float32_t vminnmv_f32(float32x2_t __p0) { + float32_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32_t) __builtin_neon_vminnmv_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8_t vminvq_u8(uint8x16_t __p0) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vminvq_u8(__p0); + return __ret; +} +#else +__ai uint8_t vminvq_u8(uint8x16_t __p0) { + uint8_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8_t) __builtin_neon_vminvq_u8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32_t vminvq_u32(uint32x4_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vminvq_u32(__p0); + return __ret; +} +#else +__ai uint32_t vminvq_u32(uint32x4_t __p0) { + uint32_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint32_t) __builtin_neon_vminvq_u32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16_t vminvq_u16(uint16x8_t __p0) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vminvq_u16(__p0); + return __ret; +} +#else +__ai uint16_t vminvq_u16(uint16x8_t __p0) { + uint16_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16_t) __builtin_neon_vminvq_u16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8_t vminvq_s8(int8x16_t __p0) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vminvq_s8(__p0); + return __ret; +} +#else +__ai int8_t vminvq_s8(int8x16_t __p0) { + int8_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8_t) __builtin_neon_vminvq_s8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64_t vminvq_f64(float64x2_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vminvq_f64(__p0); + return __ret; +} +#else +__ai float64_t vminvq_f64(float64x2_t __p0) { + float64_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64_t) __builtin_neon_vminvq_f64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vminvq_f32(float32x4_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vminvq_f32(__p0); + return __ret; +} +#else +__ai float32_t vminvq_f32(float32x4_t __p0) { + float32_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32_t) __builtin_neon_vminvq_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32_t vminvq_s32(int32x4_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vminvq_s32(__p0); + return __ret; +} +#else +__ai int32_t vminvq_s32(int32x4_t __p0) { + int32_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int32_t) __builtin_neon_vminvq_s32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16_t vminvq_s16(int16x8_t __p0) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vminvq_s16(__p0); + return __ret; +} +#else +__ai int16_t vminvq_s16(int16x8_t __p0) { + int16_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16_t) __builtin_neon_vminvq_s16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8_t vminv_u8(uint8x8_t __p0) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vminv_u8(__p0); + return __ret; +} +#else +__ai uint8_t vminv_u8(uint8x8_t __p0) { + uint8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8_t) __builtin_neon_vminv_u8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32_t vminv_u32(uint32x2_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vminv_u32(__p0); + return __ret; +} +#else +__ai uint32_t vminv_u32(uint32x2_t __p0) { + uint32_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint32_t) __builtin_neon_vminv_u32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16_t vminv_u16(uint16x4_t __p0) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vminv_u16(__p0); + return __ret; +} +#else +__ai uint16_t vminv_u16(uint16x4_t __p0) { + uint16_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (uint16_t) __builtin_neon_vminv_u16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8_t vminv_s8(int8x8_t __p0) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vminv_s8(__p0); + return __ret; +} +#else +__ai int8_t vminv_s8(int8x8_t __p0) { + int8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8_t) __builtin_neon_vminv_s8(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vminv_f32(float32x2_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vminv_f32(__p0); + return __ret; +} +#else +__ai float32_t vminv_f32(float32x2_t __p0) { + float32_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32_t) __builtin_neon_vminv_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32_t vminv_s32(int32x2_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vminv_s32(__p0); + return __ret; +} +#else +__ai int32_t vminv_s32(int32x2_t __p0) { + int32_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int32_t) __builtin_neon_vminv_s32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16_t vminv_s16(int16x4_t __p0) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vminv_s16(__p0); + return __ret; +} +#else +__ai int16_t vminv_s16(int16x4_t __p0) { + int16_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (int16_t) __builtin_neon_vminv_s16(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vmlaq_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#else +__ai float64x2_t vmlaq_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 + __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vmla_f64(float64x1_t __p0, float64x1_t __p1, float64x1_t __p2) { + float64x1_t __ret; + __ret = __p0 + __p1 * __p2; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +#define vmlaq_laneq_u32(__p0_427, __p1_427, __p2_427, __p3_427) __extension__ ({ \ + uint32x4_t __ret_427; \ + uint32x4_t __s0_427 = __p0_427; \ + uint32x4_t __s1_427 = __p1_427; \ + uint32x4_t __s2_427 = __p2_427; \ + __ret_427 = __s0_427 + __s1_427 * splatq_laneq_u32(__s2_427, __p3_427); \ + __ret_427; \ +}) +#else +#define vmlaq_laneq_u32(__p0_428, __p1_428, __p2_428, __p3_428) __extension__ ({ \ + uint32x4_t __ret_428; \ + uint32x4_t __s0_428 = __p0_428; \ + uint32x4_t __s1_428 = __p1_428; \ + uint32x4_t __s2_428 = __p2_428; \ + uint32x4_t __rev0_428; __rev0_428 = __builtin_shufflevector(__s0_428, __s0_428, 3, 2, 1, 0); \ + uint32x4_t __rev1_428; __rev1_428 = __builtin_shufflevector(__s1_428, __s1_428, 3, 2, 1, 0); \ + uint32x4_t __rev2_428; __rev2_428 = __builtin_shufflevector(__s2_428, __s2_428, 3, 2, 1, 0); \ + __ret_428 = __rev0_428 + __rev1_428 * __noswap_splatq_laneq_u32(__rev2_428, __p3_428); \ + __ret_428 = __builtin_shufflevector(__ret_428, __ret_428, 3, 2, 1, 0); \ + __ret_428; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlaq_laneq_u16(__p0_429, __p1_429, __p2_429, __p3_429) __extension__ ({ \ + uint16x8_t __ret_429; \ + uint16x8_t __s0_429 = __p0_429; \ + uint16x8_t __s1_429 = __p1_429; \ + uint16x8_t __s2_429 = __p2_429; \ + __ret_429 = __s0_429 + __s1_429 * splatq_laneq_u16(__s2_429, __p3_429); \ + __ret_429; \ +}) +#else +#define vmlaq_laneq_u16(__p0_430, __p1_430, __p2_430, __p3_430) __extension__ ({ \ + uint16x8_t __ret_430; \ + uint16x8_t __s0_430 = __p0_430; \ + uint16x8_t __s1_430 = __p1_430; \ + uint16x8_t __s2_430 = __p2_430; \ + uint16x8_t __rev0_430; __rev0_430 = __builtin_shufflevector(__s0_430, __s0_430, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1_430; __rev1_430 = __builtin_shufflevector(__s1_430, __s1_430, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev2_430; __rev2_430 = __builtin_shufflevector(__s2_430, __s2_430, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_430 = __rev0_430 + __rev1_430 * __noswap_splatq_laneq_u16(__rev2_430, __p3_430); \ + __ret_430 = __builtin_shufflevector(__ret_430, __ret_430, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_430; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlaq_laneq_f32(__p0_431, __p1_431, __p2_431, __p3_431) __extension__ ({ \ + float32x4_t __ret_431; \ + float32x4_t __s0_431 = __p0_431; \ + float32x4_t __s1_431 = __p1_431; \ + float32x4_t __s2_431 = __p2_431; \ + __ret_431 = __s0_431 + __s1_431 * splatq_laneq_f32(__s2_431, __p3_431); \ + __ret_431; \ +}) +#else +#define vmlaq_laneq_f32(__p0_432, __p1_432, __p2_432, __p3_432) __extension__ ({ \ + float32x4_t __ret_432; \ + float32x4_t __s0_432 = __p0_432; \ + float32x4_t __s1_432 = __p1_432; \ + float32x4_t __s2_432 = __p2_432; \ + float32x4_t __rev0_432; __rev0_432 = __builtin_shufflevector(__s0_432, __s0_432, 3, 2, 1, 0); \ + float32x4_t __rev1_432; __rev1_432 = __builtin_shufflevector(__s1_432, __s1_432, 3, 2, 1, 0); \ + float32x4_t __rev2_432; __rev2_432 = __builtin_shufflevector(__s2_432, __s2_432, 3, 2, 1, 0); \ + __ret_432 = __rev0_432 + __rev1_432 * __noswap_splatq_laneq_f32(__rev2_432, __p3_432); \ + __ret_432 = __builtin_shufflevector(__ret_432, __ret_432, 3, 2, 1, 0); \ + __ret_432; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlaq_laneq_s32(__p0_433, __p1_433, __p2_433, __p3_433) __extension__ ({ \ + int32x4_t __ret_433; \ + int32x4_t __s0_433 = __p0_433; \ + int32x4_t __s1_433 = __p1_433; \ + int32x4_t __s2_433 = __p2_433; \ + __ret_433 = __s0_433 + __s1_433 * splatq_laneq_s32(__s2_433, __p3_433); \ + __ret_433; \ +}) +#else +#define vmlaq_laneq_s32(__p0_434, __p1_434, __p2_434, __p3_434) __extension__ ({ \ + int32x4_t __ret_434; \ + int32x4_t __s0_434 = __p0_434; \ + int32x4_t __s1_434 = __p1_434; \ + int32x4_t __s2_434 = __p2_434; \ + int32x4_t __rev0_434; __rev0_434 = __builtin_shufflevector(__s0_434, __s0_434, 3, 2, 1, 0); \ + int32x4_t __rev1_434; __rev1_434 = __builtin_shufflevector(__s1_434, __s1_434, 3, 2, 1, 0); \ + int32x4_t __rev2_434; __rev2_434 = __builtin_shufflevector(__s2_434, __s2_434, 3, 2, 1, 0); \ + __ret_434 = __rev0_434 + __rev1_434 * __noswap_splatq_laneq_s32(__rev2_434, __p3_434); \ + __ret_434 = __builtin_shufflevector(__ret_434, __ret_434, 3, 2, 1, 0); \ + __ret_434; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlaq_laneq_s16(__p0_435, __p1_435, __p2_435, __p3_435) __extension__ ({ \ + int16x8_t __ret_435; \ + int16x8_t __s0_435 = __p0_435; \ + int16x8_t __s1_435 = __p1_435; \ + int16x8_t __s2_435 = __p2_435; \ + __ret_435 = __s0_435 + __s1_435 * splatq_laneq_s16(__s2_435, __p3_435); \ + __ret_435; \ +}) +#else +#define vmlaq_laneq_s16(__p0_436, __p1_436, __p2_436, __p3_436) __extension__ ({ \ + int16x8_t __ret_436; \ + int16x8_t __s0_436 = __p0_436; \ + int16x8_t __s1_436 = __p1_436; \ + int16x8_t __s2_436 = __p2_436; \ + int16x8_t __rev0_436; __rev0_436 = __builtin_shufflevector(__s0_436, __s0_436, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_436; __rev1_436 = __builtin_shufflevector(__s1_436, __s1_436, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev2_436; __rev2_436 = __builtin_shufflevector(__s2_436, __s2_436, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_436 = __rev0_436 + __rev1_436 * __noswap_splatq_laneq_s16(__rev2_436, __p3_436); \ + __ret_436 = __builtin_shufflevector(__ret_436, __ret_436, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_436; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmla_laneq_u32(__p0_437, __p1_437, __p2_437, __p3_437) __extension__ ({ \ + uint32x2_t __ret_437; \ + uint32x2_t __s0_437 = __p0_437; \ + uint32x2_t __s1_437 = __p1_437; \ + uint32x4_t __s2_437 = __p2_437; \ + __ret_437 = __s0_437 + __s1_437 * splat_laneq_u32(__s2_437, __p3_437); \ + __ret_437; \ +}) +#else +#define vmla_laneq_u32(__p0_438, __p1_438, __p2_438, __p3_438) __extension__ ({ \ + uint32x2_t __ret_438; \ + uint32x2_t __s0_438 = __p0_438; \ + uint32x2_t __s1_438 = __p1_438; \ + uint32x4_t __s2_438 = __p2_438; \ + uint32x2_t __rev0_438; __rev0_438 = __builtin_shufflevector(__s0_438, __s0_438, 1, 0); \ + uint32x2_t __rev1_438; __rev1_438 = __builtin_shufflevector(__s1_438, __s1_438, 1, 0); \ + uint32x4_t __rev2_438; __rev2_438 = __builtin_shufflevector(__s2_438, __s2_438, 3, 2, 1, 0); \ + __ret_438 = __rev0_438 + __rev1_438 * __noswap_splat_laneq_u32(__rev2_438, __p3_438); \ + __ret_438 = __builtin_shufflevector(__ret_438, __ret_438, 1, 0); \ + __ret_438; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmla_laneq_u16(__p0_439, __p1_439, __p2_439, __p3_439) __extension__ ({ \ + uint16x4_t __ret_439; \ + uint16x4_t __s0_439 = __p0_439; \ + uint16x4_t __s1_439 = __p1_439; \ + uint16x8_t __s2_439 = __p2_439; \ + __ret_439 = __s0_439 + __s1_439 * splat_laneq_u16(__s2_439, __p3_439); \ + __ret_439; \ +}) +#else +#define vmla_laneq_u16(__p0_440, __p1_440, __p2_440, __p3_440) __extension__ ({ \ + uint16x4_t __ret_440; \ + uint16x4_t __s0_440 = __p0_440; \ + uint16x4_t __s1_440 = __p1_440; \ + uint16x8_t __s2_440 = __p2_440; \ + uint16x4_t __rev0_440; __rev0_440 = __builtin_shufflevector(__s0_440, __s0_440, 3, 2, 1, 0); \ + uint16x4_t __rev1_440; __rev1_440 = __builtin_shufflevector(__s1_440, __s1_440, 3, 2, 1, 0); \ + uint16x8_t __rev2_440; __rev2_440 = __builtin_shufflevector(__s2_440, __s2_440, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_440 = __rev0_440 + __rev1_440 * __noswap_splat_laneq_u16(__rev2_440, __p3_440); \ + __ret_440 = __builtin_shufflevector(__ret_440, __ret_440, 3, 2, 1, 0); \ + __ret_440; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmla_laneq_f32(__p0_441, __p1_441, __p2_441, __p3_441) __extension__ ({ \ + float32x2_t __ret_441; \ + float32x2_t __s0_441 = __p0_441; \ + float32x2_t __s1_441 = __p1_441; \ + float32x4_t __s2_441 = __p2_441; \ + __ret_441 = __s0_441 + __s1_441 * splat_laneq_f32(__s2_441, __p3_441); \ + __ret_441; \ +}) +#else +#define vmla_laneq_f32(__p0_442, __p1_442, __p2_442, __p3_442) __extension__ ({ \ + float32x2_t __ret_442; \ + float32x2_t __s0_442 = __p0_442; \ + float32x2_t __s1_442 = __p1_442; \ + float32x4_t __s2_442 = __p2_442; \ + float32x2_t __rev0_442; __rev0_442 = __builtin_shufflevector(__s0_442, __s0_442, 1, 0); \ + float32x2_t __rev1_442; __rev1_442 = __builtin_shufflevector(__s1_442, __s1_442, 1, 0); \ + float32x4_t __rev2_442; __rev2_442 = __builtin_shufflevector(__s2_442, __s2_442, 3, 2, 1, 0); \ + __ret_442 = __rev0_442 + __rev1_442 * __noswap_splat_laneq_f32(__rev2_442, __p3_442); \ + __ret_442 = __builtin_shufflevector(__ret_442, __ret_442, 1, 0); \ + __ret_442; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmla_laneq_s32(__p0_443, __p1_443, __p2_443, __p3_443) __extension__ ({ \ + int32x2_t __ret_443; \ + int32x2_t __s0_443 = __p0_443; \ + int32x2_t __s1_443 = __p1_443; \ + int32x4_t __s2_443 = __p2_443; \ + __ret_443 = __s0_443 + __s1_443 * splat_laneq_s32(__s2_443, __p3_443); \ + __ret_443; \ +}) +#else +#define vmla_laneq_s32(__p0_444, __p1_444, __p2_444, __p3_444) __extension__ ({ \ + int32x2_t __ret_444; \ + int32x2_t __s0_444 = __p0_444; \ + int32x2_t __s1_444 = __p1_444; \ + int32x4_t __s2_444 = __p2_444; \ + int32x2_t __rev0_444; __rev0_444 = __builtin_shufflevector(__s0_444, __s0_444, 1, 0); \ + int32x2_t __rev1_444; __rev1_444 = __builtin_shufflevector(__s1_444, __s1_444, 1, 0); \ + int32x4_t __rev2_444; __rev2_444 = __builtin_shufflevector(__s2_444, __s2_444, 3, 2, 1, 0); \ + __ret_444 = __rev0_444 + __rev1_444 * __noswap_splat_laneq_s32(__rev2_444, __p3_444); \ + __ret_444 = __builtin_shufflevector(__ret_444, __ret_444, 1, 0); \ + __ret_444; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmla_laneq_s16(__p0_445, __p1_445, __p2_445, __p3_445) __extension__ ({ \ + int16x4_t __ret_445; \ + int16x4_t __s0_445 = __p0_445; \ + int16x4_t __s1_445 = __p1_445; \ + int16x8_t __s2_445 = __p2_445; \ + __ret_445 = __s0_445 + __s1_445 * splat_laneq_s16(__s2_445, __p3_445); \ + __ret_445; \ +}) +#else +#define vmla_laneq_s16(__p0_446, __p1_446, __p2_446, __p3_446) __extension__ ({ \ + int16x4_t __ret_446; \ + int16x4_t __s0_446 = __p0_446; \ + int16x4_t __s1_446 = __p1_446; \ + int16x8_t __s2_446 = __p2_446; \ + int16x4_t __rev0_446; __rev0_446 = __builtin_shufflevector(__s0_446, __s0_446, 3, 2, 1, 0); \ + int16x4_t __rev1_446; __rev1_446 = __builtin_shufflevector(__s1_446, __s1_446, 3, 2, 1, 0); \ + int16x8_t __rev2_446; __rev2_446 = __builtin_shufflevector(__s2_446, __s2_446, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_446 = __rev0_446 + __rev1_446 * __noswap_splat_laneq_s16(__rev2_446, __p3_446); \ + __ret_446 = __builtin_shufflevector(__ret_446, __ret_446, 3, 2, 1, 0); \ + __ret_446; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_high_lane_u32(__p0_447, __p1_447, __p2_447, __p3_447) __extension__ ({ \ + uint64x2_t __ret_447; \ + uint64x2_t __s0_447 = __p0_447; \ + uint32x4_t __s1_447 = __p1_447; \ + uint32x2_t __s2_447 = __p2_447; \ + __ret_447 = __s0_447 + vmull_u32(vget_high_u32(__s1_447), splat_lane_u32(__s2_447, __p3_447)); \ + __ret_447; \ +}) +#else +#define vmlal_high_lane_u32(__p0_448, __p1_448, __p2_448, __p3_448) __extension__ ({ \ + uint64x2_t __ret_448; \ + uint64x2_t __s0_448 = __p0_448; \ + uint32x4_t __s1_448 = __p1_448; \ + uint32x2_t __s2_448 = __p2_448; \ + uint64x2_t __rev0_448; __rev0_448 = __builtin_shufflevector(__s0_448, __s0_448, 1, 0); \ + uint32x4_t __rev1_448; __rev1_448 = __builtin_shufflevector(__s1_448, __s1_448, 3, 2, 1, 0); \ + uint32x2_t __rev2_448; __rev2_448 = __builtin_shufflevector(__s2_448, __s2_448, 1, 0); \ + __ret_448 = __rev0_448 + __noswap_vmull_u32(__noswap_vget_high_u32(__rev1_448), __noswap_splat_lane_u32(__rev2_448, __p3_448)); \ + __ret_448 = __builtin_shufflevector(__ret_448, __ret_448, 1, 0); \ + __ret_448; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_high_lane_u16(__p0_449, __p1_449, __p2_449, __p3_449) __extension__ ({ \ + uint32x4_t __ret_449; \ + uint32x4_t __s0_449 = __p0_449; \ + uint16x8_t __s1_449 = __p1_449; \ + uint16x4_t __s2_449 = __p2_449; \ + __ret_449 = __s0_449 + vmull_u16(vget_high_u16(__s1_449), splat_lane_u16(__s2_449, __p3_449)); \ + __ret_449; \ +}) +#else +#define vmlal_high_lane_u16(__p0_450, __p1_450, __p2_450, __p3_450) __extension__ ({ \ + uint32x4_t __ret_450; \ + uint32x4_t __s0_450 = __p0_450; \ + uint16x8_t __s1_450 = __p1_450; \ + uint16x4_t __s2_450 = __p2_450; \ + uint32x4_t __rev0_450; __rev0_450 = __builtin_shufflevector(__s0_450, __s0_450, 3, 2, 1, 0); \ + uint16x8_t __rev1_450; __rev1_450 = __builtin_shufflevector(__s1_450, __s1_450, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x4_t __rev2_450; __rev2_450 = __builtin_shufflevector(__s2_450, __s2_450, 3, 2, 1, 0); \ + __ret_450 = __rev0_450 + __noswap_vmull_u16(__noswap_vget_high_u16(__rev1_450), __noswap_splat_lane_u16(__rev2_450, __p3_450)); \ + __ret_450 = __builtin_shufflevector(__ret_450, __ret_450, 3, 2, 1, 0); \ + __ret_450; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_high_lane_s32(__p0_451, __p1_451, __p2_451, __p3_451) __extension__ ({ \ + int64x2_t __ret_451; \ + int64x2_t __s0_451 = __p0_451; \ + int32x4_t __s1_451 = __p1_451; \ + int32x2_t __s2_451 = __p2_451; \ + __ret_451 = __s0_451 + vmull_s32(vget_high_s32(__s1_451), splat_lane_s32(__s2_451, __p3_451)); \ + __ret_451; \ +}) +#else +#define vmlal_high_lane_s32(__p0_452, __p1_452, __p2_452, __p3_452) __extension__ ({ \ + int64x2_t __ret_452; \ + int64x2_t __s0_452 = __p0_452; \ + int32x4_t __s1_452 = __p1_452; \ + int32x2_t __s2_452 = __p2_452; \ + int64x2_t __rev0_452; __rev0_452 = __builtin_shufflevector(__s0_452, __s0_452, 1, 0); \ + int32x4_t __rev1_452; __rev1_452 = __builtin_shufflevector(__s1_452, __s1_452, 3, 2, 1, 0); \ + int32x2_t __rev2_452; __rev2_452 = __builtin_shufflevector(__s2_452, __s2_452, 1, 0); \ + __ret_452 = __rev0_452 + __noswap_vmull_s32(__noswap_vget_high_s32(__rev1_452), __noswap_splat_lane_s32(__rev2_452, __p3_452)); \ + __ret_452 = __builtin_shufflevector(__ret_452, __ret_452, 1, 0); \ + __ret_452; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_high_lane_s16(__p0_453, __p1_453, __p2_453, __p3_453) __extension__ ({ \ + int32x4_t __ret_453; \ + int32x4_t __s0_453 = __p0_453; \ + int16x8_t __s1_453 = __p1_453; \ + int16x4_t __s2_453 = __p2_453; \ + __ret_453 = __s0_453 + vmull_s16(vget_high_s16(__s1_453), splat_lane_s16(__s2_453, __p3_453)); \ + __ret_453; \ +}) +#else +#define vmlal_high_lane_s16(__p0_454, __p1_454, __p2_454, __p3_454) __extension__ ({ \ + int32x4_t __ret_454; \ + int32x4_t __s0_454 = __p0_454; \ + int16x8_t __s1_454 = __p1_454; \ + int16x4_t __s2_454 = __p2_454; \ + int32x4_t __rev0_454; __rev0_454 = __builtin_shufflevector(__s0_454, __s0_454, 3, 2, 1, 0); \ + int16x8_t __rev1_454; __rev1_454 = __builtin_shufflevector(__s1_454, __s1_454, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev2_454; __rev2_454 = __builtin_shufflevector(__s2_454, __s2_454, 3, 2, 1, 0); \ + __ret_454 = __rev0_454 + __noswap_vmull_s16(__noswap_vget_high_s16(__rev1_454), __noswap_splat_lane_s16(__rev2_454, __p3_454)); \ + __ret_454 = __builtin_shufflevector(__ret_454, __ret_454, 3, 2, 1, 0); \ + __ret_454; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_high_laneq_u32(__p0_455, __p1_455, __p2_455, __p3_455) __extension__ ({ \ + uint64x2_t __ret_455; \ + uint64x2_t __s0_455 = __p0_455; \ + uint32x4_t __s1_455 = __p1_455; \ + uint32x4_t __s2_455 = __p2_455; \ + __ret_455 = __s0_455 + vmull_u32(vget_high_u32(__s1_455), splat_laneq_u32(__s2_455, __p3_455)); \ + __ret_455; \ +}) +#else +#define vmlal_high_laneq_u32(__p0_456, __p1_456, __p2_456, __p3_456) __extension__ ({ \ + uint64x2_t __ret_456; \ + uint64x2_t __s0_456 = __p0_456; \ + uint32x4_t __s1_456 = __p1_456; \ + uint32x4_t __s2_456 = __p2_456; \ + uint64x2_t __rev0_456; __rev0_456 = __builtin_shufflevector(__s0_456, __s0_456, 1, 0); \ + uint32x4_t __rev1_456; __rev1_456 = __builtin_shufflevector(__s1_456, __s1_456, 3, 2, 1, 0); \ + uint32x4_t __rev2_456; __rev2_456 = __builtin_shufflevector(__s2_456, __s2_456, 3, 2, 1, 0); \ + __ret_456 = __rev0_456 + __noswap_vmull_u32(__noswap_vget_high_u32(__rev1_456), __noswap_splat_laneq_u32(__rev2_456, __p3_456)); \ + __ret_456 = __builtin_shufflevector(__ret_456, __ret_456, 1, 0); \ + __ret_456; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_high_laneq_u16(__p0_457, __p1_457, __p2_457, __p3_457) __extension__ ({ \ + uint32x4_t __ret_457; \ + uint32x4_t __s0_457 = __p0_457; \ + uint16x8_t __s1_457 = __p1_457; \ + uint16x8_t __s2_457 = __p2_457; \ + __ret_457 = __s0_457 + vmull_u16(vget_high_u16(__s1_457), splat_laneq_u16(__s2_457, __p3_457)); \ + __ret_457; \ +}) +#else +#define vmlal_high_laneq_u16(__p0_458, __p1_458, __p2_458, __p3_458) __extension__ ({ \ + uint32x4_t __ret_458; \ + uint32x4_t __s0_458 = __p0_458; \ + uint16x8_t __s1_458 = __p1_458; \ + uint16x8_t __s2_458 = __p2_458; \ + uint32x4_t __rev0_458; __rev0_458 = __builtin_shufflevector(__s0_458, __s0_458, 3, 2, 1, 0); \ + uint16x8_t __rev1_458; __rev1_458 = __builtin_shufflevector(__s1_458, __s1_458, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev2_458; __rev2_458 = __builtin_shufflevector(__s2_458, __s2_458, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_458 = __rev0_458 + __noswap_vmull_u16(__noswap_vget_high_u16(__rev1_458), __noswap_splat_laneq_u16(__rev2_458, __p3_458)); \ + __ret_458 = __builtin_shufflevector(__ret_458, __ret_458, 3, 2, 1, 0); \ + __ret_458; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_high_laneq_s32(__p0_459, __p1_459, __p2_459, __p3_459) __extension__ ({ \ + int64x2_t __ret_459; \ + int64x2_t __s0_459 = __p0_459; \ + int32x4_t __s1_459 = __p1_459; \ + int32x4_t __s2_459 = __p2_459; \ + __ret_459 = __s0_459 + vmull_s32(vget_high_s32(__s1_459), splat_laneq_s32(__s2_459, __p3_459)); \ + __ret_459; \ +}) +#else +#define vmlal_high_laneq_s32(__p0_460, __p1_460, __p2_460, __p3_460) __extension__ ({ \ + int64x2_t __ret_460; \ + int64x2_t __s0_460 = __p0_460; \ + int32x4_t __s1_460 = __p1_460; \ + int32x4_t __s2_460 = __p2_460; \ + int64x2_t __rev0_460; __rev0_460 = __builtin_shufflevector(__s0_460, __s0_460, 1, 0); \ + int32x4_t __rev1_460; __rev1_460 = __builtin_shufflevector(__s1_460, __s1_460, 3, 2, 1, 0); \ + int32x4_t __rev2_460; __rev2_460 = __builtin_shufflevector(__s2_460, __s2_460, 3, 2, 1, 0); \ + __ret_460 = __rev0_460 + __noswap_vmull_s32(__noswap_vget_high_s32(__rev1_460), __noswap_splat_laneq_s32(__rev2_460, __p3_460)); \ + __ret_460 = __builtin_shufflevector(__ret_460, __ret_460, 1, 0); \ + __ret_460; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_high_laneq_s16(__p0_461, __p1_461, __p2_461, __p3_461) __extension__ ({ \ + int32x4_t __ret_461; \ + int32x4_t __s0_461 = __p0_461; \ + int16x8_t __s1_461 = __p1_461; \ + int16x8_t __s2_461 = __p2_461; \ + __ret_461 = __s0_461 + vmull_s16(vget_high_s16(__s1_461), splat_laneq_s16(__s2_461, __p3_461)); \ + __ret_461; \ +}) +#else +#define vmlal_high_laneq_s16(__p0_462, __p1_462, __p2_462, __p3_462) __extension__ ({ \ + int32x4_t __ret_462; \ + int32x4_t __s0_462 = __p0_462; \ + int16x8_t __s1_462 = __p1_462; \ + int16x8_t __s2_462 = __p2_462; \ + int32x4_t __rev0_462; __rev0_462 = __builtin_shufflevector(__s0_462, __s0_462, 3, 2, 1, 0); \ + int16x8_t __rev1_462; __rev1_462 = __builtin_shufflevector(__s1_462, __s1_462, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev2_462; __rev2_462 = __builtin_shufflevector(__s2_462, __s2_462, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_462 = __rev0_462 + __noswap_vmull_s16(__noswap_vget_high_s16(__rev1_462), __noswap_splat_laneq_s16(__rev2_462, __p3_462)); \ + __ret_462 = __builtin_shufflevector(__ret_462, __ret_462, 3, 2, 1, 0); \ + __ret_462; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_laneq_u32(__p0_463, __p1_463, __p2_463, __p3_463) __extension__ ({ \ + uint64x2_t __ret_463; \ + uint64x2_t __s0_463 = __p0_463; \ + uint32x2_t __s1_463 = __p1_463; \ + uint32x4_t __s2_463 = __p2_463; \ + __ret_463 = __s0_463 + vmull_u32(__s1_463, splat_laneq_u32(__s2_463, __p3_463)); \ + __ret_463; \ +}) +#else +#define vmlal_laneq_u32(__p0_464, __p1_464, __p2_464, __p3_464) __extension__ ({ \ + uint64x2_t __ret_464; \ + uint64x2_t __s0_464 = __p0_464; \ + uint32x2_t __s1_464 = __p1_464; \ + uint32x4_t __s2_464 = __p2_464; \ + uint64x2_t __rev0_464; __rev0_464 = __builtin_shufflevector(__s0_464, __s0_464, 1, 0); \ + uint32x2_t __rev1_464; __rev1_464 = __builtin_shufflevector(__s1_464, __s1_464, 1, 0); \ + uint32x4_t __rev2_464; __rev2_464 = __builtin_shufflevector(__s2_464, __s2_464, 3, 2, 1, 0); \ + __ret_464 = __rev0_464 + __noswap_vmull_u32(__rev1_464, __noswap_splat_laneq_u32(__rev2_464, __p3_464)); \ + __ret_464 = __builtin_shufflevector(__ret_464, __ret_464, 1, 0); \ + __ret_464; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_laneq_u16(__p0_465, __p1_465, __p2_465, __p3_465) __extension__ ({ \ + uint32x4_t __ret_465; \ + uint32x4_t __s0_465 = __p0_465; \ + uint16x4_t __s1_465 = __p1_465; \ + uint16x8_t __s2_465 = __p2_465; \ + __ret_465 = __s0_465 + vmull_u16(__s1_465, splat_laneq_u16(__s2_465, __p3_465)); \ + __ret_465; \ +}) +#else +#define vmlal_laneq_u16(__p0_466, __p1_466, __p2_466, __p3_466) __extension__ ({ \ + uint32x4_t __ret_466; \ + uint32x4_t __s0_466 = __p0_466; \ + uint16x4_t __s1_466 = __p1_466; \ + uint16x8_t __s2_466 = __p2_466; \ + uint32x4_t __rev0_466; __rev0_466 = __builtin_shufflevector(__s0_466, __s0_466, 3, 2, 1, 0); \ + uint16x4_t __rev1_466; __rev1_466 = __builtin_shufflevector(__s1_466, __s1_466, 3, 2, 1, 0); \ + uint16x8_t __rev2_466; __rev2_466 = __builtin_shufflevector(__s2_466, __s2_466, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_466 = __rev0_466 + __noswap_vmull_u16(__rev1_466, __noswap_splat_laneq_u16(__rev2_466, __p3_466)); \ + __ret_466 = __builtin_shufflevector(__ret_466, __ret_466, 3, 2, 1, 0); \ + __ret_466; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_laneq_s32(__p0_467, __p1_467, __p2_467, __p3_467) __extension__ ({ \ + int64x2_t __ret_467; \ + int64x2_t __s0_467 = __p0_467; \ + int32x2_t __s1_467 = __p1_467; \ + int32x4_t __s2_467 = __p2_467; \ + __ret_467 = __s0_467 + vmull_s32(__s1_467, splat_laneq_s32(__s2_467, __p3_467)); \ + __ret_467; \ +}) +#else +#define vmlal_laneq_s32(__p0_468, __p1_468, __p2_468, __p3_468) __extension__ ({ \ + int64x2_t __ret_468; \ + int64x2_t __s0_468 = __p0_468; \ + int32x2_t __s1_468 = __p1_468; \ + int32x4_t __s2_468 = __p2_468; \ + int64x2_t __rev0_468; __rev0_468 = __builtin_shufflevector(__s0_468, __s0_468, 1, 0); \ + int32x2_t __rev1_468; __rev1_468 = __builtin_shufflevector(__s1_468, __s1_468, 1, 0); \ + int32x4_t __rev2_468; __rev2_468 = __builtin_shufflevector(__s2_468, __s2_468, 3, 2, 1, 0); \ + __ret_468 = __rev0_468 + __noswap_vmull_s32(__rev1_468, __noswap_splat_laneq_s32(__rev2_468, __p3_468)); \ + __ret_468 = __builtin_shufflevector(__ret_468, __ret_468, 1, 0); \ + __ret_468; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_laneq_s16(__p0_469, __p1_469, __p2_469, __p3_469) __extension__ ({ \ + int32x4_t __ret_469; \ + int32x4_t __s0_469 = __p0_469; \ + int16x4_t __s1_469 = __p1_469; \ + int16x8_t __s2_469 = __p2_469; \ + __ret_469 = __s0_469 + vmull_s16(__s1_469, splat_laneq_s16(__s2_469, __p3_469)); \ + __ret_469; \ +}) +#else +#define vmlal_laneq_s16(__p0_470, __p1_470, __p2_470, __p3_470) __extension__ ({ \ + int32x4_t __ret_470; \ + int32x4_t __s0_470 = __p0_470; \ + int16x4_t __s1_470 = __p1_470; \ + int16x8_t __s2_470 = __p2_470; \ + int32x4_t __rev0_470; __rev0_470 = __builtin_shufflevector(__s0_470, __s0_470, 3, 2, 1, 0); \ + int16x4_t __rev1_470; __rev1_470 = __builtin_shufflevector(__s1_470, __s1_470, 3, 2, 1, 0); \ + int16x8_t __rev2_470; __rev2_470 = __builtin_shufflevector(__s2_470, __s2_470, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_470 = __rev0_470 + __noswap_vmull_s16(__rev1_470, __noswap_splat_laneq_s16(__rev2_470, __p3_470)); \ + __ret_470 = __builtin_shufflevector(__ret_470, __ret_470, 3, 2, 1, 0); \ + __ret_470; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vmlsq_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#else +__ai float64x2_t vmlsq_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 - __rev1 * __rev2; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vmls_f64(float64x1_t __p0, float64x1_t __p1, float64x1_t __p2) { + float64x1_t __ret; + __ret = __p0 - __p1 * __p2; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +#define vmlsq_laneq_u32(__p0_471, __p1_471, __p2_471, __p3_471) __extension__ ({ \ + uint32x4_t __ret_471; \ + uint32x4_t __s0_471 = __p0_471; \ + uint32x4_t __s1_471 = __p1_471; \ + uint32x4_t __s2_471 = __p2_471; \ + __ret_471 = __s0_471 - __s1_471 * splatq_laneq_u32(__s2_471, __p3_471); \ + __ret_471; \ +}) +#else +#define vmlsq_laneq_u32(__p0_472, __p1_472, __p2_472, __p3_472) __extension__ ({ \ + uint32x4_t __ret_472; \ + uint32x4_t __s0_472 = __p0_472; \ + uint32x4_t __s1_472 = __p1_472; \ + uint32x4_t __s2_472 = __p2_472; \ + uint32x4_t __rev0_472; __rev0_472 = __builtin_shufflevector(__s0_472, __s0_472, 3, 2, 1, 0); \ + uint32x4_t __rev1_472; __rev1_472 = __builtin_shufflevector(__s1_472, __s1_472, 3, 2, 1, 0); \ + uint32x4_t __rev2_472; __rev2_472 = __builtin_shufflevector(__s2_472, __s2_472, 3, 2, 1, 0); \ + __ret_472 = __rev0_472 - __rev1_472 * __noswap_splatq_laneq_u32(__rev2_472, __p3_472); \ + __ret_472 = __builtin_shufflevector(__ret_472, __ret_472, 3, 2, 1, 0); \ + __ret_472; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsq_laneq_u16(__p0_473, __p1_473, __p2_473, __p3_473) __extension__ ({ \ + uint16x8_t __ret_473; \ + uint16x8_t __s0_473 = __p0_473; \ + uint16x8_t __s1_473 = __p1_473; \ + uint16x8_t __s2_473 = __p2_473; \ + __ret_473 = __s0_473 - __s1_473 * splatq_laneq_u16(__s2_473, __p3_473); \ + __ret_473; \ +}) +#else +#define vmlsq_laneq_u16(__p0_474, __p1_474, __p2_474, __p3_474) __extension__ ({ \ + uint16x8_t __ret_474; \ + uint16x8_t __s0_474 = __p0_474; \ + uint16x8_t __s1_474 = __p1_474; \ + uint16x8_t __s2_474 = __p2_474; \ + uint16x8_t __rev0_474; __rev0_474 = __builtin_shufflevector(__s0_474, __s0_474, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1_474; __rev1_474 = __builtin_shufflevector(__s1_474, __s1_474, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev2_474; __rev2_474 = __builtin_shufflevector(__s2_474, __s2_474, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_474 = __rev0_474 - __rev1_474 * __noswap_splatq_laneq_u16(__rev2_474, __p3_474); \ + __ret_474 = __builtin_shufflevector(__ret_474, __ret_474, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_474; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsq_laneq_f32(__p0_475, __p1_475, __p2_475, __p3_475) __extension__ ({ \ + float32x4_t __ret_475; \ + float32x4_t __s0_475 = __p0_475; \ + float32x4_t __s1_475 = __p1_475; \ + float32x4_t __s2_475 = __p2_475; \ + __ret_475 = __s0_475 - __s1_475 * splatq_laneq_f32(__s2_475, __p3_475); \ + __ret_475; \ +}) +#else +#define vmlsq_laneq_f32(__p0_476, __p1_476, __p2_476, __p3_476) __extension__ ({ \ + float32x4_t __ret_476; \ + float32x4_t __s0_476 = __p0_476; \ + float32x4_t __s1_476 = __p1_476; \ + float32x4_t __s2_476 = __p2_476; \ + float32x4_t __rev0_476; __rev0_476 = __builtin_shufflevector(__s0_476, __s0_476, 3, 2, 1, 0); \ + float32x4_t __rev1_476; __rev1_476 = __builtin_shufflevector(__s1_476, __s1_476, 3, 2, 1, 0); \ + float32x4_t __rev2_476; __rev2_476 = __builtin_shufflevector(__s2_476, __s2_476, 3, 2, 1, 0); \ + __ret_476 = __rev0_476 - __rev1_476 * __noswap_splatq_laneq_f32(__rev2_476, __p3_476); \ + __ret_476 = __builtin_shufflevector(__ret_476, __ret_476, 3, 2, 1, 0); \ + __ret_476; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsq_laneq_s32(__p0_477, __p1_477, __p2_477, __p3_477) __extension__ ({ \ + int32x4_t __ret_477; \ + int32x4_t __s0_477 = __p0_477; \ + int32x4_t __s1_477 = __p1_477; \ + int32x4_t __s2_477 = __p2_477; \ + __ret_477 = __s0_477 - __s1_477 * splatq_laneq_s32(__s2_477, __p3_477); \ + __ret_477; \ +}) +#else +#define vmlsq_laneq_s32(__p0_478, __p1_478, __p2_478, __p3_478) __extension__ ({ \ + int32x4_t __ret_478; \ + int32x4_t __s0_478 = __p0_478; \ + int32x4_t __s1_478 = __p1_478; \ + int32x4_t __s2_478 = __p2_478; \ + int32x4_t __rev0_478; __rev0_478 = __builtin_shufflevector(__s0_478, __s0_478, 3, 2, 1, 0); \ + int32x4_t __rev1_478; __rev1_478 = __builtin_shufflevector(__s1_478, __s1_478, 3, 2, 1, 0); \ + int32x4_t __rev2_478; __rev2_478 = __builtin_shufflevector(__s2_478, __s2_478, 3, 2, 1, 0); \ + __ret_478 = __rev0_478 - __rev1_478 * __noswap_splatq_laneq_s32(__rev2_478, __p3_478); \ + __ret_478 = __builtin_shufflevector(__ret_478, __ret_478, 3, 2, 1, 0); \ + __ret_478; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsq_laneq_s16(__p0_479, __p1_479, __p2_479, __p3_479) __extension__ ({ \ + int16x8_t __ret_479; \ + int16x8_t __s0_479 = __p0_479; \ + int16x8_t __s1_479 = __p1_479; \ + int16x8_t __s2_479 = __p2_479; \ + __ret_479 = __s0_479 - __s1_479 * splatq_laneq_s16(__s2_479, __p3_479); \ + __ret_479; \ +}) +#else +#define vmlsq_laneq_s16(__p0_480, __p1_480, __p2_480, __p3_480) __extension__ ({ \ + int16x8_t __ret_480; \ + int16x8_t __s0_480 = __p0_480; \ + int16x8_t __s1_480 = __p1_480; \ + int16x8_t __s2_480 = __p2_480; \ + int16x8_t __rev0_480; __rev0_480 = __builtin_shufflevector(__s0_480, __s0_480, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_480; __rev1_480 = __builtin_shufflevector(__s1_480, __s1_480, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev2_480; __rev2_480 = __builtin_shufflevector(__s2_480, __s2_480, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_480 = __rev0_480 - __rev1_480 * __noswap_splatq_laneq_s16(__rev2_480, __p3_480); \ + __ret_480 = __builtin_shufflevector(__ret_480, __ret_480, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_480; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmls_laneq_u32(__p0_481, __p1_481, __p2_481, __p3_481) __extension__ ({ \ + uint32x2_t __ret_481; \ + uint32x2_t __s0_481 = __p0_481; \ + uint32x2_t __s1_481 = __p1_481; \ + uint32x4_t __s2_481 = __p2_481; \ + __ret_481 = __s0_481 - __s1_481 * splat_laneq_u32(__s2_481, __p3_481); \ + __ret_481; \ +}) +#else +#define vmls_laneq_u32(__p0_482, __p1_482, __p2_482, __p3_482) __extension__ ({ \ + uint32x2_t __ret_482; \ + uint32x2_t __s0_482 = __p0_482; \ + uint32x2_t __s1_482 = __p1_482; \ + uint32x4_t __s2_482 = __p2_482; \ + uint32x2_t __rev0_482; __rev0_482 = __builtin_shufflevector(__s0_482, __s0_482, 1, 0); \ + uint32x2_t __rev1_482; __rev1_482 = __builtin_shufflevector(__s1_482, __s1_482, 1, 0); \ + uint32x4_t __rev2_482; __rev2_482 = __builtin_shufflevector(__s2_482, __s2_482, 3, 2, 1, 0); \ + __ret_482 = __rev0_482 - __rev1_482 * __noswap_splat_laneq_u32(__rev2_482, __p3_482); \ + __ret_482 = __builtin_shufflevector(__ret_482, __ret_482, 1, 0); \ + __ret_482; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmls_laneq_u16(__p0_483, __p1_483, __p2_483, __p3_483) __extension__ ({ \ + uint16x4_t __ret_483; \ + uint16x4_t __s0_483 = __p0_483; \ + uint16x4_t __s1_483 = __p1_483; \ + uint16x8_t __s2_483 = __p2_483; \ + __ret_483 = __s0_483 - __s1_483 * splat_laneq_u16(__s2_483, __p3_483); \ + __ret_483; \ +}) +#else +#define vmls_laneq_u16(__p0_484, __p1_484, __p2_484, __p3_484) __extension__ ({ \ + uint16x4_t __ret_484; \ + uint16x4_t __s0_484 = __p0_484; \ + uint16x4_t __s1_484 = __p1_484; \ + uint16x8_t __s2_484 = __p2_484; \ + uint16x4_t __rev0_484; __rev0_484 = __builtin_shufflevector(__s0_484, __s0_484, 3, 2, 1, 0); \ + uint16x4_t __rev1_484; __rev1_484 = __builtin_shufflevector(__s1_484, __s1_484, 3, 2, 1, 0); \ + uint16x8_t __rev2_484; __rev2_484 = __builtin_shufflevector(__s2_484, __s2_484, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_484 = __rev0_484 - __rev1_484 * __noswap_splat_laneq_u16(__rev2_484, __p3_484); \ + __ret_484 = __builtin_shufflevector(__ret_484, __ret_484, 3, 2, 1, 0); \ + __ret_484; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmls_laneq_f32(__p0_485, __p1_485, __p2_485, __p3_485) __extension__ ({ \ + float32x2_t __ret_485; \ + float32x2_t __s0_485 = __p0_485; \ + float32x2_t __s1_485 = __p1_485; \ + float32x4_t __s2_485 = __p2_485; \ + __ret_485 = __s0_485 - __s1_485 * splat_laneq_f32(__s2_485, __p3_485); \ + __ret_485; \ +}) +#else +#define vmls_laneq_f32(__p0_486, __p1_486, __p2_486, __p3_486) __extension__ ({ \ + float32x2_t __ret_486; \ + float32x2_t __s0_486 = __p0_486; \ + float32x2_t __s1_486 = __p1_486; \ + float32x4_t __s2_486 = __p2_486; \ + float32x2_t __rev0_486; __rev0_486 = __builtin_shufflevector(__s0_486, __s0_486, 1, 0); \ + float32x2_t __rev1_486; __rev1_486 = __builtin_shufflevector(__s1_486, __s1_486, 1, 0); \ + float32x4_t __rev2_486; __rev2_486 = __builtin_shufflevector(__s2_486, __s2_486, 3, 2, 1, 0); \ + __ret_486 = __rev0_486 - __rev1_486 * __noswap_splat_laneq_f32(__rev2_486, __p3_486); \ + __ret_486 = __builtin_shufflevector(__ret_486, __ret_486, 1, 0); \ + __ret_486; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmls_laneq_s32(__p0_487, __p1_487, __p2_487, __p3_487) __extension__ ({ \ + int32x2_t __ret_487; \ + int32x2_t __s0_487 = __p0_487; \ + int32x2_t __s1_487 = __p1_487; \ + int32x4_t __s2_487 = __p2_487; \ + __ret_487 = __s0_487 - __s1_487 * splat_laneq_s32(__s2_487, __p3_487); \ + __ret_487; \ +}) +#else +#define vmls_laneq_s32(__p0_488, __p1_488, __p2_488, __p3_488) __extension__ ({ \ + int32x2_t __ret_488; \ + int32x2_t __s0_488 = __p0_488; \ + int32x2_t __s1_488 = __p1_488; \ + int32x4_t __s2_488 = __p2_488; \ + int32x2_t __rev0_488; __rev0_488 = __builtin_shufflevector(__s0_488, __s0_488, 1, 0); \ + int32x2_t __rev1_488; __rev1_488 = __builtin_shufflevector(__s1_488, __s1_488, 1, 0); \ + int32x4_t __rev2_488; __rev2_488 = __builtin_shufflevector(__s2_488, __s2_488, 3, 2, 1, 0); \ + __ret_488 = __rev0_488 - __rev1_488 * __noswap_splat_laneq_s32(__rev2_488, __p3_488); \ + __ret_488 = __builtin_shufflevector(__ret_488, __ret_488, 1, 0); \ + __ret_488; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmls_laneq_s16(__p0_489, __p1_489, __p2_489, __p3_489) __extension__ ({ \ + int16x4_t __ret_489; \ + int16x4_t __s0_489 = __p0_489; \ + int16x4_t __s1_489 = __p1_489; \ + int16x8_t __s2_489 = __p2_489; \ + __ret_489 = __s0_489 - __s1_489 * splat_laneq_s16(__s2_489, __p3_489); \ + __ret_489; \ +}) +#else +#define vmls_laneq_s16(__p0_490, __p1_490, __p2_490, __p3_490) __extension__ ({ \ + int16x4_t __ret_490; \ + int16x4_t __s0_490 = __p0_490; \ + int16x4_t __s1_490 = __p1_490; \ + int16x8_t __s2_490 = __p2_490; \ + int16x4_t __rev0_490; __rev0_490 = __builtin_shufflevector(__s0_490, __s0_490, 3, 2, 1, 0); \ + int16x4_t __rev1_490; __rev1_490 = __builtin_shufflevector(__s1_490, __s1_490, 3, 2, 1, 0); \ + int16x8_t __rev2_490; __rev2_490 = __builtin_shufflevector(__s2_490, __s2_490, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_490 = __rev0_490 - __rev1_490 * __noswap_splat_laneq_s16(__rev2_490, __p3_490); \ + __ret_490 = __builtin_shufflevector(__ret_490, __ret_490, 3, 2, 1, 0); \ + __ret_490; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_high_lane_u32(__p0_491, __p1_491, __p2_491, __p3_491) __extension__ ({ \ + uint64x2_t __ret_491; \ + uint64x2_t __s0_491 = __p0_491; \ + uint32x4_t __s1_491 = __p1_491; \ + uint32x2_t __s2_491 = __p2_491; \ + __ret_491 = __s0_491 - vmull_u32(vget_high_u32(__s1_491), splat_lane_u32(__s2_491, __p3_491)); \ + __ret_491; \ +}) +#else +#define vmlsl_high_lane_u32(__p0_492, __p1_492, __p2_492, __p3_492) __extension__ ({ \ + uint64x2_t __ret_492; \ + uint64x2_t __s0_492 = __p0_492; \ + uint32x4_t __s1_492 = __p1_492; \ + uint32x2_t __s2_492 = __p2_492; \ + uint64x2_t __rev0_492; __rev0_492 = __builtin_shufflevector(__s0_492, __s0_492, 1, 0); \ + uint32x4_t __rev1_492; __rev1_492 = __builtin_shufflevector(__s1_492, __s1_492, 3, 2, 1, 0); \ + uint32x2_t __rev2_492; __rev2_492 = __builtin_shufflevector(__s2_492, __s2_492, 1, 0); \ + __ret_492 = __rev0_492 - __noswap_vmull_u32(__noswap_vget_high_u32(__rev1_492), __noswap_splat_lane_u32(__rev2_492, __p3_492)); \ + __ret_492 = __builtin_shufflevector(__ret_492, __ret_492, 1, 0); \ + __ret_492; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_high_lane_u16(__p0_493, __p1_493, __p2_493, __p3_493) __extension__ ({ \ + uint32x4_t __ret_493; \ + uint32x4_t __s0_493 = __p0_493; \ + uint16x8_t __s1_493 = __p1_493; \ + uint16x4_t __s2_493 = __p2_493; \ + __ret_493 = __s0_493 - vmull_u16(vget_high_u16(__s1_493), splat_lane_u16(__s2_493, __p3_493)); \ + __ret_493; \ +}) +#else +#define vmlsl_high_lane_u16(__p0_494, __p1_494, __p2_494, __p3_494) __extension__ ({ \ + uint32x4_t __ret_494; \ + uint32x4_t __s0_494 = __p0_494; \ + uint16x8_t __s1_494 = __p1_494; \ + uint16x4_t __s2_494 = __p2_494; \ + uint32x4_t __rev0_494; __rev0_494 = __builtin_shufflevector(__s0_494, __s0_494, 3, 2, 1, 0); \ + uint16x8_t __rev1_494; __rev1_494 = __builtin_shufflevector(__s1_494, __s1_494, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x4_t __rev2_494; __rev2_494 = __builtin_shufflevector(__s2_494, __s2_494, 3, 2, 1, 0); \ + __ret_494 = __rev0_494 - __noswap_vmull_u16(__noswap_vget_high_u16(__rev1_494), __noswap_splat_lane_u16(__rev2_494, __p3_494)); \ + __ret_494 = __builtin_shufflevector(__ret_494, __ret_494, 3, 2, 1, 0); \ + __ret_494; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_high_lane_s32(__p0_495, __p1_495, __p2_495, __p3_495) __extension__ ({ \ + int64x2_t __ret_495; \ + int64x2_t __s0_495 = __p0_495; \ + int32x4_t __s1_495 = __p1_495; \ + int32x2_t __s2_495 = __p2_495; \ + __ret_495 = __s0_495 - vmull_s32(vget_high_s32(__s1_495), splat_lane_s32(__s2_495, __p3_495)); \ + __ret_495; \ +}) +#else +#define vmlsl_high_lane_s32(__p0_496, __p1_496, __p2_496, __p3_496) __extension__ ({ \ + int64x2_t __ret_496; \ + int64x2_t __s0_496 = __p0_496; \ + int32x4_t __s1_496 = __p1_496; \ + int32x2_t __s2_496 = __p2_496; \ + int64x2_t __rev0_496; __rev0_496 = __builtin_shufflevector(__s0_496, __s0_496, 1, 0); \ + int32x4_t __rev1_496; __rev1_496 = __builtin_shufflevector(__s1_496, __s1_496, 3, 2, 1, 0); \ + int32x2_t __rev2_496; __rev2_496 = __builtin_shufflevector(__s2_496, __s2_496, 1, 0); \ + __ret_496 = __rev0_496 - __noswap_vmull_s32(__noswap_vget_high_s32(__rev1_496), __noswap_splat_lane_s32(__rev2_496, __p3_496)); \ + __ret_496 = __builtin_shufflevector(__ret_496, __ret_496, 1, 0); \ + __ret_496; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_high_lane_s16(__p0_497, __p1_497, __p2_497, __p3_497) __extension__ ({ \ + int32x4_t __ret_497; \ + int32x4_t __s0_497 = __p0_497; \ + int16x8_t __s1_497 = __p1_497; \ + int16x4_t __s2_497 = __p2_497; \ + __ret_497 = __s0_497 - vmull_s16(vget_high_s16(__s1_497), splat_lane_s16(__s2_497, __p3_497)); \ + __ret_497; \ +}) +#else +#define vmlsl_high_lane_s16(__p0_498, __p1_498, __p2_498, __p3_498) __extension__ ({ \ + int32x4_t __ret_498; \ + int32x4_t __s0_498 = __p0_498; \ + int16x8_t __s1_498 = __p1_498; \ + int16x4_t __s2_498 = __p2_498; \ + int32x4_t __rev0_498; __rev0_498 = __builtin_shufflevector(__s0_498, __s0_498, 3, 2, 1, 0); \ + int16x8_t __rev1_498; __rev1_498 = __builtin_shufflevector(__s1_498, __s1_498, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev2_498; __rev2_498 = __builtin_shufflevector(__s2_498, __s2_498, 3, 2, 1, 0); \ + __ret_498 = __rev0_498 - __noswap_vmull_s16(__noswap_vget_high_s16(__rev1_498), __noswap_splat_lane_s16(__rev2_498, __p3_498)); \ + __ret_498 = __builtin_shufflevector(__ret_498, __ret_498, 3, 2, 1, 0); \ + __ret_498; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_high_laneq_u32(__p0_499, __p1_499, __p2_499, __p3_499) __extension__ ({ \ + uint64x2_t __ret_499; \ + uint64x2_t __s0_499 = __p0_499; \ + uint32x4_t __s1_499 = __p1_499; \ + uint32x4_t __s2_499 = __p2_499; \ + __ret_499 = __s0_499 - vmull_u32(vget_high_u32(__s1_499), splat_laneq_u32(__s2_499, __p3_499)); \ + __ret_499; \ +}) +#else +#define vmlsl_high_laneq_u32(__p0_500, __p1_500, __p2_500, __p3_500) __extension__ ({ \ + uint64x2_t __ret_500; \ + uint64x2_t __s0_500 = __p0_500; \ + uint32x4_t __s1_500 = __p1_500; \ + uint32x4_t __s2_500 = __p2_500; \ + uint64x2_t __rev0_500; __rev0_500 = __builtin_shufflevector(__s0_500, __s0_500, 1, 0); \ + uint32x4_t __rev1_500; __rev1_500 = __builtin_shufflevector(__s1_500, __s1_500, 3, 2, 1, 0); \ + uint32x4_t __rev2_500; __rev2_500 = __builtin_shufflevector(__s2_500, __s2_500, 3, 2, 1, 0); \ + __ret_500 = __rev0_500 - __noswap_vmull_u32(__noswap_vget_high_u32(__rev1_500), __noswap_splat_laneq_u32(__rev2_500, __p3_500)); \ + __ret_500 = __builtin_shufflevector(__ret_500, __ret_500, 1, 0); \ + __ret_500; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_high_laneq_u16(__p0_501, __p1_501, __p2_501, __p3_501) __extension__ ({ \ + uint32x4_t __ret_501; \ + uint32x4_t __s0_501 = __p0_501; \ + uint16x8_t __s1_501 = __p1_501; \ + uint16x8_t __s2_501 = __p2_501; \ + __ret_501 = __s0_501 - vmull_u16(vget_high_u16(__s1_501), splat_laneq_u16(__s2_501, __p3_501)); \ + __ret_501; \ +}) +#else +#define vmlsl_high_laneq_u16(__p0_502, __p1_502, __p2_502, __p3_502) __extension__ ({ \ + uint32x4_t __ret_502; \ + uint32x4_t __s0_502 = __p0_502; \ + uint16x8_t __s1_502 = __p1_502; \ + uint16x8_t __s2_502 = __p2_502; \ + uint32x4_t __rev0_502; __rev0_502 = __builtin_shufflevector(__s0_502, __s0_502, 3, 2, 1, 0); \ + uint16x8_t __rev1_502; __rev1_502 = __builtin_shufflevector(__s1_502, __s1_502, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev2_502; __rev2_502 = __builtin_shufflevector(__s2_502, __s2_502, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_502 = __rev0_502 - __noswap_vmull_u16(__noswap_vget_high_u16(__rev1_502), __noswap_splat_laneq_u16(__rev2_502, __p3_502)); \ + __ret_502 = __builtin_shufflevector(__ret_502, __ret_502, 3, 2, 1, 0); \ + __ret_502; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_high_laneq_s32(__p0_503, __p1_503, __p2_503, __p3_503) __extension__ ({ \ + int64x2_t __ret_503; \ + int64x2_t __s0_503 = __p0_503; \ + int32x4_t __s1_503 = __p1_503; \ + int32x4_t __s2_503 = __p2_503; \ + __ret_503 = __s0_503 - vmull_s32(vget_high_s32(__s1_503), splat_laneq_s32(__s2_503, __p3_503)); \ + __ret_503; \ +}) +#else +#define vmlsl_high_laneq_s32(__p0_504, __p1_504, __p2_504, __p3_504) __extension__ ({ \ + int64x2_t __ret_504; \ + int64x2_t __s0_504 = __p0_504; \ + int32x4_t __s1_504 = __p1_504; \ + int32x4_t __s2_504 = __p2_504; \ + int64x2_t __rev0_504; __rev0_504 = __builtin_shufflevector(__s0_504, __s0_504, 1, 0); \ + int32x4_t __rev1_504; __rev1_504 = __builtin_shufflevector(__s1_504, __s1_504, 3, 2, 1, 0); \ + int32x4_t __rev2_504; __rev2_504 = __builtin_shufflevector(__s2_504, __s2_504, 3, 2, 1, 0); \ + __ret_504 = __rev0_504 - __noswap_vmull_s32(__noswap_vget_high_s32(__rev1_504), __noswap_splat_laneq_s32(__rev2_504, __p3_504)); \ + __ret_504 = __builtin_shufflevector(__ret_504, __ret_504, 1, 0); \ + __ret_504; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_high_laneq_s16(__p0_505, __p1_505, __p2_505, __p3_505) __extension__ ({ \ + int32x4_t __ret_505; \ + int32x4_t __s0_505 = __p0_505; \ + int16x8_t __s1_505 = __p1_505; \ + int16x8_t __s2_505 = __p2_505; \ + __ret_505 = __s0_505 - vmull_s16(vget_high_s16(__s1_505), splat_laneq_s16(__s2_505, __p3_505)); \ + __ret_505; \ +}) +#else +#define vmlsl_high_laneq_s16(__p0_506, __p1_506, __p2_506, __p3_506) __extension__ ({ \ + int32x4_t __ret_506; \ + int32x4_t __s0_506 = __p0_506; \ + int16x8_t __s1_506 = __p1_506; \ + int16x8_t __s2_506 = __p2_506; \ + int32x4_t __rev0_506; __rev0_506 = __builtin_shufflevector(__s0_506, __s0_506, 3, 2, 1, 0); \ + int16x8_t __rev1_506; __rev1_506 = __builtin_shufflevector(__s1_506, __s1_506, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev2_506; __rev2_506 = __builtin_shufflevector(__s2_506, __s2_506, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_506 = __rev0_506 - __noswap_vmull_s16(__noswap_vget_high_s16(__rev1_506), __noswap_splat_laneq_s16(__rev2_506, __p3_506)); \ + __ret_506 = __builtin_shufflevector(__ret_506, __ret_506, 3, 2, 1, 0); \ + __ret_506; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_laneq_u32(__p0_507, __p1_507, __p2_507, __p3_507) __extension__ ({ \ + uint64x2_t __ret_507; \ + uint64x2_t __s0_507 = __p0_507; \ + uint32x2_t __s1_507 = __p1_507; \ + uint32x4_t __s2_507 = __p2_507; \ + __ret_507 = __s0_507 - vmull_u32(__s1_507, splat_laneq_u32(__s2_507, __p3_507)); \ + __ret_507; \ +}) +#else +#define vmlsl_laneq_u32(__p0_508, __p1_508, __p2_508, __p3_508) __extension__ ({ \ + uint64x2_t __ret_508; \ + uint64x2_t __s0_508 = __p0_508; \ + uint32x2_t __s1_508 = __p1_508; \ + uint32x4_t __s2_508 = __p2_508; \ + uint64x2_t __rev0_508; __rev0_508 = __builtin_shufflevector(__s0_508, __s0_508, 1, 0); \ + uint32x2_t __rev1_508; __rev1_508 = __builtin_shufflevector(__s1_508, __s1_508, 1, 0); \ + uint32x4_t __rev2_508; __rev2_508 = __builtin_shufflevector(__s2_508, __s2_508, 3, 2, 1, 0); \ + __ret_508 = __rev0_508 - __noswap_vmull_u32(__rev1_508, __noswap_splat_laneq_u32(__rev2_508, __p3_508)); \ + __ret_508 = __builtin_shufflevector(__ret_508, __ret_508, 1, 0); \ + __ret_508; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_laneq_u16(__p0_509, __p1_509, __p2_509, __p3_509) __extension__ ({ \ + uint32x4_t __ret_509; \ + uint32x4_t __s0_509 = __p0_509; \ + uint16x4_t __s1_509 = __p1_509; \ + uint16x8_t __s2_509 = __p2_509; \ + __ret_509 = __s0_509 - vmull_u16(__s1_509, splat_laneq_u16(__s2_509, __p3_509)); \ + __ret_509; \ +}) +#else +#define vmlsl_laneq_u16(__p0_510, __p1_510, __p2_510, __p3_510) __extension__ ({ \ + uint32x4_t __ret_510; \ + uint32x4_t __s0_510 = __p0_510; \ + uint16x4_t __s1_510 = __p1_510; \ + uint16x8_t __s2_510 = __p2_510; \ + uint32x4_t __rev0_510; __rev0_510 = __builtin_shufflevector(__s0_510, __s0_510, 3, 2, 1, 0); \ + uint16x4_t __rev1_510; __rev1_510 = __builtin_shufflevector(__s1_510, __s1_510, 3, 2, 1, 0); \ + uint16x8_t __rev2_510; __rev2_510 = __builtin_shufflevector(__s2_510, __s2_510, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_510 = __rev0_510 - __noswap_vmull_u16(__rev1_510, __noswap_splat_laneq_u16(__rev2_510, __p3_510)); \ + __ret_510 = __builtin_shufflevector(__ret_510, __ret_510, 3, 2, 1, 0); \ + __ret_510; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_laneq_s32(__p0_511, __p1_511, __p2_511, __p3_511) __extension__ ({ \ + int64x2_t __ret_511; \ + int64x2_t __s0_511 = __p0_511; \ + int32x2_t __s1_511 = __p1_511; \ + int32x4_t __s2_511 = __p2_511; \ + __ret_511 = __s0_511 - vmull_s32(__s1_511, splat_laneq_s32(__s2_511, __p3_511)); \ + __ret_511; \ +}) +#else +#define vmlsl_laneq_s32(__p0_512, __p1_512, __p2_512, __p3_512) __extension__ ({ \ + int64x2_t __ret_512; \ + int64x2_t __s0_512 = __p0_512; \ + int32x2_t __s1_512 = __p1_512; \ + int32x4_t __s2_512 = __p2_512; \ + int64x2_t __rev0_512; __rev0_512 = __builtin_shufflevector(__s0_512, __s0_512, 1, 0); \ + int32x2_t __rev1_512; __rev1_512 = __builtin_shufflevector(__s1_512, __s1_512, 1, 0); \ + int32x4_t __rev2_512; __rev2_512 = __builtin_shufflevector(__s2_512, __s2_512, 3, 2, 1, 0); \ + __ret_512 = __rev0_512 - __noswap_vmull_s32(__rev1_512, __noswap_splat_laneq_s32(__rev2_512, __p3_512)); \ + __ret_512 = __builtin_shufflevector(__ret_512, __ret_512, 1, 0); \ + __ret_512; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_laneq_s16(__p0_513, __p1_513, __p2_513, __p3_513) __extension__ ({ \ + int32x4_t __ret_513; \ + int32x4_t __s0_513 = __p0_513; \ + int16x4_t __s1_513 = __p1_513; \ + int16x8_t __s2_513 = __p2_513; \ + __ret_513 = __s0_513 - vmull_s16(__s1_513, splat_laneq_s16(__s2_513, __p3_513)); \ + __ret_513; \ +}) +#else +#define vmlsl_laneq_s16(__p0_514, __p1_514, __p2_514, __p3_514) __extension__ ({ \ + int32x4_t __ret_514; \ + int32x4_t __s0_514 = __p0_514; \ + int16x4_t __s1_514 = __p1_514; \ + int16x8_t __s2_514 = __p2_514; \ + int32x4_t __rev0_514; __rev0_514 = __builtin_shufflevector(__s0_514, __s0_514, 3, 2, 1, 0); \ + int16x4_t __rev1_514; __rev1_514 = __builtin_shufflevector(__s1_514, __s1_514, 3, 2, 1, 0); \ + int16x8_t __rev2_514; __rev2_514 = __builtin_shufflevector(__s2_514, __s2_514, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_514 = __rev0_514 - __noswap_vmull_s16(__rev1_514, __noswap_splat_laneq_s16(__rev2_514, __p3_514)); \ + __ret_514 = __builtin_shufflevector(__ret_514, __ret_514, 3, 2, 1, 0); \ + __ret_514; \ +}) +#endif + +__ai poly64x1_t vmov_n_p64(poly64_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t) {__p0}; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai poly64x2_t vmovq_n_p64(poly64_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai poly64x2_t vmovq_n_p64(poly64_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vmovq_n_f64(float64_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) {__p0, __p0}; + return __ret; +} +#else +__ai float64x2_t vmovq_n_f64(float64_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) {__p0, __p0}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vmov_n_f64(float64_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) {__p0}; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmovl_high_u8(uint8x16_t __p0_515) { + uint16x8_t __ret_515; + uint8x8_t __a1_515 = vget_high_u8(__p0_515); + __ret_515 = (uint16x8_t)(vshll_n_u8(__a1_515, 0)); + return __ret_515; +} +#else +__ai uint16x8_t vmovl_high_u8(uint8x16_t __p0_516) { + uint16x8_t __ret_516; + uint8x16_t __rev0_516; __rev0_516 = __builtin_shufflevector(__p0_516, __p0_516, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __a1_516 = __noswap_vget_high_u8(__rev0_516); + __ret_516 = (uint16x8_t)(__noswap_vshll_n_u8(__a1_516, 0)); + __ret_516 = __builtin_shufflevector(__ret_516, __ret_516, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret_516; +} +__ai uint16x8_t __noswap_vmovl_high_u8(uint8x16_t __p0_517) { + uint16x8_t __ret_517; + uint8x8_t __a1_517 = __noswap_vget_high_u8(__p0_517); + __ret_517 = (uint16x8_t)(__noswap_vshll_n_u8(__a1_517, 0)); + return __ret_517; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmovl_high_u32(uint32x4_t __p0_518) { + uint64x2_t __ret_518; + uint32x2_t __a1_518 = vget_high_u32(__p0_518); + __ret_518 = (uint64x2_t)(vshll_n_u32(__a1_518, 0)); + return __ret_518; +} +#else +__ai uint64x2_t vmovl_high_u32(uint32x4_t __p0_519) { + uint64x2_t __ret_519; + uint32x4_t __rev0_519; __rev0_519 = __builtin_shufflevector(__p0_519, __p0_519, 3, 2, 1, 0); + uint32x2_t __a1_519 = __noswap_vget_high_u32(__rev0_519); + __ret_519 = (uint64x2_t)(__noswap_vshll_n_u32(__a1_519, 0)); + __ret_519 = __builtin_shufflevector(__ret_519, __ret_519, 1, 0); + return __ret_519; +} +__ai uint64x2_t __noswap_vmovl_high_u32(uint32x4_t __p0_520) { + uint64x2_t __ret_520; + uint32x2_t __a1_520 = __noswap_vget_high_u32(__p0_520); + __ret_520 = (uint64x2_t)(__noswap_vshll_n_u32(__a1_520, 0)); + return __ret_520; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmovl_high_u16(uint16x8_t __p0_521) { + uint32x4_t __ret_521; + uint16x4_t __a1_521 = vget_high_u16(__p0_521); + __ret_521 = (uint32x4_t)(vshll_n_u16(__a1_521, 0)); + return __ret_521; +} +#else +__ai uint32x4_t vmovl_high_u16(uint16x8_t __p0_522) { + uint32x4_t __ret_522; + uint16x8_t __rev0_522; __rev0_522 = __builtin_shufflevector(__p0_522, __p0_522, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x4_t __a1_522 = __noswap_vget_high_u16(__rev0_522); + __ret_522 = (uint32x4_t)(__noswap_vshll_n_u16(__a1_522, 0)); + __ret_522 = __builtin_shufflevector(__ret_522, __ret_522, 3, 2, 1, 0); + return __ret_522; +} +__ai uint32x4_t __noswap_vmovl_high_u16(uint16x8_t __p0_523) { + uint32x4_t __ret_523; + uint16x4_t __a1_523 = __noswap_vget_high_u16(__p0_523); + __ret_523 = (uint32x4_t)(__noswap_vshll_n_u16(__a1_523, 0)); + return __ret_523; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmovl_high_s8(int8x16_t __p0_524) { + int16x8_t __ret_524; + int8x8_t __a1_524 = vget_high_s8(__p0_524); + __ret_524 = (int16x8_t)(vshll_n_s8(__a1_524, 0)); + return __ret_524; +} +#else +__ai int16x8_t vmovl_high_s8(int8x16_t __p0_525) { + int16x8_t __ret_525; + int8x16_t __rev0_525; __rev0_525 = __builtin_shufflevector(__p0_525, __p0_525, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __a1_525 = __noswap_vget_high_s8(__rev0_525); + __ret_525 = (int16x8_t)(__noswap_vshll_n_s8(__a1_525, 0)); + __ret_525 = __builtin_shufflevector(__ret_525, __ret_525, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret_525; +} +__ai int16x8_t __noswap_vmovl_high_s8(int8x16_t __p0_526) { + int16x8_t __ret_526; + int8x8_t __a1_526 = __noswap_vget_high_s8(__p0_526); + __ret_526 = (int16x8_t)(__noswap_vshll_n_s8(__a1_526, 0)); + return __ret_526; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmovl_high_s32(int32x4_t __p0_527) { + int64x2_t __ret_527; + int32x2_t __a1_527 = vget_high_s32(__p0_527); + __ret_527 = (int64x2_t)(vshll_n_s32(__a1_527, 0)); + return __ret_527; +} +#else +__ai int64x2_t vmovl_high_s32(int32x4_t __p0_528) { + int64x2_t __ret_528; + int32x4_t __rev0_528; __rev0_528 = __builtin_shufflevector(__p0_528, __p0_528, 3, 2, 1, 0); + int32x2_t __a1_528 = __noswap_vget_high_s32(__rev0_528); + __ret_528 = (int64x2_t)(__noswap_vshll_n_s32(__a1_528, 0)); + __ret_528 = __builtin_shufflevector(__ret_528, __ret_528, 1, 0); + return __ret_528; +} +__ai int64x2_t __noswap_vmovl_high_s32(int32x4_t __p0_529) { + int64x2_t __ret_529; + int32x2_t __a1_529 = __noswap_vget_high_s32(__p0_529); + __ret_529 = (int64x2_t)(__noswap_vshll_n_s32(__a1_529, 0)); + return __ret_529; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmovl_high_s16(int16x8_t __p0_530) { + int32x4_t __ret_530; + int16x4_t __a1_530 = vget_high_s16(__p0_530); + __ret_530 = (int32x4_t)(vshll_n_s16(__a1_530, 0)); + return __ret_530; +} +#else +__ai int32x4_t vmovl_high_s16(int16x8_t __p0_531) { + int32x4_t __ret_531; + int16x8_t __rev0_531; __rev0_531 = __builtin_shufflevector(__p0_531, __p0_531, 7, 6, 5, 4, 3, 2, 1, 0); + int16x4_t __a1_531 = __noswap_vget_high_s16(__rev0_531); + __ret_531 = (int32x4_t)(__noswap_vshll_n_s16(__a1_531, 0)); + __ret_531 = __builtin_shufflevector(__ret_531, __ret_531, 3, 2, 1, 0); + return __ret_531; +} +__ai int32x4_t __noswap_vmovl_high_s16(int16x8_t __p0_532) { + int32x4_t __ret_532; + int16x4_t __a1_532 = __noswap_vget_high_s16(__p0_532); + __ret_532 = (int32x4_t)(__noswap_vshll_n_s16(__a1_532, 0)); + return __ret_532; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmovn_high_u32(uint16x4_t __p0, uint32x4_t __p1) { + uint16x8_t __ret; + __ret = vcombine_u16(__p0, vmovn_u32(__p1)); + return __ret; +} +#else +__ai uint16x8_t vmovn_high_u32(uint16x4_t __p0, uint32x4_t __p1) { + uint16x8_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vcombine_u16(__rev0, __noswap_vmovn_u32(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmovn_high_u64(uint32x2_t __p0, uint64x2_t __p1) { + uint32x4_t __ret; + __ret = vcombine_u32(__p0, vmovn_u64(__p1)); + return __ret; +} +#else +__ai uint32x4_t vmovn_high_u64(uint32x2_t __p0, uint64x2_t __p1) { + uint32x4_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vcombine_u32(__rev0, __noswap_vmovn_u64(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vmovn_high_u16(uint8x8_t __p0, uint16x8_t __p1) { + uint8x16_t __ret; + __ret = vcombine_u8(__p0, vmovn_u16(__p1)); + return __ret; +} +#else +__ai uint8x16_t vmovn_high_u16(uint8x8_t __p0, uint16x8_t __p1) { + uint8x16_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_u8(__rev0, __noswap_vmovn_u16(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmovn_high_s32(int16x4_t __p0, int32x4_t __p1) { + int16x8_t __ret; + __ret = vcombine_s16(__p0, vmovn_s32(__p1)); + return __ret; +} +#else +__ai int16x8_t vmovn_high_s32(int16x4_t __p0, int32x4_t __p1) { + int16x8_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vcombine_s16(__rev0, __noswap_vmovn_s32(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmovn_high_s64(int32x2_t __p0, int64x2_t __p1) { + int32x4_t __ret; + __ret = vcombine_s32(__p0, vmovn_s64(__p1)); + return __ret; +} +#else +__ai int32x4_t vmovn_high_s64(int32x2_t __p0, int64x2_t __p1) { + int32x4_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vcombine_s32(__rev0, __noswap_vmovn_s64(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vmovn_high_s16(int8x8_t __p0, int16x8_t __p1) { + int8x16_t __ret; + __ret = vcombine_s8(__p0, vmovn_s16(__p1)); + return __ret; +} +#else +__ai int8x16_t vmovn_high_s16(int8x8_t __p0, int16x8_t __p1) { + int8x16_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_s8(__rev0, __noswap_vmovn_s16(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vmulq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#else +__ai float64x2_t vmulq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 * __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vmul_f64(float64x1_t __p0, float64x1_t __p1) { + float64x1_t __ret; + __ret = __p0 * __p1; + return __ret; +} +#define vmuld_lane_f64(__p0_533, __p1_533, __p2_533) __extension__ ({ \ + float64_t __ret_533; \ + float64_t __s0_533 = __p0_533; \ + float64x1_t __s1_533 = __p1_533; \ + __ret_533 = __s0_533 * vget_lane_f64(__s1_533, __p2_533); \ + __ret_533; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vmuls_lane_f32(__p0_534, __p1_534, __p2_534) __extension__ ({ \ + float32_t __ret_534; \ + float32_t __s0_534 = __p0_534; \ + float32x2_t __s1_534 = __p1_534; \ + __ret_534 = __s0_534 * vget_lane_f32(__s1_534, __p2_534); \ + __ret_534; \ +}) +#else +#define vmuls_lane_f32(__p0_535, __p1_535, __p2_535) __extension__ ({ \ + float32_t __ret_535; \ + float32_t __s0_535 = __p0_535; \ + float32x2_t __s1_535 = __p1_535; \ + float32x2_t __rev1_535; __rev1_535 = __builtin_shufflevector(__s1_535, __s1_535, 1, 0); \ + __ret_535 = __s0_535 * __noswap_vget_lane_f32(__rev1_535, __p2_535); \ + __ret_535; \ +}) +#endif + +#define vmul_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1_t __ret; \ + float64x1_t __s0 = __p0; \ + float64x1_t __s1 = __p1; \ + __ret = (float64x1_t) __builtin_neon_vmul_lane_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 10); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vmulq_lane_f64(__p0_536, __p1_536, __p2_536) __extension__ ({ \ + float64x2_t __ret_536; \ + float64x2_t __s0_536 = __p0_536; \ + float64x1_t __s1_536 = __p1_536; \ + __ret_536 = __s0_536 * splatq_lane_f64(__s1_536, __p2_536); \ + __ret_536; \ +}) +#else +#define vmulq_lane_f64(__p0_537, __p1_537, __p2_537) __extension__ ({ \ + float64x2_t __ret_537; \ + float64x2_t __s0_537 = __p0_537; \ + float64x1_t __s1_537 = __p1_537; \ + float64x2_t __rev0_537; __rev0_537 = __builtin_shufflevector(__s0_537, __s0_537, 1, 0); \ + __ret_537 = __rev0_537 * __noswap_splatq_lane_f64(__s1_537, __p2_537); \ + __ret_537 = __builtin_shufflevector(__ret_537, __ret_537, 1, 0); \ + __ret_537; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmuld_laneq_f64(__p0_538, __p1_538, __p2_538) __extension__ ({ \ + float64_t __ret_538; \ + float64_t __s0_538 = __p0_538; \ + float64x2_t __s1_538 = __p1_538; \ + __ret_538 = __s0_538 * vgetq_lane_f64(__s1_538, __p2_538); \ + __ret_538; \ +}) +#else +#define vmuld_laneq_f64(__p0_539, __p1_539, __p2_539) __extension__ ({ \ + float64_t __ret_539; \ + float64_t __s0_539 = __p0_539; \ + float64x2_t __s1_539 = __p1_539; \ + float64x2_t __rev1_539; __rev1_539 = __builtin_shufflevector(__s1_539, __s1_539, 1, 0); \ + __ret_539 = __s0_539 * __noswap_vgetq_lane_f64(__rev1_539, __p2_539); \ + __ret_539; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmuls_laneq_f32(__p0_540, __p1_540, __p2_540) __extension__ ({ \ + float32_t __ret_540; \ + float32_t __s0_540 = __p0_540; \ + float32x4_t __s1_540 = __p1_540; \ + __ret_540 = __s0_540 * vgetq_lane_f32(__s1_540, __p2_540); \ + __ret_540; \ +}) +#else +#define vmuls_laneq_f32(__p0_541, __p1_541, __p2_541) __extension__ ({ \ + float32_t __ret_541; \ + float32_t __s0_541 = __p0_541; \ + float32x4_t __s1_541 = __p1_541; \ + float32x4_t __rev1_541; __rev1_541 = __builtin_shufflevector(__s1_541, __s1_541, 3, 2, 1, 0); \ + __ret_541 = __s0_541 * __noswap_vgetq_lane_f32(__rev1_541, __p2_541); \ + __ret_541; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_laneq_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1_t __ret; \ + float64x1_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + __ret = (float64x1_t) __builtin_neon_vmul_laneq_v((int8x8_t)__s0, (int8x16_t)__s1, __p2, 10); \ + __ret; \ +}) +#else +#define vmul_laneq_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1_t __ret; \ + float64x1_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (float64x1_t) __builtin_neon_vmul_laneq_v((int8x8_t)__s0, (int8x16_t)__rev1, __p2, 10); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_laneq_u32(__p0_542, __p1_542, __p2_542) __extension__ ({ \ + uint32x4_t __ret_542; \ + uint32x4_t __s0_542 = __p0_542; \ + uint32x4_t __s1_542 = __p1_542; \ + __ret_542 = __s0_542 * splatq_laneq_u32(__s1_542, __p2_542); \ + __ret_542; \ +}) +#else +#define vmulq_laneq_u32(__p0_543, __p1_543, __p2_543) __extension__ ({ \ + uint32x4_t __ret_543; \ + uint32x4_t __s0_543 = __p0_543; \ + uint32x4_t __s1_543 = __p1_543; \ + uint32x4_t __rev0_543; __rev0_543 = __builtin_shufflevector(__s0_543, __s0_543, 3, 2, 1, 0); \ + uint32x4_t __rev1_543; __rev1_543 = __builtin_shufflevector(__s1_543, __s1_543, 3, 2, 1, 0); \ + __ret_543 = __rev0_543 * __noswap_splatq_laneq_u32(__rev1_543, __p2_543); \ + __ret_543 = __builtin_shufflevector(__ret_543, __ret_543, 3, 2, 1, 0); \ + __ret_543; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_laneq_u16(__p0_544, __p1_544, __p2_544) __extension__ ({ \ + uint16x8_t __ret_544; \ + uint16x8_t __s0_544 = __p0_544; \ + uint16x8_t __s1_544 = __p1_544; \ + __ret_544 = __s0_544 * splatq_laneq_u16(__s1_544, __p2_544); \ + __ret_544; \ +}) +#else +#define vmulq_laneq_u16(__p0_545, __p1_545, __p2_545) __extension__ ({ \ + uint16x8_t __ret_545; \ + uint16x8_t __s0_545 = __p0_545; \ + uint16x8_t __s1_545 = __p1_545; \ + uint16x8_t __rev0_545; __rev0_545 = __builtin_shufflevector(__s0_545, __s0_545, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1_545; __rev1_545 = __builtin_shufflevector(__s1_545, __s1_545, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_545 = __rev0_545 * __noswap_splatq_laneq_u16(__rev1_545, __p2_545); \ + __ret_545 = __builtin_shufflevector(__ret_545, __ret_545, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_545; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_laneq_f64(__p0_546, __p1_546, __p2_546) __extension__ ({ \ + float64x2_t __ret_546; \ + float64x2_t __s0_546 = __p0_546; \ + float64x2_t __s1_546 = __p1_546; \ + __ret_546 = __s0_546 * splatq_laneq_f64(__s1_546, __p2_546); \ + __ret_546; \ +}) +#else +#define vmulq_laneq_f64(__p0_547, __p1_547, __p2_547) __extension__ ({ \ + float64x2_t __ret_547; \ + float64x2_t __s0_547 = __p0_547; \ + float64x2_t __s1_547 = __p1_547; \ + float64x2_t __rev0_547; __rev0_547 = __builtin_shufflevector(__s0_547, __s0_547, 1, 0); \ + float64x2_t __rev1_547; __rev1_547 = __builtin_shufflevector(__s1_547, __s1_547, 1, 0); \ + __ret_547 = __rev0_547 * __noswap_splatq_laneq_f64(__rev1_547, __p2_547); \ + __ret_547 = __builtin_shufflevector(__ret_547, __ret_547, 1, 0); \ + __ret_547; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_laneq_f32(__p0_548, __p1_548, __p2_548) __extension__ ({ \ + float32x4_t __ret_548; \ + float32x4_t __s0_548 = __p0_548; \ + float32x4_t __s1_548 = __p1_548; \ + __ret_548 = __s0_548 * splatq_laneq_f32(__s1_548, __p2_548); \ + __ret_548; \ +}) +#else +#define vmulq_laneq_f32(__p0_549, __p1_549, __p2_549) __extension__ ({ \ + float32x4_t __ret_549; \ + float32x4_t __s0_549 = __p0_549; \ + float32x4_t __s1_549 = __p1_549; \ + float32x4_t __rev0_549; __rev0_549 = __builtin_shufflevector(__s0_549, __s0_549, 3, 2, 1, 0); \ + float32x4_t __rev1_549; __rev1_549 = __builtin_shufflevector(__s1_549, __s1_549, 3, 2, 1, 0); \ + __ret_549 = __rev0_549 * __noswap_splatq_laneq_f32(__rev1_549, __p2_549); \ + __ret_549 = __builtin_shufflevector(__ret_549, __ret_549, 3, 2, 1, 0); \ + __ret_549; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_laneq_s32(__p0_550, __p1_550, __p2_550) __extension__ ({ \ + int32x4_t __ret_550; \ + int32x4_t __s0_550 = __p0_550; \ + int32x4_t __s1_550 = __p1_550; \ + __ret_550 = __s0_550 * splatq_laneq_s32(__s1_550, __p2_550); \ + __ret_550; \ +}) +#else +#define vmulq_laneq_s32(__p0_551, __p1_551, __p2_551) __extension__ ({ \ + int32x4_t __ret_551; \ + int32x4_t __s0_551 = __p0_551; \ + int32x4_t __s1_551 = __p1_551; \ + int32x4_t __rev0_551; __rev0_551 = __builtin_shufflevector(__s0_551, __s0_551, 3, 2, 1, 0); \ + int32x4_t __rev1_551; __rev1_551 = __builtin_shufflevector(__s1_551, __s1_551, 3, 2, 1, 0); \ + __ret_551 = __rev0_551 * __noswap_splatq_laneq_s32(__rev1_551, __p2_551); \ + __ret_551 = __builtin_shufflevector(__ret_551, __ret_551, 3, 2, 1, 0); \ + __ret_551; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_laneq_s16(__p0_552, __p1_552, __p2_552) __extension__ ({ \ + int16x8_t __ret_552; \ + int16x8_t __s0_552 = __p0_552; \ + int16x8_t __s1_552 = __p1_552; \ + __ret_552 = __s0_552 * splatq_laneq_s16(__s1_552, __p2_552); \ + __ret_552; \ +}) +#else +#define vmulq_laneq_s16(__p0_553, __p1_553, __p2_553) __extension__ ({ \ + int16x8_t __ret_553; \ + int16x8_t __s0_553 = __p0_553; \ + int16x8_t __s1_553 = __p1_553; \ + int16x8_t __rev0_553; __rev0_553 = __builtin_shufflevector(__s0_553, __s0_553, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_553; __rev1_553 = __builtin_shufflevector(__s1_553, __s1_553, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_553 = __rev0_553 * __noswap_splatq_laneq_s16(__rev1_553, __p2_553); \ + __ret_553 = __builtin_shufflevector(__ret_553, __ret_553, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_553; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_laneq_u32(__p0_554, __p1_554, __p2_554) __extension__ ({ \ + uint32x2_t __ret_554; \ + uint32x2_t __s0_554 = __p0_554; \ + uint32x4_t __s1_554 = __p1_554; \ + __ret_554 = __s0_554 * splat_laneq_u32(__s1_554, __p2_554); \ + __ret_554; \ +}) +#else +#define vmul_laneq_u32(__p0_555, __p1_555, __p2_555) __extension__ ({ \ + uint32x2_t __ret_555; \ + uint32x2_t __s0_555 = __p0_555; \ + uint32x4_t __s1_555 = __p1_555; \ + uint32x2_t __rev0_555; __rev0_555 = __builtin_shufflevector(__s0_555, __s0_555, 1, 0); \ + uint32x4_t __rev1_555; __rev1_555 = __builtin_shufflevector(__s1_555, __s1_555, 3, 2, 1, 0); \ + __ret_555 = __rev0_555 * __noswap_splat_laneq_u32(__rev1_555, __p2_555); \ + __ret_555 = __builtin_shufflevector(__ret_555, __ret_555, 1, 0); \ + __ret_555; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_laneq_u16(__p0_556, __p1_556, __p2_556) __extension__ ({ \ + uint16x4_t __ret_556; \ + uint16x4_t __s0_556 = __p0_556; \ + uint16x8_t __s1_556 = __p1_556; \ + __ret_556 = __s0_556 * splat_laneq_u16(__s1_556, __p2_556); \ + __ret_556; \ +}) +#else +#define vmul_laneq_u16(__p0_557, __p1_557, __p2_557) __extension__ ({ \ + uint16x4_t __ret_557; \ + uint16x4_t __s0_557 = __p0_557; \ + uint16x8_t __s1_557 = __p1_557; \ + uint16x4_t __rev0_557; __rev0_557 = __builtin_shufflevector(__s0_557, __s0_557, 3, 2, 1, 0); \ + uint16x8_t __rev1_557; __rev1_557 = __builtin_shufflevector(__s1_557, __s1_557, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_557 = __rev0_557 * __noswap_splat_laneq_u16(__rev1_557, __p2_557); \ + __ret_557 = __builtin_shufflevector(__ret_557, __ret_557, 3, 2, 1, 0); \ + __ret_557; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_laneq_f32(__p0_558, __p1_558, __p2_558) __extension__ ({ \ + float32x2_t __ret_558; \ + float32x2_t __s0_558 = __p0_558; \ + float32x4_t __s1_558 = __p1_558; \ + __ret_558 = __s0_558 * splat_laneq_f32(__s1_558, __p2_558); \ + __ret_558; \ +}) +#else +#define vmul_laneq_f32(__p0_559, __p1_559, __p2_559) __extension__ ({ \ + float32x2_t __ret_559; \ + float32x2_t __s0_559 = __p0_559; \ + float32x4_t __s1_559 = __p1_559; \ + float32x2_t __rev0_559; __rev0_559 = __builtin_shufflevector(__s0_559, __s0_559, 1, 0); \ + float32x4_t __rev1_559; __rev1_559 = __builtin_shufflevector(__s1_559, __s1_559, 3, 2, 1, 0); \ + __ret_559 = __rev0_559 * __noswap_splat_laneq_f32(__rev1_559, __p2_559); \ + __ret_559 = __builtin_shufflevector(__ret_559, __ret_559, 1, 0); \ + __ret_559; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_laneq_s32(__p0_560, __p1_560, __p2_560) __extension__ ({ \ + int32x2_t __ret_560; \ + int32x2_t __s0_560 = __p0_560; \ + int32x4_t __s1_560 = __p1_560; \ + __ret_560 = __s0_560 * splat_laneq_s32(__s1_560, __p2_560); \ + __ret_560; \ +}) +#else +#define vmul_laneq_s32(__p0_561, __p1_561, __p2_561) __extension__ ({ \ + int32x2_t __ret_561; \ + int32x2_t __s0_561 = __p0_561; \ + int32x4_t __s1_561 = __p1_561; \ + int32x2_t __rev0_561; __rev0_561 = __builtin_shufflevector(__s0_561, __s0_561, 1, 0); \ + int32x4_t __rev1_561; __rev1_561 = __builtin_shufflevector(__s1_561, __s1_561, 3, 2, 1, 0); \ + __ret_561 = __rev0_561 * __noswap_splat_laneq_s32(__rev1_561, __p2_561); \ + __ret_561 = __builtin_shufflevector(__ret_561, __ret_561, 1, 0); \ + __ret_561; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_laneq_s16(__p0_562, __p1_562, __p2_562) __extension__ ({ \ + int16x4_t __ret_562; \ + int16x4_t __s0_562 = __p0_562; \ + int16x8_t __s1_562 = __p1_562; \ + __ret_562 = __s0_562 * splat_laneq_s16(__s1_562, __p2_562); \ + __ret_562; \ +}) +#else +#define vmul_laneq_s16(__p0_563, __p1_563, __p2_563) __extension__ ({ \ + int16x4_t __ret_563; \ + int16x4_t __s0_563 = __p0_563; \ + int16x8_t __s1_563 = __p1_563; \ + int16x4_t __rev0_563; __rev0_563 = __builtin_shufflevector(__s0_563, __s0_563, 3, 2, 1, 0); \ + int16x8_t __rev1_563; __rev1_563 = __builtin_shufflevector(__s1_563, __s1_563, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_563 = __rev0_563 * __noswap_splat_laneq_s16(__rev1_563, __p2_563); \ + __ret_563 = __builtin_shufflevector(__ret_563, __ret_563, 3, 2, 1, 0); \ + __ret_563; \ +}) +#endif + +__ai float64x1_t vmul_n_f64(float64x1_t __p0, float64_t __p1) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vmul_n_f64((float64x1_t)__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vmulq_n_f64(float64x2_t __p0, float64_t __p1) { + float64x2_t __ret; + __ret = __p0 * (float64x2_t) {__p1, __p1}; + return __ret; +} +#else +__ai float64x2_t vmulq_n_f64(float64x2_t __p0, float64_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = __rev0 * (float64x2_t) {__p1, __p1}; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vmull_high_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly16x8_t __ret; + __ret = vmull_p8(vget_high_p8(__p0), vget_high_p8(__p1)); + return __ret; +} +#else +__ai poly16x8_t vmull_high_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly16x8_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmull_p8(__noswap_vget_high_p8(__rev0), __noswap_vget_high_p8(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmull_high_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + __ret = vmull_u8(vget_high_u8(__p0), vget_high_u8(__p1)); + return __ret; +} +#else +__ai uint16x8_t vmull_high_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmull_u8(__noswap_vget_high_u8(__rev0), __noswap_vget_high_u8(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmull_high_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + __ret = vmull_u32(vget_high_u32(__p0), vget_high_u32(__p1)); + return __ret; +} +#else +__ai uint64x2_t vmull_high_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmull_u32(__noswap_vget_high_u32(__rev0), __noswap_vget_high_u32(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmull_high_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + __ret = vmull_u16(vget_high_u16(__p0), vget_high_u16(__p1)); + return __ret; +} +#else +__ai uint32x4_t vmull_high_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmull_u16(__noswap_vget_high_u16(__rev0), __noswap_vget_high_u16(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmull_high_s8(int8x16_t __p0, int8x16_t __p1) { + int16x8_t __ret; + __ret = vmull_s8(vget_high_s8(__p0), vget_high_s8(__p1)); + return __ret; +} +#else +__ai int16x8_t vmull_high_s8(int8x16_t __p0, int8x16_t __p1) { + int16x8_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmull_s8(__noswap_vget_high_s8(__rev0), __noswap_vget_high_s8(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmull_high_s32(int32x4_t __p0, int32x4_t __p1) { + int64x2_t __ret; + __ret = vmull_s32(vget_high_s32(__p0), vget_high_s32(__p1)); + return __ret; +} +#else +__ai int64x2_t vmull_high_s32(int32x4_t __p0, int32x4_t __p1) { + int64x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmull_s32(__noswap_vget_high_s32(__rev0), __noswap_vget_high_s32(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmull_high_s16(int16x8_t __p0, int16x8_t __p1) { + int32x4_t __ret; + __ret = vmull_s16(vget_high_s16(__p0), vget_high_s16(__p1)); + return __ret; +} +#else +__ai int32x4_t vmull_high_s16(int16x8_t __p0, int16x8_t __p1) { + int32x4_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmull_s16(__noswap_vget_high_s16(__rev0), __noswap_vget_high_s16(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_high_lane_u32(__p0_564, __p1_564, __p2_564) __extension__ ({ \ + uint64x2_t __ret_564; \ + uint32x4_t __s0_564 = __p0_564; \ + uint32x2_t __s1_564 = __p1_564; \ + __ret_564 = vmull_u32(vget_high_u32(__s0_564), splat_lane_u32(__s1_564, __p2_564)); \ + __ret_564; \ +}) +#else +#define vmull_high_lane_u32(__p0_565, __p1_565, __p2_565) __extension__ ({ \ + uint64x2_t __ret_565; \ + uint32x4_t __s0_565 = __p0_565; \ + uint32x2_t __s1_565 = __p1_565; \ + uint32x4_t __rev0_565; __rev0_565 = __builtin_shufflevector(__s0_565, __s0_565, 3, 2, 1, 0); \ + uint32x2_t __rev1_565; __rev1_565 = __builtin_shufflevector(__s1_565, __s1_565, 1, 0); \ + __ret_565 = __noswap_vmull_u32(__noswap_vget_high_u32(__rev0_565), __noswap_splat_lane_u32(__rev1_565, __p2_565)); \ + __ret_565 = __builtin_shufflevector(__ret_565, __ret_565, 1, 0); \ + __ret_565; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_high_lane_u16(__p0_566, __p1_566, __p2_566) __extension__ ({ \ + uint32x4_t __ret_566; \ + uint16x8_t __s0_566 = __p0_566; \ + uint16x4_t __s1_566 = __p1_566; \ + __ret_566 = vmull_u16(vget_high_u16(__s0_566), splat_lane_u16(__s1_566, __p2_566)); \ + __ret_566; \ +}) +#else +#define vmull_high_lane_u16(__p0_567, __p1_567, __p2_567) __extension__ ({ \ + uint32x4_t __ret_567; \ + uint16x8_t __s0_567 = __p0_567; \ + uint16x4_t __s1_567 = __p1_567; \ + uint16x8_t __rev0_567; __rev0_567 = __builtin_shufflevector(__s0_567, __s0_567, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x4_t __rev1_567; __rev1_567 = __builtin_shufflevector(__s1_567, __s1_567, 3, 2, 1, 0); \ + __ret_567 = __noswap_vmull_u16(__noswap_vget_high_u16(__rev0_567), __noswap_splat_lane_u16(__rev1_567, __p2_567)); \ + __ret_567 = __builtin_shufflevector(__ret_567, __ret_567, 3, 2, 1, 0); \ + __ret_567; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_high_lane_s32(__p0_568, __p1_568, __p2_568) __extension__ ({ \ + int64x2_t __ret_568; \ + int32x4_t __s0_568 = __p0_568; \ + int32x2_t __s1_568 = __p1_568; \ + __ret_568 = vmull_s32(vget_high_s32(__s0_568), splat_lane_s32(__s1_568, __p2_568)); \ + __ret_568; \ +}) +#else +#define vmull_high_lane_s32(__p0_569, __p1_569, __p2_569) __extension__ ({ \ + int64x2_t __ret_569; \ + int32x4_t __s0_569 = __p0_569; \ + int32x2_t __s1_569 = __p1_569; \ + int32x4_t __rev0_569; __rev0_569 = __builtin_shufflevector(__s0_569, __s0_569, 3, 2, 1, 0); \ + int32x2_t __rev1_569; __rev1_569 = __builtin_shufflevector(__s1_569, __s1_569, 1, 0); \ + __ret_569 = __noswap_vmull_s32(__noswap_vget_high_s32(__rev0_569), __noswap_splat_lane_s32(__rev1_569, __p2_569)); \ + __ret_569 = __builtin_shufflevector(__ret_569, __ret_569, 1, 0); \ + __ret_569; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_high_lane_s16(__p0_570, __p1_570, __p2_570) __extension__ ({ \ + int32x4_t __ret_570; \ + int16x8_t __s0_570 = __p0_570; \ + int16x4_t __s1_570 = __p1_570; \ + __ret_570 = vmull_s16(vget_high_s16(__s0_570), splat_lane_s16(__s1_570, __p2_570)); \ + __ret_570; \ +}) +#else +#define vmull_high_lane_s16(__p0_571, __p1_571, __p2_571) __extension__ ({ \ + int32x4_t __ret_571; \ + int16x8_t __s0_571 = __p0_571; \ + int16x4_t __s1_571 = __p1_571; \ + int16x8_t __rev0_571; __rev0_571 = __builtin_shufflevector(__s0_571, __s0_571, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev1_571; __rev1_571 = __builtin_shufflevector(__s1_571, __s1_571, 3, 2, 1, 0); \ + __ret_571 = __noswap_vmull_s16(__noswap_vget_high_s16(__rev0_571), __noswap_splat_lane_s16(__rev1_571, __p2_571)); \ + __ret_571 = __builtin_shufflevector(__ret_571, __ret_571, 3, 2, 1, 0); \ + __ret_571; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_high_laneq_u32(__p0_572, __p1_572, __p2_572) __extension__ ({ \ + uint64x2_t __ret_572; \ + uint32x4_t __s0_572 = __p0_572; \ + uint32x4_t __s1_572 = __p1_572; \ + __ret_572 = vmull_u32(vget_high_u32(__s0_572), splat_laneq_u32(__s1_572, __p2_572)); \ + __ret_572; \ +}) +#else +#define vmull_high_laneq_u32(__p0_573, __p1_573, __p2_573) __extension__ ({ \ + uint64x2_t __ret_573; \ + uint32x4_t __s0_573 = __p0_573; \ + uint32x4_t __s1_573 = __p1_573; \ + uint32x4_t __rev0_573; __rev0_573 = __builtin_shufflevector(__s0_573, __s0_573, 3, 2, 1, 0); \ + uint32x4_t __rev1_573; __rev1_573 = __builtin_shufflevector(__s1_573, __s1_573, 3, 2, 1, 0); \ + __ret_573 = __noswap_vmull_u32(__noswap_vget_high_u32(__rev0_573), __noswap_splat_laneq_u32(__rev1_573, __p2_573)); \ + __ret_573 = __builtin_shufflevector(__ret_573, __ret_573, 1, 0); \ + __ret_573; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_high_laneq_u16(__p0_574, __p1_574, __p2_574) __extension__ ({ \ + uint32x4_t __ret_574; \ + uint16x8_t __s0_574 = __p0_574; \ + uint16x8_t __s1_574 = __p1_574; \ + __ret_574 = vmull_u16(vget_high_u16(__s0_574), splat_laneq_u16(__s1_574, __p2_574)); \ + __ret_574; \ +}) +#else +#define vmull_high_laneq_u16(__p0_575, __p1_575, __p2_575) __extension__ ({ \ + uint32x4_t __ret_575; \ + uint16x8_t __s0_575 = __p0_575; \ + uint16x8_t __s1_575 = __p1_575; \ + uint16x8_t __rev0_575; __rev0_575 = __builtin_shufflevector(__s0_575, __s0_575, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1_575; __rev1_575 = __builtin_shufflevector(__s1_575, __s1_575, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_575 = __noswap_vmull_u16(__noswap_vget_high_u16(__rev0_575), __noswap_splat_laneq_u16(__rev1_575, __p2_575)); \ + __ret_575 = __builtin_shufflevector(__ret_575, __ret_575, 3, 2, 1, 0); \ + __ret_575; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_high_laneq_s32(__p0_576, __p1_576, __p2_576) __extension__ ({ \ + int64x2_t __ret_576; \ + int32x4_t __s0_576 = __p0_576; \ + int32x4_t __s1_576 = __p1_576; \ + __ret_576 = vmull_s32(vget_high_s32(__s0_576), splat_laneq_s32(__s1_576, __p2_576)); \ + __ret_576; \ +}) +#else +#define vmull_high_laneq_s32(__p0_577, __p1_577, __p2_577) __extension__ ({ \ + int64x2_t __ret_577; \ + int32x4_t __s0_577 = __p0_577; \ + int32x4_t __s1_577 = __p1_577; \ + int32x4_t __rev0_577; __rev0_577 = __builtin_shufflevector(__s0_577, __s0_577, 3, 2, 1, 0); \ + int32x4_t __rev1_577; __rev1_577 = __builtin_shufflevector(__s1_577, __s1_577, 3, 2, 1, 0); \ + __ret_577 = __noswap_vmull_s32(__noswap_vget_high_s32(__rev0_577), __noswap_splat_laneq_s32(__rev1_577, __p2_577)); \ + __ret_577 = __builtin_shufflevector(__ret_577, __ret_577, 1, 0); \ + __ret_577; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_high_laneq_s16(__p0_578, __p1_578, __p2_578) __extension__ ({ \ + int32x4_t __ret_578; \ + int16x8_t __s0_578 = __p0_578; \ + int16x8_t __s1_578 = __p1_578; \ + __ret_578 = vmull_s16(vget_high_s16(__s0_578), splat_laneq_s16(__s1_578, __p2_578)); \ + __ret_578; \ +}) +#else +#define vmull_high_laneq_s16(__p0_579, __p1_579, __p2_579) __extension__ ({ \ + int32x4_t __ret_579; \ + int16x8_t __s0_579 = __p0_579; \ + int16x8_t __s1_579 = __p1_579; \ + int16x8_t __rev0_579; __rev0_579 = __builtin_shufflevector(__s0_579, __s0_579, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_579; __rev1_579 = __builtin_shufflevector(__s1_579, __s1_579, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_579 = __noswap_vmull_s16(__noswap_vget_high_s16(__rev0_579), __noswap_splat_laneq_s16(__rev1_579, __p2_579)); \ + __ret_579 = __builtin_shufflevector(__ret_579, __ret_579, 3, 2, 1, 0); \ + __ret_579; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmull_high_n_u32(uint32x4_t __p0, uint32_t __p1) { + uint64x2_t __ret; + __ret = vmull_n_u32(vget_high_u32(__p0), __p1); + return __ret; +} +#else +__ai uint64x2_t vmull_high_n_u32(uint32x4_t __p0, uint32_t __p1) { + uint64x2_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vmull_n_u32(__noswap_vget_high_u32(__rev0), __p1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmull_high_n_u16(uint16x8_t __p0, uint16_t __p1) { + uint32x4_t __ret; + __ret = vmull_n_u16(vget_high_u16(__p0), __p1); + return __ret; +} +#else +__ai uint32x4_t vmull_high_n_u16(uint16x8_t __p0, uint16_t __p1) { + uint32x4_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmull_n_u16(__noswap_vget_high_u16(__rev0), __p1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmull_high_n_s32(int32x4_t __p0, int32_t __p1) { + int64x2_t __ret; + __ret = vmull_n_s32(vget_high_s32(__p0), __p1); + return __ret; +} +#else +__ai int64x2_t vmull_high_n_s32(int32x4_t __p0, int32_t __p1) { + int64x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vmull_n_s32(__noswap_vget_high_s32(__rev0), __p1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmull_high_n_s16(int16x8_t __p0, int16_t __p1) { + int32x4_t __ret; + __ret = vmull_n_s16(vget_high_s16(__p0), __p1); + return __ret; +} +#else +__ai int32x4_t vmull_high_n_s16(int16x8_t __p0, int16_t __p1) { + int32x4_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmull_n_s16(__noswap_vget_high_s16(__rev0), __p1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_laneq_u32(__p0_580, __p1_580, __p2_580) __extension__ ({ \ + uint64x2_t __ret_580; \ + uint32x2_t __s0_580 = __p0_580; \ + uint32x4_t __s1_580 = __p1_580; \ + __ret_580 = vmull_u32(__s0_580, splat_laneq_u32(__s1_580, __p2_580)); \ + __ret_580; \ +}) +#else +#define vmull_laneq_u32(__p0_581, __p1_581, __p2_581) __extension__ ({ \ + uint64x2_t __ret_581; \ + uint32x2_t __s0_581 = __p0_581; \ + uint32x4_t __s1_581 = __p1_581; \ + uint32x2_t __rev0_581; __rev0_581 = __builtin_shufflevector(__s0_581, __s0_581, 1, 0); \ + uint32x4_t __rev1_581; __rev1_581 = __builtin_shufflevector(__s1_581, __s1_581, 3, 2, 1, 0); \ + __ret_581 = __noswap_vmull_u32(__rev0_581, __noswap_splat_laneq_u32(__rev1_581, __p2_581)); \ + __ret_581 = __builtin_shufflevector(__ret_581, __ret_581, 1, 0); \ + __ret_581; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_laneq_u16(__p0_582, __p1_582, __p2_582) __extension__ ({ \ + uint32x4_t __ret_582; \ + uint16x4_t __s0_582 = __p0_582; \ + uint16x8_t __s1_582 = __p1_582; \ + __ret_582 = vmull_u16(__s0_582, splat_laneq_u16(__s1_582, __p2_582)); \ + __ret_582; \ +}) +#else +#define vmull_laneq_u16(__p0_583, __p1_583, __p2_583) __extension__ ({ \ + uint32x4_t __ret_583; \ + uint16x4_t __s0_583 = __p0_583; \ + uint16x8_t __s1_583 = __p1_583; \ + uint16x4_t __rev0_583; __rev0_583 = __builtin_shufflevector(__s0_583, __s0_583, 3, 2, 1, 0); \ + uint16x8_t __rev1_583; __rev1_583 = __builtin_shufflevector(__s1_583, __s1_583, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_583 = __noswap_vmull_u16(__rev0_583, __noswap_splat_laneq_u16(__rev1_583, __p2_583)); \ + __ret_583 = __builtin_shufflevector(__ret_583, __ret_583, 3, 2, 1, 0); \ + __ret_583; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_laneq_s32(__p0_584, __p1_584, __p2_584) __extension__ ({ \ + int64x2_t __ret_584; \ + int32x2_t __s0_584 = __p0_584; \ + int32x4_t __s1_584 = __p1_584; \ + __ret_584 = vmull_s32(__s0_584, splat_laneq_s32(__s1_584, __p2_584)); \ + __ret_584; \ +}) +#else +#define vmull_laneq_s32(__p0_585, __p1_585, __p2_585) __extension__ ({ \ + int64x2_t __ret_585; \ + int32x2_t __s0_585 = __p0_585; \ + int32x4_t __s1_585 = __p1_585; \ + int32x2_t __rev0_585; __rev0_585 = __builtin_shufflevector(__s0_585, __s0_585, 1, 0); \ + int32x4_t __rev1_585; __rev1_585 = __builtin_shufflevector(__s1_585, __s1_585, 3, 2, 1, 0); \ + __ret_585 = __noswap_vmull_s32(__rev0_585, __noswap_splat_laneq_s32(__rev1_585, __p2_585)); \ + __ret_585 = __builtin_shufflevector(__ret_585, __ret_585, 1, 0); \ + __ret_585; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmull_laneq_s16(__p0_586, __p1_586, __p2_586) __extension__ ({ \ + int32x4_t __ret_586; \ + int16x4_t __s0_586 = __p0_586; \ + int16x8_t __s1_586 = __p1_586; \ + __ret_586 = vmull_s16(__s0_586, splat_laneq_s16(__s1_586, __p2_586)); \ + __ret_586; \ +}) +#else +#define vmull_laneq_s16(__p0_587, __p1_587, __p2_587) __extension__ ({ \ + int32x4_t __ret_587; \ + int16x4_t __s0_587 = __p0_587; \ + int16x8_t __s1_587 = __p1_587; \ + int16x4_t __rev0_587; __rev0_587 = __builtin_shufflevector(__s0_587, __s0_587, 3, 2, 1, 0); \ + int16x8_t __rev1_587; __rev1_587 = __builtin_shufflevector(__s1_587, __s1_587, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_587 = __noswap_vmull_s16(__rev0_587, __noswap_splat_laneq_s16(__rev1_587, __p2_587)); \ + __ret_587 = __builtin_shufflevector(__ret_587, __ret_587, 3, 2, 1, 0); \ + __ret_587; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vmulxq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vmulxq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vmulxq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vmulxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai float64x2_t __noswap_vmulxq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vmulxq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vmulxq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vmulxq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vmulxq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vmulxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai float32x4_t __noswap_vmulxq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vmulxq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#endif + +__ai float64x1_t vmulx_f64(float64x1_t __p0, float64x1_t __p1) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vmulx_v((int8x8_t)__p0, (int8x8_t)__p1, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vmulx_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vmulx_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vmulx_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vmulx_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai float32x2_t __noswap_vmulx_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vmulx_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#endif + +__ai float64_t vmulxd_f64(float64_t __p0, float64_t __p1) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vmulxd_f64(__p0, __p1); + return __ret; +} +__ai float32_t vmulxs_f32(float32_t __p0, float32_t __p1) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vmulxs_f32(__p0, __p1); + return __ret; +} +#define vmulxd_lane_f64(__p0_588, __p1_588, __p2_588) __extension__ ({ \ + float64_t __ret_588; \ + float64_t __s0_588 = __p0_588; \ + float64x1_t __s1_588 = __p1_588; \ + __ret_588 = vmulxd_f64(__s0_588, vget_lane_f64(__s1_588, __p2_588)); \ + __ret_588; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vmulxs_lane_f32(__p0_589, __p1_589, __p2_589) __extension__ ({ \ + float32_t __ret_589; \ + float32_t __s0_589 = __p0_589; \ + float32x2_t __s1_589 = __p1_589; \ + __ret_589 = vmulxs_f32(__s0_589, vget_lane_f32(__s1_589, __p2_589)); \ + __ret_589; \ +}) +#else +#define vmulxs_lane_f32(__p0_590, __p1_590, __p2_590) __extension__ ({ \ + float32_t __ret_590; \ + float32_t __s0_590 = __p0_590; \ + float32x2_t __s1_590 = __p1_590; \ + float32x2_t __rev1_590; __rev1_590 = __builtin_shufflevector(__s1_590, __s1_590, 1, 0); \ + __ret_590 = vmulxs_f32(__s0_590, __noswap_vget_lane_f32(__rev1_590, __p2_590)); \ + __ret_590; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulxq_lane_f64(__p0_591, __p1_591, __p2_591) __extension__ ({ \ + float64x2_t __ret_591; \ + float64x2_t __s0_591 = __p0_591; \ + float64x1_t __s1_591 = __p1_591; \ + __ret_591 = vmulxq_f64(__s0_591, splatq_lane_f64(__s1_591, __p2_591)); \ + __ret_591; \ +}) +#else +#define vmulxq_lane_f64(__p0_592, __p1_592, __p2_592) __extension__ ({ \ + float64x2_t __ret_592; \ + float64x2_t __s0_592 = __p0_592; \ + float64x1_t __s1_592 = __p1_592; \ + float64x2_t __rev0_592; __rev0_592 = __builtin_shufflevector(__s0_592, __s0_592, 1, 0); \ + __ret_592 = __noswap_vmulxq_f64(__rev0_592, __noswap_splatq_lane_f64(__s1_592, __p2_592)); \ + __ret_592 = __builtin_shufflevector(__ret_592, __ret_592, 1, 0); \ + __ret_592; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulxq_lane_f32(__p0_593, __p1_593, __p2_593) __extension__ ({ \ + float32x4_t __ret_593; \ + float32x4_t __s0_593 = __p0_593; \ + float32x2_t __s1_593 = __p1_593; \ + __ret_593 = vmulxq_f32(__s0_593, splatq_lane_f32(__s1_593, __p2_593)); \ + __ret_593; \ +}) +#else +#define vmulxq_lane_f32(__p0_594, __p1_594, __p2_594) __extension__ ({ \ + float32x4_t __ret_594; \ + float32x4_t __s0_594 = __p0_594; \ + float32x2_t __s1_594 = __p1_594; \ + float32x4_t __rev0_594; __rev0_594 = __builtin_shufflevector(__s0_594, __s0_594, 3, 2, 1, 0); \ + float32x2_t __rev1_594; __rev1_594 = __builtin_shufflevector(__s1_594, __s1_594, 1, 0); \ + __ret_594 = __noswap_vmulxq_f32(__rev0_594, __noswap_splatq_lane_f32(__rev1_594, __p2_594)); \ + __ret_594 = __builtin_shufflevector(__ret_594, __ret_594, 3, 2, 1, 0); \ + __ret_594; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulx_lane_f32(__p0_595, __p1_595, __p2_595) __extension__ ({ \ + float32x2_t __ret_595; \ + float32x2_t __s0_595 = __p0_595; \ + float32x2_t __s1_595 = __p1_595; \ + __ret_595 = vmulx_f32(__s0_595, splat_lane_f32(__s1_595, __p2_595)); \ + __ret_595; \ +}) +#else +#define vmulx_lane_f32(__p0_596, __p1_596, __p2_596) __extension__ ({ \ + float32x2_t __ret_596; \ + float32x2_t __s0_596 = __p0_596; \ + float32x2_t __s1_596 = __p1_596; \ + float32x2_t __rev0_596; __rev0_596 = __builtin_shufflevector(__s0_596, __s0_596, 1, 0); \ + float32x2_t __rev1_596; __rev1_596 = __builtin_shufflevector(__s1_596, __s1_596, 1, 0); \ + __ret_596 = __noswap_vmulx_f32(__rev0_596, __noswap_splat_lane_f32(__rev1_596, __p2_596)); \ + __ret_596 = __builtin_shufflevector(__ret_596, __ret_596, 1, 0); \ + __ret_596; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulxd_laneq_f64(__p0_597, __p1_597, __p2_597) __extension__ ({ \ + float64_t __ret_597; \ + float64_t __s0_597 = __p0_597; \ + float64x2_t __s1_597 = __p1_597; \ + __ret_597 = vmulxd_f64(__s0_597, vgetq_lane_f64(__s1_597, __p2_597)); \ + __ret_597; \ +}) +#else +#define vmulxd_laneq_f64(__p0_598, __p1_598, __p2_598) __extension__ ({ \ + float64_t __ret_598; \ + float64_t __s0_598 = __p0_598; \ + float64x2_t __s1_598 = __p1_598; \ + float64x2_t __rev1_598; __rev1_598 = __builtin_shufflevector(__s1_598, __s1_598, 1, 0); \ + __ret_598 = vmulxd_f64(__s0_598, __noswap_vgetq_lane_f64(__rev1_598, __p2_598)); \ + __ret_598; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulxs_laneq_f32(__p0_599, __p1_599, __p2_599) __extension__ ({ \ + float32_t __ret_599; \ + float32_t __s0_599 = __p0_599; \ + float32x4_t __s1_599 = __p1_599; \ + __ret_599 = vmulxs_f32(__s0_599, vgetq_lane_f32(__s1_599, __p2_599)); \ + __ret_599; \ +}) +#else +#define vmulxs_laneq_f32(__p0_600, __p1_600, __p2_600) __extension__ ({ \ + float32_t __ret_600; \ + float32_t __s0_600 = __p0_600; \ + float32x4_t __s1_600 = __p1_600; \ + float32x4_t __rev1_600; __rev1_600 = __builtin_shufflevector(__s1_600, __s1_600, 3, 2, 1, 0); \ + __ret_600 = vmulxs_f32(__s0_600, __noswap_vgetq_lane_f32(__rev1_600, __p2_600)); \ + __ret_600; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulxq_laneq_f64(__p0_601, __p1_601, __p2_601) __extension__ ({ \ + float64x2_t __ret_601; \ + float64x2_t __s0_601 = __p0_601; \ + float64x2_t __s1_601 = __p1_601; \ + __ret_601 = vmulxq_f64(__s0_601, splatq_laneq_f64(__s1_601, __p2_601)); \ + __ret_601; \ +}) +#else +#define vmulxq_laneq_f64(__p0_602, __p1_602, __p2_602) __extension__ ({ \ + float64x2_t __ret_602; \ + float64x2_t __s0_602 = __p0_602; \ + float64x2_t __s1_602 = __p1_602; \ + float64x2_t __rev0_602; __rev0_602 = __builtin_shufflevector(__s0_602, __s0_602, 1, 0); \ + float64x2_t __rev1_602; __rev1_602 = __builtin_shufflevector(__s1_602, __s1_602, 1, 0); \ + __ret_602 = __noswap_vmulxq_f64(__rev0_602, __noswap_splatq_laneq_f64(__rev1_602, __p2_602)); \ + __ret_602 = __builtin_shufflevector(__ret_602, __ret_602, 1, 0); \ + __ret_602; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulxq_laneq_f32(__p0_603, __p1_603, __p2_603) __extension__ ({ \ + float32x4_t __ret_603; \ + float32x4_t __s0_603 = __p0_603; \ + float32x4_t __s1_603 = __p1_603; \ + __ret_603 = vmulxq_f32(__s0_603, splatq_laneq_f32(__s1_603, __p2_603)); \ + __ret_603; \ +}) +#else +#define vmulxq_laneq_f32(__p0_604, __p1_604, __p2_604) __extension__ ({ \ + float32x4_t __ret_604; \ + float32x4_t __s0_604 = __p0_604; \ + float32x4_t __s1_604 = __p1_604; \ + float32x4_t __rev0_604; __rev0_604 = __builtin_shufflevector(__s0_604, __s0_604, 3, 2, 1, 0); \ + float32x4_t __rev1_604; __rev1_604 = __builtin_shufflevector(__s1_604, __s1_604, 3, 2, 1, 0); \ + __ret_604 = __noswap_vmulxq_f32(__rev0_604, __noswap_splatq_laneq_f32(__rev1_604, __p2_604)); \ + __ret_604 = __builtin_shufflevector(__ret_604, __ret_604, 3, 2, 1, 0); \ + __ret_604; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulx_laneq_f32(__p0_605, __p1_605, __p2_605) __extension__ ({ \ + float32x2_t __ret_605; \ + float32x2_t __s0_605 = __p0_605; \ + float32x4_t __s1_605 = __p1_605; \ + __ret_605 = vmulx_f32(__s0_605, splat_laneq_f32(__s1_605, __p2_605)); \ + __ret_605; \ +}) +#else +#define vmulx_laneq_f32(__p0_606, __p1_606, __p2_606) __extension__ ({ \ + float32x2_t __ret_606; \ + float32x2_t __s0_606 = __p0_606; \ + float32x4_t __s1_606 = __p1_606; \ + float32x2_t __rev0_606; __rev0_606 = __builtin_shufflevector(__s0_606, __s0_606, 1, 0); \ + float32x4_t __rev1_606; __rev1_606 = __builtin_shufflevector(__s1_606, __s1_606, 3, 2, 1, 0); \ + __ret_606 = __noswap_vmulx_f32(__rev0_606, __noswap_splat_laneq_f32(__rev1_606, __p2_606)); \ + __ret_606 = __builtin_shufflevector(__ret_606, __ret_606, 1, 0); \ + __ret_606; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vnegq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = -__p0; + return __ret; +} +#else +__ai float64x2_t vnegq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = -__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vnegq_s64(int64x2_t __p0) { + int64x2_t __ret; + __ret = -__p0; + return __ret; +} +#else +__ai int64x2_t vnegq_s64(int64x2_t __p0) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = -__rev0; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vneg_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = -__p0; + return __ret; +} +__ai int64x1_t vneg_s64(int64x1_t __p0) { + int64x1_t __ret; + __ret = -__p0; + return __ret; +} +__ai int64_t vnegd_s64(int64_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vnegd_s64(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vpaddq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vpaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vpaddq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vpaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vpaddq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vpaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vpaddq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vpaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vpaddq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vpaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vpaddq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vpaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vpaddq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vpaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vpaddq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vpaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vpaddq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vpaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vpaddq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vpaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vpaddq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vpaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vpaddq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vpaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vpaddq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vpaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vpaddq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vpaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vpaddq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vpaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vpaddq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vpaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vpaddq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vpaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 35); + return __ret; +} +#else +__ai int64x2_t vpaddq_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int64x2_t) __builtin_neon_vpaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vpaddq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vpaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vpaddq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vpaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64_t vpaddd_u64(uint64x2_t __p0) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vpaddd_u64(__p0); + return __ret; +} +#else +__ai uint64_t vpaddd_u64(uint64x2_t __p0) { + uint64_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (uint64_t) __builtin_neon_vpaddd_u64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64_t vpaddd_f64(float64x2_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vpaddd_f64(__p0); + return __ret; +} +#else +__ai float64_t vpaddd_f64(float64x2_t __p0) { + float64_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64_t) __builtin_neon_vpaddd_f64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64_t vpaddd_s64(int64x2_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vpaddd_s64(__p0); + return __ret; +} +#else +__ai int64_t vpaddd_s64(int64x2_t __p0) { + int64_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64_t) __builtin_neon_vpaddd_s64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vpadds_f32(float32x2_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vpadds_f32(__p0); + return __ret; +} +#else +__ai float32_t vpadds_f32(float32x2_t __p0) { + float32_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32_t) __builtin_neon_vpadds_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vpmaxq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vpmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vpmaxq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vpmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vpmaxq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vpmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vpmaxq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vpmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vpmaxq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vpmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vpmaxq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vpmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vpmaxq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vpmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vpmaxq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vpmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vpmaxq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vpmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vpmaxq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vpmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vpmaxq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vpmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vpmaxq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vpmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vpmaxq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vpmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vpmaxq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vpmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vpmaxq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vpmaxq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vpmaxq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vpmaxq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64_t vpmaxqd_f64(float64x2_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vpmaxqd_f64(__p0); + return __ret; +} +#else +__ai float64_t vpmaxqd_f64(float64x2_t __p0) { + float64_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64_t) __builtin_neon_vpmaxqd_f64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vpmaxs_f32(float32x2_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vpmaxs_f32(__p0); + return __ret; +} +#else +__ai float32_t vpmaxs_f32(float32x2_t __p0) { + float32_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32_t) __builtin_neon_vpmaxs_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vpmaxnmq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vpmaxnmq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vpmaxnmq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vpmaxnmq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vpmaxnmq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vpmaxnmq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vpmaxnmq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vpmaxnmq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vpmaxnm_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vpmaxnm_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vpmaxnm_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vpmaxnm_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64_t vpmaxnmqd_f64(float64x2_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vpmaxnmqd_f64(__p0); + return __ret; +} +#else +__ai float64_t vpmaxnmqd_f64(float64x2_t __p0) { + float64_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64_t) __builtin_neon_vpmaxnmqd_f64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vpmaxnms_f32(float32x2_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vpmaxnms_f32(__p0); + return __ret; +} +#else +__ai float32_t vpmaxnms_f32(float32x2_t __p0) { + float32_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32_t) __builtin_neon_vpmaxnms_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vpminq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vpminq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vpminq_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vpminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vpminq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vpminq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vpminq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vpminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vpminq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vpminq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vpminq_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vpminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vpminq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vpminq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vpminq_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vpminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vpminq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vpminq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vpminq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vpminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vpminq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vpminq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vpminq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vpminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vpminq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vpminq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vpminq_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vpminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vpminq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vpminq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vpminq_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vpminq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64_t vpminqd_f64(float64x2_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vpminqd_f64(__p0); + return __ret; +} +#else +__ai float64_t vpminqd_f64(float64x2_t __p0) { + float64_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64_t) __builtin_neon_vpminqd_f64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vpmins_f32(float32x2_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vpmins_f32(__p0); + return __ret; +} +#else +__ai float32_t vpmins_f32(float32x2_t __p0) { + float32_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32_t) __builtin_neon_vpmins_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vpminnmq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vpminnmq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vpminnmq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vpminnmq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vpminnmq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vpminnmq_v((int8x16_t)__p0, (int8x16_t)__p1, 41); + return __ret; +} +#else +__ai float32x4_t vpminnmq_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vpminnmq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vpminnm_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vpminnm_v((int8x8_t)__p0, (int8x8_t)__p1, 9); + return __ret; +} +#else +__ai float32x2_t vpminnm_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float32x2_t) __builtin_neon_vpminnm_v((int8x8_t)__rev0, (int8x8_t)__rev1, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64_t vpminnmqd_f64(float64x2_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vpminnmqd_f64(__p0); + return __ret; +} +#else +__ai float64_t vpminnmqd_f64(float64x2_t __p0) { + float64_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64_t) __builtin_neon_vpminnmqd_f64(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32_t vpminnms_f32(float32x2_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vpminnms_f32(__p0); + return __ret; +} +#else +__ai float32_t vpminnms_f32(float32x2_t __p0) { + float32_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32_t) __builtin_neon_vpminnms_f32(__rev0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqabsq_s64(int64x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vqabsq_v((int8x16_t)__p0, 35); + return __ret; +} +#else +__ai int64x2_t vqabsq_s64(int64x2_t __p0) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64x2_t) __builtin_neon_vqabsq_v((int8x16_t)__rev0, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vqabs_s64(int64x1_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vqabs_v((int8x8_t)__p0, 3); + return __ret; +} +__ai int8_t vqabsb_s8(int8_t __p0) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vqabsb_s8(__p0); + return __ret; +} +__ai int32_t vqabss_s32(int32_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqabss_s32(__p0); + return __ret; +} +__ai int64_t vqabsd_s64(int64_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vqabsd_s64(__p0); + return __ret; +} +__ai int16_t vqabsh_s16(int16_t __p0) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vqabsh_s16(__p0); + return __ret; +} +__ai uint8_t vqaddb_u8(uint8_t __p0, uint8_t __p1) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vqaddb_u8(__p0, __p1); + return __ret; +} +__ai uint32_t vqadds_u32(uint32_t __p0, uint32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vqadds_u32(__p0, __p1); + return __ret; +} +__ai uint64_t vqaddd_u64(uint64_t __p0, uint64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vqaddd_u64(__p0, __p1); + return __ret; +} +__ai uint16_t vqaddh_u16(uint16_t __p0, uint16_t __p1) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vqaddh_u16(__p0, __p1); + return __ret; +} +__ai int8_t vqaddb_s8(int8_t __p0, int8_t __p1) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vqaddb_s8(__p0, __p1); + return __ret; +} +__ai int32_t vqadds_s32(int32_t __p0, int32_t __p1) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqadds_s32(__p0, __p1); + return __ret; +} +__ai int64_t vqaddd_s64(int64_t __p0, int64_t __p1) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vqaddd_s64(__p0, __p1); + return __ret; +} +__ai int16_t vqaddh_s16(int16_t __p0, int16_t __p1) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vqaddh_s16(__p0, __p1); + return __ret; +} +__ai int64_t vqdmlals_s32(int64_t __p0, int32_t __p1, int32_t __p2) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vqdmlals_s32(__p0, __p1, __p2); + return __ret; +} +__ai int32_t vqdmlalh_s16(int32_t __p0, int16_t __p1, int16_t __p2) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqdmlalh_s16(__p0, __p1, __p2); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqdmlal_high_s32(int64x2_t __p0, int32x4_t __p1, int32x4_t __p2) { + int64x2_t __ret; + __ret = vqdmlal_s32(__p0, vget_high_s32(__p1), vget_high_s32(__p2)); + return __ret; +} +#else +__ai int64x2_t vqdmlal_high_s32(int64x2_t __p0, int32x4_t __p1, int32x4_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vqdmlal_s32(__rev0, __noswap_vget_high_s32(__rev1), __noswap_vget_high_s32(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmlal_high_s16(int32x4_t __p0, int16x8_t __p1, int16x8_t __p2) { + int32x4_t __ret; + __ret = vqdmlal_s16(__p0, vget_high_s16(__p1), vget_high_s16(__p2)); + return __ret; +} +#else +__ai int32x4_t vqdmlal_high_s16(int32x4_t __p0, int16x8_t __p1, int16x8_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vqdmlal_s16(__rev0, __noswap_vget_high_s16(__rev1), __noswap_vget_high_s16(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlal_high_lane_s32(__p0_607, __p1_607, __p2_607, __p3_607) __extension__ ({ \ + int64x2_t __ret_607; \ + int64x2_t __s0_607 = __p0_607; \ + int32x4_t __s1_607 = __p1_607; \ + int32x2_t __s2_607 = __p2_607; \ + __ret_607 = vqdmlal_s32(__s0_607, vget_high_s32(__s1_607), splat_lane_s32(__s2_607, __p3_607)); \ + __ret_607; \ +}) +#else +#define vqdmlal_high_lane_s32(__p0_608, __p1_608, __p2_608, __p3_608) __extension__ ({ \ + int64x2_t __ret_608; \ + int64x2_t __s0_608 = __p0_608; \ + int32x4_t __s1_608 = __p1_608; \ + int32x2_t __s2_608 = __p2_608; \ + int64x2_t __rev0_608; __rev0_608 = __builtin_shufflevector(__s0_608, __s0_608, 1, 0); \ + int32x4_t __rev1_608; __rev1_608 = __builtin_shufflevector(__s1_608, __s1_608, 3, 2, 1, 0); \ + int32x2_t __rev2_608; __rev2_608 = __builtin_shufflevector(__s2_608, __s2_608, 1, 0); \ + __ret_608 = __noswap_vqdmlal_s32(__rev0_608, __noswap_vget_high_s32(__rev1_608), __noswap_splat_lane_s32(__rev2_608, __p3_608)); \ + __ret_608 = __builtin_shufflevector(__ret_608, __ret_608, 1, 0); \ + __ret_608; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlal_high_lane_s16(__p0_609, __p1_609, __p2_609, __p3_609) __extension__ ({ \ + int32x4_t __ret_609; \ + int32x4_t __s0_609 = __p0_609; \ + int16x8_t __s1_609 = __p1_609; \ + int16x4_t __s2_609 = __p2_609; \ + __ret_609 = vqdmlal_s16(__s0_609, vget_high_s16(__s1_609), splat_lane_s16(__s2_609, __p3_609)); \ + __ret_609; \ +}) +#else +#define vqdmlal_high_lane_s16(__p0_610, __p1_610, __p2_610, __p3_610) __extension__ ({ \ + int32x4_t __ret_610; \ + int32x4_t __s0_610 = __p0_610; \ + int16x8_t __s1_610 = __p1_610; \ + int16x4_t __s2_610 = __p2_610; \ + int32x4_t __rev0_610; __rev0_610 = __builtin_shufflevector(__s0_610, __s0_610, 3, 2, 1, 0); \ + int16x8_t __rev1_610; __rev1_610 = __builtin_shufflevector(__s1_610, __s1_610, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev2_610; __rev2_610 = __builtin_shufflevector(__s2_610, __s2_610, 3, 2, 1, 0); \ + __ret_610 = __noswap_vqdmlal_s16(__rev0_610, __noswap_vget_high_s16(__rev1_610), __noswap_splat_lane_s16(__rev2_610, __p3_610)); \ + __ret_610 = __builtin_shufflevector(__ret_610, __ret_610, 3, 2, 1, 0); \ + __ret_610; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlal_high_laneq_s32(__p0_611, __p1_611, __p2_611, __p3_611) __extension__ ({ \ + int64x2_t __ret_611; \ + int64x2_t __s0_611 = __p0_611; \ + int32x4_t __s1_611 = __p1_611; \ + int32x4_t __s2_611 = __p2_611; \ + __ret_611 = vqdmlal_s32(__s0_611, vget_high_s32(__s1_611), splat_laneq_s32(__s2_611, __p3_611)); \ + __ret_611; \ +}) +#else +#define vqdmlal_high_laneq_s32(__p0_612, __p1_612, __p2_612, __p3_612) __extension__ ({ \ + int64x2_t __ret_612; \ + int64x2_t __s0_612 = __p0_612; \ + int32x4_t __s1_612 = __p1_612; \ + int32x4_t __s2_612 = __p2_612; \ + int64x2_t __rev0_612; __rev0_612 = __builtin_shufflevector(__s0_612, __s0_612, 1, 0); \ + int32x4_t __rev1_612; __rev1_612 = __builtin_shufflevector(__s1_612, __s1_612, 3, 2, 1, 0); \ + int32x4_t __rev2_612; __rev2_612 = __builtin_shufflevector(__s2_612, __s2_612, 3, 2, 1, 0); \ + __ret_612 = __noswap_vqdmlal_s32(__rev0_612, __noswap_vget_high_s32(__rev1_612), __noswap_splat_laneq_s32(__rev2_612, __p3_612)); \ + __ret_612 = __builtin_shufflevector(__ret_612, __ret_612, 1, 0); \ + __ret_612; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlal_high_laneq_s16(__p0_613, __p1_613, __p2_613, __p3_613) __extension__ ({ \ + int32x4_t __ret_613; \ + int32x4_t __s0_613 = __p0_613; \ + int16x8_t __s1_613 = __p1_613; \ + int16x8_t __s2_613 = __p2_613; \ + __ret_613 = vqdmlal_s16(__s0_613, vget_high_s16(__s1_613), splat_laneq_s16(__s2_613, __p3_613)); \ + __ret_613; \ +}) +#else +#define vqdmlal_high_laneq_s16(__p0_614, __p1_614, __p2_614, __p3_614) __extension__ ({ \ + int32x4_t __ret_614; \ + int32x4_t __s0_614 = __p0_614; \ + int16x8_t __s1_614 = __p1_614; \ + int16x8_t __s2_614 = __p2_614; \ + int32x4_t __rev0_614; __rev0_614 = __builtin_shufflevector(__s0_614, __s0_614, 3, 2, 1, 0); \ + int16x8_t __rev1_614; __rev1_614 = __builtin_shufflevector(__s1_614, __s1_614, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev2_614; __rev2_614 = __builtin_shufflevector(__s2_614, __s2_614, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_614 = __noswap_vqdmlal_s16(__rev0_614, __noswap_vget_high_s16(__rev1_614), __noswap_splat_laneq_s16(__rev2_614, __p3_614)); \ + __ret_614 = __builtin_shufflevector(__ret_614, __ret_614, 3, 2, 1, 0); \ + __ret_614; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqdmlal_high_n_s32(int64x2_t __p0, int32x4_t __p1, int32_t __p2) { + int64x2_t __ret; + __ret = vqdmlal_n_s32(__p0, vget_high_s32(__p1), __p2); + return __ret; +} +#else +__ai int64x2_t vqdmlal_high_n_s32(int64x2_t __p0, int32x4_t __p1, int32_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vqdmlal_n_s32(__rev0, __noswap_vget_high_s32(__rev1), __p2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmlal_high_n_s16(int32x4_t __p0, int16x8_t __p1, int16_t __p2) { + int32x4_t __ret; + __ret = vqdmlal_n_s16(__p0, vget_high_s16(__p1), __p2); + return __ret; +} +#else +__ai int32x4_t vqdmlal_high_n_s16(int32x4_t __p0, int16x8_t __p1, int16_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vqdmlal_n_s16(__rev0, __noswap_vget_high_s16(__rev1), __p2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlals_lane_s32(__p0, __p1, __p2, __p3) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + int32_t __s1 = __p1; \ + int32x2_t __s2 = __p2; \ + __ret = (int64_t) __builtin_neon_vqdmlals_lane_s32(__s0, __s1, __s2, __p3); \ + __ret; \ +}) +#else +#define vqdmlals_lane_s32(__p0, __p1, __p2, __p3) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + int32_t __s1 = __p1; \ + int32x2_t __s2 = __p2; \ + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 1, 0); \ + __ret = (int64_t) __builtin_neon_vqdmlals_lane_s32(__s0, __s1, __rev2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlalh_lane_s16(__p0, __p1, __p2, __p3) __extension__ ({ \ + int32_t __ret; \ + int32_t __s0 = __p0; \ + int16_t __s1 = __p1; \ + int16x4_t __s2 = __p2; \ + __ret = (int32_t) __builtin_neon_vqdmlalh_lane_s16(__s0, __s1, __s2, __p3); \ + __ret; \ +}) +#else +#define vqdmlalh_lane_s16(__p0, __p1, __p2, __p3) __extension__ ({ \ + int32_t __ret; \ + int32_t __s0 = __p0; \ + int16_t __s1 = __p1; \ + int16x4_t __s2 = __p2; \ + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (int32_t) __builtin_neon_vqdmlalh_lane_s16(__s0, __s1, __rev2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlals_laneq_s32(__p0, __p1, __p2, __p3) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + int32_t __s1 = __p1; \ + int32x4_t __s2 = __p2; \ + __ret = (int64_t) __builtin_neon_vqdmlals_laneq_s32(__s0, __s1, __s2, __p3); \ + __ret; \ +}) +#else +#define vqdmlals_laneq_s32(__p0, __p1, __p2, __p3) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + int32_t __s1 = __p1; \ + int32x4_t __s2 = __p2; \ + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (int64_t) __builtin_neon_vqdmlals_laneq_s32(__s0, __s1, __rev2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlalh_laneq_s16(__p0, __p1, __p2, __p3) __extension__ ({ \ + int32_t __ret; \ + int32_t __s0 = __p0; \ + int16_t __s1 = __p1; \ + int16x8_t __s2 = __p2; \ + __ret = (int32_t) __builtin_neon_vqdmlalh_laneq_s16(__s0, __s1, __s2, __p3); \ + __ret; \ +}) +#else +#define vqdmlalh_laneq_s16(__p0, __p1, __p2, __p3) __extension__ ({ \ + int32_t __ret; \ + int32_t __s0 = __p0; \ + int16_t __s1 = __p1; \ + int16x8_t __s2 = __p2; \ + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int32_t) __builtin_neon_vqdmlalh_laneq_s16(__s0, __s1, __rev2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlal_laneq_s32(__p0_615, __p1_615, __p2_615, __p3_615) __extension__ ({ \ + int64x2_t __ret_615; \ + int64x2_t __s0_615 = __p0_615; \ + int32x2_t __s1_615 = __p1_615; \ + int32x4_t __s2_615 = __p2_615; \ + __ret_615 = vqdmlal_s32(__s0_615, __s1_615, splat_laneq_s32(__s2_615, __p3_615)); \ + __ret_615; \ +}) +#else +#define vqdmlal_laneq_s32(__p0_616, __p1_616, __p2_616, __p3_616) __extension__ ({ \ + int64x2_t __ret_616; \ + int64x2_t __s0_616 = __p0_616; \ + int32x2_t __s1_616 = __p1_616; \ + int32x4_t __s2_616 = __p2_616; \ + int64x2_t __rev0_616; __rev0_616 = __builtin_shufflevector(__s0_616, __s0_616, 1, 0); \ + int32x2_t __rev1_616; __rev1_616 = __builtin_shufflevector(__s1_616, __s1_616, 1, 0); \ + int32x4_t __rev2_616; __rev2_616 = __builtin_shufflevector(__s2_616, __s2_616, 3, 2, 1, 0); \ + __ret_616 = __noswap_vqdmlal_s32(__rev0_616, __rev1_616, __noswap_splat_laneq_s32(__rev2_616, __p3_616)); \ + __ret_616 = __builtin_shufflevector(__ret_616, __ret_616, 1, 0); \ + __ret_616; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlal_laneq_s16(__p0_617, __p1_617, __p2_617, __p3_617) __extension__ ({ \ + int32x4_t __ret_617; \ + int32x4_t __s0_617 = __p0_617; \ + int16x4_t __s1_617 = __p1_617; \ + int16x8_t __s2_617 = __p2_617; \ + __ret_617 = vqdmlal_s16(__s0_617, __s1_617, splat_laneq_s16(__s2_617, __p3_617)); \ + __ret_617; \ +}) +#else +#define vqdmlal_laneq_s16(__p0_618, __p1_618, __p2_618, __p3_618) __extension__ ({ \ + int32x4_t __ret_618; \ + int32x4_t __s0_618 = __p0_618; \ + int16x4_t __s1_618 = __p1_618; \ + int16x8_t __s2_618 = __p2_618; \ + int32x4_t __rev0_618; __rev0_618 = __builtin_shufflevector(__s0_618, __s0_618, 3, 2, 1, 0); \ + int16x4_t __rev1_618; __rev1_618 = __builtin_shufflevector(__s1_618, __s1_618, 3, 2, 1, 0); \ + int16x8_t __rev2_618; __rev2_618 = __builtin_shufflevector(__s2_618, __s2_618, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_618 = __noswap_vqdmlal_s16(__rev0_618, __rev1_618, __noswap_splat_laneq_s16(__rev2_618, __p3_618)); \ + __ret_618 = __builtin_shufflevector(__ret_618, __ret_618, 3, 2, 1, 0); \ + __ret_618; \ +}) +#endif + +__ai int64_t vqdmlsls_s32(int64_t __p0, int32_t __p1, int32_t __p2) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vqdmlsls_s32(__p0, __p1, __p2); + return __ret; +} +__ai int32_t vqdmlslh_s16(int32_t __p0, int16_t __p1, int16_t __p2) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqdmlslh_s16(__p0, __p1, __p2); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqdmlsl_high_s32(int64x2_t __p0, int32x4_t __p1, int32x4_t __p2) { + int64x2_t __ret; + __ret = vqdmlsl_s32(__p0, vget_high_s32(__p1), vget_high_s32(__p2)); + return __ret; +} +#else +__ai int64x2_t vqdmlsl_high_s32(int64x2_t __p0, int32x4_t __p1, int32x4_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vqdmlsl_s32(__rev0, __noswap_vget_high_s32(__rev1), __noswap_vget_high_s32(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmlsl_high_s16(int32x4_t __p0, int16x8_t __p1, int16x8_t __p2) { + int32x4_t __ret; + __ret = vqdmlsl_s16(__p0, vget_high_s16(__p1), vget_high_s16(__p2)); + return __ret; +} +#else +__ai int32x4_t vqdmlsl_high_s16(int32x4_t __p0, int16x8_t __p1, int16x8_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vqdmlsl_s16(__rev0, __noswap_vget_high_s16(__rev1), __noswap_vget_high_s16(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlsl_high_lane_s32(__p0_619, __p1_619, __p2_619, __p3_619) __extension__ ({ \ + int64x2_t __ret_619; \ + int64x2_t __s0_619 = __p0_619; \ + int32x4_t __s1_619 = __p1_619; \ + int32x2_t __s2_619 = __p2_619; \ + __ret_619 = vqdmlsl_s32(__s0_619, vget_high_s32(__s1_619), splat_lane_s32(__s2_619, __p3_619)); \ + __ret_619; \ +}) +#else +#define vqdmlsl_high_lane_s32(__p0_620, __p1_620, __p2_620, __p3_620) __extension__ ({ \ + int64x2_t __ret_620; \ + int64x2_t __s0_620 = __p0_620; \ + int32x4_t __s1_620 = __p1_620; \ + int32x2_t __s2_620 = __p2_620; \ + int64x2_t __rev0_620; __rev0_620 = __builtin_shufflevector(__s0_620, __s0_620, 1, 0); \ + int32x4_t __rev1_620; __rev1_620 = __builtin_shufflevector(__s1_620, __s1_620, 3, 2, 1, 0); \ + int32x2_t __rev2_620; __rev2_620 = __builtin_shufflevector(__s2_620, __s2_620, 1, 0); \ + __ret_620 = __noswap_vqdmlsl_s32(__rev0_620, __noswap_vget_high_s32(__rev1_620), __noswap_splat_lane_s32(__rev2_620, __p3_620)); \ + __ret_620 = __builtin_shufflevector(__ret_620, __ret_620, 1, 0); \ + __ret_620; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlsl_high_lane_s16(__p0_621, __p1_621, __p2_621, __p3_621) __extension__ ({ \ + int32x4_t __ret_621; \ + int32x4_t __s0_621 = __p0_621; \ + int16x8_t __s1_621 = __p1_621; \ + int16x4_t __s2_621 = __p2_621; \ + __ret_621 = vqdmlsl_s16(__s0_621, vget_high_s16(__s1_621), splat_lane_s16(__s2_621, __p3_621)); \ + __ret_621; \ +}) +#else +#define vqdmlsl_high_lane_s16(__p0_622, __p1_622, __p2_622, __p3_622) __extension__ ({ \ + int32x4_t __ret_622; \ + int32x4_t __s0_622 = __p0_622; \ + int16x8_t __s1_622 = __p1_622; \ + int16x4_t __s2_622 = __p2_622; \ + int32x4_t __rev0_622; __rev0_622 = __builtin_shufflevector(__s0_622, __s0_622, 3, 2, 1, 0); \ + int16x8_t __rev1_622; __rev1_622 = __builtin_shufflevector(__s1_622, __s1_622, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev2_622; __rev2_622 = __builtin_shufflevector(__s2_622, __s2_622, 3, 2, 1, 0); \ + __ret_622 = __noswap_vqdmlsl_s16(__rev0_622, __noswap_vget_high_s16(__rev1_622), __noswap_splat_lane_s16(__rev2_622, __p3_622)); \ + __ret_622 = __builtin_shufflevector(__ret_622, __ret_622, 3, 2, 1, 0); \ + __ret_622; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlsl_high_laneq_s32(__p0_623, __p1_623, __p2_623, __p3_623) __extension__ ({ \ + int64x2_t __ret_623; \ + int64x2_t __s0_623 = __p0_623; \ + int32x4_t __s1_623 = __p1_623; \ + int32x4_t __s2_623 = __p2_623; \ + __ret_623 = vqdmlsl_s32(__s0_623, vget_high_s32(__s1_623), splat_laneq_s32(__s2_623, __p3_623)); \ + __ret_623; \ +}) +#else +#define vqdmlsl_high_laneq_s32(__p0_624, __p1_624, __p2_624, __p3_624) __extension__ ({ \ + int64x2_t __ret_624; \ + int64x2_t __s0_624 = __p0_624; \ + int32x4_t __s1_624 = __p1_624; \ + int32x4_t __s2_624 = __p2_624; \ + int64x2_t __rev0_624; __rev0_624 = __builtin_shufflevector(__s0_624, __s0_624, 1, 0); \ + int32x4_t __rev1_624; __rev1_624 = __builtin_shufflevector(__s1_624, __s1_624, 3, 2, 1, 0); \ + int32x4_t __rev2_624; __rev2_624 = __builtin_shufflevector(__s2_624, __s2_624, 3, 2, 1, 0); \ + __ret_624 = __noswap_vqdmlsl_s32(__rev0_624, __noswap_vget_high_s32(__rev1_624), __noswap_splat_laneq_s32(__rev2_624, __p3_624)); \ + __ret_624 = __builtin_shufflevector(__ret_624, __ret_624, 1, 0); \ + __ret_624; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlsl_high_laneq_s16(__p0_625, __p1_625, __p2_625, __p3_625) __extension__ ({ \ + int32x4_t __ret_625; \ + int32x4_t __s0_625 = __p0_625; \ + int16x8_t __s1_625 = __p1_625; \ + int16x8_t __s2_625 = __p2_625; \ + __ret_625 = vqdmlsl_s16(__s0_625, vget_high_s16(__s1_625), splat_laneq_s16(__s2_625, __p3_625)); \ + __ret_625; \ +}) +#else +#define vqdmlsl_high_laneq_s16(__p0_626, __p1_626, __p2_626, __p3_626) __extension__ ({ \ + int32x4_t __ret_626; \ + int32x4_t __s0_626 = __p0_626; \ + int16x8_t __s1_626 = __p1_626; \ + int16x8_t __s2_626 = __p2_626; \ + int32x4_t __rev0_626; __rev0_626 = __builtin_shufflevector(__s0_626, __s0_626, 3, 2, 1, 0); \ + int16x8_t __rev1_626; __rev1_626 = __builtin_shufflevector(__s1_626, __s1_626, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev2_626; __rev2_626 = __builtin_shufflevector(__s2_626, __s2_626, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_626 = __noswap_vqdmlsl_s16(__rev0_626, __noswap_vget_high_s16(__rev1_626), __noswap_splat_laneq_s16(__rev2_626, __p3_626)); \ + __ret_626 = __builtin_shufflevector(__ret_626, __ret_626, 3, 2, 1, 0); \ + __ret_626; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqdmlsl_high_n_s32(int64x2_t __p0, int32x4_t __p1, int32_t __p2) { + int64x2_t __ret; + __ret = vqdmlsl_n_s32(__p0, vget_high_s32(__p1), __p2); + return __ret; +} +#else +__ai int64x2_t vqdmlsl_high_n_s32(int64x2_t __p0, int32x4_t __p1, int32_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vqdmlsl_n_s32(__rev0, __noswap_vget_high_s32(__rev1), __p2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmlsl_high_n_s16(int32x4_t __p0, int16x8_t __p1, int16_t __p2) { + int32x4_t __ret; + __ret = vqdmlsl_n_s16(__p0, vget_high_s16(__p1), __p2); + return __ret; +} +#else +__ai int32x4_t vqdmlsl_high_n_s16(int32x4_t __p0, int16x8_t __p1, int16_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vqdmlsl_n_s16(__rev0, __noswap_vget_high_s16(__rev1), __p2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlsls_lane_s32(__p0, __p1, __p2, __p3) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + int32_t __s1 = __p1; \ + int32x2_t __s2 = __p2; \ + __ret = (int64_t) __builtin_neon_vqdmlsls_lane_s32(__s0, __s1, __s2, __p3); \ + __ret; \ +}) +#else +#define vqdmlsls_lane_s32(__p0, __p1, __p2, __p3) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + int32_t __s1 = __p1; \ + int32x2_t __s2 = __p2; \ + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 1, 0); \ + __ret = (int64_t) __builtin_neon_vqdmlsls_lane_s32(__s0, __s1, __rev2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlslh_lane_s16(__p0, __p1, __p2, __p3) __extension__ ({ \ + int32_t __ret; \ + int32_t __s0 = __p0; \ + int16_t __s1 = __p1; \ + int16x4_t __s2 = __p2; \ + __ret = (int32_t) __builtin_neon_vqdmlslh_lane_s16(__s0, __s1, __s2, __p3); \ + __ret; \ +}) +#else +#define vqdmlslh_lane_s16(__p0, __p1, __p2, __p3) __extension__ ({ \ + int32_t __ret; \ + int32_t __s0 = __p0; \ + int16_t __s1 = __p1; \ + int16x4_t __s2 = __p2; \ + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (int32_t) __builtin_neon_vqdmlslh_lane_s16(__s0, __s1, __rev2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlsls_laneq_s32(__p0, __p1, __p2, __p3) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + int32_t __s1 = __p1; \ + int32x4_t __s2 = __p2; \ + __ret = (int64_t) __builtin_neon_vqdmlsls_laneq_s32(__s0, __s1, __s2, __p3); \ + __ret; \ +}) +#else +#define vqdmlsls_laneq_s32(__p0, __p1, __p2, __p3) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + int32_t __s1 = __p1; \ + int32x4_t __s2 = __p2; \ + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (int64_t) __builtin_neon_vqdmlsls_laneq_s32(__s0, __s1, __rev2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlslh_laneq_s16(__p0, __p1, __p2, __p3) __extension__ ({ \ + int32_t __ret; \ + int32_t __s0 = __p0; \ + int16_t __s1 = __p1; \ + int16x8_t __s2 = __p2; \ + __ret = (int32_t) __builtin_neon_vqdmlslh_laneq_s16(__s0, __s1, __s2, __p3); \ + __ret; \ +}) +#else +#define vqdmlslh_laneq_s16(__p0, __p1, __p2, __p3) __extension__ ({ \ + int32_t __ret; \ + int32_t __s0 = __p0; \ + int16_t __s1 = __p1; \ + int16x8_t __s2 = __p2; \ + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int32_t) __builtin_neon_vqdmlslh_laneq_s16(__s0, __s1, __rev2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlsl_laneq_s32(__p0_627, __p1_627, __p2_627, __p3_627) __extension__ ({ \ + int64x2_t __ret_627; \ + int64x2_t __s0_627 = __p0_627; \ + int32x2_t __s1_627 = __p1_627; \ + int32x4_t __s2_627 = __p2_627; \ + __ret_627 = vqdmlsl_s32(__s0_627, __s1_627, splat_laneq_s32(__s2_627, __p3_627)); \ + __ret_627; \ +}) +#else +#define vqdmlsl_laneq_s32(__p0_628, __p1_628, __p2_628, __p3_628) __extension__ ({ \ + int64x2_t __ret_628; \ + int64x2_t __s0_628 = __p0_628; \ + int32x2_t __s1_628 = __p1_628; \ + int32x4_t __s2_628 = __p2_628; \ + int64x2_t __rev0_628; __rev0_628 = __builtin_shufflevector(__s0_628, __s0_628, 1, 0); \ + int32x2_t __rev1_628; __rev1_628 = __builtin_shufflevector(__s1_628, __s1_628, 1, 0); \ + int32x4_t __rev2_628; __rev2_628 = __builtin_shufflevector(__s2_628, __s2_628, 3, 2, 1, 0); \ + __ret_628 = __noswap_vqdmlsl_s32(__rev0_628, __rev1_628, __noswap_splat_laneq_s32(__rev2_628, __p3_628)); \ + __ret_628 = __builtin_shufflevector(__ret_628, __ret_628, 1, 0); \ + __ret_628; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmlsl_laneq_s16(__p0_629, __p1_629, __p2_629, __p3_629) __extension__ ({ \ + int32x4_t __ret_629; \ + int32x4_t __s0_629 = __p0_629; \ + int16x4_t __s1_629 = __p1_629; \ + int16x8_t __s2_629 = __p2_629; \ + __ret_629 = vqdmlsl_s16(__s0_629, __s1_629, splat_laneq_s16(__s2_629, __p3_629)); \ + __ret_629; \ +}) +#else +#define vqdmlsl_laneq_s16(__p0_630, __p1_630, __p2_630, __p3_630) __extension__ ({ \ + int32x4_t __ret_630; \ + int32x4_t __s0_630 = __p0_630; \ + int16x4_t __s1_630 = __p1_630; \ + int16x8_t __s2_630 = __p2_630; \ + int32x4_t __rev0_630; __rev0_630 = __builtin_shufflevector(__s0_630, __s0_630, 3, 2, 1, 0); \ + int16x4_t __rev1_630; __rev1_630 = __builtin_shufflevector(__s1_630, __s1_630, 3, 2, 1, 0); \ + int16x8_t __rev2_630; __rev2_630 = __builtin_shufflevector(__s2_630, __s2_630, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_630 = __noswap_vqdmlsl_s16(__rev0_630, __rev1_630, __noswap_splat_laneq_s16(__rev2_630, __p3_630)); \ + __ret_630 = __builtin_shufflevector(__ret_630, __ret_630, 3, 2, 1, 0); \ + __ret_630; \ +}) +#endif + +__ai int32_t vqdmulhs_s32(int32_t __p0, int32_t __p1) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqdmulhs_s32(__p0, __p1); + return __ret; +} +__ai int16_t vqdmulhh_s16(int16_t __p0, int16_t __p1) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vqdmulhh_s16(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +#define vqdmulhq_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + __ret = (int32x4_t) __builtin_neon_vqdmulhq_lane_v((int8x16_t)__s0, (int8x8_t)__s1, __p2, 2); \ + __ret; \ +}) +#else +#define vqdmulhq_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vqdmulhq_lane_v((int8x16_t)__rev0, (int8x8_t)__rev1, __p2, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulhq_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + __ret = (int16x8_t) __builtin_neon_vqdmulhq_lane_v((int8x16_t)__s0, (int8x8_t)__s1, __p2, 1); \ + __ret; \ +}) +#else +#define vqdmulhq_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vqdmulhq_lane_v((int8x16_t)__rev0, (int8x8_t)__rev1, __p2, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulh_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + __ret = (int32x2_t) __builtin_neon_vqdmulh_lane_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 2); \ + __ret; \ +}) +#else +#define vqdmulh_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vqdmulh_lane_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulh_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + __ret = (int16x4_t) __builtin_neon_vqdmulh_lane_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 1); \ + __ret; \ +}) +#else +#define vqdmulh_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vqdmulh_lane_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulhs_lane_s32(__p0_631, __p1_631, __p2_631) __extension__ ({ \ + int32_t __ret_631; \ + int32_t __s0_631 = __p0_631; \ + int32x2_t __s1_631 = __p1_631; \ + __ret_631 = vqdmulhs_s32(__s0_631, vget_lane_s32(__s1_631, __p2_631)); \ + __ret_631; \ +}) +#else +#define vqdmulhs_lane_s32(__p0_632, __p1_632, __p2_632) __extension__ ({ \ + int32_t __ret_632; \ + int32_t __s0_632 = __p0_632; \ + int32x2_t __s1_632 = __p1_632; \ + int32x2_t __rev1_632; __rev1_632 = __builtin_shufflevector(__s1_632, __s1_632, 1, 0); \ + __ret_632 = vqdmulhs_s32(__s0_632, __noswap_vget_lane_s32(__rev1_632, __p2_632)); \ + __ret_632; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulhh_lane_s16(__p0_633, __p1_633, __p2_633) __extension__ ({ \ + int16_t __ret_633; \ + int16_t __s0_633 = __p0_633; \ + int16x4_t __s1_633 = __p1_633; \ + __ret_633 = vqdmulhh_s16(__s0_633, vget_lane_s16(__s1_633, __p2_633)); \ + __ret_633; \ +}) +#else +#define vqdmulhh_lane_s16(__p0_634, __p1_634, __p2_634) __extension__ ({ \ + int16_t __ret_634; \ + int16_t __s0_634 = __p0_634; \ + int16x4_t __s1_634 = __p1_634; \ + int16x4_t __rev1_634; __rev1_634 = __builtin_shufflevector(__s1_634, __s1_634, 3, 2, 1, 0); \ + __ret_634 = vqdmulhh_s16(__s0_634, __noswap_vget_lane_s16(__rev1_634, __p2_634)); \ + __ret_634; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulhs_laneq_s32(__p0_635, __p1_635, __p2_635) __extension__ ({ \ + int32_t __ret_635; \ + int32_t __s0_635 = __p0_635; \ + int32x4_t __s1_635 = __p1_635; \ + __ret_635 = vqdmulhs_s32(__s0_635, vgetq_lane_s32(__s1_635, __p2_635)); \ + __ret_635; \ +}) +#else +#define vqdmulhs_laneq_s32(__p0_636, __p1_636, __p2_636) __extension__ ({ \ + int32_t __ret_636; \ + int32_t __s0_636 = __p0_636; \ + int32x4_t __s1_636 = __p1_636; \ + int32x4_t __rev1_636; __rev1_636 = __builtin_shufflevector(__s1_636, __s1_636, 3, 2, 1, 0); \ + __ret_636 = vqdmulhs_s32(__s0_636, __noswap_vgetq_lane_s32(__rev1_636, __p2_636)); \ + __ret_636; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulhh_laneq_s16(__p0_637, __p1_637, __p2_637) __extension__ ({ \ + int16_t __ret_637; \ + int16_t __s0_637 = __p0_637; \ + int16x8_t __s1_637 = __p1_637; \ + __ret_637 = vqdmulhh_s16(__s0_637, vgetq_lane_s16(__s1_637, __p2_637)); \ + __ret_637; \ +}) +#else +#define vqdmulhh_laneq_s16(__p0_638, __p1_638, __p2_638) __extension__ ({ \ + int16_t __ret_638; \ + int16_t __s0_638 = __p0_638; \ + int16x8_t __s1_638 = __p1_638; \ + int16x8_t __rev1_638; __rev1_638 = __builtin_shufflevector(__s1_638, __s1_638, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_638 = vqdmulhh_s16(__s0_638, __noswap_vgetq_lane_s16(__rev1_638, __p2_638)); \ + __ret_638; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulhq_laneq_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + __ret = (int32x4_t) __builtin_neon_vqdmulhq_laneq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 34); \ + __ret; \ +}) +#else +#define vqdmulhq_laneq_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vqdmulhq_laneq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulhq_laneq_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + __ret = (int16x8_t) __builtin_neon_vqdmulhq_laneq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 33); \ + __ret; \ +}) +#else +#define vqdmulhq_laneq_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vqdmulhq_laneq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulh_laneq_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + __ret = (int32x2_t) __builtin_neon_vqdmulh_laneq_v((int8x8_t)__s0, (int8x16_t)__s1, __p2, 2); \ + __ret; \ +}) +#else +#define vqdmulh_laneq_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vqdmulh_laneq_v((int8x8_t)__rev0, (int8x16_t)__rev1, __p2, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulh_laneq_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + __ret = (int16x4_t) __builtin_neon_vqdmulh_laneq_v((int8x8_t)__s0, (int8x16_t)__s1, __p2, 1); \ + __ret; \ +}) +#else +#define vqdmulh_laneq_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vqdmulh_laneq_v((int8x8_t)__rev0, (int8x16_t)__rev1, __p2, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +__ai int64_t vqdmulls_s32(int32_t __p0, int32_t __p1) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vqdmulls_s32(__p0, __p1); + return __ret; +} +__ai int32_t vqdmullh_s16(int16_t __p0, int16_t __p1) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqdmullh_s16(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqdmull_high_s32(int32x4_t __p0, int32x4_t __p1) { + int64x2_t __ret; + __ret = vqdmull_s32(vget_high_s32(__p0), vget_high_s32(__p1)); + return __ret; +} +#else +__ai int64x2_t vqdmull_high_s32(int32x4_t __p0, int32x4_t __p1) { + int64x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vqdmull_s32(__noswap_vget_high_s32(__rev0), __noswap_vget_high_s32(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmull_high_s16(int16x8_t __p0, int16x8_t __p1) { + int32x4_t __ret; + __ret = vqdmull_s16(vget_high_s16(__p0), vget_high_s16(__p1)); + return __ret; +} +#else +__ai int32x4_t vqdmull_high_s16(int16x8_t __p0, int16x8_t __p1) { + int32x4_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vqdmull_s16(__noswap_vget_high_s16(__rev0), __noswap_vget_high_s16(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmull_high_lane_s32(__p0_639, __p1_639, __p2_639) __extension__ ({ \ + int64x2_t __ret_639; \ + int32x4_t __s0_639 = __p0_639; \ + int32x2_t __s1_639 = __p1_639; \ + __ret_639 = vqdmull_s32(vget_high_s32(__s0_639), splat_lane_s32(__s1_639, __p2_639)); \ + __ret_639; \ +}) +#else +#define vqdmull_high_lane_s32(__p0_640, __p1_640, __p2_640) __extension__ ({ \ + int64x2_t __ret_640; \ + int32x4_t __s0_640 = __p0_640; \ + int32x2_t __s1_640 = __p1_640; \ + int32x4_t __rev0_640; __rev0_640 = __builtin_shufflevector(__s0_640, __s0_640, 3, 2, 1, 0); \ + int32x2_t __rev1_640; __rev1_640 = __builtin_shufflevector(__s1_640, __s1_640, 1, 0); \ + __ret_640 = __noswap_vqdmull_s32(__noswap_vget_high_s32(__rev0_640), __noswap_splat_lane_s32(__rev1_640, __p2_640)); \ + __ret_640 = __builtin_shufflevector(__ret_640, __ret_640, 1, 0); \ + __ret_640; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmull_high_lane_s16(__p0_641, __p1_641, __p2_641) __extension__ ({ \ + int32x4_t __ret_641; \ + int16x8_t __s0_641 = __p0_641; \ + int16x4_t __s1_641 = __p1_641; \ + __ret_641 = vqdmull_s16(vget_high_s16(__s0_641), splat_lane_s16(__s1_641, __p2_641)); \ + __ret_641; \ +}) +#else +#define vqdmull_high_lane_s16(__p0_642, __p1_642, __p2_642) __extension__ ({ \ + int32x4_t __ret_642; \ + int16x8_t __s0_642 = __p0_642; \ + int16x4_t __s1_642 = __p1_642; \ + int16x8_t __rev0_642; __rev0_642 = __builtin_shufflevector(__s0_642, __s0_642, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev1_642; __rev1_642 = __builtin_shufflevector(__s1_642, __s1_642, 3, 2, 1, 0); \ + __ret_642 = __noswap_vqdmull_s16(__noswap_vget_high_s16(__rev0_642), __noswap_splat_lane_s16(__rev1_642, __p2_642)); \ + __ret_642 = __builtin_shufflevector(__ret_642, __ret_642, 3, 2, 1, 0); \ + __ret_642; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmull_high_laneq_s32(__p0_643, __p1_643, __p2_643) __extension__ ({ \ + int64x2_t __ret_643; \ + int32x4_t __s0_643 = __p0_643; \ + int32x4_t __s1_643 = __p1_643; \ + __ret_643 = vqdmull_s32(vget_high_s32(__s0_643), splat_laneq_s32(__s1_643, __p2_643)); \ + __ret_643; \ +}) +#else +#define vqdmull_high_laneq_s32(__p0_644, __p1_644, __p2_644) __extension__ ({ \ + int64x2_t __ret_644; \ + int32x4_t __s0_644 = __p0_644; \ + int32x4_t __s1_644 = __p1_644; \ + int32x4_t __rev0_644; __rev0_644 = __builtin_shufflevector(__s0_644, __s0_644, 3, 2, 1, 0); \ + int32x4_t __rev1_644; __rev1_644 = __builtin_shufflevector(__s1_644, __s1_644, 3, 2, 1, 0); \ + __ret_644 = __noswap_vqdmull_s32(__noswap_vget_high_s32(__rev0_644), __noswap_splat_laneq_s32(__rev1_644, __p2_644)); \ + __ret_644 = __builtin_shufflevector(__ret_644, __ret_644, 1, 0); \ + __ret_644; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmull_high_laneq_s16(__p0_645, __p1_645, __p2_645) __extension__ ({ \ + int32x4_t __ret_645; \ + int16x8_t __s0_645 = __p0_645; \ + int16x8_t __s1_645 = __p1_645; \ + __ret_645 = vqdmull_s16(vget_high_s16(__s0_645), splat_laneq_s16(__s1_645, __p2_645)); \ + __ret_645; \ +}) +#else +#define vqdmull_high_laneq_s16(__p0_646, __p1_646, __p2_646) __extension__ ({ \ + int32x4_t __ret_646; \ + int16x8_t __s0_646 = __p0_646; \ + int16x8_t __s1_646 = __p1_646; \ + int16x8_t __rev0_646; __rev0_646 = __builtin_shufflevector(__s0_646, __s0_646, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_646; __rev1_646 = __builtin_shufflevector(__s1_646, __s1_646, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_646 = __noswap_vqdmull_s16(__noswap_vget_high_s16(__rev0_646), __noswap_splat_laneq_s16(__rev1_646, __p2_646)); \ + __ret_646 = __builtin_shufflevector(__ret_646, __ret_646, 3, 2, 1, 0); \ + __ret_646; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqdmull_high_n_s32(int32x4_t __p0, int32_t __p1) { + int64x2_t __ret; + __ret = vqdmull_n_s32(vget_high_s32(__p0), __p1); + return __ret; +} +#else +__ai int64x2_t vqdmull_high_n_s32(int32x4_t __p0, int32_t __p1) { + int64x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vqdmull_n_s32(__noswap_vget_high_s32(__rev0), __p1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqdmull_high_n_s16(int16x8_t __p0, int16_t __p1) { + int32x4_t __ret; + __ret = vqdmull_n_s16(vget_high_s16(__p0), __p1); + return __ret; +} +#else +__ai int32x4_t vqdmull_high_n_s16(int16x8_t __p0, int16_t __p1) { + int32x4_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vqdmull_n_s16(__noswap_vget_high_s16(__rev0), __p1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulls_lane_s32(__p0_647, __p1_647, __p2_647) __extension__ ({ \ + int64_t __ret_647; \ + int32_t __s0_647 = __p0_647; \ + int32x2_t __s1_647 = __p1_647; \ + __ret_647 = vqdmulls_s32(__s0_647, vget_lane_s32(__s1_647, __p2_647)); \ + __ret_647; \ +}) +#else +#define vqdmulls_lane_s32(__p0_648, __p1_648, __p2_648) __extension__ ({ \ + int64_t __ret_648; \ + int32_t __s0_648 = __p0_648; \ + int32x2_t __s1_648 = __p1_648; \ + int32x2_t __rev1_648; __rev1_648 = __builtin_shufflevector(__s1_648, __s1_648, 1, 0); \ + __ret_648 = vqdmulls_s32(__s0_648, __noswap_vget_lane_s32(__rev1_648, __p2_648)); \ + __ret_648; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmullh_lane_s16(__p0_649, __p1_649, __p2_649) __extension__ ({ \ + int32_t __ret_649; \ + int16_t __s0_649 = __p0_649; \ + int16x4_t __s1_649 = __p1_649; \ + __ret_649 = vqdmullh_s16(__s0_649, vget_lane_s16(__s1_649, __p2_649)); \ + __ret_649; \ +}) +#else +#define vqdmullh_lane_s16(__p0_650, __p1_650, __p2_650) __extension__ ({ \ + int32_t __ret_650; \ + int16_t __s0_650 = __p0_650; \ + int16x4_t __s1_650 = __p1_650; \ + int16x4_t __rev1_650; __rev1_650 = __builtin_shufflevector(__s1_650, __s1_650, 3, 2, 1, 0); \ + __ret_650 = vqdmullh_s16(__s0_650, __noswap_vget_lane_s16(__rev1_650, __p2_650)); \ + __ret_650; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmulls_laneq_s32(__p0_651, __p1_651, __p2_651) __extension__ ({ \ + int64_t __ret_651; \ + int32_t __s0_651 = __p0_651; \ + int32x4_t __s1_651 = __p1_651; \ + __ret_651 = vqdmulls_s32(__s0_651, vgetq_lane_s32(__s1_651, __p2_651)); \ + __ret_651; \ +}) +#else +#define vqdmulls_laneq_s32(__p0_652, __p1_652, __p2_652) __extension__ ({ \ + int64_t __ret_652; \ + int32_t __s0_652 = __p0_652; \ + int32x4_t __s1_652 = __p1_652; \ + int32x4_t __rev1_652; __rev1_652 = __builtin_shufflevector(__s1_652, __s1_652, 3, 2, 1, 0); \ + __ret_652 = vqdmulls_s32(__s0_652, __noswap_vgetq_lane_s32(__rev1_652, __p2_652)); \ + __ret_652; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmullh_laneq_s16(__p0_653, __p1_653, __p2_653) __extension__ ({ \ + int32_t __ret_653; \ + int16_t __s0_653 = __p0_653; \ + int16x8_t __s1_653 = __p1_653; \ + __ret_653 = vqdmullh_s16(__s0_653, vgetq_lane_s16(__s1_653, __p2_653)); \ + __ret_653; \ +}) +#else +#define vqdmullh_laneq_s16(__p0_654, __p1_654, __p2_654) __extension__ ({ \ + int32_t __ret_654; \ + int16_t __s0_654 = __p0_654; \ + int16x8_t __s1_654 = __p1_654; \ + int16x8_t __rev1_654; __rev1_654 = __builtin_shufflevector(__s1_654, __s1_654, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_654 = vqdmullh_s16(__s0_654, __noswap_vgetq_lane_s16(__rev1_654, __p2_654)); \ + __ret_654; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmull_laneq_s32(__p0_655, __p1_655, __p2_655) __extension__ ({ \ + int64x2_t __ret_655; \ + int32x2_t __s0_655 = __p0_655; \ + int32x4_t __s1_655 = __p1_655; \ + __ret_655 = vqdmull_s32(__s0_655, splat_laneq_s32(__s1_655, __p2_655)); \ + __ret_655; \ +}) +#else +#define vqdmull_laneq_s32(__p0_656, __p1_656, __p2_656) __extension__ ({ \ + int64x2_t __ret_656; \ + int32x2_t __s0_656 = __p0_656; \ + int32x4_t __s1_656 = __p1_656; \ + int32x2_t __rev0_656; __rev0_656 = __builtin_shufflevector(__s0_656, __s0_656, 1, 0); \ + int32x4_t __rev1_656; __rev1_656 = __builtin_shufflevector(__s1_656, __s1_656, 3, 2, 1, 0); \ + __ret_656 = __noswap_vqdmull_s32(__rev0_656, __noswap_splat_laneq_s32(__rev1_656, __p2_656)); \ + __ret_656 = __builtin_shufflevector(__ret_656, __ret_656, 1, 0); \ + __ret_656; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqdmull_laneq_s16(__p0_657, __p1_657, __p2_657) __extension__ ({ \ + int32x4_t __ret_657; \ + int16x4_t __s0_657 = __p0_657; \ + int16x8_t __s1_657 = __p1_657; \ + __ret_657 = vqdmull_s16(__s0_657, splat_laneq_s16(__s1_657, __p2_657)); \ + __ret_657; \ +}) +#else +#define vqdmull_laneq_s16(__p0_658, __p1_658, __p2_658) __extension__ ({ \ + int32x4_t __ret_658; \ + int16x4_t __s0_658 = __p0_658; \ + int16x8_t __s1_658 = __p1_658; \ + int16x4_t __rev0_658; __rev0_658 = __builtin_shufflevector(__s0_658, __s0_658, 3, 2, 1, 0); \ + int16x8_t __rev1_658; __rev1_658 = __builtin_shufflevector(__s1_658, __s1_658, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_658 = __noswap_vqdmull_s16(__rev0_658, __noswap_splat_laneq_s16(__rev1_658, __p2_658)); \ + __ret_658 = __builtin_shufflevector(__ret_658, __ret_658, 3, 2, 1, 0); \ + __ret_658; \ +}) +#endif + +__ai int16_t vqmovns_s32(int32_t __p0) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vqmovns_s32(__p0); + return __ret; +} +__ai int32_t vqmovnd_s64(int64_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqmovnd_s64(__p0); + return __ret; +} +__ai int8_t vqmovnh_s16(int16_t __p0) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vqmovnh_s16(__p0); + return __ret; +} +__ai uint16_t vqmovns_u32(uint32_t __p0) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vqmovns_u32(__p0); + return __ret; +} +__ai uint32_t vqmovnd_u64(uint64_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vqmovnd_u64(__p0); + return __ret; +} +__ai uint8_t vqmovnh_u16(uint16_t __p0) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vqmovnh_u16(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vqmovn_high_u32(uint16x4_t __p0, uint32x4_t __p1) { + uint16x8_t __ret; + __ret = vcombine_u16(__p0, vqmovn_u32(__p1)); + return __ret; +} +#else +__ai uint16x8_t vqmovn_high_u32(uint16x4_t __p0, uint32x4_t __p1) { + uint16x8_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vcombine_u16(__rev0, __noswap_vqmovn_u32(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vqmovn_high_u64(uint32x2_t __p0, uint64x2_t __p1) { + uint32x4_t __ret; + __ret = vcombine_u32(__p0, vqmovn_u64(__p1)); + return __ret; +} +#else +__ai uint32x4_t vqmovn_high_u64(uint32x2_t __p0, uint64x2_t __p1) { + uint32x4_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vcombine_u32(__rev0, __noswap_vqmovn_u64(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqmovn_high_u16(uint8x8_t __p0, uint16x8_t __p1) { + uint8x16_t __ret; + __ret = vcombine_u8(__p0, vqmovn_u16(__p1)); + return __ret; +} +#else +__ai uint8x16_t vqmovn_high_u16(uint8x8_t __p0, uint16x8_t __p1) { + uint8x16_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_u8(__rev0, __noswap_vqmovn_u16(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vqmovn_high_s32(int16x4_t __p0, int32x4_t __p1) { + int16x8_t __ret; + __ret = vcombine_s16(__p0, vqmovn_s32(__p1)); + return __ret; +} +#else +__ai int16x8_t vqmovn_high_s32(int16x4_t __p0, int32x4_t __p1) { + int16x8_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vcombine_s16(__rev0, __noswap_vqmovn_s32(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vqmovn_high_s64(int32x2_t __p0, int64x2_t __p1) { + int32x4_t __ret; + __ret = vcombine_s32(__p0, vqmovn_s64(__p1)); + return __ret; +} +#else +__ai int32x4_t vqmovn_high_s64(int32x2_t __p0, int64x2_t __p1) { + int32x4_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vcombine_s32(__rev0, __noswap_vqmovn_s64(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqmovn_high_s16(int8x8_t __p0, int16x8_t __p1) { + int8x16_t __ret; + __ret = vcombine_s8(__p0, vqmovn_s16(__p1)); + return __ret; +} +#else +__ai int8x16_t vqmovn_high_s16(int8x8_t __p0, int16x8_t __p1) { + int8x16_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_s8(__rev0, __noswap_vqmovn_s16(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint16_t vqmovuns_s32(int32_t __p0) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vqmovuns_s32(__p0); + return __ret; +} +__ai uint32_t vqmovund_s64(int64_t __p0) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vqmovund_s64(__p0); + return __ret; +} +__ai uint8_t vqmovunh_s16(int16_t __p0) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vqmovunh_s16(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vqmovun_high_s32(uint16x4_t __p0, int32x4_t __p1) { + uint16x8_t __ret; + __ret = vcombine_u16((uint16x4_t)(__p0), vqmovun_s32(__p1)); + return __ret; +} +#else +__ai uint16x8_t vqmovun_high_s32(uint16x4_t __p0, int32x4_t __p1) { + uint16x8_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vcombine_u16((uint16x4_t)(__rev0), __noswap_vqmovun_s32(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vqmovun_high_s64(uint32x2_t __p0, int64x2_t __p1) { + uint32x4_t __ret; + __ret = vcombine_u32((uint32x2_t)(__p0), vqmovun_s64(__p1)); + return __ret; +} +#else +__ai uint32x4_t vqmovun_high_s64(uint32x2_t __p0, int64x2_t __p1) { + uint32x4_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vcombine_u32((uint32x2_t)(__rev0), __noswap_vqmovun_s64(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqmovun_high_s16(uint8x8_t __p0, int16x8_t __p1) { + uint8x16_t __ret; + __ret = vcombine_u8((uint8x8_t)(__p0), vqmovun_s16(__p1)); + return __ret; +} +#else +__ai uint8x16_t vqmovun_high_s16(uint8x8_t __p0, int16x8_t __p1) { + uint8x16_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_u8((uint8x8_t)(__rev0), __noswap_vqmovun_s16(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vqnegq_s64(int64x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vqnegq_v((int8x16_t)__p0, 35); + return __ret; +} +#else +__ai int64x2_t vqnegq_s64(int64x2_t __p0) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (int64x2_t) __builtin_neon_vqnegq_v((int8x16_t)__rev0, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vqneg_s64(int64x1_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vqneg_v((int8x8_t)__p0, 3); + return __ret; +} +__ai int8_t vqnegb_s8(int8_t __p0) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vqnegb_s8(__p0); + return __ret; +} +__ai int32_t vqnegs_s32(int32_t __p0) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqnegs_s32(__p0); + return __ret; +} +__ai int64_t vqnegd_s64(int64_t __p0) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vqnegd_s64(__p0); + return __ret; +} +__ai int16_t vqnegh_s16(int16_t __p0) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vqnegh_s16(__p0); + return __ret; +} +__ai int32_t vqrdmulhs_s32(int32_t __p0, int32_t __p1) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqrdmulhs_s32(__p0, __p1); + return __ret; +} +__ai int16_t vqrdmulhh_s16(int16_t __p0, int16_t __p1) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vqrdmulhh_s16(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulhq_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + __ret = (int32x4_t) __builtin_neon_vqrdmulhq_lane_v((int8x16_t)__s0, (int8x8_t)__s1, __p2, 2); \ + __ret; \ +}) +#else +#define vqrdmulhq_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vqrdmulhq_lane_v((int8x16_t)__rev0, (int8x8_t)__rev1, __p2, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulhq_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + __ret = (int16x8_t) __builtin_neon_vqrdmulhq_lane_v((int8x16_t)__s0, (int8x8_t)__s1, __p2, 1); \ + __ret; \ +}) +#else +#define vqrdmulhq_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vqrdmulhq_lane_v((int8x16_t)__rev0, (int8x8_t)__rev1, __p2, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulh_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + __ret = (int32x2_t) __builtin_neon_vqrdmulh_lane_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 2); \ + __ret; \ +}) +#else +#define vqrdmulh_lane_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x2_t __s1 = __p1; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vqrdmulh_lane_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulh_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + __ret = (int16x4_t) __builtin_neon_vqrdmulh_lane_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 1); \ + __ret; \ +}) +#else +#define vqrdmulh_lane_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x4_t __s1 = __p1; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vqrdmulh_lane_v((int8x8_t)__rev0, (int8x8_t)__rev1, __p2, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulhs_lane_s32(__p0_659, __p1_659, __p2_659) __extension__ ({ \ + int32_t __ret_659; \ + int32_t __s0_659 = __p0_659; \ + int32x2_t __s1_659 = __p1_659; \ + __ret_659 = vqrdmulhs_s32(__s0_659, vget_lane_s32(__s1_659, __p2_659)); \ + __ret_659; \ +}) +#else +#define vqrdmulhs_lane_s32(__p0_660, __p1_660, __p2_660) __extension__ ({ \ + int32_t __ret_660; \ + int32_t __s0_660 = __p0_660; \ + int32x2_t __s1_660 = __p1_660; \ + int32x2_t __rev1_660; __rev1_660 = __builtin_shufflevector(__s1_660, __s1_660, 1, 0); \ + __ret_660 = vqrdmulhs_s32(__s0_660, __noswap_vget_lane_s32(__rev1_660, __p2_660)); \ + __ret_660; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulhh_lane_s16(__p0_661, __p1_661, __p2_661) __extension__ ({ \ + int16_t __ret_661; \ + int16_t __s0_661 = __p0_661; \ + int16x4_t __s1_661 = __p1_661; \ + __ret_661 = vqrdmulhh_s16(__s0_661, vget_lane_s16(__s1_661, __p2_661)); \ + __ret_661; \ +}) +#else +#define vqrdmulhh_lane_s16(__p0_662, __p1_662, __p2_662) __extension__ ({ \ + int16_t __ret_662; \ + int16_t __s0_662 = __p0_662; \ + int16x4_t __s1_662 = __p1_662; \ + int16x4_t __rev1_662; __rev1_662 = __builtin_shufflevector(__s1_662, __s1_662, 3, 2, 1, 0); \ + __ret_662 = vqrdmulhh_s16(__s0_662, __noswap_vget_lane_s16(__rev1_662, __p2_662)); \ + __ret_662; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulhs_laneq_s32(__p0_663, __p1_663, __p2_663) __extension__ ({ \ + int32_t __ret_663; \ + int32_t __s0_663 = __p0_663; \ + int32x4_t __s1_663 = __p1_663; \ + __ret_663 = vqrdmulhs_s32(__s0_663, vgetq_lane_s32(__s1_663, __p2_663)); \ + __ret_663; \ +}) +#else +#define vqrdmulhs_laneq_s32(__p0_664, __p1_664, __p2_664) __extension__ ({ \ + int32_t __ret_664; \ + int32_t __s0_664 = __p0_664; \ + int32x4_t __s1_664 = __p1_664; \ + int32x4_t __rev1_664; __rev1_664 = __builtin_shufflevector(__s1_664, __s1_664, 3, 2, 1, 0); \ + __ret_664 = vqrdmulhs_s32(__s0_664, __noswap_vgetq_lane_s32(__rev1_664, __p2_664)); \ + __ret_664; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulhh_laneq_s16(__p0_665, __p1_665, __p2_665) __extension__ ({ \ + int16_t __ret_665; \ + int16_t __s0_665 = __p0_665; \ + int16x8_t __s1_665 = __p1_665; \ + __ret_665 = vqrdmulhh_s16(__s0_665, vgetq_lane_s16(__s1_665, __p2_665)); \ + __ret_665; \ +}) +#else +#define vqrdmulhh_laneq_s16(__p0_666, __p1_666, __p2_666) __extension__ ({ \ + int16_t __ret_666; \ + int16_t __s0_666 = __p0_666; \ + int16x8_t __s1_666 = __p1_666; \ + int16x8_t __rev1_666; __rev1_666 = __builtin_shufflevector(__s1_666, __s1_666, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_666 = vqrdmulhh_s16(__s0_666, __noswap_vgetq_lane_s16(__rev1_666, __p2_666)); \ + __ret_666; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulhq_laneq_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + __ret = (int32x4_t) __builtin_neon_vqrdmulhq_laneq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 34); \ + __ret; \ +}) +#else +#define vqrdmulhq_laneq_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x4_t __ret; \ + int32x4_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int32x4_t) __builtin_neon_vqrdmulhq_laneq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 34); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulhq_laneq_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + __ret = (int16x8_t) __builtin_neon_vqrdmulhq_laneq_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 33); \ + __ret; \ +}) +#else +#define vqrdmulhq_laneq_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x8_t __ret; \ + int16x8_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x8_t) __builtin_neon_vqrdmulhq_laneq_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 33); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulh_laneq_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + __ret = (int32x2_t) __builtin_neon_vqrdmulh_laneq_v((int8x8_t)__s0, (int8x16_t)__s1, __p2, 2); \ + __ret; \ +}) +#else +#define vqrdmulh_laneq_s32(__p0, __p1, __p2) __extension__ ({ \ + int32x2_t __ret; \ + int32x2_t __s0 = __p0; \ + int32x4_t __s1 = __p1; \ + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (int32x2_t) __builtin_neon_vqrdmulh_laneq_v((int8x8_t)__rev0, (int8x16_t)__rev1, __p2, 2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmulh_laneq_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + __ret = (int16x4_t) __builtin_neon_vqrdmulh_laneq_v((int8x8_t)__s0, (int8x16_t)__s1, __p2, 1); \ + __ret; \ +}) +#else +#define vqrdmulh_laneq_s16(__p0, __p1, __p2) __extension__ ({ \ + int16x4_t __ret; \ + int16x4_t __s0 = __p0; \ + int16x8_t __s1 = __p1; \ + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (int16x4_t) __builtin_neon_vqrdmulh_laneq_v((int8x8_t)__rev0, (int8x16_t)__rev1, __p2, 1); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +__ai uint8_t vqrshlb_u8(uint8_t __p0, int8_t __p1) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vqrshlb_u8(__p0, __p1); + return __ret; +} +__ai uint32_t vqrshls_u32(uint32_t __p0, int32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vqrshls_u32(__p0, __p1); + return __ret; +} +__ai uint64_t vqrshld_u64(uint64_t __p0, int64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vqrshld_u64(__p0, __p1); + return __ret; +} +__ai uint16_t vqrshlh_u16(uint16_t __p0, int16_t __p1) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vqrshlh_u16(__p0, __p1); + return __ret; +} +__ai int8_t vqrshlb_s8(int8_t __p0, int8_t __p1) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vqrshlb_s8(__p0, __p1); + return __ret; +} +__ai int32_t vqrshls_s32(int32_t __p0, int32_t __p1) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqrshls_s32(__p0, __p1); + return __ret; +} +__ai int64_t vqrshld_s64(int64_t __p0, int64_t __p1) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vqrshld_s64(__p0, __p1); + return __ret; +} +__ai int16_t vqrshlh_s16(int16_t __p0, int16_t __p1) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vqrshlh_s16(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +#define vqrshrn_high_n_u32(__p0_667, __p1_667, __p2_667) __extension__ ({ \ + uint16x8_t __ret_667; \ + uint16x4_t __s0_667 = __p0_667; \ + uint32x4_t __s1_667 = __p1_667; \ + __ret_667 = (uint16x8_t)(vcombine_u16((uint16x4_t)(__s0_667), (uint16x4_t)(vqrshrn_n_u32(__s1_667, __p2_667)))); \ + __ret_667; \ +}) +#else +#define vqrshrn_high_n_u32(__p0_668, __p1_668, __p2_668) __extension__ ({ \ + uint16x8_t __ret_668; \ + uint16x4_t __s0_668 = __p0_668; \ + uint32x4_t __s1_668 = __p1_668; \ + uint16x4_t __rev0_668; __rev0_668 = __builtin_shufflevector(__s0_668, __s0_668, 3, 2, 1, 0); \ + uint32x4_t __rev1_668; __rev1_668 = __builtin_shufflevector(__s1_668, __s1_668, 3, 2, 1, 0); \ + __ret_668 = (uint16x8_t)(__noswap_vcombine_u16((uint16x4_t)(__rev0_668), (uint16x4_t)(__noswap_vqrshrn_n_u32(__rev1_668, __p2_668)))); \ + __ret_668 = __builtin_shufflevector(__ret_668, __ret_668, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_668; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrn_high_n_u64(__p0_669, __p1_669, __p2_669) __extension__ ({ \ + uint32x4_t __ret_669; \ + uint32x2_t __s0_669 = __p0_669; \ + uint64x2_t __s1_669 = __p1_669; \ + __ret_669 = (uint32x4_t)(vcombine_u32((uint32x2_t)(__s0_669), (uint32x2_t)(vqrshrn_n_u64(__s1_669, __p2_669)))); \ + __ret_669; \ +}) +#else +#define vqrshrn_high_n_u64(__p0_670, __p1_670, __p2_670) __extension__ ({ \ + uint32x4_t __ret_670; \ + uint32x2_t __s0_670 = __p0_670; \ + uint64x2_t __s1_670 = __p1_670; \ + uint32x2_t __rev0_670; __rev0_670 = __builtin_shufflevector(__s0_670, __s0_670, 1, 0); \ + uint64x2_t __rev1_670; __rev1_670 = __builtin_shufflevector(__s1_670, __s1_670, 1, 0); \ + __ret_670 = (uint32x4_t)(__noswap_vcombine_u32((uint32x2_t)(__rev0_670), (uint32x2_t)(__noswap_vqrshrn_n_u64(__rev1_670, __p2_670)))); \ + __ret_670 = __builtin_shufflevector(__ret_670, __ret_670, 3, 2, 1, 0); \ + __ret_670; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrn_high_n_u16(__p0_671, __p1_671, __p2_671) __extension__ ({ \ + uint8x16_t __ret_671; \ + uint8x8_t __s0_671 = __p0_671; \ + uint16x8_t __s1_671 = __p1_671; \ + __ret_671 = (uint8x16_t)(vcombine_u8((uint8x8_t)(__s0_671), (uint8x8_t)(vqrshrn_n_u16(__s1_671, __p2_671)))); \ + __ret_671; \ +}) +#else +#define vqrshrn_high_n_u16(__p0_672, __p1_672, __p2_672) __extension__ ({ \ + uint8x16_t __ret_672; \ + uint8x8_t __s0_672 = __p0_672; \ + uint16x8_t __s1_672 = __p1_672; \ + uint8x8_t __rev0_672; __rev0_672 = __builtin_shufflevector(__s0_672, __s0_672, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1_672; __rev1_672 = __builtin_shufflevector(__s1_672, __s1_672, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_672 = (uint8x16_t)(__noswap_vcombine_u8((uint8x8_t)(__rev0_672), (uint8x8_t)(__noswap_vqrshrn_n_u16(__rev1_672, __p2_672)))); \ + __ret_672 = __builtin_shufflevector(__ret_672, __ret_672, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_672; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrn_high_n_s32(__p0_673, __p1_673, __p2_673) __extension__ ({ \ + int16x8_t __ret_673; \ + int16x4_t __s0_673 = __p0_673; \ + int32x4_t __s1_673 = __p1_673; \ + __ret_673 = (int16x8_t)(vcombine_s16((int16x4_t)(__s0_673), (int16x4_t)(vqrshrn_n_s32(__s1_673, __p2_673)))); \ + __ret_673; \ +}) +#else +#define vqrshrn_high_n_s32(__p0_674, __p1_674, __p2_674) __extension__ ({ \ + int16x8_t __ret_674; \ + int16x4_t __s0_674 = __p0_674; \ + int32x4_t __s1_674 = __p1_674; \ + int16x4_t __rev0_674; __rev0_674 = __builtin_shufflevector(__s0_674, __s0_674, 3, 2, 1, 0); \ + int32x4_t __rev1_674; __rev1_674 = __builtin_shufflevector(__s1_674, __s1_674, 3, 2, 1, 0); \ + __ret_674 = (int16x8_t)(__noswap_vcombine_s16((int16x4_t)(__rev0_674), (int16x4_t)(__noswap_vqrshrn_n_s32(__rev1_674, __p2_674)))); \ + __ret_674 = __builtin_shufflevector(__ret_674, __ret_674, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_674; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrn_high_n_s64(__p0_675, __p1_675, __p2_675) __extension__ ({ \ + int32x4_t __ret_675; \ + int32x2_t __s0_675 = __p0_675; \ + int64x2_t __s1_675 = __p1_675; \ + __ret_675 = (int32x4_t)(vcombine_s32((int32x2_t)(__s0_675), (int32x2_t)(vqrshrn_n_s64(__s1_675, __p2_675)))); \ + __ret_675; \ +}) +#else +#define vqrshrn_high_n_s64(__p0_676, __p1_676, __p2_676) __extension__ ({ \ + int32x4_t __ret_676; \ + int32x2_t __s0_676 = __p0_676; \ + int64x2_t __s1_676 = __p1_676; \ + int32x2_t __rev0_676; __rev0_676 = __builtin_shufflevector(__s0_676, __s0_676, 1, 0); \ + int64x2_t __rev1_676; __rev1_676 = __builtin_shufflevector(__s1_676, __s1_676, 1, 0); \ + __ret_676 = (int32x4_t)(__noswap_vcombine_s32((int32x2_t)(__rev0_676), (int32x2_t)(__noswap_vqrshrn_n_s64(__rev1_676, __p2_676)))); \ + __ret_676 = __builtin_shufflevector(__ret_676, __ret_676, 3, 2, 1, 0); \ + __ret_676; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrn_high_n_s16(__p0_677, __p1_677, __p2_677) __extension__ ({ \ + int8x16_t __ret_677; \ + int8x8_t __s0_677 = __p0_677; \ + int16x8_t __s1_677 = __p1_677; \ + __ret_677 = (int8x16_t)(vcombine_s8((int8x8_t)(__s0_677), (int8x8_t)(vqrshrn_n_s16(__s1_677, __p2_677)))); \ + __ret_677; \ +}) +#else +#define vqrshrn_high_n_s16(__p0_678, __p1_678, __p2_678) __extension__ ({ \ + int8x16_t __ret_678; \ + int8x8_t __s0_678 = __p0_678; \ + int16x8_t __s1_678 = __p1_678; \ + int8x8_t __rev0_678; __rev0_678 = __builtin_shufflevector(__s0_678, __s0_678, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_678; __rev1_678 = __builtin_shufflevector(__s1_678, __s1_678, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_678 = (int8x16_t)(__noswap_vcombine_s8((int8x8_t)(__rev0_678), (int8x8_t)(__noswap_vqrshrn_n_s16(__rev1_678, __p2_678)))); \ + __ret_678 = __builtin_shufflevector(__ret_678, __ret_678, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_678; \ +}) +#endif + +#define vqrshrns_n_u32(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint32_t __s0 = __p0; \ + __ret = (uint16_t) __builtin_neon_vqrshrns_n_u32(__s0, __p1); \ + __ret; \ +}) +#define vqrshrnd_n_u64(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint64_t __s0 = __p0; \ + __ret = (uint32_t) __builtin_neon_vqrshrnd_n_u64(__s0, __p1); \ + __ret; \ +}) +#define vqrshrnh_n_u16(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint16_t __s0 = __p0; \ + __ret = (uint8_t) __builtin_neon_vqrshrnh_n_u16(__s0, __p1); \ + __ret; \ +}) +#define vqrshrns_n_s32(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int32_t __s0 = __p0; \ + __ret = (int16_t) __builtin_neon_vqrshrns_n_s32(__s0, __p1); \ + __ret; \ +}) +#define vqrshrnd_n_s64(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int64_t __s0 = __p0; \ + __ret = (int32_t) __builtin_neon_vqrshrnd_n_s64(__s0, __p1); \ + __ret; \ +}) +#define vqrshrnh_n_s16(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int16_t __s0 = __p0; \ + __ret = (int8_t) __builtin_neon_vqrshrnh_n_s16(__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vqrshrun_high_n_s32(__p0_679, __p1_679, __p2_679) __extension__ ({ \ + int16x8_t __ret_679; \ + int16x4_t __s0_679 = __p0_679; \ + int32x4_t __s1_679 = __p1_679; \ + __ret_679 = (int16x8_t)(vcombine_s16((int16x4_t)(__s0_679), (int16x4_t)(vqrshrun_n_s32(__s1_679, __p2_679)))); \ + __ret_679; \ +}) +#else +#define vqrshrun_high_n_s32(__p0_680, __p1_680, __p2_680) __extension__ ({ \ + int16x8_t __ret_680; \ + int16x4_t __s0_680 = __p0_680; \ + int32x4_t __s1_680 = __p1_680; \ + int16x4_t __rev0_680; __rev0_680 = __builtin_shufflevector(__s0_680, __s0_680, 3, 2, 1, 0); \ + int32x4_t __rev1_680; __rev1_680 = __builtin_shufflevector(__s1_680, __s1_680, 3, 2, 1, 0); \ + __ret_680 = (int16x8_t)(__noswap_vcombine_s16((int16x4_t)(__rev0_680), (int16x4_t)(__noswap_vqrshrun_n_s32(__rev1_680, __p2_680)))); \ + __ret_680 = __builtin_shufflevector(__ret_680, __ret_680, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_680; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrun_high_n_s64(__p0_681, __p1_681, __p2_681) __extension__ ({ \ + int32x4_t __ret_681; \ + int32x2_t __s0_681 = __p0_681; \ + int64x2_t __s1_681 = __p1_681; \ + __ret_681 = (int32x4_t)(vcombine_s32((int32x2_t)(__s0_681), (int32x2_t)(vqrshrun_n_s64(__s1_681, __p2_681)))); \ + __ret_681; \ +}) +#else +#define vqrshrun_high_n_s64(__p0_682, __p1_682, __p2_682) __extension__ ({ \ + int32x4_t __ret_682; \ + int32x2_t __s0_682 = __p0_682; \ + int64x2_t __s1_682 = __p1_682; \ + int32x2_t __rev0_682; __rev0_682 = __builtin_shufflevector(__s0_682, __s0_682, 1, 0); \ + int64x2_t __rev1_682; __rev1_682 = __builtin_shufflevector(__s1_682, __s1_682, 1, 0); \ + __ret_682 = (int32x4_t)(__noswap_vcombine_s32((int32x2_t)(__rev0_682), (int32x2_t)(__noswap_vqrshrun_n_s64(__rev1_682, __p2_682)))); \ + __ret_682 = __builtin_shufflevector(__ret_682, __ret_682, 3, 2, 1, 0); \ + __ret_682; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrshrun_high_n_s16(__p0_683, __p1_683, __p2_683) __extension__ ({ \ + int8x16_t __ret_683; \ + int8x8_t __s0_683 = __p0_683; \ + int16x8_t __s1_683 = __p1_683; \ + __ret_683 = (int8x16_t)(vcombine_s8((int8x8_t)(__s0_683), (int8x8_t)(vqrshrun_n_s16(__s1_683, __p2_683)))); \ + __ret_683; \ +}) +#else +#define vqrshrun_high_n_s16(__p0_684, __p1_684, __p2_684) __extension__ ({ \ + int8x16_t __ret_684; \ + int8x8_t __s0_684 = __p0_684; \ + int16x8_t __s1_684 = __p1_684; \ + int8x8_t __rev0_684; __rev0_684 = __builtin_shufflevector(__s0_684, __s0_684, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_684; __rev1_684 = __builtin_shufflevector(__s1_684, __s1_684, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_684 = (int8x16_t)(__noswap_vcombine_s8((int8x8_t)(__rev0_684), (int8x8_t)(__noswap_vqrshrun_n_s16(__rev1_684, __p2_684)))); \ + __ret_684 = __builtin_shufflevector(__ret_684, __ret_684, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_684; \ +}) +#endif + +#define vqrshruns_n_s32(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + int32_t __s0 = __p0; \ + __ret = (uint16_t) __builtin_neon_vqrshruns_n_s32(__s0, __p1); \ + __ret; \ +}) +#define vqrshrund_n_s64(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + int64_t __s0 = __p0; \ + __ret = (uint32_t) __builtin_neon_vqrshrund_n_s64(__s0, __p1); \ + __ret; \ +}) +#define vqrshrunh_n_s16(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + int16_t __s0 = __p0; \ + __ret = (uint8_t) __builtin_neon_vqrshrunh_n_s16(__s0, __p1); \ + __ret; \ +}) +__ai uint8_t vqshlb_u8(uint8_t __p0, int8_t __p1) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vqshlb_u8(__p0, __p1); + return __ret; +} +__ai uint32_t vqshls_u32(uint32_t __p0, int32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vqshls_u32(__p0, __p1); + return __ret; +} +__ai uint64_t vqshld_u64(uint64_t __p0, int64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vqshld_u64(__p0, __p1); + return __ret; +} +__ai uint16_t vqshlh_u16(uint16_t __p0, int16_t __p1) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vqshlh_u16(__p0, __p1); + return __ret; +} +__ai int8_t vqshlb_s8(int8_t __p0, int8_t __p1) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vqshlb_s8(__p0, __p1); + return __ret; +} +__ai int32_t vqshls_s32(int32_t __p0, int32_t __p1) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqshls_s32(__p0, __p1); + return __ret; +} +__ai int64_t vqshld_s64(int64_t __p0, int64_t __p1) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vqshld_s64(__p0, __p1); + return __ret; +} +__ai int16_t vqshlh_s16(int16_t __p0, int16_t __p1) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vqshlh_s16(__p0, __p1); + return __ret; +} +#define vqshlb_n_u8(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint8_t __s0 = __p0; \ + __ret = (uint8_t) __builtin_neon_vqshlb_n_u8(__s0, __p1); \ + __ret; \ +}) +#define vqshls_n_u32(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint32_t __s0 = __p0; \ + __ret = (uint32_t) __builtin_neon_vqshls_n_u32(__s0, __p1); \ + __ret; \ +}) +#define vqshld_n_u64(__p0, __p1) __extension__ ({ \ + uint64_t __ret; \ + uint64_t __s0 = __p0; \ + __ret = (uint64_t) __builtin_neon_vqshld_n_u64(__s0, __p1); \ + __ret; \ +}) +#define vqshlh_n_u16(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint16_t __s0 = __p0; \ + __ret = (uint16_t) __builtin_neon_vqshlh_n_u16(__s0, __p1); \ + __ret; \ +}) +#define vqshlb_n_s8(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int8_t __s0 = __p0; \ + __ret = (int8_t) __builtin_neon_vqshlb_n_s8(__s0, __p1); \ + __ret; \ +}) +#define vqshls_n_s32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int32_t __s0 = __p0; \ + __ret = (int32_t) __builtin_neon_vqshls_n_s32(__s0, __p1); \ + __ret; \ +}) +#define vqshld_n_s64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + __ret = (int64_t) __builtin_neon_vqshld_n_s64(__s0, __p1); \ + __ret; \ +}) +#define vqshlh_n_s16(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int16_t __s0 = __p0; \ + __ret = (int16_t) __builtin_neon_vqshlh_n_s16(__s0, __p1); \ + __ret; \ +}) +#define vqshlub_n_s8(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int8_t __s0 = __p0; \ + __ret = (int8_t) __builtin_neon_vqshlub_n_s8(__s0, __p1); \ + __ret; \ +}) +#define vqshlus_n_s32(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int32_t __s0 = __p0; \ + __ret = (int32_t) __builtin_neon_vqshlus_n_s32(__s0, __p1); \ + __ret; \ +}) +#define vqshlud_n_s64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + __ret = (int64_t) __builtin_neon_vqshlud_n_s64(__s0, __p1); \ + __ret; \ +}) +#define vqshluh_n_s16(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int16_t __s0 = __p0; \ + __ret = (int16_t) __builtin_neon_vqshluh_n_s16(__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vqshrn_high_n_u32(__p0_685, __p1_685, __p2_685) __extension__ ({ \ + uint16x8_t __ret_685; \ + uint16x4_t __s0_685 = __p0_685; \ + uint32x4_t __s1_685 = __p1_685; \ + __ret_685 = (uint16x8_t)(vcombine_u16((uint16x4_t)(__s0_685), (uint16x4_t)(vqshrn_n_u32(__s1_685, __p2_685)))); \ + __ret_685; \ +}) +#else +#define vqshrn_high_n_u32(__p0_686, __p1_686, __p2_686) __extension__ ({ \ + uint16x8_t __ret_686; \ + uint16x4_t __s0_686 = __p0_686; \ + uint32x4_t __s1_686 = __p1_686; \ + uint16x4_t __rev0_686; __rev0_686 = __builtin_shufflevector(__s0_686, __s0_686, 3, 2, 1, 0); \ + uint32x4_t __rev1_686; __rev1_686 = __builtin_shufflevector(__s1_686, __s1_686, 3, 2, 1, 0); \ + __ret_686 = (uint16x8_t)(__noswap_vcombine_u16((uint16x4_t)(__rev0_686), (uint16x4_t)(__noswap_vqshrn_n_u32(__rev1_686, __p2_686)))); \ + __ret_686 = __builtin_shufflevector(__ret_686, __ret_686, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_686; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrn_high_n_u64(__p0_687, __p1_687, __p2_687) __extension__ ({ \ + uint32x4_t __ret_687; \ + uint32x2_t __s0_687 = __p0_687; \ + uint64x2_t __s1_687 = __p1_687; \ + __ret_687 = (uint32x4_t)(vcombine_u32((uint32x2_t)(__s0_687), (uint32x2_t)(vqshrn_n_u64(__s1_687, __p2_687)))); \ + __ret_687; \ +}) +#else +#define vqshrn_high_n_u64(__p0_688, __p1_688, __p2_688) __extension__ ({ \ + uint32x4_t __ret_688; \ + uint32x2_t __s0_688 = __p0_688; \ + uint64x2_t __s1_688 = __p1_688; \ + uint32x2_t __rev0_688; __rev0_688 = __builtin_shufflevector(__s0_688, __s0_688, 1, 0); \ + uint64x2_t __rev1_688; __rev1_688 = __builtin_shufflevector(__s1_688, __s1_688, 1, 0); \ + __ret_688 = (uint32x4_t)(__noswap_vcombine_u32((uint32x2_t)(__rev0_688), (uint32x2_t)(__noswap_vqshrn_n_u64(__rev1_688, __p2_688)))); \ + __ret_688 = __builtin_shufflevector(__ret_688, __ret_688, 3, 2, 1, 0); \ + __ret_688; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrn_high_n_u16(__p0_689, __p1_689, __p2_689) __extension__ ({ \ + uint8x16_t __ret_689; \ + uint8x8_t __s0_689 = __p0_689; \ + uint16x8_t __s1_689 = __p1_689; \ + __ret_689 = (uint8x16_t)(vcombine_u8((uint8x8_t)(__s0_689), (uint8x8_t)(vqshrn_n_u16(__s1_689, __p2_689)))); \ + __ret_689; \ +}) +#else +#define vqshrn_high_n_u16(__p0_690, __p1_690, __p2_690) __extension__ ({ \ + uint8x16_t __ret_690; \ + uint8x8_t __s0_690 = __p0_690; \ + uint16x8_t __s1_690 = __p1_690; \ + uint8x8_t __rev0_690; __rev0_690 = __builtin_shufflevector(__s0_690, __s0_690, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1_690; __rev1_690 = __builtin_shufflevector(__s1_690, __s1_690, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_690 = (uint8x16_t)(__noswap_vcombine_u8((uint8x8_t)(__rev0_690), (uint8x8_t)(__noswap_vqshrn_n_u16(__rev1_690, __p2_690)))); \ + __ret_690 = __builtin_shufflevector(__ret_690, __ret_690, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_690; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrn_high_n_s32(__p0_691, __p1_691, __p2_691) __extension__ ({ \ + int16x8_t __ret_691; \ + int16x4_t __s0_691 = __p0_691; \ + int32x4_t __s1_691 = __p1_691; \ + __ret_691 = (int16x8_t)(vcombine_s16((int16x4_t)(__s0_691), (int16x4_t)(vqshrn_n_s32(__s1_691, __p2_691)))); \ + __ret_691; \ +}) +#else +#define vqshrn_high_n_s32(__p0_692, __p1_692, __p2_692) __extension__ ({ \ + int16x8_t __ret_692; \ + int16x4_t __s0_692 = __p0_692; \ + int32x4_t __s1_692 = __p1_692; \ + int16x4_t __rev0_692; __rev0_692 = __builtin_shufflevector(__s0_692, __s0_692, 3, 2, 1, 0); \ + int32x4_t __rev1_692; __rev1_692 = __builtin_shufflevector(__s1_692, __s1_692, 3, 2, 1, 0); \ + __ret_692 = (int16x8_t)(__noswap_vcombine_s16((int16x4_t)(__rev0_692), (int16x4_t)(__noswap_vqshrn_n_s32(__rev1_692, __p2_692)))); \ + __ret_692 = __builtin_shufflevector(__ret_692, __ret_692, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_692; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrn_high_n_s64(__p0_693, __p1_693, __p2_693) __extension__ ({ \ + int32x4_t __ret_693; \ + int32x2_t __s0_693 = __p0_693; \ + int64x2_t __s1_693 = __p1_693; \ + __ret_693 = (int32x4_t)(vcombine_s32((int32x2_t)(__s0_693), (int32x2_t)(vqshrn_n_s64(__s1_693, __p2_693)))); \ + __ret_693; \ +}) +#else +#define vqshrn_high_n_s64(__p0_694, __p1_694, __p2_694) __extension__ ({ \ + int32x4_t __ret_694; \ + int32x2_t __s0_694 = __p0_694; \ + int64x2_t __s1_694 = __p1_694; \ + int32x2_t __rev0_694; __rev0_694 = __builtin_shufflevector(__s0_694, __s0_694, 1, 0); \ + int64x2_t __rev1_694; __rev1_694 = __builtin_shufflevector(__s1_694, __s1_694, 1, 0); \ + __ret_694 = (int32x4_t)(__noswap_vcombine_s32((int32x2_t)(__rev0_694), (int32x2_t)(__noswap_vqshrn_n_s64(__rev1_694, __p2_694)))); \ + __ret_694 = __builtin_shufflevector(__ret_694, __ret_694, 3, 2, 1, 0); \ + __ret_694; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrn_high_n_s16(__p0_695, __p1_695, __p2_695) __extension__ ({ \ + int8x16_t __ret_695; \ + int8x8_t __s0_695 = __p0_695; \ + int16x8_t __s1_695 = __p1_695; \ + __ret_695 = (int8x16_t)(vcombine_s8((int8x8_t)(__s0_695), (int8x8_t)(vqshrn_n_s16(__s1_695, __p2_695)))); \ + __ret_695; \ +}) +#else +#define vqshrn_high_n_s16(__p0_696, __p1_696, __p2_696) __extension__ ({ \ + int8x16_t __ret_696; \ + int8x8_t __s0_696 = __p0_696; \ + int16x8_t __s1_696 = __p1_696; \ + int8x8_t __rev0_696; __rev0_696 = __builtin_shufflevector(__s0_696, __s0_696, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_696; __rev1_696 = __builtin_shufflevector(__s1_696, __s1_696, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_696 = (int8x16_t)(__noswap_vcombine_s8((int8x8_t)(__rev0_696), (int8x8_t)(__noswap_vqshrn_n_s16(__rev1_696, __p2_696)))); \ + __ret_696 = __builtin_shufflevector(__ret_696, __ret_696, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_696; \ +}) +#endif + +#define vqshrns_n_u32(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + uint32_t __s0 = __p0; \ + __ret = (uint16_t) __builtin_neon_vqshrns_n_u32(__s0, __p1); \ + __ret; \ +}) +#define vqshrnd_n_u64(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + uint64_t __s0 = __p0; \ + __ret = (uint32_t) __builtin_neon_vqshrnd_n_u64(__s0, __p1); \ + __ret; \ +}) +#define vqshrnh_n_u16(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + uint16_t __s0 = __p0; \ + __ret = (uint8_t) __builtin_neon_vqshrnh_n_u16(__s0, __p1); \ + __ret; \ +}) +#define vqshrns_n_s32(__p0, __p1) __extension__ ({ \ + int16_t __ret; \ + int32_t __s0 = __p0; \ + __ret = (int16_t) __builtin_neon_vqshrns_n_s32(__s0, __p1); \ + __ret; \ +}) +#define vqshrnd_n_s64(__p0, __p1) __extension__ ({ \ + int32_t __ret; \ + int64_t __s0 = __p0; \ + __ret = (int32_t) __builtin_neon_vqshrnd_n_s64(__s0, __p1); \ + __ret; \ +}) +#define vqshrnh_n_s16(__p0, __p1) __extension__ ({ \ + int8_t __ret; \ + int16_t __s0 = __p0; \ + __ret = (int8_t) __builtin_neon_vqshrnh_n_s16(__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vqshrun_high_n_s32(__p0_697, __p1_697, __p2_697) __extension__ ({ \ + int16x8_t __ret_697; \ + int16x4_t __s0_697 = __p0_697; \ + int32x4_t __s1_697 = __p1_697; \ + __ret_697 = (int16x8_t)(vcombine_s16((int16x4_t)(__s0_697), (int16x4_t)(vqshrun_n_s32(__s1_697, __p2_697)))); \ + __ret_697; \ +}) +#else +#define vqshrun_high_n_s32(__p0_698, __p1_698, __p2_698) __extension__ ({ \ + int16x8_t __ret_698; \ + int16x4_t __s0_698 = __p0_698; \ + int32x4_t __s1_698 = __p1_698; \ + int16x4_t __rev0_698; __rev0_698 = __builtin_shufflevector(__s0_698, __s0_698, 3, 2, 1, 0); \ + int32x4_t __rev1_698; __rev1_698 = __builtin_shufflevector(__s1_698, __s1_698, 3, 2, 1, 0); \ + __ret_698 = (int16x8_t)(__noswap_vcombine_s16((int16x4_t)(__rev0_698), (int16x4_t)(__noswap_vqshrun_n_s32(__rev1_698, __p2_698)))); \ + __ret_698 = __builtin_shufflevector(__ret_698, __ret_698, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_698; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrun_high_n_s64(__p0_699, __p1_699, __p2_699) __extension__ ({ \ + int32x4_t __ret_699; \ + int32x2_t __s0_699 = __p0_699; \ + int64x2_t __s1_699 = __p1_699; \ + __ret_699 = (int32x4_t)(vcombine_s32((int32x2_t)(__s0_699), (int32x2_t)(vqshrun_n_s64(__s1_699, __p2_699)))); \ + __ret_699; \ +}) +#else +#define vqshrun_high_n_s64(__p0_700, __p1_700, __p2_700) __extension__ ({ \ + int32x4_t __ret_700; \ + int32x2_t __s0_700 = __p0_700; \ + int64x2_t __s1_700 = __p1_700; \ + int32x2_t __rev0_700; __rev0_700 = __builtin_shufflevector(__s0_700, __s0_700, 1, 0); \ + int64x2_t __rev1_700; __rev1_700 = __builtin_shufflevector(__s1_700, __s1_700, 1, 0); \ + __ret_700 = (int32x4_t)(__noswap_vcombine_s32((int32x2_t)(__rev0_700), (int32x2_t)(__noswap_vqshrun_n_s64(__rev1_700, __p2_700)))); \ + __ret_700 = __builtin_shufflevector(__ret_700, __ret_700, 3, 2, 1, 0); \ + __ret_700; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqshrun_high_n_s16(__p0_701, __p1_701, __p2_701) __extension__ ({ \ + int8x16_t __ret_701; \ + int8x8_t __s0_701 = __p0_701; \ + int16x8_t __s1_701 = __p1_701; \ + __ret_701 = (int8x16_t)(vcombine_s8((int8x8_t)(__s0_701), (int8x8_t)(vqshrun_n_s16(__s1_701, __p2_701)))); \ + __ret_701; \ +}) +#else +#define vqshrun_high_n_s16(__p0_702, __p1_702, __p2_702) __extension__ ({ \ + int8x16_t __ret_702; \ + int8x8_t __s0_702 = __p0_702; \ + int16x8_t __s1_702 = __p1_702; \ + int8x8_t __rev0_702; __rev0_702 = __builtin_shufflevector(__s0_702, __s0_702, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_702; __rev1_702 = __builtin_shufflevector(__s1_702, __s1_702, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_702 = (int8x16_t)(__noswap_vcombine_s8((int8x8_t)(__rev0_702), (int8x8_t)(__noswap_vqshrun_n_s16(__rev1_702, __p2_702)))); \ + __ret_702 = __builtin_shufflevector(__ret_702, __ret_702, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_702; \ +}) +#endif + +#define vqshruns_n_s32(__p0, __p1) __extension__ ({ \ + uint16_t __ret; \ + int32_t __s0 = __p0; \ + __ret = (uint16_t) __builtin_neon_vqshruns_n_s32(__s0, __p1); \ + __ret; \ +}) +#define vqshrund_n_s64(__p0, __p1) __extension__ ({ \ + uint32_t __ret; \ + int64_t __s0 = __p0; \ + __ret = (uint32_t) __builtin_neon_vqshrund_n_s64(__s0, __p1); \ + __ret; \ +}) +#define vqshrunh_n_s16(__p0, __p1) __extension__ ({ \ + uint8_t __ret; \ + int16_t __s0 = __p0; \ + __ret = (uint8_t) __builtin_neon_vqshrunh_n_s16(__s0, __p1); \ + __ret; \ +}) +__ai uint8_t vqsubb_u8(uint8_t __p0, uint8_t __p1) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vqsubb_u8(__p0, __p1); + return __ret; +} +__ai uint32_t vqsubs_u32(uint32_t __p0, uint32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vqsubs_u32(__p0, __p1); + return __ret; +} +__ai uint64_t vqsubd_u64(uint64_t __p0, uint64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vqsubd_u64(__p0, __p1); + return __ret; +} +__ai uint16_t vqsubh_u16(uint16_t __p0, uint16_t __p1) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vqsubh_u16(__p0, __p1); + return __ret; +} +__ai int8_t vqsubb_s8(int8_t __p0, int8_t __p1) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vqsubb_s8(__p0, __p1); + return __ret; +} +__ai int32_t vqsubs_s32(int32_t __p0, int32_t __p1) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqsubs_s32(__p0, __p1); + return __ret; +} +__ai int64_t vqsubd_s64(int64_t __p0, int64_t __p1) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vqsubd_s64(__p0, __p1); + return __ret; +} +__ai int16_t vqsubh_s16(int16_t __p0, int16_t __p1) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vqsubh_s16(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vqtbl1_p8(poly8x16_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vqtbl1_v((int8x16_t)__p0, (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8_t vqtbl1_p8(poly8x16_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vqtbl1_v((int8x16_t)__rev0, (int8x8_t)__rev1, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vqtbl1q_p8(poly8x16_t __p0, uint8x16_t __p1) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vqtbl1q_v((int8x16_t)__p0, (int8x16_t)__p1, 36); + return __ret; +} +#else +__ai poly8x16_t vqtbl1q_p8(poly8x16_t __p0, uint8x16_t __p1) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vqtbl1q_v((int8x16_t)__rev0, (int8x16_t)__rev1, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqtbl1q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vqtbl1q_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vqtbl1q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vqtbl1q_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqtbl1q_s8(int8x16_t __p0, uint8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqtbl1q_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vqtbl1q_s8(int8x16_t __p0, uint8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqtbl1q_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqtbl1_u8(uint8x16_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqtbl1_v((int8x16_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vqtbl1_u8(uint8x16_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqtbl1_v((int8x16_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqtbl1_s8(int8x16_t __p0, uint8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqtbl1_v((int8x16_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vqtbl1_s8(int8x16_t __p0, uint8x8_t __p1) { + int8x8_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqtbl1_v((int8x16_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vqtbl2_p8(poly8x16x2_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vqtbl2_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8_t vqtbl2_p8(poly8x16x2_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + poly8x16x2_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vqtbl2_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x8_t)__rev1, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vqtbl2q_p8(poly8x16x2_t __p0, uint8x16_t __p1) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vqtbl2q_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p1, 36); + return __ret; +} +#else +__ai poly8x16_t vqtbl2q_p8(poly8x16x2_t __p0, uint8x16_t __p1) { + poly8x16_t __ret; + poly8x16x2_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vqtbl2q_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev1, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqtbl2q_u8(uint8x16x2_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vqtbl2q_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vqtbl2q_u8(uint8x16x2_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16x2_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vqtbl2q_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqtbl2q_s8(int8x16x2_t __p0, uint8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqtbl2q_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vqtbl2q_s8(int8x16x2_t __p0, uint8x16_t __p1) { + int8x16_t __ret; + int8x16x2_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqtbl2q_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqtbl2_u8(uint8x16x2_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqtbl2_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vqtbl2_u8(uint8x16x2_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x16x2_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqtbl2_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqtbl2_s8(int8x16x2_t __p0, uint8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqtbl2_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vqtbl2_s8(int8x16x2_t __p0, uint8x8_t __p1) { + int8x8_t __ret; + int8x16x2_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqtbl2_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vqtbl3_p8(poly8x16x3_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vqtbl3_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p0.val[2], (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8_t vqtbl3_p8(poly8x16x3_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + poly8x16x3_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vqtbl3_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev0.val[2], (int8x8_t)__rev1, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vqtbl3q_p8(poly8x16x3_t __p0, uint8x16_t __p1) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vqtbl3q_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p0.val[2], (int8x16_t)__p1, 36); + return __ret; +} +#else +__ai poly8x16_t vqtbl3q_p8(poly8x16x3_t __p0, uint8x16_t __p1) { + poly8x16_t __ret; + poly8x16x3_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vqtbl3q_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev0.val[2], (int8x16_t)__rev1, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqtbl3q_u8(uint8x16x3_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vqtbl3q_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p0.val[2], (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vqtbl3q_u8(uint8x16x3_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16x3_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vqtbl3q_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev0.val[2], (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqtbl3q_s8(int8x16x3_t __p0, uint8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqtbl3q_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p0.val[2], (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vqtbl3q_s8(int8x16x3_t __p0, uint8x16_t __p1) { + int8x16_t __ret; + int8x16x3_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqtbl3q_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev0.val[2], (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqtbl3_u8(uint8x16x3_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqtbl3_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p0.val[2], (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vqtbl3_u8(uint8x16x3_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x16x3_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqtbl3_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev0.val[2], (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqtbl3_s8(int8x16x3_t __p0, uint8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqtbl3_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p0.val[2], (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vqtbl3_s8(int8x16x3_t __p0, uint8x8_t __p1) { + int8x8_t __ret; + int8x16x3_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqtbl3_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev0.val[2], (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vqtbl4_p8(poly8x16x4_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vqtbl4_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p0.val[2], (int8x16_t)__p0.val[3], (int8x8_t)__p1, 4); + return __ret; +} +#else +__ai poly8x8_t vqtbl4_p8(poly8x16x4_t __p0, uint8x8_t __p1) { + poly8x8_t __ret; + poly8x16x4_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[3] = __builtin_shufflevector(__p0.val[3], __p0.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vqtbl4_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev0.val[2], (int8x16_t)__rev0.val[3], (int8x8_t)__rev1, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vqtbl4q_p8(poly8x16x4_t __p0, uint8x16_t __p1) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vqtbl4q_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p0.val[2], (int8x16_t)__p0.val[3], (int8x16_t)__p1, 36); + return __ret; +} +#else +__ai poly8x16_t vqtbl4q_p8(poly8x16x4_t __p0, uint8x16_t __p1) { + poly8x16_t __ret; + poly8x16x4_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[3] = __builtin_shufflevector(__p0.val[3], __p0.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vqtbl4q_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev0.val[2], (int8x16_t)__rev0.val[3], (int8x16_t)__rev1, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqtbl4q_u8(uint8x16x4_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vqtbl4q_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p0.val[2], (int8x16_t)__p0.val[3], (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vqtbl4q_u8(uint8x16x4_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16x4_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[3] = __builtin_shufflevector(__p0.val[3], __p0.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vqtbl4q_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev0.val[2], (int8x16_t)__rev0.val[3], (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqtbl4q_s8(int8x16x4_t __p0, uint8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqtbl4q_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p0.val[2], (int8x16_t)__p0.val[3], (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vqtbl4q_s8(int8x16x4_t __p0, uint8x16_t __p1) { + int8x16_t __ret; + int8x16x4_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[3] = __builtin_shufflevector(__p0.val[3], __p0.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqtbl4q_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev0.val[2], (int8x16_t)__rev0.val[3], (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqtbl4_u8(uint8x16x4_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqtbl4_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p0.val[2], (int8x16_t)__p0.val[3], (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vqtbl4_u8(uint8x16x4_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x16x4_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[3] = __builtin_shufflevector(__p0.val[3], __p0.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqtbl4_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev0.val[2], (int8x16_t)__rev0.val[3], (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqtbl4_s8(int8x16x4_t __p0, uint8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqtbl4_v((int8x16_t)__p0.val[0], (int8x16_t)__p0.val[1], (int8x16_t)__p0.val[2], (int8x16_t)__p0.val[3], (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vqtbl4_s8(int8x16x4_t __p0, uint8x8_t __p1) { + int8x8_t __ret; + int8x16x4_t __rev0; + __rev0.val[0] = __builtin_shufflevector(__p0.val[0], __p0.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[1] = __builtin_shufflevector(__p0.val[1], __p0.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[2] = __builtin_shufflevector(__p0.val[2], __p0.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev0.val[3] = __builtin_shufflevector(__p0.val[3], __p0.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqtbl4_v((int8x16_t)__rev0.val[0], (int8x16_t)__rev0.val[1], (int8x16_t)__rev0.val[2], (int8x16_t)__rev0.val[3], (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vqtbx1_p8(poly8x8_t __p0, poly8x16_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vqtbx1_v((int8x8_t)__p0, (int8x16_t)__p1, (int8x8_t)__p2, 4); + return __ret; +} +#else +__ai poly8x8_t vqtbx1_p8(poly8x8_t __p0, poly8x16_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vqtbx1_v((int8x8_t)__rev0, (int8x16_t)__rev1, (int8x8_t)__rev2, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vqtbx1q_p8(poly8x16_t __p0, poly8x16_t __p1, uint8x16_t __p2) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vqtbx1q_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 36); + return __ret; +} +#else +__ai poly8x16_t vqtbx1q_p8(poly8x16_t __p0, poly8x16_t __p1, uint8x16_t __p2) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vqtbx1q_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqtbx1q_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vqtbx1q_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 48); + return __ret; +} +#else +__ai uint8x16_t vqtbx1q_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vqtbx1q_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqtbx1q_s8(int8x16_t __p0, int8x16_t __p1, uint8x16_t __p2) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqtbx1q_v((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 32); + return __ret; +} +#else +__ai int8x16_t vqtbx1q_s8(int8x16_t __p0, int8x16_t __p1, uint8x16_t __p2) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqtbx1q_v((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqtbx1_u8(uint8x8_t __p0, uint8x16_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqtbx1_v((int8x8_t)__p0, (int8x16_t)__p1, (int8x8_t)__p2, 16); + return __ret; +} +#else +__ai uint8x8_t vqtbx1_u8(uint8x8_t __p0, uint8x16_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqtbx1_v((int8x8_t)__rev0, (int8x16_t)__rev1, (int8x8_t)__rev2, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqtbx1_s8(int8x8_t __p0, int8x16_t __p1, uint8x8_t __p2) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqtbx1_v((int8x8_t)__p0, (int8x16_t)__p1, (int8x8_t)__p2, 0); + return __ret; +} +#else +__ai int8x8_t vqtbx1_s8(int8x8_t __p0, int8x16_t __p1, uint8x8_t __p2) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqtbx1_v((int8x8_t)__rev0, (int8x16_t)__rev1, (int8x8_t)__rev2, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vqtbx2_p8(poly8x8_t __p0, poly8x16x2_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vqtbx2_v((int8x8_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x8_t)__p2, 4); + return __ret; +} +#else +__ai poly8x8_t vqtbx2_p8(poly8x8_t __p0, poly8x16x2_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16x2_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vqtbx2_v((int8x8_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x8_t)__rev2, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vqtbx2q_p8(poly8x16_t __p0, poly8x16x2_t __p1, uint8x16_t __p2) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vqtbx2q_v((int8x16_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p2, 36); + return __ret; +} +#else +__ai poly8x16_t vqtbx2q_p8(poly8x16_t __p0, poly8x16x2_t __p1, uint8x16_t __p2) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16x2_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vqtbx2q_v((int8x16_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev2, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqtbx2q_u8(uint8x16_t __p0, uint8x16x2_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vqtbx2q_v((int8x16_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p2, 48); + return __ret; +} +#else +__ai uint8x16_t vqtbx2q_u8(uint8x16_t __p0, uint8x16x2_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16x2_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vqtbx2q_v((int8x16_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev2, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqtbx2q_s8(int8x16_t __p0, int8x16x2_t __p1, uint8x16_t __p2) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqtbx2q_v((int8x16_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p2, 32); + return __ret; +} +#else +__ai int8x16_t vqtbx2q_s8(int8x16_t __p0, int8x16x2_t __p1, uint8x16_t __p2) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16x2_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqtbx2q_v((int8x16_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev2, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqtbx2_u8(uint8x8_t __p0, uint8x16x2_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqtbx2_v((int8x8_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x8_t)__p2, 16); + return __ret; +} +#else +__ai uint8x8_t vqtbx2_u8(uint8x8_t __p0, uint8x16x2_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16x2_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqtbx2_v((int8x8_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x8_t)__rev2, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqtbx2_s8(int8x8_t __p0, int8x16x2_t __p1, uint8x8_t __p2) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqtbx2_v((int8x8_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x8_t)__p2, 0); + return __ret; +} +#else +__ai int8x8_t vqtbx2_s8(int8x8_t __p0, int8x16x2_t __p1, uint8x8_t __p2) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16x2_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqtbx2_v((int8x8_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x8_t)__rev2, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vqtbx3_p8(poly8x8_t __p0, poly8x16x3_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vqtbx3_v((int8x8_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p1.val[2], (int8x8_t)__p2, 4); + return __ret; +} +#else +__ai poly8x8_t vqtbx3_p8(poly8x8_t __p0, poly8x16x3_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16x3_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vqtbx3_v((int8x8_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x8_t)__rev2, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vqtbx3q_p8(poly8x16_t __p0, poly8x16x3_t __p1, uint8x16_t __p2) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vqtbx3q_v((int8x16_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p1.val[2], (int8x16_t)__p2, 36); + return __ret; +} +#else +__ai poly8x16_t vqtbx3q_p8(poly8x16_t __p0, poly8x16x3_t __p1, uint8x16_t __p2) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16x3_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vqtbx3q_v((int8x16_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev2, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqtbx3q_u8(uint8x16_t __p0, uint8x16x3_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vqtbx3q_v((int8x16_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p1.val[2], (int8x16_t)__p2, 48); + return __ret; +} +#else +__ai uint8x16_t vqtbx3q_u8(uint8x16_t __p0, uint8x16x3_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16x3_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vqtbx3q_v((int8x16_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev2, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqtbx3q_s8(int8x16_t __p0, int8x16x3_t __p1, uint8x16_t __p2) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqtbx3q_v((int8x16_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p1.val[2], (int8x16_t)__p2, 32); + return __ret; +} +#else +__ai int8x16_t vqtbx3q_s8(int8x16_t __p0, int8x16x3_t __p1, uint8x16_t __p2) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16x3_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqtbx3q_v((int8x16_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev2, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqtbx3_u8(uint8x8_t __p0, uint8x16x3_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqtbx3_v((int8x8_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p1.val[2], (int8x8_t)__p2, 16); + return __ret; +} +#else +__ai uint8x8_t vqtbx3_u8(uint8x8_t __p0, uint8x16x3_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16x3_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqtbx3_v((int8x8_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x8_t)__rev2, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqtbx3_s8(int8x8_t __p0, int8x16x3_t __p1, uint8x8_t __p2) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqtbx3_v((int8x8_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p1.val[2], (int8x8_t)__p2, 0); + return __ret; +} +#else +__ai int8x8_t vqtbx3_s8(int8x8_t __p0, int8x16x3_t __p1, uint8x8_t __p2) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16x3_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqtbx3_v((int8x8_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x8_t)__rev2, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vqtbx4_p8(poly8x8_t __p0, poly8x16x4_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vqtbx4_v((int8x8_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p1.val[2], (int8x16_t)__p1.val[3], (int8x8_t)__p2, 4); + return __ret; +} +#else +__ai poly8x8_t vqtbx4_p8(poly8x8_t __p0, poly8x16x4_t __p1, uint8x8_t __p2) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16x4_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[3] = __builtin_shufflevector(__p1.val[3], __p1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vqtbx4_v((int8x8_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], (int8x8_t)__rev2, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vqtbx4q_p8(poly8x16_t __p0, poly8x16x4_t __p1, uint8x16_t __p2) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vqtbx4q_v((int8x16_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p1.val[2], (int8x16_t)__p1.val[3], (int8x16_t)__p2, 36); + return __ret; +} +#else +__ai poly8x16_t vqtbx4q_p8(poly8x16_t __p0, poly8x16x4_t __p1, uint8x16_t __p2) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16x4_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[3] = __builtin_shufflevector(__p1.val[3], __p1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vqtbx4q_v((int8x16_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], (int8x16_t)__rev2, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vqtbx4q_u8(uint8x16_t __p0, uint8x16x4_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vqtbx4q_v((int8x16_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p1.val[2], (int8x16_t)__p1.val[3], (int8x16_t)__p2, 48); + return __ret; +} +#else +__ai uint8x16_t vqtbx4q_u8(uint8x16_t __p0, uint8x16x4_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16x4_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[3] = __builtin_shufflevector(__p1.val[3], __p1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vqtbx4q_v((int8x16_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], (int8x16_t)__rev2, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vqtbx4q_s8(int8x16_t __p0, int8x16x4_t __p1, uint8x16_t __p2) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vqtbx4q_v((int8x16_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p1.val[2], (int8x16_t)__p1.val[3], (int8x16_t)__p2, 32); + return __ret; +} +#else +__ai int8x16_t vqtbx4q_s8(int8x16_t __p0, int8x16x4_t __p1, uint8x16_t __p2) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16x4_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[3] = __builtin_shufflevector(__p1.val[3], __p1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vqtbx4q_v((int8x16_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], (int8x16_t)__rev2, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vqtbx4_u8(uint8x8_t __p0, uint8x16x4_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vqtbx4_v((int8x8_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p1.val[2], (int8x16_t)__p1.val[3], (int8x8_t)__p2, 16); + return __ret; +} +#else +__ai uint8x8_t vqtbx4_u8(uint8x8_t __p0, uint8x16x4_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16x4_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[3] = __builtin_shufflevector(__p1.val[3], __p1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vqtbx4_v((int8x8_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], (int8x8_t)__rev2, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vqtbx4_s8(int8x8_t __p0, int8x16x4_t __p1, uint8x8_t __p2) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vqtbx4_v((int8x8_t)__p0, (int8x16_t)__p1.val[0], (int8x16_t)__p1.val[1], (int8x16_t)__p1.val[2], (int8x16_t)__p1.val[3], (int8x8_t)__p2, 0); + return __ret; +} +#else +__ai int8x8_t vqtbx4_s8(int8x8_t __p0, int8x16x4_t __p1, uint8x8_t __p2) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16x4_t __rev1; + __rev1.val[0] = __builtin_shufflevector(__p1.val[0], __p1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[1] = __builtin_shufflevector(__p1.val[1], __p1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[2] = __builtin_shufflevector(__p1.val[2], __p1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __rev1.val[3] = __builtin_shufflevector(__p1.val[3], __p1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vqtbx4_v((int8x8_t)__rev0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], (int8x8_t)__rev2, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vraddhn_high_u32(uint16x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint16x8_t __ret; + __ret = vcombine_u16(__p0, vraddhn_u32(__p1, __p2)); + return __ret; +} +#else +__ai uint16x8_t vraddhn_high_u32(uint16x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint16x8_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vcombine_u16(__rev0, __noswap_vraddhn_u32(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vraddhn_high_u64(uint32x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint32x4_t __ret; + __ret = vcombine_u32(__p0, vraddhn_u64(__p1, __p2)); + return __ret; +} +#else +__ai uint32x4_t vraddhn_high_u64(uint32x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint32x4_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __noswap_vcombine_u32(__rev0, __noswap_vraddhn_u64(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vraddhn_high_u16(uint8x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint8x16_t __ret; + __ret = vcombine_u8(__p0, vraddhn_u16(__p1, __p2)); + return __ret; +} +#else +__ai uint8x16_t vraddhn_high_u16(uint8x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint8x16_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_u8(__rev0, __noswap_vraddhn_u16(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vraddhn_high_s32(int16x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int16x8_t __ret; + __ret = vcombine_s16(__p0, vraddhn_s32(__p1, __p2)); + return __ret; +} +#else +__ai int16x8_t vraddhn_high_s32(int16x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int16x8_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vcombine_s16(__rev0, __noswap_vraddhn_s32(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vraddhn_high_s64(int32x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int32x4_t __ret; + __ret = vcombine_s32(__p0, vraddhn_s64(__p1, __p2)); + return __ret; +} +#else +__ai int32x4_t vraddhn_high_s64(int32x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int32x4_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __noswap_vcombine_s32(__rev0, __noswap_vraddhn_s64(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vraddhn_high_s16(int8x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int8x16_t __ret; + __ret = vcombine_s8(__p0, vraddhn_s16(__p1, __p2)); + return __ret; +} +#else +__ai int8x16_t vraddhn_high_s16(int8x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int8x16_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_s8(__rev0, __noswap_vraddhn_s16(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vrbit_p8(poly8x8_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t) __builtin_neon_vrbit_v((int8x8_t)__p0, 4); + return __ret; +} +#else +__ai poly8x8_t vrbit_p8(poly8x8_t __p0) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x8_t) __builtin_neon_vrbit_v((int8x8_t)__rev0, 4); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vrbitq_p8(poly8x16_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t) __builtin_neon_vrbitq_v((int8x16_t)__p0, 36); + return __ret; +} +#else +__ai poly8x16_t vrbitq_p8(poly8x16_t __p0) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (poly8x16_t) __builtin_neon_vrbitq_v((int8x16_t)__rev0, 36); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vrbitq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vrbitq_v((int8x16_t)__p0, 48); + return __ret; +} +#else +__ai uint8x16_t vrbitq_u8(uint8x16_t __p0) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vrbitq_v((int8x16_t)__rev0, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vrbitq_s8(int8x16_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vrbitq_v((int8x16_t)__p0, 32); + return __ret; +} +#else +__ai int8x16_t vrbitq_s8(int8x16_t __p0) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vrbitq_v((int8x16_t)__rev0, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vrbit_u8(uint8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vrbit_v((int8x8_t)__p0, 16); + return __ret; +} +#else +__ai uint8x8_t vrbit_u8(uint8x8_t __p0) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vrbit_v((int8x8_t)__rev0, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vrbit_s8(int8x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vrbit_v((int8x8_t)__p0, 0); + return __ret; +} +#else +__ai int8x8_t vrbit_s8(int8x8_t __p0) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vrbit_v((int8x8_t)__rev0, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vrecpeq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrecpeq_v((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai float64x2_t vrecpeq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrecpeq_v((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vrecpe_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrecpe_v((int8x8_t)__p0, 10); + return __ret; +} +__ai float64_t vrecped_f64(float64_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vrecped_f64(__p0); + return __ret; +} +__ai float32_t vrecpes_f32(float32_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vrecpes_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vrecpsq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrecpsq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vrecpsq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrecpsq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vrecps_f64(float64x1_t __p0, float64x1_t __p1) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrecps_v((int8x8_t)__p0, (int8x8_t)__p1, 10); + return __ret; +} +__ai float64_t vrecpsd_f64(float64_t __p0, float64_t __p1) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vrecpsd_f64(__p0, __p1); + return __ret; +} +__ai float32_t vrecpss_f32(float32_t __p0, float32_t __p1) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vrecpss_f32(__p0, __p1); + return __ret; +} +__ai float64_t vrecpxd_f64(float64_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vrecpxd_f64(__p0); + return __ret; +} +__ai float32_t vrecpxs_f32(float32_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vrecpxs_f32(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_p64(poly64x1_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_p16(poly16x4_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_u8(uint8x8_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_u32(uint32x2_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_u64(uint64x1_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_u16(uint16x4_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_s8(int8x8_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_f64(float64x1_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_f32(float32x2_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_f16(float16x4_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_s32(int32x2_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_s64(int64x1_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly8x8_t vreinterpret_p8_s16(int16x4_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_p8(poly8x8_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_p16(poly16x4_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_u8(uint8x8_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_u32(uint32x2_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_u64(uint64x1_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_u16(uint16x4_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_s8(int8x8_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_f64(float64x1_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_f32(float32x2_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_f16(float16x4_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_s32(int32x2_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_s64(int64x1_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly64x1_t vreinterpret_p64_s16(int16x4_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_p8(poly8x8_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_p64(poly64x1_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_u8(uint8x8_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_u32(uint32x2_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_u64(uint64x1_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_u16(uint16x4_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_s8(int8x8_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_f64(float64x1_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_f32(float32x2_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_f16(float16x4_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_s32(int32x2_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_s64(int64x1_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly16x4_t vreinterpret_p16_s16(int16x4_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_p128(poly128_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_p64(poly64x2_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_p16(poly16x8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_u8(uint8x16_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_u32(uint32x4_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_u64(uint64x2_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_u16(uint16x8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_s8(int8x16_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_f64(float64x2_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_f32(float32x4_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_f16(float16x8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_s32(int32x4_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_s64(int64x2_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly8x16_t vreinterpretq_p8_s16(int16x8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_p8(poly8x16_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_p64(poly64x2_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_p16(poly16x8_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_u8(uint8x16_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_u32(uint32x4_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_u64(uint64x2_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_u16(uint16x8_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_s8(int8x16_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_f64(float64x2_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_f32(float32x4_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_f16(float16x8_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_s32(int32x4_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_s64(int64x2_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly128_t vreinterpretq_p128_s16(int16x8_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_p8(poly8x16_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_p128(poly128_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_p16(poly16x8_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_u8(uint8x16_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_u32(uint32x4_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_u64(uint64x2_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_u16(uint16x8_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_s8(int8x16_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_f64(float64x2_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_f32(float32x4_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_f16(float16x8_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_s32(int32x4_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_s64(int64x2_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly64x2_t vreinterpretq_p64_s16(int16x8_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_p8(poly8x16_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_p128(poly128_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_p64(poly64x2_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_u8(uint8x16_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_u32(uint32x4_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_u64(uint64x2_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_u16(uint16x8_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_s8(int8x16_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_f64(float64x2_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_f32(float32x4_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_f16(float16x8_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_s32(int32x4_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_s64(int64x2_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai poly16x8_t vreinterpretq_p16_s16(int16x8_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_p8(poly8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_p128(poly128_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_p64(poly64x2_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_p16(poly16x8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_u32(uint32x4_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_u64(uint64x2_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_u16(uint16x8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_s8(int8x16_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_f64(float64x2_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_f32(float32x4_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_f16(float16x8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_s32(int32x4_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_s64(int64x2_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint8x16_t vreinterpretq_u8_s16(int16x8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_p8(poly8x16_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_p128(poly128_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_p64(poly64x2_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_p16(poly16x8_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_u8(uint8x16_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_u64(uint64x2_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_u16(uint16x8_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_s8(int8x16_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_f64(float64x2_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_f32(float32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_f16(float16x8_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_s32(int32x4_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_s64(int64x2_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint32x4_t vreinterpretq_u32_s16(int16x8_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_p8(poly8x16_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_p128(poly128_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_p64(poly64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_p16(poly16x8_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_u8(uint8x16_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_u32(uint32x4_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_u16(uint16x8_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_s8(int8x16_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_f64(float64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_f32(float32x4_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_f16(float16x8_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_s32(int32x4_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_s64(int64x2_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint64x2_t vreinterpretq_u64_s16(int16x8_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_p8(poly8x16_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_p128(poly128_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_p64(poly64x2_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_p16(poly16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_u8(uint8x16_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_u32(uint32x4_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_u64(uint64x2_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_s8(int8x16_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_f64(float64x2_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_f32(float32x4_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_f16(float16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_s32(int32x4_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_s64(int64x2_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai uint16x8_t vreinterpretq_u16_s16(int16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_p8(poly8x16_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_p128(poly128_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_p64(poly64x2_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_p16(poly16x8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_u8(uint8x16_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_u32(uint32x4_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_u64(uint64x2_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_u16(uint16x8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_f64(float64x2_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_f32(float32x4_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_f16(float16x8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_s32(int32x4_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_s64(int64x2_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai int8x16_t vreinterpretq_s8_s16(int16x8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_p8(poly8x16_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_p128(poly128_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_p64(poly64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_p16(poly16x8_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_u8(uint8x16_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_u32(uint32x4_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_u64(uint64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_u16(uint16x8_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_s8(int8x16_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_f32(float32x4_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_f16(float16x8_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_s32(int32x4_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_s64(int64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float64x2_t vreinterpretq_f64_s16(int16x8_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_p8(poly8x16_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_p128(poly128_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_p64(poly64x2_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_p16(poly16x8_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_u8(uint8x16_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_u32(uint32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_u64(uint64x2_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_u16(uint16x8_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_s8(int8x16_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_f64(float64x2_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_f16(float16x8_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_s32(int32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_s64(int64x2_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float32x4_t vreinterpretq_f32_s16(int16x8_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_p8(poly8x16_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_p128(poly128_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_p64(poly64x2_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_p16(poly16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_u8(uint8x16_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_u32(uint32x4_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_u64(uint64x2_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_u16(uint16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_s8(int8x16_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_f64(float64x2_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_f32(float32x4_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_s32(int32x4_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_s64(int64x2_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai float16x8_t vreinterpretq_f16_s16(int16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_p8(poly8x16_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_p128(poly128_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_p64(poly64x2_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_p16(poly16x8_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_u8(uint8x16_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_u32(uint32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_u64(uint64x2_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_u16(uint16x8_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_s8(int8x16_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_f64(float64x2_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_f32(float32x4_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_f16(float16x8_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_s64(int64x2_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int32x4_t vreinterpretq_s32_s16(int16x8_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_p8(poly8x16_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_p128(poly128_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_p64(poly64x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_p16(poly16x8_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_u8(uint8x16_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_u32(uint32x4_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_u64(uint64x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_u16(uint16x8_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_s8(int8x16_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_f64(float64x2_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_f32(float32x4_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_f16(float16x8_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_s32(int32x4_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int64x2_t vreinterpretq_s64_s16(int16x8_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_p8(poly8x16_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_p128(poly128_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_p64(poly64x2_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_p16(poly16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_u8(uint8x16_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_u32(uint32x4_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_u64(uint64x2_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_u16(uint16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_s8(int8x16_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_f64(float64x2_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_f32(float32x4_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_f16(float16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_s32(int32x4_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai int16x8_t vreinterpretq_s16_s64(int64x2_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_p8(poly8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_p64(poly64x1_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_p16(poly16x4_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_u32(uint32x2_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_u64(uint64x1_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_u16(uint16x4_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_s8(int8x8_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_f64(float64x1_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_f32(float32x2_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_f16(float16x4_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_s32(int32x2_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_s64(int64x1_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint8x8_t vreinterpret_u8_s16(int16x4_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_p8(poly8x8_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_p64(poly64x1_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_p16(poly16x4_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_u8(uint8x8_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_u64(uint64x1_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_u16(uint16x4_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_s8(int8x8_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_f64(float64x1_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_f32(float32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_f16(float16x4_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_s32(int32x2_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_s64(int64x1_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint32x2_t vreinterpret_u32_s16(int16x4_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_p8(poly8x8_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_p64(poly64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_p16(poly16x4_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_u8(uint8x8_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_u32(uint32x2_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_u16(uint16x4_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_s8(int8x8_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_f64(float64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_f32(float32x2_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_f16(float16x4_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_s32(int32x2_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_s64(int64x1_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint64x1_t vreinterpret_u64_s16(int16x4_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_p8(poly8x8_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_p64(poly64x1_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_p16(poly16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_u8(uint8x8_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_u32(uint32x2_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_u64(uint64x1_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_s8(int8x8_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_f64(float64x1_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_f32(float32x2_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_f16(float16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_s32(int32x2_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_s64(int64x1_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai uint16x4_t vreinterpret_u16_s16(int16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_p8(poly8x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_p64(poly64x1_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_p16(poly16x4_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_u8(uint8x8_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_u32(uint32x2_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_u64(uint64x1_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_u16(uint16x4_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_f64(float64x1_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_f32(float32x2_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_f16(float16x4_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_s32(int32x2_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_s64(int64x1_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai int8x8_t vreinterpret_s8_s16(int16x4_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_p8(poly8x8_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_p64(poly64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_p16(poly16x4_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_u8(uint8x8_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_u32(uint32x2_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_u64(uint64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_u16(uint16x4_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_s8(int8x8_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_f32(float32x2_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_f16(float16x4_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_s32(int32x2_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_s64(int64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float64x1_t vreinterpret_f64_s16(int16x4_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_p8(poly8x8_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_p64(poly64x1_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_p16(poly16x4_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_u8(uint8x8_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_u32(uint32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_u64(uint64x1_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_u16(uint16x4_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_s8(int8x8_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_f64(float64x1_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_f16(float16x4_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_s32(int32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_s64(int64x1_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float32x2_t vreinterpret_f32_s16(int16x4_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_p8(poly8x8_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_p64(poly64x1_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_p16(poly16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_u8(uint8x8_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_u32(uint32x2_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_u64(uint64x1_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_u16(uint16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_s8(int8x8_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_f64(float64x1_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_f32(float32x2_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_s32(int32x2_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_s64(int64x1_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai float16x4_t vreinterpret_f16_s16(int16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_p8(poly8x8_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_p64(poly64x1_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_p16(poly16x4_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_u8(uint8x8_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_u32(uint32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_u64(uint64x1_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_u16(uint16x4_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_s8(int8x8_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_f64(float64x1_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_f32(float32x2_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_f16(float16x4_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_s64(int64x1_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int32x2_t vreinterpret_s32_s16(int16x4_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_p8(poly8x8_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_p64(poly64x1_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_p16(poly16x4_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_u8(uint8x8_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_u32(uint32x2_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_u64(uint64x1_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_u16(uint16x4_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_s8(int8x8_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_f64(float64x1_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_f32(float32x2_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_f16(float16x4_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_s32(int32x2_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int64x1_t vreinterpret_s64_s16(int16x4_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_p8(poly8x8_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_p64(poly64x1_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_p16(poly16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_u8(uint8x8_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_u32(uint32x2_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_u64(uint64x1_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_u16(uint16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_s8(int8x8_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_f64(float64x1_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_f32(float32x2_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_f16(float16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_s32(int32x2_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai int16x4_t vreinterpret_s16_s64(int64x1_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai uint64_t vrshld_u64(uint64_t __p0, int64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vrshld_u64(__p0, __p1); + return __ret; +} +__ai int64_t vrshld_s64(int64_t __p0, int64_t __p1) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vrshld_s64(__p0, __p1); + return __ret; +} +#define vrshrd_n_u64(__p0, __p1) __extension__ ({ \ + uint64_t __ret; \ + uint64_t __s0 = __p0; \ + __ret = (uint64_t) __builtin_neon_vrshrd_n_u64(__s0, __p1); \ + __ret; \ +}) +#define vrshrd_n_s64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + __ret = (int64_t) __builtin_neon_vrshrd_n_s64(__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vrshrn_high_n_u32(__p0_703, __p1_703, __p2_703) __extension__ ({ \ + uint16x8_t __ret_703; \ + uint16x4_t __s0_703 = __p0_703; \ + uint32x4_t __s1_703 = __p1_703; \ + __ret_703 = (uint16x8_t)(vcombine_u16((uint16x4_t)(__s0_703), (uint16x4_t)(vrshrn_n_u32(__s1_703, __p2_703)))); \ + __ret_703; \ +}) +#else +#define vrshrn_high_n_u32(__p0_704, __p1_704, __p2_704) __extension__ ({ \ + uint16x8_t __ret_704; \ + uint16x4_t __s0_704 = __p0_704; \ + uint32x4_t __s1_704 = __p1_704; \ + uint16x4_t __rev0_704; __rev0_704 = __builtin_shufflevector(__s0_704, __s0_704, 3, 2, 1, 0); \ + uint32x4_t __rev1_704; __rev1_704 = __builtin_shufflevector(__s1_704, __s1_704, 3, 2, 1, 0); \ + __ret_704 = (uint16x8_t)(__noswap_vcombine_u16((uint16x4_t)(__rev0_704), (uint16x4_t)(__noswap_vrshrn_n_u32(__rev1_704, __p2_704)))); \ + __ret_704 = __builtin_shufflevector(__ret_704, __ret_704, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_704; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrn_high_n_u64(__p0_705, __p1_705, __p2_705) __extension__ ({ \ + uint32x4_t __ret_705; \ + uint32x2_t __s0_705 = __p0_705; \ + uint64x2_t __s1_705 = __p1_705; \ + __ret_705 = (uint32x4_t)(vcombine_u32((uint32x2_t)(__s0_705), (uint32x2_t)(vrshrn_n_u64(__s1_705, __p2_705)))); \ + __ret_705; \ +}) +#else +#define vrshrn_high_n_u64(__p0_706, __p1_706, __p2_706) __extension__ ({ \ + uint32x4_t __ret_706; \ + uint32x2_t __s0_706 = __p0_706; \ + uint64x2_t __s1_706 = __p1_706; \ + uint32x2_t __rev0_706; __rev0_706 = __builtin_shufflevector(__s0_706, __s0_706, 1, 0); \ + uint64x2_t __rev1_706; __rev1_706 = __builtin_shufflevector(__s1_706, __s1_706, 1, 0); \ + __ret_706 = (uint32x4_t)(__noswap_vcombine_u32((uint32x2_t)(__rev0_706), (uint32x2_t)(__noswap_vrshrn_n_u64(__rev1_706, __p2_706)))); \ + __ret_706 = __builtin_shufflevector(__ret_706, __ret_706, 3, 2, 1, 0); \ + __ret_706; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrn_high_n_u16(__p0_707, __p1_707, __p2_707) __extension__ ({ \ + uint8x16_t __ret_707; \ + uint8x8_t __s0_707 = __p0_707; \ + uint16x8_t __s1_707 = __p1_707; \ + __ret_707 = (uint8x16_t)(vcombine_u8((uint8x8_t)(__s0_707), (uint8x8_t)(vrshrn_n_u16(__s1_707, __p2_707)))); \ + __ret_707; \ +}) +#else +#define vrshrn_high_n_u16(__p0_708, __p1_708, __p2_708) __extension__ ({ \ + uint8x16_t __ret_708; \ + uint8x8_t __s0_708 = __p0_708; \ + uint16x8_t __s1_708 = __p1_708; \ + uint8x8_t __rev0_708; __rev0_708 = __builtin_shufflevector(__s0_708, __s0_708, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1_708; __rev1_708 = __builtin_shufflevector(__s1_708, __s1_708, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_708 = (uint8x16_t)(__noswap_vcombine_u8((uint8x8_t)(__rev0_708), (uint8x8_t)(__noswap_vrshrn_n_u16(__rev1_708, __p2_708)))); \ + __ret_708 = __builtin_shufflevector(__ret_708, __ret_708, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_708; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrn_high_n_s32(__p0_709, __p1_709, __p2_709) __extension__ ({ \ + int16x8_t __ret_709; \ + int16x4_t __s0_709 = __p0_709; \ + int32x4_t __s1_709 = __p1_709; \ + __ret_709 = (int16x8_t)(vcombine_s16((int16x4_t)(__s0_709), (int16x4_t)(vrshrn_n_s32(__s1_709, __p2_709)))); \ + __ret_709; \ +}) +#else +#define vrshrn_high_n_s32(__p0_710, __p1_710, __p2_710) __extension__ ({ \ + int16x8_t __ret_710; \ + int16x4_t __s0_710 = __p0_710; \ + int32x4_t __s1_710 = __p1_710; \ + int16x4_t __rev0_710; __rev0_710 = __builtin_shufflevector(__s0_710, __s0_710, 3, 2, 1, 0); \ + int32x4_t __rev1_710; __rev1_710 = __builtin_shufflevector(__s1_710, __s1_710, 3, 2, 1, 0); \ + __ret_710 = (int16x8_t)(__noswap_vcombine_s16((int16x4_t)(__rev0_710), (int16x4_t)(__noswap_vrshrn_n_s32(__rev1_710, __p2_710)))); \ + __ret_710 = __builtin_shufflevector(__ret_710, __ret_710, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_710; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrn_high_n_s64(__p0_711, __p1_711, __p2_711) __extension__ ({ \ + int32x4_t __ret_711; \ + int32x2_t __s0_711 = __p0_711; \ + int64x2_t __s1_711 = __p1_711; \ + __ret_711 = (int32x4_t)(vcombine_s32((int32x2_t)(__s0_711), (int32x2_t)(vrshrn_n_s64(__s1_711, __p2_711)))); \ + __ret_711; \ +}) +#else +#define vrshrn_high_n_s64(__p0_712, __p1_712, __p2_712) __extension__ ({ \ + int32x4_t __ret_712; \ + int32x2_t __s0_712 = __p0_712; \ + int64x2_t __s1_712 = __p1_712; \ + int32x2_t __rev0_712; __rev0_712 = __builtin_shufflevector(__s0_712, __s0_712, 1, 0); \ + int64x2_t __rev1_712; __rev1_712 = __builtin_shufflevector(__s1_712, __s1_712, 1, 0); \ + __ret_712 = (int32x4_t)(__noswap_vcombine_s32((int32x2_t)(__rev0_712), (int32x2_t)(__noswap_vrshrn_n_s64(__rev1_712, __p2_712)))); \ + __ret_712 = __builtin_shufflevector(__ret_712, __ret_712, 3, 2, 1, 0); \ + __ret_712; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vrshrn_high_n_s16(__p0_713, __p1_713, __p2_713) __extension__ ({ \ + int8x16_t __ret_713; \ + int8x8_t __s0_713 = __p0_713; \ + int16x8_t __s1_713 = __p1_713; \ + __ret_713 = (int8x16_t)(vcombine_s8((int8x8_t)(__s0_713), (int8x8_t)(vrshrn_n_s16(__s1_713, __p2_713)))); \ + __ret_713; \ +}) +#else +#define vrshrn_high_n_s16(__p0_714, __p1_714, __p2_714) __extension__ ({ \ + int8x16_t __ret_714; \ + int8x8_t __s0_714 = __p0_714; \ + int16x8_t __s1_714 = __p1_714; \ + int8x8_t __rev0_714; __rev0_714 = __builtin_shufflevector(__s0_714, __s0_714, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_714; __rev1_714 = __builtin_shufflevector(__s1_714, __s1_714, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_714 = (int8x16_t)(__noswap_vcombine_s8((int8x8_t)(__rev0_714), (int8x8_t)(__noswap_vrshrn_n_s16(__rev1_714, __p2_714)))); \ + __ret_714 = __builtin_shufflevector(__ret_714, __ret_714, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_714; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vrsqrteq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrsqrteq_v((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai float64x2_t vrsqrteq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrsqrteq_v((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vrsqrte_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrsqrte_v((int8x8_t)__p0, 10); + return __ret; +} +__ai float64_t vrsqrted_f64(float64_t __p0) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vrsqrted_f64(__p0); + return __ret; +} +__ai float32_t vrsqrtes_f32(float32_t __p0) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vrsqrtes_f32(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vrsqrtsq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrsqrtsq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vrsqrtsq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrsqrtsq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vrsqrts_f64(float64x1_t __p0, float64x1_t __p1) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrsqrts_v((int8x8_t)__p0, (int8x8_t)__p1, 10); + return __ret; +} +__ai float64_t vrsqrtsd_f64(float64_t __p0, float64_t __p1) { + float64_t __ret; + __ret = (float64_t) __builtin_neon_vrsqrtsd_f64(__p0, __p1); + return __ret; +} +__ai float32_t vrsqrtss_f32(float32_t __p0, float32_t __p1) { + float32_t __ret; + __ret = (float32_t) __builtin_neon_vrsqrtss_f32(__p0, __p1); + return __ret; +} +#define vrsrad_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64_t __ret; \ + uint64_t __s0 = __p0; \ + uint64_t __s1 = __p1; \ + __ret = (uint64_t) __builtin_neon_vrsrad_n_u64(__s0, __s1, __p2); \ + __ret; \ +}) +#define vrsrad_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + int64_t __s1 = __p1; \ + __ret = (int64_t) __builtin_neon_vrsrad_n_s64(__s0, __s1, __p2); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vrsubhn_high_u32(uint16x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint16x8_t __ret; + __ret = vcombine_u16(__p0, vrsubhn_u32(__p1, __p2)); + return __ret; +} +#else +__ai uint16x8_t vrsubhn_high_u32(uint16x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint16x8_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vcombine_u16(__rev0, __noswap_vrsubhn_u32(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vrsubhn_high_u64(uint32x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint32x4_t __ret; + __ret = vcombine_u32(__p0, vrsubhn_u64(__p1, __p2)); + return __ret; +} +#else +__ai uint32x4_t vrsubhn_high_u64(uint32x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint32x4_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __noswap_vcombine_u32(__rev0, __noswap_vrsubhn_u64(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vrsubhn_high_u16(uint8x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint8x16_t __ret; + __ret = vcombine_u8(__p0, vrsubhn_u16(__p1, __p2)); + return __ret; +} +#else +__ai uint8x16_t vrsubhn_high_u16(uint8x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint8x16_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_u8(__rev0, __noswap_vrsubhn_u16(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vrsubhn_high_s32(int16x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int16x8_t __ret; + __ret = vcombine_s16(__p0, vrsubhn_s32(__p1, __p2)); + return __ret; +} +#else +__ai int16x8_t vrsubhn_high_s32(int16x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int16x8_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vcombine_s16(__rev0, __noswap_vrsubhn_s32(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vrsubhn_high_s64(int32x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int32x4_t __ret; + __ret = vcombine_s32(__p0, vrsubhn_s64(__p1, __p2)); + return __ret; +} +#else +__ai int32x4_t vrsubhn_high_s64(int32x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int32x4_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __noswap_vcombine_s32(__rev0, __noswap_vrsubhn_s64(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vrsubhn_high_s16(int8x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int8x16_t __ret; + __ret = vcombine_s8(__p0, vrsubhn_s16(__p1, __p2)); + return __ret; +} +#else +__ai int8x16_t vrsubhn_high_s16(int8x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int8x16_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_s8(__rev0, __noswap_vrsubhn_s16(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#define vset_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1_t __ret; \ + poly64_t __s0 = __p0; \ + poly64x1_t __s1 = __p1; \ + __ret = (poly64x1_t) __builtin_neon_vset_lane_i64(__s0, (poly64x1_t)__s1, __p2); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64_t __s0 = __p0; \ + poly64x2_t __s1 = __p1; \ + __ret = (poly64x2_t) __builtin_neon_vsetq_lane_i64(__s0, (poly64x2_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64_t __s0 = __p0; \ + poly64x2_t __s1 = __p1; \ + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (poly64x2_t) __builtin_neon_vsetq_lane_i64(__s0, (poly64x2_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64_t __s0 = __p0; \ + poly64x2_t __s1 = __p1; \ + __ret = (poly64x2_t) __builtin_neon_vsetq_lane_i64(__s0, (poly64x2_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __ret; \ + float64_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + __ret = (float64x2_t) __builtin_neon_vsetq_lane_f64(__s0, (float64x2_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vsetq_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __ret; \ + float64_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (float64x2_t) __builtin_neon_vsetq_lane_f64(__s0, (float64x2_t)__rev1, __p2); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#define __noswap_vsetq_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __ret; \ + float64_t __s0 = __p0; \ + float64x2_t __s1 = __p1; \ + __ret = (float64x2_t) __builtin_neon_vsetq_lane_f64(__s0, (float64x2_t)__s1, __p2); \ + __ret; \ +}) +#endif + +#define vset_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1_t __ret; \ + float64_t __s0 = __p0; \ + float64x1_t __s1 = __p1; \ + __ret = (float64x1_t) __builtin_neon_vset_lane_f64(__s0, (float64x1_t)__s1, __p2); \ + __ret; \ +}) +__ai uint64_t vshld_u64(uint64_t __p0, int64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vshld_u64(__p0, __p1); + return __ret; +} +__ai int64_t vshld_s64(int64_t __p0, int64_t __p1) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vshld_s64(__p0, __p1); + return __ret; +} +#define vshld_n_u64(__p0, __p1) __extension__ ({ \ + uint64_t __ret; \ + uint64_t __s0 = __p0; \ + __ret = (uint64_t) __builtin_neon_vshld_n_u64(__s0, __p1); \ + __ret; \ +}) +#define vshld_n_s64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + __ret = (int64_t) __builtin_neon_vshld_n_s64(__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vshll_high_n_u8(__p0_715, __p1_715) __extension__ ({ \ + uint16x8_t __ret_715; \ + uint8x16_t __s0_715 = __p0_715; \ + __ret_715 = (uint16x8_t)(vshll_n_u8(vget_high_u8(__s0_715), __p1_715)); \ + __ret_715; \ +}) +#else +#define vshll_high_n_u8(__p0_716, __p1_716) __extension__ ({ \ + uint16x8_t __ret_716; \ + uint8x16_t __s0_716 = __p0_716; \ + uint8x16_t __rev0_716; __rev0_716 = __builtin_shufflevector(__s0_716, __s0_716, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_716 = (uint16x8_t)(__noswap_vshll_n_u8(__noswap_vget_high_u8(__rev0_716), __p1_716)); \ + __ret_716 = __builtin_shufflevector(__ret_716, __ret_716, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_716; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshll_high_n_u32(__p0_717, __p1_717) __extension__ ({ \ + uint64x2_t __ret_717; \ + uint32x4_t __s0_717 = __p0_717; \ + __ret_717 = (uint64x2_t)(vshll_n_u32(vget_high_u32(__s0_717), __p1_717)); \ + __ret_717; \ +}) +#else +#define vshll_high_n_u32(__p0_718, __p1_718) __extension__ ({ \ + uint64x2_t __ret_718; \ + uint32x4_t __s0_718 = __p0_718; \ + uint32x4_t __rev0_718; __rev0_718 = __builtin_shufflevector(__s0_718, __s0_718, 3, 2, 1, 0); \ + __ret_718 = (uint64x2_t)(__noswap_vshll_n_u32(__noswap_vget_high_u32(__rev0_718), __p1_718)); \ + __ret_718 = __builtin_shufflevector(__ret_718, __ret_718, 1, 0); \ + __ret_718; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshll_high_n_u16(__p0_719, __p1_719) __extension__ ({ \ + uint32x4_t __ret_719; \ + uint16x8_t __s0_719 = __p0_719; \ + __ret_719 = (uint32x4_t)(vshll_n_u16(vget_high_u16(__s0_719), __p1_719)); \ + __ret_719; \ +}) +#else +#define vshll_high_n_u16(__p0_720, __p1_720) __extension__ ({ \ + uint32x4_t __ret_720; \ + uint16x8_t __s0_720 = __p0_720; \ + uint16x8_t __rev0_720; __rev0_720 = __builtin_shufflevector(__s0_720, __s0_720, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_720 = (uint32x4_t)(__noswap_vshll_n_u16(__noswap_vget_high_u16(__rev0_720), __p1_720)); \ + __ret_720 = __builtin_shufflevector(__ret_720, __ret_720, 3, 2, 1, 0); \ + __ret_720; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshll_high_n_s8(__p0_721, __p1_721) __extension__ ({ \ + int16x8_t __ret_721; \ + int8x16_t __s0_721 = __p0_721; \ + __ret_721 = (int16x8_t)(vshll_n_s8(vget_high_s8(__s0_721), __p1_721)); \ + __ret_721; \ +}) +#else +#define vshll_high_n_s8(__p0_722, __p1_722) __extension__ ({ \ + int16x8_t __ret_722; \ + int8x16_t __s0_722 = __p0_722; \ + int8x16_t __rev0_722; __rev0_722 = __builtin_shufflevector(__s0_722, __s0_722, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_722 = (int16x8_t)(__noswap_vshll_n_s8(__noswap_vget_high_s8(__rev0_722), __p1_722)); \ + __ret_722 = __builtin_shufflevector(__ret_722, __ret_722, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_722; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshll_high_n_s32(__p0_723, __p1_723) __extension__ ({ \ + int64x2_t __ret_723; \ + int32x4_t __s0_723 = __p0_723; \ + __ret_723 = (int64x2_t)(vshll_n_s32(vget_high_s32(__s0_723), __p1_723)); \ + __ret_723; \ +}) +#else +#define vshll_high_n_s32(__p0_724, __p1_724) __extension__ ({ \ + int64x2_t __ret_724; \ + int32x4_t __s0_724 = __p0_724; \ + int32x4_t __rev0_724; __rev0_724 = __builtin_shufflevector(__s0_724, __s0_724, 3, 2, 1, 0); \ + __ret_724 = (int64x2_t)(__noswap_vshll_n_s32(__noswap_vget_high_s32(__rev0_724), __p1_724)); \ + __ret_724 = __builtin_shufflevector(__ret_724, __ret_724, 1, 0); \ + __ret_724; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshll_high_n_s16(__p0_725, __p1_725) __extension__ ({ \ + int32x4_t __ret_725; \ + int16x8_t __s0_725 = __p0_725; \ + __ret_725 = (int32x4_t)(vshll_n_s16(vget_high_s16(__s0_725), __p1_725)); \ + __ret_725; \ +}) +#else +#define vshll_high_n_s16(__p0_726, __p1_726) __extension__ ({ \ + int32x4_t __ret_726; \ + int16x8_t __s0_726 = __p0_726; \ + int16x8_t __rev0_726; __rev0_726 = __builtin_shufflevector(__s0_726, __s0_726, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_726 = (int32x4_t)(__noswap_vshll_n_s16(__noswap_vget_high_s16(__rev0_726), __p1_726)); \ + __ret_726 = __builtin_shufflevector(__ret_726, __ret_726, 3, 2, 1, 0); \ + __ret_726; \ +}) +#endif + +#define vshrd_n_u64(__p0, __p1) __extension__ ({ \ + uint64_t __ret; \ + uint64_t __s0 = __p0; \ + __ret = (uint64_t) __builtin_neon_vshrd_n_u64(__s0, __p1); \ + __ret; \ +}) +#define vshrd_n_s64(__p0, __p1) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + __ret = (int64_t) __builtin_neon_vshrd_n_s64(__s0, __p1); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vshrn_high_n_u32(__p0_727, __p1_727, __p2_727) __extension__ ({ \ + uint16x8_t __ret_727; \ + uint16x4_t __s0_727 = __p0_727; \ + uint32x4_t __s1_727 = __p1_727; \ + __ret_727 = (uint16x8_t)(vcombine_u16((uint16x4_t)(__s0_727), (uint16x4_t)(vshrn_n_u32(__s1_727, __p2_727)))); \ + __ret_727; \ +}) +#else +#define vshrn_high_n_u32(__p0_728, __p1_728, __p2_728) __extension__ ({ \ + uint16x8_t __ret_728; \ + uint16x4_t __s0_728 = __p0_728; \ + uint32x4_t __s1_728 = __p1_728; \ + uint16x4_t __rev0_728; __rev0_728 = __builtin_shufflevector(__s0_728, __s0_728, 3, 2, 1, 0); \ + uint32x4_t __rev1_728; __rev1_728 = __builtin_shufflevector(__s1_728, __s1_728, 3, 2, 1, 0); \ + __ret_728 = (uint16x8_t)(__noswap_vcombine_u16((uint16x4_t)(__rev0_728), (uint16x4_t)(__noswap_vshrn_n_u32(__rev1_728, __p2_728)))); \ + __ret_728 = __builtin_shufflevector(__ret_728, __ret_728, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_728; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrn_high_n_u64(__p0_729, __p1_729, __p2_729) __extension__ ({ \ + uint32x4_t __ret_729; \ + uint32x2_t __s0_729 = __p0_729; \ + uint64x2_t __s1_729 = __p1_729; \ + __ret_729 = (uint32x4_t)(vcombine_u32((uint32x2_t)(__s0_729), (uint32x2_t)(vshrn_n_u64(__s1_729, __p2_729)))); \ + __ret_729; \ +}) +#else +#define vshrn_high_n_u64(__p0_730, __p1_730, __p2_730) __extension__ ({ \ + uint32x4_t __ret_730; \ + uint32x2_t __s0_730 = __p0_730; \ + uint64x2_t __s1_730 = __p1_730; \ + uint32x2_t __rev0_730; __rev0_730 = __builtin_shufflevector(__s0_730, __s0_730, 1, 0); \ + uint64x2_t __rev1_730; __rev1_730 = __builtin_shufflevector(__s1_730, __s1_730, 1, 0); \ + __ret_730 = (uint32x4_t)(__noswap_vcombine_u32((uint32x2_t)(__rev0_730), (uint32x2_t)(__noswap_vshrn_n_u64(__rev1_730, __p2_730)))); \ + __ret_730 = __builtin_shufflevector(__ret_730, __ret_730, 3, 2, 1, 0); \ + __ret_730; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrn_high_n_u16(__p0_731, __p1_731, __p2_731) __extension__ ({ \ + uint8x16_t __ret_731; \ + uint8x8_t __s0_731 = __p0_731; \ + uint16x8_t __s1_731 = __p1_731; \ + __ret_731 = (uint8x16_t)(vcombine_u8((uint8x8_t)(__s0_731), (uint8x8_t)(vshrn_n_u16(__s1_731, __p2_731)))); \ + __ret_731; \ +}) +#else +#define vshrn_high_n_u16(__p0_732, __p1_732, __p2_732) __extension__ ({ \ + uint8x16_t __ret_732; \ + uint8x8_t __s0_732 = __p0_732; \ + uint16x8_t __s1_732 = __p1_732; \ + uint8x8_t __rev0_732; __rev0_732 = __builtin_shufflevector(__s0_732, __s0_732, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint16x8_t __rev1_732; __rev1_732 = __builtin_shufflevector(__s1_732, __s1_732, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_732 = (uint8x16_t)(__noswap_vcombine_u8((uint8x8_t)(__rev0_732), (uint8x8_t)(__noswap_vshrn_n_u16(__rev1_732, __p2_732)))); \ + __ret_732 = __builtin_shufflevector(__ret_732, __ret_732, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_732; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrn_high_n_s32(__p0_733, __p1_733, __p2_733) __extension__ ({ \ + int16x8_t __ret_733; \ + int16x4_t __s0_733 = __p0_733; \ + int32x4_t __s1_733 = __p1_733; \ + __ret_733 = (int16x8_t)(vcombine_s16((int16x4_t)(__s0_733), (int16x4_t)(vshrn_n_s32(__s1_733, __p2_733)))); \ + __ret_733; \ +}) +#else +#define vshrn_high_n_s32(__p0_734, __p1_734, __p2_734) __extension__ ({ \ + int16x8_t __ret_734; \ + int16x4_t __s0_734 = __p0_734; \ + int32x4_t __s1_734 = __p1_734; \ + int16x4_t __rev0_734; __rev0_734 = __builtin_shufflevector(__s0_734, __s0_734, 3, 2, 1, 0); \ + int32x4_t __rev1_734; __rev1_734 = __builtin_shufflevector(__s1_734, __s1_734, 3, 2, 1, 0); \ + __ret_734 = (int16x8_t)(__noswap_vcombine_s16((int16x4_t)(__rev0_734), (int16x4_t)(__noswap_vshrn_n_s32(__rev1_734, __p2_734)))); \ + __ret_734 = __builtin_shufflevector(__ret_734, __ret_734, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_734; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrn_high_n_s64(__p0_735, __p1_735, __p2_735) __extension__ ({ \ + int32x4_t __ret_735; \ + int32x2_t __s0_735 = __p0_735; \ + int64x2_t __s1_735 = __p1_735; \ + __ret_735 = (int32x4_t)(vcombine_s32((int32x2_t)(__s0_735), (int32x2_t)(vshrn_n_s64(__s1_735, __p2_735)))); \ + __ret_735; \ +}) +#else +#define vshrn_high_n_s64(__p0_736, __p1_736, __p2_736) __extension__ ({ \ + int32x4_t __ret_736; \ + int32x2_t __s0_736 = __p0_736; \ + int64x2_t __s1_736 = __p1_736; \ + int32x2_t __rev0_736; __rev0_736 = __builtin_shufflevector(__s0_736, __s0_736, 1, 0); \ + int64x2_t __rev1_736; __rev1_736 = __builtin_shufflevector(__s1_736, __s1_736, 1, 0); \ + __ret_736 = (int32x4_t)(__noswap_vcombine_s32((int32x2_t)(__rev0_736), (int32x2_t)(__noswap_vshrn_n_s64(__rev1_736, __p2_736)))); \ + __ret_736 = __builtin_shufflevector(__ret_736, __ret_736, 3, 2, 1, 0); \ + __ret_736; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vshrn_high_n_s16(__p0_737, __p1_737, __p2_737) __extension__ ({ \ + int8x16_t __ret_737; \ + int8x8_t __s0_737 = __p0_737; \ + int16x8_t __s1_737 = __p1_737; \ + __ret_737 = (int8x16_t)(vcombine_s8((int8x8_t)(__s0_737), (int8x8_t)(vshrn_n_s16(__s1_737, __p2_737)))); \ + __ret_737; \ +}) +#else +#define vshrn_high_n_s16(__p0_738, __p1_738, __p2_738) __extension__ ({ \ + int8x16_t __ret_738; \ + int8x8_t __s0_738 = __p0_738; \ + int16x8_t __s1_738 = __p1_738; \ + int8x8_t __rev0_738; __rev0_738 = __builtin_shufflevector(__s0_738, __s0_738, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_738; __rev1_738 = __builtin_shufflevector(__s1_738, __s1_738, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_738 = (int8x16_t)(__noswap_vcombine_s8((int8x8_t)(__rev0_738), (int8x8_t)(__noswap_vshrn_n_s16(__rev1_738, __p2_738)))); \ + __ret_738 = __builtin_shufflevector(__ret_738, __ret_738, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_738; \ +}) +#endif + +#define vslid_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64_t __ret; \ + uint64_t __s0 = __p0; \ + uint64_t __s1 = __p1; \ + __ret = (uint64_t) __builtin_neon_vslid_n_u64(__s0, __s1, __p2); \ + __ret; \ +}) +#define vslid_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + int64_t __s1 = __p1; \ + __ret = (int64_t) __builtin_neon_vslid_n_s64(__s0, __s1, __p2); \ + __ret; \ +}) +#define vsli_n_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1_t __ret; \ + poly64x1_t __s0 = __p0; \ + poly64x1_t __s1 = __p1; \ + __ret = (poly64x1_t) __builtin_neon_vsli_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vsliq_n_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s0 = __p0; \ + poly64x2_t __s1 = __p1; \ + __ret = (poly64x2_t) __builtin_neon_vsliq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 38); \ + __ret; \ +}) +#else +#define vsliq_n_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s0 = __p0; \ + poly64x2_t __s1 = __p1; \ + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (poly64x2_t) __builtin_neon_vsliq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 38); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +__ai uint8_t vsqaddb_u8(uint8_t __p0, int8_t __p1) { + uint8_t __ret; + __ret = (uint8_t) __builtin_neon_vsqaddb_u8(__p0, __p1); + return __ret; +} +__ai uint32_t vsqadds_u32(uint32_t __p0, int32_t __p1) { + uint32_t __ret; + __ret = (uint32_t) __builtin_neon_vsqadds_u32(__p0, __p1); + return __ret; +} +__ai uint64_t vsqaddd_u64(uint64_t __p0, int64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vsqaddd_u64(__p0, __p1); + return __ret; +} +__ai uint16_t vsqaddh_u16(uint16_t __p0, int16_t __p1) { + uint16_t __ret; + __ret = (uint16_t) __builtin_neon_vsqaddh_u16(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vsqaddq_u8(uint8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vsqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 48); + return __ret; +} +#else +__ai uint8x16_t vsqaddq_u8(uint8x16_t __p0, int8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vsqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vsqaddq_u32(uint32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai uint32x4_t vsqaddq_u32(uint32x4_t __p0, int32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vsqaddq_u64(uint64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vsqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vsqaddq_u64(uint64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vsqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vsqaddq_u16(uint16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vsqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 49); + return __ret; +} +#else +__ai uint16x8_t vsqaddq_u16(uint16x8_t __p0, int16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vsqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vsqadd_u8(uint8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + __ret = (uint8x8_t) __builtin_neon_vsqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 16); + return __ret; +} +#else +__ai uint8x8_t vsqadd_u8(uint8x8_t __p0, int8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x8_t) __builtin_neon_vsqadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 16); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vsqadd_u32(uint32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + __ret = (uint32x2_t) __builtin_neon_vsqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 18); + return __ret; +} +#else +__ai uint32x2_t vsqadd_u32(uint32x2_t __p0, int32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint32x2_t) __builtin_neon_vsqadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 18); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vsqadd_u64(uint64x1_t __p0, int64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vsqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vsqadd_u16(uint16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + __ret = (uint16x4_t) __builtin_neon_vsqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 17); + return __ret; +} +#else +__ai uint16x4_t vsqadd_u16(uint16x4_t __p0, int16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint16x4_t) __builtin_neon_vsqadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 17); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vsqrtq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vsqrtq_v((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai float64x2_t vsqrtq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vsqrtq_v((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vsqrtq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vsqrtq_v((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai float32x4_t vsqrtq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vsqrtq_v((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vsqrt_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vsqrt_v((int8x8_t)__p0, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vsqrt_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vsqrt_v((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai float32x2_t vsqrt_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vsqrt_v((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#define vsrad_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64_t __ret; \ + uint64_t __s0 = __p0; \ + uint64_t __s1 = __p1; \ + __ret = (uint64_t) __builtin_neon_vsrad_n_u64(__s0, __s1, __p2); \ + __ret; \ +}) +#define vsrad_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + int64_t __s1 = __p1; \ + __ret = (int64_t) __builtin_neon_vsrad_n_s64(__s0, __s1, __p2); \ + __ret; \ +}) +#define vsrid_n_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64_t __ret; \ + uint64_t __s0 = __p0; \ + uint64_t __s1 = __p1; \ + __ret = (uint64_t) __builtin_neon_vsrid_n_u64(__s0, __s1, __p2); \ + __ret; \ +}) +#define vsrid_n_s64(__p0, __p1, __p2) __extension__ ({ \ + int64_t __ret; \ + int64_t __s0 = __p0; \ + int64_t __s1 = __p1; \ + __ret = (int64_t) __builtin_neon_vsrid_n_s64(__s0, __s1, __p2); \ + __ret; \ +}) +#define vsri_n_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1_t __ret; \ + poly64x1_t __s0 = __p0; \ + poly64x1_t __s1 = __p1; \ + __ret = (poly64x1_t) __builtin_neon_vsri_n_v((int8x8_t)__s0, (int8x8_t)__s1, __p2, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vsriq_n_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s0 = __p0; \ + poly64x2_t __s1 = __p1; \ + __ret = (poly64x2_t) __builtin_neon_vsriq_n_v((int8x16_t)__s0, (int8x16_t)__s1, __p2, 38); \ + __ret; \ +}) +#else +#define vsriq_n_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s0 = __p0; \ + poly64x2_t __s1 = __p1; \ + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (poly64x2_t) __builtin_neon_vsriq_n_v((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 38); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vst1_p64(__p0, __p1) __extension__ ({ \ + poly64x1_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 6); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1q_p64(__p0, __p1) __extension__ ({ \ + poly64x2_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 38); \ +}) +#else +#define vst1q_p64(__p0, __p1) __extension__ ({ \ + poly64x2_t __s1 = __p1; \ + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 38); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_f64(__p0, __p1) __extension__ ({ \ + float64x2_t __s1 = __p1; \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__s1, 42); \ +}) +#else +#define vst1q_f64(__p0, __p1) __extension__ ({ \ + float64x2_t __s1 = __p1; \ + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1q_v(__p0, (int8x16_t)__rev1, 42); \ +}) +#endif + +#define vst1_f64(__p0, __p1) __extension__ ({ \ + float64x1_t __s1 = __p1; \ + __builtin_neon_vst1_v(__p0, (int8x8_t)__s1, 10); \ +}) +#define vst1_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 6); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 38); \ +}) +#else +#define vst1q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __s1 = __p1; \ + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 38); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __s1 = __p1; \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__s1, __p2, 42); \ +}) +#else +#define vst1q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __s1 = __p1; \ + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vst1q_lane_v(__p0, (int8x16_t)__rev1, __p2, 42); \ +}) +#endif + +#define vst1_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1_t __s1 = __p1; \ + __builtin_neon_vst1_lane_v(__p0, (int8x8_t)__s1, __p2, 10); \ +}) +#define vst1_p64_x2(__p0, __p1) __extension__ ({ \ + poly64x1x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 6); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1q_p64_x2(__p0, __p1) __extension__ ({ \ + poly64x2x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 38); \ +}) +#else +#define vst1q_p64_x2(__p0, __p1) __extension__ ({ \ + poly64x2x2_t __s1 = __p1; \ + poly64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 38); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_f64_x2(__p0, __p1) __extension__ ({ \ + float64x2x2_t __s1 = __p1; \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 42); \ +}) +#else +#define vst1q_f64_x2(__p0, __p1) __extension__ ({ \ + float64x2x2_t __s1 = __p1; \ + float64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst1q_x2_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 42); \ +}) +#endif + +#define vst1_f64_x2(__p0, __p1) __extension__ ({ \ + float64x1x2_t __s1 = __p1; \ + __builtin_neon_vst1_x2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 10); \ +}) +#define vst1_p64_x3(__p0, __p1) __extension__ ({ \ + poly64x1x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 6); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1q_p64_x3(__p0, __p1) __extension__ ({ \ + poly64x2x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 38); \ +}) +#else +#define vst1q_p64_x3(__p0, __p1) __extension__ ({ \ + poly64x2x3_t __s1 = __p1; \ + poly64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 38); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_f64_x3(__p0, __p1) __extension__ ({ \ + float64x2x3_t __s1 = __p1; \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 42); \ +}) +#else +#define vst1q_f64_x3(__p0, __p1) __extension__ ({ \ + float64x2x3_t __s1 = __p1; \ + float64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst1q_x3_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 42); \ +}) +#endif + +#define vst1_f64_x3(__p0, __p1) __extension__ ({ \ + float64x1x3_t __s1 = __p1; \ + __builtin_neon_vst1_x3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 10); \ +}) +#define vst1_p64_x4(__p0, __p1) __extension__ ({ \ + poly64x1x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 6); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst1q_p64_x4(__p0, __p1) __extension__ ({ \ + poly64x2x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 38); \ +}) +#else +#define vst1q_p64_x4(__p0, __p1) __extension__ ({ \ + poly64x2x4_t __s1 = __p1; \ + poly64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 38); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst1q_f64_x4(__p0, __p1) __extension__ ({ \ + float64x2x4_t __s1 = __p1; \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 42); \ +}) +#else +#define vst1q_f64_x4(__p0, __p1) __extension__ ({ \ + float64x2x4_t __s1 = __p1; \ + float64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst1q_x4_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 42); \ +}) +#endif + +#define vst1_f64_x4(__p0, __p1) __extension__ ({ \ + float64x1x4_t __s1 = __p1; \ + __builtin_neon_vst1_x4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 10); \ +}) +#define vst2_p64(__p0, __p1) __extension__ ({ \ + poly64x1x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 6); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst2q_p64(__p0, __p1) __extension__ ({ \ + poly64x2x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 38); \ +}) +#else +#define vst2q_p64(__p0, __p1) __extension__ ({ \ + poly64x2x2_t __s1 = __p1; \ + poly64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 38); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_u64(__p0, __p1) __extension__ ({ \ + uint64x2x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 51); \ +}) +#else +#define vst2q_u64(__p0, __p1) __extension__ ({ \ + uint64x2x2_t __s1 = __p1; \ + uint64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 51); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_f64(__p0, __p1) __extension__ ({ \ + float64x2x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 42); \ +}) +#else +#define vst2q_f64(__p0, __p1) __extension__ ({ \ + float64x2x2_t __s1 = __p1; \ + float64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 42); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_s64(__p0, __p1) __extension__ ({ \ + int64x2x2_t __s1 = __p1; \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], 35); \ +}) +#else +#define vst2q_s64(__p0, __p1) __extension__ ({ \ + int64x2x2_t __s1 = __p1; \ + int64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], 35); \ +}) +#endif + +#define vst2_f64(__p0, __p1) __extension__ ({ \ + float64x1x2_t __s1 = __p1; \ + __builtin_neon_vst2_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], 10); \ +}) +#define vst2_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 6); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 36); \ +}) +#else +#define vst2q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16x2_t __s1 = __p1; \ + poly8x16x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 36); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 38); \ +}) +#else +#define vst2q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2x2_t __s1 = __p1; \ + poly64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 38); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 48); \ +}) +#else +#define vst2q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16x2_t __s1 = __p1; \ + uint8x16x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 48); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 51); \ +}) +#else +#define vst2q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2x2_t __s1 = __p1; \ + uint64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 51); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 32); \ +}) +#else +#define vst2q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16x2_t __s1 = __p1; \ + int8x16x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 32); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 42); \ +}) +#else +#define vst2q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2x2_t __s1 = __p1; \ + float64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 42); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst2q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2x2_t __s1 = __p1; \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], __p2, 35); \ +}) +#else +#define vst2q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2x2_t __s1 = __p1; \ + int64x2x2_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __builtin_neon_vst2q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], __p2, 35); \ +}) +#endif + +#define vst2_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 19); \ +}) +#define vst2_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 10); \ +}) +#define vst2_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1x2_t __s1 = __p1; \ + __builtin_neon_vst2_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], __p2, 3); \ +}) +#define vst3_p64(__p0, __p1) __extension__ ({ \ + poly64x1x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 6); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst3q_p64(__p0, __p1) __extension__ ({ \ + poly64x2x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 38); \ +}) +#else +#define vst3q_p64(__p0, __p1) __extension__ ({ \ + poly64x2x3_t __s1 = __p1; \ + poly64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 38); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_u64(__p0, __p1) __extension__ ({ \ + uint64x2x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 51); \ +}) +#else +#define vst3q_u64(__p0, __p1) __extension__ ({ \ + uint64x2x3_t __s1 = __p1; \ + uint64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 51); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_f64(__p0, __p1) __extension__ ({ \ + float64x2x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 42); \ +}) +#else +#define vst3q_f64(__p0, __p1) __extension__ ({ \ + float64x2x3_t __s1 = __p1; \ + float64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 42); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_s64(__p0, __p1) __extension__ ({ \ + int64x2x3_t __s1 = __p1; \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], 35); \ +}) +#else +#define vst3q_s64(__p0, __p1) __extension__ ({ \ + int64x2x3_t __s1 = __p1; \ + int64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], 35); \ +}) +#endif + +#define vst3_f64(__p0, __p1) __extension__ ({ \ + float64x1x3_t __s1 = __p1; \ + __builtin_neon_vst3_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], 10); \ +}) +#define vst3_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 6); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 36); \ +}) +#else +#define vst3q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16x3_t __s1 = __p1; \ + poly8x16x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 36); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 38); \ +}) +#else +#define vst3q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2x3_t __s1 = __p1; \ + poly64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 38); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 48); \ +}) +#else +#define vst3q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16x3_t __s1 = __p1; \ + uint8x16x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 48); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 51); \ +}) +#else +#define vst3q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2x3_t __s1 = __p1; \ + uint64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 51); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 32); \ +}) +#else +#define vst3q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16x3_t __s1 = __p1; \ + int8x16x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 32); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 42); \ +}) +#else +#define vst3q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2x3_t __s1 = __p1; \ + float64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 42); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst3q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2x3_t __s1 = __p1; \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], __p2, 35); \ +}) +#else +#define vst3q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2x3_t __s1 = __p1; \ + int64x2x3_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __builtin_neon_vst3q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], __p2, 35); \ +}) +#endif + +#define vst3_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 19); \ +}) +#define vst3_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 10); \ +}) +#define vst3_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1x3_t __s1 = __p1; \ + __builtin_neon_vst3_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], __p2, 3); \ +}) +#define vst4_p64(__p0, __p1) __extension__ ({ \ + poly64x1x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 6); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst4q_p64(__p0, __p1) __extension__ ({ \ + poly64x2x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 38); \ +}) +#else +#define vst4q_p64(__p0, __p1) __extension__ ({ \ + poly64x2x4_t __s1 = __p1; \ + poly64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 38); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_u64(__p0, __p1) __extension__ ({ \ + uint64x2x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 51); \ +}) +#else +#define vst4q_u64(__p0, __p1) __extension__ ({ \ + uint64x2x4_t __s1 = __p1; \ + uint64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 51); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_f64(__p0, __p1) __extension__ ({ \ + float64x2x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 42); \ +}) +#else +#define vst4q_f64(__p0, __p1) __extension__ ({ \ + float64x2x4_t __s1 = __p1; \ + float64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 42); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_s64(__p0, __p1) __extension__ ({ \ + int64x2x4_t __s1 = __p1; \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], 35); \ +}) +#else +#define vst4q_s64(__p0, __p1) __extension__ ({ \ + int64x2x4_t __s1 = __p1; \ + int64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4q_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], 35); \ +}) +#endif + +#define vst4_f64(__p0, __p1) __extension__ ({ \ + float64x1x4_t __s1 = __p1; \ + __builtin_neon_vst4_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], 10); \ +}) +#define vst4_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 6); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 36); \ +}) +#else +#define vst4q_lane_p8(__p0, __p1, __p2) __extension__ ({ \ + poly8x16x4_t __s1 = __p1; \ + poly8x16x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 36); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 38); \ +}) +#else +#define vst4q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2x4_t __s1 = __p1; \ + poly64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 38); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 48); \ +}) +#else +#define vst4q_lane_u8(__p0, __p1, __p2) __extension__ ({ \ + uint8x16x4_t __s1 = __p1; \ + uint8x16x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 48); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 51); \ +}) +#else +#define vst4q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2x4_t __s1 = __p1; \ + uint64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 51); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 32); \ +}) +#else +#define vst4q_lane_s8(__p0, __p1, __p2) __extension__ ({ \ + int8x16x4_t __s1 = __p1; \ + int8x16x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 32); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 42); \ +}) +#else +#define vst4q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2x4_t __s1 = __p1; \ + float64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 42); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vst4q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2x4_t __s1 = __p1; \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__s1.val[0], (int8x16_t)__s1.val[1], (int8x16_t)__s1.val[2], (int8x16_t)__s1.val[3], __p2, 35); \ +}) +#else +#define vst4q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2x4_t __s1 = __p1; \ + int64x2x4_t __rev1; \ + __rev1.val[0] = __builtin_shufflevector(__s1.val[0], __s1.val[0], 1, 0); \ + __rev1.val[1] = __builtin_shufflevector(__s1.val[1], __s1.val[1], 1, 0); \ + __rev1.val[2] = __builtin_shufflevector(__s1.val[2], __s1.val[2], 1, 0); \ + __rev1.val[3] = __builtin_shufflevector(__s1.val[3], __s1.val[3], 1, 0); \ + __builtin_neon_vst4q_lane_v(__p0, (int8x16_t)__rev1.val[0], (int8x16_t)__rev1.val[1], (int8x16_t)__rev1.val[2], (int8x16_t)__rev1.val[3], __p2, 35); \ +}) +#endif + +#define vst4_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 19); \ +}) +#define vst4_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 10); \ +}) +#define vst4_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1x4_t __s1 = __p1; \ + __builtin_neon_vst4_lane_v(__p0, (int8x8_t)__s1.val[0], (int8x8_t)__s1.val[1], (int8x8_t)__s1.val[2], (int8x8_t)__s1.val[3], __p2, 3); \ +}) +#define vstrq_p128(__p0, __p1) __extension__ ({ \ + poly128_t __s1 = __p1; \ + __builtin_neon_vstrq_p128(__p0, __s1); \ +}) +__ai uint64_t vsubd_u64(uint64_t __p0, uint64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vsubd_u64(__p0, __p1); + return __ret; +} +__ai int64_t vsubd_s64(int64_t __p0, int64_t __p1) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vsubd_s64(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vsubq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#else +__ai float64x2_t vsubq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vsub_f64(float64x1_t __p0, float64x1_t __p1) { + float64x1_t __ret; + __ret = __p0 - __p1; + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vsubhn_high_u32(uint16x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint16x8_t __ret; + __ret = vcombine_u16(__p0, vsubhn_u32(__p1, __p2)); + return __ret; +} +#else +__ai uint16x8_t vsubhn_high_u32(uint16x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint16x8_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vcombine_u16(__rev0, __noswap_vsubhn_u32(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vsubhn_high_u64(uint32x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint32x4_t __ret; + __ret = vcombine_u32(__p0, vsubhn_u64(__p1, __p2)); + return __ret; +} +#else +__ai uint32x4_t vsubhn_high_u64(uint32x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint32x4_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __noswap_vcombine_u32(__rev0, __noswap_vsubhn_u64(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vsubhn_high_u16(uint8x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint8x16_t __ret; + __ret = vcombine_u8(__p0, vsubhn_u16(__p1, __p2)); + return __ret; +} +#else +__ai uint8x16_t vsubhn_high_u16(uint8x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint8x16_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_u8(__rev0, __noswap_vsubhn_u16(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vsubhn_high_s32(int16x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int16x8_t __ret; + __ret = vcombine_s16(__p0, vsubhn_s32(__p1, __p2)); + return __ret; +} +#else +__ai int16x8_t vsubhn_high_s32(int16x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int16x8_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vcombine_s16(__rev0, __noswap_vsubhn_s32(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vsubhn_high_s64(int32x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int32x4_t __ret; + __ret = vcombine_s32(__p0, vsubhn_s64(__p1, __p2)); + return __ret; +} +#else +__ai int32x4_t vsubhn_high_s64(int32x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int32x4_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __noswap_vcombine_s32(__rev0, __noswap_vsubhn_s64(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vsubhn_high_s16(int8x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int8x16_t __ret; + __ret = vcombine_s8(__p0, vsubhn_s16(__p1, __p2)); + return __ret; +} +#else +__ai int8x16_t vsubhn_high_s16(int8x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int8x16_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcombine_s8(__rev0, __noswap_vsubhn_s16(__rev1, __rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vsubl_high_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + __ret = vmovl_high_u8(__p0) - vmovl_high_u8(__p1); + return __ret; +} +#else +__ai uint16x8_t vsubl_high_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmovl_high_u8(__rev0) - __noswap_vmovl_high_u8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vsubl_high_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + __ret = vmovl_high_u32(__p0) - vmovl_high_u32(__p1); + return __ret; +} +#else +__ai uint64x2_t vsubl_high_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmovl_high_u32(__rev0) - __noswap_vmovl_high_u32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vsubl_high_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + __ret = vmovl_high_u16(__p0) - vmovl_high_u16(__p1); + return __ret; +} +#else +__ai uint32x4_t vsubl_high_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmovl_high_u16(__rev0) - __noswap_vmovl_high_u16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vsubl_high_s8(int8x16_t __p0, int8x16_t __p1) { + int16x8_t __ret; + __ret = vmovl_high_s8(__p0) - vmovl_high_s8(__p1); + return __ret; +} +#else +__ai int16x8_t vsubl_high_s8(int8x16_t __p0, int8x16_t __p1) { + int16x8_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmovl_high_s8(__rev0) - __noswap_vmovl_high_s8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vsubl_high_s32(int32x4_t __p0, int32x4_t __p1) { + int64x2_t __ret; + __ret = vmovl_high_s32(__p0) - vmovl_high_s32(__p1); + return __ret; +} +#else +__ai int64x2_t vsubl_high_s32(int32x4_t __p0, int32x4_t __p1) { + int64x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmovl_high_s32(__rev0) - __noswap_vmovl_high_s32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vsubl_high_s16(int16x8_t __p0, int16x8_t __p1) { + int32x4_t __ret; + __ret = vmovl_high_s16(__p0) - vmovl_high_s16(__p1); + return __ret; +} +#else +__ai int32x4_t vsubl_high_s16(int16x8_t __p0, int16x8_t __p1) { + int32x4_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmovl_high_s16(__rev0) - __noswap_vmovl_high_s16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vsubw_high_u8(uint16x8_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + __ret = __p0 - vmovl_high_u8(__p1); + return __ret; +} +#else +__ai uint16x8_t vsubw_high_u8(uint16x8_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmovl_high_u8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vsubw_high_u32(uint64x2_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + __ret = __p0 - vmovl_high_u32(__p1); + return __ret; +} +#else +__ai uint64x2_t vsubw_high_u32(uint64x2_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmovl_high_u32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vsubw_high_u16(uint32x4_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + __ret = __p0 - vmovl_high_u16(__p1); + return __ret; +} +#else +__ai uint32x4_t vsubw_high_u16(uint32x4_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmovl_high_u16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vsubw_high_s8(int16x8_t __p0, int8x16_t __p1) { + int16x8_t __ret; + __ret = __p0 - vmovl_high_s8(__p1); + return __ret; +} +#else +__ai int16x8_t vsubw_high_s8(int16x8_t __p0, int8x16_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmovl_high_s8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vsubw_high_s32(int64x2_t __p0, int32x4_t __p1) { + int64x2_t __ret; + __ret = __p0 - vmovl_high_s32(__p1); + return __ret; +} +#else +__ai int64x2_t vsubw_high_s32(int64x2_t __p0, int32x4_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmovl_high_s32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vsubw_high_s16(int32x4_t __p0, int16x8_t __p1) { + int32x4_t __ret; + __ret = __p0 - vmovl_high_s16(__p1); + return __ret; +} +#else +__ai int32x4_t vsubw_high_s16(int32x4_t __p0, int16x8_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmovl_high_s16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vtrn1_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 2, 10, 4, 12, 6, 14); + return __ret; +} +#else +__ai poly8x8_t vtrn1_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 2, 10, 4, 12, 6, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vtrn1_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 2, 6); + return __ret; +} +#else +__ai poly16x4_t vtrn1_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 2, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vtrn1q_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 16, 2, 18, 4, 20, 6, 22, 8, 24, 10, 26, 12, 28, 14, 30); + return __ret; +} +#else +__ai poly8x16_t vtrn1q_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 16, 2, 18, 4, 20, 6, 22, 8, 24, 10, 26, 12, 28, 14, 30); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly64x2_t vtrn1q_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai poly64x2_t vtrn1q_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vtrn1q_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 2, 10, 4, 12, 6, 14); + return __ret; +} +#else +__ai poly16x8_t vtrn1q_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 2, 10, 4, 12, 6, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vtrn1q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 16, 2, 18, 4, 20, 6, 22, 8, 24, 10, 26, 12, 28, 14, 30); + return __ret; +} +#else +__ai uint8x16_t vtrn1q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 16, 2, 18, 4, 20, 6, 22, 8, 24, 10, 26, 12, 28, 14, 30); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vtrn1q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 2, 6); + return __ret; +} +#else +__ai uint32x4_t vtrn1q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 2, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vtrn1q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai uint64x2_t vtrn1q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vtrn1q_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 2, 10, 4, 12, 6, 14); + return __ret; +} +#else +__ai uint16x8_t vtrn1q_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 2, 10, 4, 12, 6, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vtrn1q_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 16, 2, 18, 4, 20, 6, 22, 8, 24, 10, 26, 12, 28, 14, 30); + return __ret; +} +#else +__ai int8x16_t vtrn1q_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 16, 2, 18, 4, 20, 6, 22, 8, 24, 10, 26, 12, 28, 14, 30); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vtrn1q_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai float64x2_t vtrn1q_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vtrn1q_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 2, 6); + return __ret; +} +#else +__ai float32x4_t vtrn1q_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 2, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vtrn1q_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 2, 6); + return __ret; +} +#else +__ai int32x4_t vtrn1q_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 2, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vtrn1q_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai int64x2_t vtrn1q_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vtrn1q_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 2, 10, 4, 12, 6, 14); + return __ret; +} +#else +__ai int16x8_t vtrn1q_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 2, 10, 4, 12, 6, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtrn1_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 2, 10, 4, 12, 6, 14); + return __ret; +} +#else +__ai uint8x8_t vtrn1_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 2, 10, 4, 12, 6, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vtrn1_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai uint32x2_t vtrn1_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vtrn1_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 2, 6); + return __ret; +} +#else +__ai uint16x4_t vtrn1_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 2, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vtrn1_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 2, 10, 4, 12, 6, 14); + return __ret; +} +#else +__ai int8x8_t vtrn1_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 2, 10, 4, 12, 6, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vtrn1_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai float32x2_t vtrn1_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vtrn1_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai int32x2_t vtrn1_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vtrn1_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 2, 6); + return __ret; +} +#else +__ai int16x4_t vtrn1_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 2, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vtrn2_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 9, 3, 11, 5, 13, 7, 15); + return __ret; +} +#else +__ai poly8x8_t vtrn2_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 9, 3, 11, 5, 13, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vtrn2_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 5, 3, 7); + return __ret; +} +#else +__ai poly16x4_t vtrn2_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 5, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vtrn2q_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 17, 3, 19, 5, 21, 7, 23, 9, 25, 11, 27, 13, 29, 15, 31); + return __ret; +} +#else +__ai poly8x16_t vtrn2q_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 17, 3, 19, 5, 21, 7, 23, 9, 25, 11, 27, 13, 29, 15, 31); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly64x2_t vtrn2q_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai poly64x2_t vtrn2q_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vtrn2q_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 9, 3, 11, 5, 13, 7, 15); + return __ret; +} +#else +__ai poly16x8_t vtrn2q_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 9, 3, 11, 5, 13, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vtrn2q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 17, 3, 19, 5, 21, 7, 23, 9, 25, 11, 27, 13, 29, 15, 31); + return __ret; +} +#else +__ai uint8x16_t vtrn2q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 17, 3, 19, 5, 21, 7, 23, 9, 25, 11, 27, 13, 29, 15, 31); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vtrn2q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 5, 3, 7); + return __ret; +} +#else +__ai uint32x4_t vtrn2q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 5, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vtrn2q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai uint64x2_t vtrn2q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vtrn2q_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 9, 3, 11, 5, 13, 7, 15); + return __ret; +} +#else +__ai uint16x8_t vtrn2q_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 9, 3, 11, 5, 13, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vtrn2q_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 17, 3, 19, 5, 21, 7, 23, 9, 25, 11, 27, 13, 29, 15, 31); + return __ret; +} +#else +__ai int8x16_t vtrn2q_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 17, 3, 19, 5, 21, 7, 23, 9, 25, 11, 27, 13, 29, 15, 31); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vtrn2q_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai float64x2_t vtrn2q_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vtrn2q_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 5, 3, 7); + return __ret; +} +#else +__ai float32x4_t vtrn2q_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 5, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vtrn2q_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 5, 3, 7); + return __ret; +} +#else +__ai int32x4_t vtrn2q_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 5, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vtrn2q_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai int64x2_t vtrn2q_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vtrn2q_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 9, 3, 11, 5, 13, 7, 15); + return __ret; +} +#else +__ai int16x8_t vtrn2q_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 9, 3, 11, 5, 13, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vtrn2_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 9, 3, 11, 5, 13, 7, 15); + return __ret; +} +#else +__ai uint8x8_t vtrn2_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 9, 3, 11, 5, 13, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vtrn2_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai uint32x2_t vtrn2_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vtrn2_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 5, 3, 7); + return __ret; +} +#else +__ai uint16x4_t vtrn2_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 5, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vtrn2_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 9, 3, 11, 5, 13, 7, 15); + return __ret; +} +#else +__ai int8x8_t vtrn2_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 9, 3, 11, 5, 13, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vtrn2_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai float32x2_t vtrn2_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vtrn2_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai int32x2_t vtrn2_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vtrn2_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 5, 3, 7); + return __ret; +} +#else +__ai int16x4_t vtrn2_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 5, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vtst_p64(poly64x1_t __p0, poly64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vtst_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vtstq_p64(poly64x2_t __p0, poly64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vtstq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vtstq_p64(poly64x2_t __p0, poly64x2_t __p1) { + uint64x2_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vtstq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vtstq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vtstq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vtstq_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vtstq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vtstq_s64(int64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vtstq_v((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai uint64x2_t vtstq_s64(int64x2_t __p0, int64x2_t __p1) { + uint64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vtstq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai uint64x1_t vtst_u64(uint64x1_t __p0, uint64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vtst_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +__ai uint64x1_t vtst_s64(int64x1_t __p0, int64x1_t __p1) { + uint64x1_t __ret; + __ret = (uint64x1_t) __builtin_neon_vtst_v((int8x8_t)__p0, (int8x8_t)__p1, 19); + return __ret; +} +__ai uint64_t vtstd_u64(uint64_t __p0, uint64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vtstd_u64(__p0, __p1); + return __ret; +} +__ai uint64_t vtstd_s64(int64_t __p0, int64_t __p1) { + uint64_t __ret; + __ret = (uint64_t) __builtin_neon_vtstd_s64(__p0, __p1); + return __ret; +} +__ai int8_t vuqaddb_s8(int8_t __p0, uint8_t __p1) { + int8_t __ret; + __ret = (int8_t) __builtin_neon_vuqaddb_s8(__p0, __p1); + return __ret; +} +__ai int32_t vuqadds_s32(int32_t __p0, uint32_t __p1) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vuqadds_s32(__p0, __p1); + return __ret; +} +__ai int64_t vuqaddd_s64(int64_t __p0, uint64_t __p1) { + int64_t __ret; + __ret = (int64_t) __builtin_neon_vuqaddd_s64(__p0, __p1); + return __ret; +} +__ai int16_t vuqaddh_s16(int16_t __p0, uint16_t __p1) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vuqaddh_s16(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vuqaddq_s8(int8x16_t __p0, uint8x16_t __p1) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vuqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 32); + return __ret; +} +#else +__ai int8x16_t vuqaddq_s8(int8x16_t __p0, uint8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vuqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vuqaddq_s32(int32x4_t __p0, uint32x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vuqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 34); + return __ret; +} +#else +__ai int32x4_t vuqaddq_s32(int32x4_t __p0, uint32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vuqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vuqaddq_s64(int64x2_t __p0, uint64x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vuqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 35); + return __ret; +} +#else +__ai int64x2_t vuqaddq_s64(int64x2_t __p0, uint64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int64x2_t) __builtin_neon_vuqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vuqaddq_s16(int16x8_t __p0, uint16x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vuqaddq_v((int8x16_t)__p0, (int8x16_t)__p1, 33); + return __ret; +} +#else +__ai int16x8_t vuqaddq_s16(int16x8_t __p0, uint16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vuqaddq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vuqadd_s8(int8x8_t __p0, uint8x8_t __p1) { + int8x8_t __ret; + __ret = (int8x8_t) __builtin_neon_vuqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 0); + return __ret; +} +#else +__ai int8x8_t vuqadd_s8(int8x8_t __p0, uint8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x8_t) __builtin_neon_vuqadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vuqadd_s32(int32x2_t __p0, uint32x2_t __p1) { + int32x2_t __ret; + __ret = (int32x2_t) __builtin_neon_vuqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 2); + return __ret; +} +#else +__ai int32x2_t vuqadd_s32(int32x2_t __p0, uint32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int32x2_t) __builtin_neon_vuqadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai int64x1_t vuqadd_s64(int64x1_t __p0, uint64x1_t __p1) { + int64x1_t __ret; + __ret = (int64x1_t) __builtin_neon_vuqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 3); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vuqadd_s16(int16x4_t __p0, uint16x4_t __p1) { + int16x4_t __ret; + __ret = (int16x4_t) __builtin_neon_vuqadd_v((int8x8_t)__p0, (int8x8_t)__p1, 1); + return __ret; +} +#else +__ai int16x4_t vuqadd_s16(int16x4_t __p0, uint16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int16x4_t) __builtin_neon_vuqadd_v((int8x8_t)__rev0, (int8x8_t)__rev1, 1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vuzp1_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6, 8, 10, 12, 14); + return __ret; +} +#else +__ai poly8x8_t vuzp1_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6, 8, 10, 12, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vuzp1_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6); + return __ret; +} +#else +__ai poly16x4_t vuzp1_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vuzp1q_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30); + return __ret; +} +#else +__ai poly8x16_t vuzp1q_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly64x2_t vuzp1q_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai poly64x2_t vuzp1q_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vuzp1q_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6, 8, 10, 12, 14); + return __ret; +} +#else +__ai poly16x8_t vuzp1q_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6, 8, 10, 12, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vuzp1q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30); + return __ret; +} +#else +__ai uint8x16_t vuzp1q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vuzp1q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6); + return __ret; +} +#else +__ai uint32x4_t vuzp1q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vuzp1q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai uint64x2_t vuzp1q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vuzp1q_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6, 8, 10, 12, 14); + return __ret; +} +#else +__ai uint16x8_t vuzp1q_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6, 8, 10, 12, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vuzp1q_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30); + return __ret; +} +#else +__ai int8x16_t vuzp1q_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vuzp1q_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai float64x2_t vuzp1q_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vuzp1q_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6); + return __ret; +} +#else +__ai float32x4_t vuzp1q_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vuzp1q_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6); + return __ret; +} +#else +__ai int32x4_t vuzp1q_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vuzp1q_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai int64x2_t vuzp1q_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vuzp1q_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6, 8, 10, 12, 14); + return __ret; +} +#else +__ai int16x8_t vuzp1q_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6, 8, 10, 12, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vuzp1_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6, 8, 10, 12, 14); + return __ret; +} +#else +__ai uint8x8_t vuzp1_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6, 8, 10, 12, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vuzp1_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai uint32x2_t vuzp1_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vuzp1_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6); + return __ret; +} +#else +__ai uint16x4_t vuzp1_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vuzp1_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6, 8, 10, 12, 14); + return __ret; +} +#else +__ai int8x8_t vuzp1_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6, 8, 10, 12, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vuzp1_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai float32x2_t vuzp1_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vuzp1_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai int32x2_t vuzp1_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vuzp1_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6); + return __ret; +} +#else +__ai int16x4_t vuzp1_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vuzp2_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7, 9, 11, 13, 15); + return __ret; +} +#else +__ai poly8x8_t vuzp2_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7, 9, 11, 13, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vuzp2_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7); + return __ret; +} +#else +__ai poly16x4_t vuzp2_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vuzp2q_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31); + return __ret; +} +#else +__ai poly8x16_t vuzp2q_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly64x2_t vuzp2q_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai poly64x2_t vuzp2q_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vuzp2q_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7, 9, 11, 13, 15); + return __ret; +} +#else +__ai poly16x8_t vuzp2q_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7, 9, 11, 13, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vuzp2q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31); + return __ret; +} +#else +__ai uint8x16_t vuzp2q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vuzp2q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7); + return __ret; +} +#else +__ai uint32x4_t vuzp2q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vuzp2q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai uint64x2_t vuzp2q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vuzp2q_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7, 9, 11, 13, 15); + return __ret; +} +#else +__ai uint16x8_t vuzp2q_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7, 9, 11, 13, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vuzp2q_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31); + return __ret; +} +#else +__ai int8x16_t vuzp2q_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vuzp2q_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai float64x2_t vuzp2q_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vuzp2q_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7); + return __ret; +} +#else +__ai float32x4_t vuzp2q_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vuzp2q_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7); + return __ret; +} +#else +__ai int32x4_t vuzp2q_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vuzp2q_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai int64x2_t vuzp2q_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vuzp2q_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7, 9, 11, 13, 15); + return __ret; +} +#else +__ai int16x8_t vuzp2q_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7, 9, 11, 13, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vuzp2_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7, 9, 11, 13, 15); + return __ret; +} +#else +__ai uint8x8_t vuzp2_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7, 9, 11, 13, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vuzp2_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai uint32x2_t vuzp2_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vuzp2_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7); + return __ret; +} +#else +__ai uint16x4_t vuzp2_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vuzp2_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7, 9, 11, 13, 15); + return __ret; +} +#else +__ai int8x8_t vuzp2_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7, 9, 11, 13, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vuzp2_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai float32x2_t vuzp2_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vuzp2_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai int32x2_t vuzp2_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vuzp2_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7); + return __ret; +} +#else +__ai int16x4_t vuzp2_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vzip1_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 1, 9, 2, 10, 3, 11); + return __ret; +} +#else +__ai poly8x8_t vzip1_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 1, 9, 2, 10, 3, 11); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vzip1_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 1, 5); + return __ret; +} +#else +__ai poly16x4_t vzip1_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 1, 5); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vzip1q_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 16, 1, 17, 2, 18, 3, 19, 4, 20, 5, 21, 6, 22, 7, 23); + return __ret; +} +#else +__ai poly8x16_t vzip1q_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 16, 1, 17, 2, 18, 3, 19, 4, 20, 5, 21, 6, 22, 7, 23); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly64x2_t vzip1q_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai poly64x2_t vzip1q_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vzip1q_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 1, 9, 2, 10, 3, 11); + return __ret; +} +#else +__ai poly16x8_t vzip1q_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 1, 9, 2, 10, 3, 11); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vzip1q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 16, 1, 17, 2, 18, 3, 19, 4, 20, 5, 21, 6, 22, 7, 23); + return __ret; +} +#else +__ai uint8x16_t vzip1q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 16, 1, 17, 2, 18, 3, 19, 4, 20, 5, 21, 6, 22, 7, 23); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vzip1q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 1, 5); + return __ret; +} +#else +__ai uint32x4_t vzip1q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 1, 5); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vzip1q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai uint64x2_t vzip1q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vzip1q_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 1, 9, 2, 10, 3, 11); + return __ret; +} +#else +__ai uint16x8_t vzip1q_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 1, 9, 2, 10, 3, 11); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vzip1q_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 16, 1, 17, 2, 18, 3, 19, 4, 20, 5, 21, 6, 22, 7, 23); + return __ret; +} +#else +__ai int8x16_t vzip1q_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 16, 1, 17, 2, 18, 3, 19, 4, 20, 5, 21, 6, 22, 7, 23); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vzip1q_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai float64x2_t vzip1q_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vzip1q_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 1, 5); + return __ret; +} +#else +__ai float32x4_t vzip1q_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 1, 5); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vzip1q_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 1, 5); + return __ret; +} +#else +__ai int32x4_t vzip1q_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 1, 5); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vzip1q_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai int64x2_t vzip1q_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vzip1q_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 1, 9, 2, 10, 3, 11); + return __ret; +} +#else +__ai int16x8_t vzip1q_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 1, 9, 2, 10, 3, 11); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vzip1_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 1, 9, 2, 10, 3, 11); + return __ret; +} +#else +__ai uint8x8_t vzip1_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 1, 9, 2, 10, 3, 11); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vzip1_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai uint32x2_t vzip1_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vzip1_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 1, 5); + return __ret; +} +#else +__ai uint16x4_t vzip1_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 1, 5); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vzip1_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 1, 9, 2, 10, 3, 11); + return __ret; +} +#else +__ai int8x8_t vzip1_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 1, 9, 2, 10, 3, 11); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vzip1_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai float32x2_t vzip1_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vzip1_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2); + return __ret; +} +#else +__ai int32x2_t vzip1_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vzip1_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 1, 5); + return __ret; +} +#else +__ai int16x4_t vzip1_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 1, 5); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x8_t vzip2_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 4, 12, 5, 13, 6, 14, 7, 15); + return __ret; +} +#else +__ai poly8x8_t vzip2_p8(poly8x8_t __p0, poly8x8_t __p1) { + poly8x8_t __ret; + poly8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 4, 12, 5, 13, 6, 14, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x4_t vzip2_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 2, 6, 3, 7); + return __ret; +} +#else +__ai poly16x4_t vzip2_p16(poly16x4_t __p0, poly16x4_t __p1) { + poly16x4_t __ret; + poly16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + poly16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 2, 6, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly8x16_t vzip2q_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 8, 24, 9, 25, 10, 26, 11, 27, 12, 28, 13, 29, 14, 30, 15, 31); + return __ret; +} +#else +__ai poly8x16_t vzip2q_p8(poly8x16_t __p0, poly8x16_t __p1) { + poly8x16_t __ret; + poly8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + poly8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 8, 24, 9, 25, 10, 26, 11, 27, 12, 28, 13, 29, 14, 30, 15, 31); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly64x2_t vzip2q_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai poly64x2_t vzip2q_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly64x2_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai poly16x8_t vzip2q_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 4, 12, 5, 13, 6, 14, 7, 15); + return __ret; +} +#else +__ai poly16x8_t vzip2q_p16(poly16x8_t __p0, poly16x8_t __p1) { + poly16x8_t __ret; + poly16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + poly16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 4, 12, 5, 13, 6, 14, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vzip2q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 8, 24, 9, 25, 10, 26, 11, 27, 12, 28, 13, 29, 14, 30, 15, 31); + return __ret; +} +#else +__ai uint8x16_t vzip2q_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 8, 24, 9, 25, 10, 26, 11, 27, 12, 28, 13, 29, 14, 30, 15, 31); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vzip2q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 2, 6, 3, 7); + return __ret; +} +#else +__ai uint32x4_t vzip2q_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 2, 6, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vzip2q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai uint64x2_t vzip2q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vzip2q_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 4, 12, 5, 13, 6, 14, 7, 15); + return __ret; +} +#else +__ai uint16x8_t vzip2q_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 4, 12, 5, 13, 6, 14, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vzip2q_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 8, 24, 9, 25, 10, 26, 11, 27, 12, 28, 13, 29, 14, 30, 15, 31); + return __ret; +} +#else +__ai int8x16_t vzip2q_s8(int8x16_t __p0, int8x16_t __p1) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 8, 24, 9, 25, 10, 26, 11, 27, 12, 28, 13, 29, 14, 30, 15, 31); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vzip2q_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai float64x2_t vzip2q_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x4_t vzip2q_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 2, 6, 3, 7); + return __ret; +} +#else +__ai float32x4_t vzip2q_f32(float32x4_t __p0, float32x4_t __p1) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 2, 6, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vzip2q_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 2, 6, 3, 7); + return __ret; +} +#else +__ai int32x4_t vzip2q_s32(int32x4_t __p0, int32x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 2, 6, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vzip2q_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai int64x2_t vzip2q_s64(int64x2_t __p0, int64x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vzip2q_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 4, 12, 5, 13, 6, 14, 7, 15); + return __ret; +} +#else +__ai int16x8_t vzip2q_s16(int16x8_t __p0, int16x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 4, 12, 5, 13, 6, 14, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vzip2_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 4, 12, 5, 13, 6, 14, 7, 15); + return __ret; +} +#else +__ai uint8x8_t vzip2_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 4, 12, 5, 13, 6, 14, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vzip2_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai uint32x2_t vzip2_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vzip2_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 2, 6, 3, 7); + return __ret; +} +#else +__ai uint16x4_t vzip2_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 2, 6, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vzip2_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 4, 12, 5, 13, 6, 14, 7, 15); + return __ret; +} +#else +__ai int8x8_t vzip2_s8(int8x8_t __p0, int8x8_t __p1) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 4, 12, 5, 13, 6, 14, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai float32x2_t vzip2_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai float32x2_t vzip2_f32(float32x2_t __p0, float32x2_t __p1) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vzip2_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3); + return __ret; +} +#else +__ai int32x2_t vzip2_s32(int32x2_t __p0, int32x2_t __p1) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vzip2_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 2, 6, 3, 7); + return __ret; +} +#else +__ai int16x4_t vzip2_s16(int16x4_t __p0, int16x4_t __p1) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 2, 6, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai __attribute__((target("aes"))) poly128_t vmull_p64(poly64_t __p0, poly64_t __p1) { + poly128_t __ret; + __ret = (poly128_t) __builtin_neon_vmull_p64(__p0, __p1); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("aes"))) poly128_t vmull_high_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly128_t __ret; + __ret = vmull_p64((poly64_t)(vget_high_p64(__p0)), (poly64_t)(vget_high_p64(__p1))); + return __ret; +} +#else +__ai __attribute__((target("aes"))) poly128_t vmull_high_p64(poly64x2_t __p0, poly64x2_t __p1) { + poly128_t __ret; + poly64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = vmull_p64((poly64_t)(__noswap_vget_high_p64(__rev0)), (poly64_t)(__noswap_vget_high_p64(__rev1))); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x8_t __a64_vcvtq_low_bf16_f32(float32x4_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t) __builtin_neon___a64_vcvtq_low_bf16_f32((int8x16_t)__p0, 43); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x8_t __a64_vcvtq_low_bf16_f32(float32x4_t __p0) { + bfloat16x8_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (bfloat16x8_t) __builtin_neon___a64_vcvtq_low_bf16_f32((int8x16_t)__rev0, 43); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t __noswap___a64_vcvtq_low_bf16_f32(float32x4_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t) __builtin_neon___a64_vcvtq_low_bf16_f32((int8x16_t)__p0, 43); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_bf16(__p0_739, __p1_739, __p2_739, __p3_739) __extension__ ({ \ + bfloat16x8_t __ret_739; \ + bfloat16x8_t __s0_739 = __p0_739; \ + bfloat16x4_t __s2_739 = __p2_739; \ + __ret_739 = vsetq_lane_bf16(vget_lane_bf16(__s2_739, __p3_739), __s0_739, __p1_739); \ + __ret_739; \ +}) +#else +#define vcopyq_lane_bf16(__p0_740, __p1_740, __p2_740, __p3_740) __extension__ ({ \ + bfloat16x8_t __ret_740; \ + bfloat16x8_t __s0_740 = __p0_740; \ + bfloat16x4_t __s2_740 = __p2_740; \ + bfloat16x8_t __rev0_740; __rev0_740 = __builtin_shufflevector(__s0_740, __s0_740, 7, 6, 5, 4, 3, 2, 1, 0); \ + bfloat16x4_t __rev2_740; __rev2_740 = __builtin_shufflevector(__s2_740, __s2_740, 3, 2, 1, 0); \ + __ret_740 = __noswap_vsetq_lane_bf16(__noswap_vget_lane_bf16(__rev2_740, __p3_740), __rev0_740, __p1_740); \ + __ret_740 = __builtin_shufflevector(__ret_740, __ret_740, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_740; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_lane_bf16(__p0_741, __p1_741, __p2_741, __p3_741) __extension__ ({ \ + bfloat16x4_t __ret_741; \ + bfloat16x4_t __s0_741 = __p0_741; \ + bfloat16x4_t __s2_741 = __p2_741; \ + __ret_741 = vset_lane_bf16(vget_lane_bf16(__s2_741, __p3_741), __s0_741, __p1_741); \ + __ret_741; \ +}) +#else +#define vcopy_lane_bf16(__p0_742, __p1_742, __p2_742, __p3_742) __extension__ ({ \ + bfloat16x4_t __ret_742; \ + bfloat16x4_t __s0_742 = __p0_742; \ + bfloat16x4_t __s2_742 = __p2_742; \ + bfloat16x4_t __rev0_742; __rev0_742 = __builtin_shufflevector(__s0_742, __s0_742, 3, 2, 1, 0); \ + bfloat16x4_t __rev2_742; __rev2_742 = __builtin_shufflevector(__s2_742, __s2_742, 3, 2, 1, 0); \ + __ret_742 = __noswap_vset_lane_bf16(__noswap_vget_lane_bf16(__rev2_742, __p3_742), __rev0_742, __p1_742); \ + __ret_742 = __builtin_shufflevector(__ret_742, __ret_742, 3, 2, 1, 0); \ + __ret_742; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_bf16(__p0_743, __p1_743, __p2_743, __p3_743) __extension__ ({ \ + bfloat16x8_t __ret_743; \ + bfloat16x8_t __s0_743 = __p0_743; \ + bfloat16x8_t __s2_743 = __p2_743; \ + __ret_743 = vsetq_lane_bf16(vgetq_lane_bf16(__s2_743, __p3_743), __s0_743, __p1_743); \ + __ret_743; \ +}) +#else +#define vcopyq_laneq_bf16(__p0_744, __p1_744, __p2_744, __p3_744) __extension__ ({ \ + bfloat16x8_t __ret_744; \ + bfloat16x8_t __s0_744 = __p0_744; \ + bfloat16x8_t __s2_744 = __p2_744; \ + bfloat16x8_t __rev0_744; __rev0_744 = __builtin_shufflevector(__s0_744, __s0_744, 7, 6, 5, 4, 3, 2, 1, 0); \ + bfloat16x8_t __rev2_744; __rev2_744 = __builtin_shufflevector(__s2_744, __s2_744, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_744 = __noswap_vsetq_lane_bf16(__noswap_vgetq_lane_bf16(__rev2_744, __p3_744), __rev0_744, __p1_744); \ + __ret_744 = __builtin_shufflevector(__ret_744, __ret_744, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_744; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_bf16(__p0_745, __p1_745, __p2_745, __p3_745) __extension__ ({ \ + bfloat16x4_t __ret_745; \ + bfloat16x4_t __s0_745 = __p0_745; \ + bfloat16x8_t __s2_745 = __p2_745; \ + __ret_745 = vset_lane_bf16(vgetq_lane_bf16(__s2_745, __p3_745), __s0_745, __p1_745); \ + __ret_745; \ +}) +#else +#define vcopy_laneq_bf16(__p0_746, __p1_746, __p2_746, __p3_746) __extension__ ({ \ + bfloat16x4_t __ret_746; \ + bfloat16x4_t __s0_746 = __p0_746; \ + bfloat16x8_t __s2_746 = __p2_746; \ + bfloat16x4_t __rev0_746; __rev0_746 = __builtin_shufflevector(__s0_746, __s0_746, 3, 2, 1, 0); \ + bfloat16x8_t __rev2_746; __rev2_746 = __builtin_shufflevector(__s2_746, __s2_746, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_746 = __noswap_vset_lane_bf16(__noswap_vgetq_lane_bf16(__rev2_746, __p3_746), __rev0_746, __p1_746); \ + __ret_746 = __builtin_shufflevector(__ret_746, __ret_746, 3, 2, 1, 0); \ + __ret_746; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x4_t vcvt_bf16_f32(float32x4_t __p0) { + bfloat16x4_t __ret; + __ret = vget_low_bf16(__a64_vcvtq_low_bf16_f32(__p0)); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x4_t vcvt_bf16_f32(float32x4_t __p0) { + bfloat16x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap_vget_low_bf16(__noswap___a64_vcvtq_low_bf16_f32(__rev0)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x8_t vcvtq_high_bf16_f32(bfloat16x8_t __p0, float32x4_t __p1) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t) __builtin_neon_vcvtq_high_bf16_f32((int8x16_t)__p0, (int8x16_t)__p1, 43); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x8_t vcvtq_high_bf16_f32(bfloat16x8_t __p0, float32x4_t __p1) { + bfloat16x8_t __ret; + bfloat16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (bfloat16x8_t) __builtin_neon_vcvtq_high_bf16_f32((int8x16_t)__rev0, (int8x16_t)__rev1, 43); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) bfloat16x8_t vcvtq_low_bf16_f32(float32x4_t __p0) { + bfloat16x8_t __ret; + __ret = __a64_vcvtq_low_bf16_f32(__p0); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) bfloat16x8_t vcvtq_low_bf16_f32(float32x4_t __p0) { + bfloat16x8_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = __noswap___a64_vcvtq_low_bf16_f32(__rev0); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai __attribute__((target("bf16"))) poly8x8_t vreinterpret_p8_bf16(bfloat16x4_t __p0) { + poly8x8_t __ret; + __ret = (poly8x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) poly64x1_t vreinterpret_p64_bf16(bfloat16x4_t __p0) { + poly64x1_t __ret; + __ret = (poly64x1_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) poly16x4_t vreinterpret_p16_bf16(bfloat16x4_t __p0) { + poly16x4_t __ret; + __ret = (poly16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) poly8x16_t vreinterpretq_p8_bf16(bfloat16x8_t __p0) { + poly8x16_t __ret; + __ret = (poly8x16_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) poly128_t vreinterpretq_p128_bf16(bfloat16x8_t __p0) { + poly128_t __ret; + __ret = (poly128_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) poly64x2_t vreinterpretq_p64_bf16(bfloat16x8_t __p0) { + poly64x2_t __ret; + __ret = (poly64x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) poly16x8_t vreinterpretq_p16_bf16(bfloat16x8_t __p0) { + poly16x8_t __ret; + __ret = (poly16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint8x16_t vreinterpretq_u8_bf16(bfloat16x8_t __p0) { + uint8x16_t __ret; + __ret = (uint8x16_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint32x4_t vreinterpretq_u32_bf16(bfloat16x8_t __p0) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint64x2_t vreinterpretq_u64_bf16(bfloat16x8_t __p0) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint16x8_t vreinterpretq_u16_bf16(bfloat16x8_t __p0) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int8x16_t vreinterpretq_s8_bf16(bfloat16x8_t __p0) { + int8x16_t __ret; + __ret = (int8x16_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) float64x2_t vreinterpretq_f64_bf16(bfloat16x8_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) float32x4_t vreinterpretq_f32_bf16(bfloat16x8_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) float16x8_t vreinterpretq_f16_bf16(bfloat16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int32x4_t vreinterpretq_s32_bf16(bfloat16x8_t __p0) { + int32x4_t __ret; + __ret = (int32x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int64x2_t vreinterpretq_s64_bf16(bfloat16x8_t __p0) { + int64x2_t __ret; + __ret = (int64x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int16x8_t vreinterpretq_s16_bf16(bfloat16x8_t __p0) { + int16x8_t __ret; + __ret = (int16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint8x8_t vreinterpret_u8_bf16(bfloat16x4_t __p0) { + uint8x8_t __ret; + __ret = (uint8x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint32x2_t vreinterpret_u32_bf16(bfloat16x4_t __p0) { + uint32x2_t __ret; + __ret = (uint32x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint64x1_t vreinterpret_u64_bf16(bfloat16x4_t __p0) { + uint64x1_t __ret; + __ret = (uint64x1_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) uint16x4_t vreinterpret_u16_bf16(bfloat16x4_t __p0) { + uint16x4_t __ret; + __ret = (uint16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int8x8_t vreinterpret_s8_bf16(bfloat16x4_t __p0) { + int8x8_t __ret; + __ret = (int8x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) float64x1_t vreinterpret_f64_bf16(bfloat16x4_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) float32x2_t vreinterpret_f32_bf16(bfloat16x4_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) float16x4_t vreinterpret_f16_bf16(bfloat16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int32x2_t vreinterpret_s32_bf16(bfloat16x4_t __p0) { + int32x2_t __ret; + __ret = (int32x2_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int64x1_t vreinterpret_s64_bf16(bfloat16x4_t __p0) { + int64x1_t __ret; + __ret = (int64x1_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) int16x4_t vreinterpret_s16_bf16(bfloat16x4_t __p0) { + int16x4_t __ret; + __ret = (int16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_p8(poly8x16_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_p128(poly128_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_p64(poly64x2_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_p16(poly16x8_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_u8(uint8x16_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_u32(uint32x4_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_u64(uint64x2_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_u16(uint16x8_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_s8(int8x16_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_f64(float64x2_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_f32(float32x4_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_f16(float16x8_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_s32(int32x4_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_s64(int64x2_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x8_t vreinterpretq_bf16_s16(int16x8_t __p0) { + bfloat16x8_t __ret; + __ret = (bfloat16x8_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_p8(poly8x8_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_p64(poly64x1_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_p16(poly16x4_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_u8(uint8x8_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_u32(uint32x2_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_u64(uint64x1_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_u16(uint16x4_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_s8(int8x8_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_f64(float64x1_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_f32(float32x2_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_f16(float16x4_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_s32(int32x2_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_s64(int64x1_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +__ai __attribute__((target("bf16"))) bfloat16x4_t vreinterpret_bf16_s16(int16x4_t __p0) { + bfloat16x4_t __ret; + __ret = (bfloat16x4_t)(__p0); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +#define vdotq_laneq_u32(__p0_747, __p1_747, __p2_747, __p3_747) __extension__ ({ \ + uint32x4_t __ret_747; \ + uint32x4_t __s0_747 = __p0_747; \ + uint8x16_t __s1_747 = __p1_747; \ + uint8x16_t __s2_747 = __p2_747; \ +uint8x16_t __reint_747 = __s2_747; \ +uint32x4_t __reint1_747 = splatq_laneq_u32(*(uint32x4_t *) &__reint_747, __p3_747); \ + __ret_747 = vdotq_u32(__s0_747, __s1_747, *(uint8x16_t *) &__reint1_747); \ + __ret_747; \ +}) +#else +#define vdotq_laneq_u32(__p0_748, __p1_748, __p2_748, __p3_748) __extension__ ({ \ + uint32x4_t __ret_748; \ + uint32x4_t __s0_748 = __p0_748; \ + uint8x16_t __s1_748 = __p1_748; \ + uint8x16_t __s2_748 = __p2_748; \ + uint32x4_t __rev0_748; __rev0_748 = __builtin_shufflevector(__s0_748, __s0_748, 3, 2, 1, 0); \ + uint8x16_t __rev1_748; __rev1_748 = __builtin_shufflevector(__s1_748, __s1_748, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x16_t __rev2_748; __rev2_748 = __builtin_shufflevector(__s2_748, __s2_748, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ +uint8x16_t __reint_748 = __rev2_748; \ +uint32x4_t __reint1_748 = __noswap_splatq_laneq_u32(*(uint32x4_t *) &__reint_748, __p3_748); \ + __ret_748 = __noswap_vdotq_u32(__rev0_748, __rev1_748, *(uint8x16_t *) &__reint1_748); \ + __ret_748 = __builtin_shufflevector(__ret_748, __ret_748, 3, 2, 1, 0); \ + __ret_748; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdotq_laneq_s32(__p0_749, __p1_749, __p2_749, __p3_749) __extension__ ({ \ + int32x4_t __ret_749; \ + int32x4_t __s0_749 = __p0_749; \ + int8x16_t __s1_749 = __p1_749; \ + int8x16_t __s2_749 = __p2_749; \ +int8x16_t __reint_749 = __s2_749; \ +int32x4_t __reint1_749 = splatq_laneq_s32(*(int32x4_t *) &__reint_749, __p3_749); \ + __ret_749 = vdotq_s32(__s0_749, __s1_749, *(int8x16_t *) &__reint1_749); \ + __ret_749; \ +}) +#else +#define vdotq_laneq_s32(__p0_750, __p1_750, __p2_750, __p3_750) __extension__ ({ \ + int32x4_t __ret_750; \ + int32x4_t __s0_750 = __p0_750; \ + int8x16_t __s1_750 = __p1_750; \ + int8x16_t __s2_750 = __p2_750; \ + int32x4_t __rev0_750; __rev0_750 = __builtin_shufflevector(__s0_750, __s0_750, 3, 2, 1, 0); \ + int8x16_t __rev1_750; __rev1_750 = __builtin_shufflevector(__s1_750, __s1_750, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x16_t __rev2_750; __rev2_750 = __builtin_shufflevector(__s2_750, __s2_750, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ +int8x16_t __reint_750 = __rev2_750; \ +int32x4_t __reint1_750 = __noswap_splatq_laneq_s32(*(int32x4_t *) &__reint_750, __p3_750); \ + __ret_750 = __noswap_vdotq_s32(__rev0_750, __rev1_750, *(int8x16_t *) &__reint1_750); \ + __ret_750 = __builtin_shufflevector(__ret_750, __ret_750, 3, 2, 1, 0); \ + __ret_750; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdot_laneq_u32(__p0_751, __p1_751, __p2_751, __p3_751) __extension__ ({ \ + uint32x2_t __ret_751; \ + uint32x2_t __s0_751 = __p0_751; \ + uint8x8_t __s1_751 = __p1_751; \ + uint8x16_t __s2_751 = __p2_751; \ +uint8x16_t __reint_751 = __s2_751; \ +uint32x2_t __reint1_751 = splat_laneq_u32(*(uint32x4_t *) &__reint_751, __p3_751); \ + __ret_751 = vdot_u32(__s0_751, __s1_751, *(uint8x8_t *) &__reint1_751); \ + __ret_751; \ +}) +#else +#define vdot_laneq_u32(__p0_752, __p1_752, __p2_752, __p3_752) __extension__ ({ \ + uint32x2_t __ret_752; \ + uint32x2_t __s0_752 = __p0_752; \ + uint8x8_t __s1_752 = __p1_752; \ + uint8x16_t __s2_752 = __p2_752; \ + uint32x2_t __rev0_752; __rev0_752 = __builtin_shufflevector(__s0_752, __s0_752, 1, 0); \ + uint8x8_t __rev1_752; __rev1_752 = __builtin_shufflevector(__s1_752, __s1_752, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x16_t __rev2_752; __rev2_752 = __builtin_shufflevector(__s2_752, __s2_752, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ +uint8x16_t __reint_752 = __rev2_752; \ +uint32x2_t __reint1_752 = __noswap_splat_laneq_u32(*(uint32x4_t *) &__reint_752, __p3_752); \ + __ret_752 = __noswap_vdot_u32(__rev0_752, __rev1_752, *(uint8x8_t *) &__reint1_752); \ + __ret_752 = __builtin_shufflevector(__ret_752, __ret_752, 1, 0); \ + __ret_752; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vdot_laneq_s32(__p0_753, __p1_753, __p2_753, __p3_753) __extension__ ({ \ + int32x2_t __ret_753; \ + int32x2_t __s0_753 = __p0_753; \ + int8x8_t __s1_753 = __p1_753; \ + int8x16_t __s2_753 = __p2_753; \ +int8x16_t __reint_753 = __s2_753; \ +int32x2_t __reint1_753 = splat_laneq_s32(*(int32x4_t *) &__reint_753, __p3_753); \ + __ret_753 = vdot_s32(__s0_753, __s1_753, *(int8x8_t *) &__reint1_753); \ + __ret_753; \ +}) +#else +#define vdot_laneq_s32(__p0_754, __p1_754, __p2_754, __p3_754) __extension__ ({ \ + int32x2_t __ret_754; \ + int32x2_t __s0_754 = __p0_754; \ + int8x8_t __s1_754 = __p1_754; \ + int8x16_t __s2_754 = __p2_754; \ + int32x2_t __rev0_754; __rev0_754 = __builtin_shufflevector(__s0_754, __s0_754, 1, 0); \ + int8x8_t __rev1_754; __rev1_754 = __builtin_shufflevector(__s1_754, __s1_754, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x16_t __rev2_754; __rev2_754 = __builtin_shufflevector(__s2_754, __s2_754, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ +int8x16_t __reint_754 = __rev2_754; \ +int32x2_t __reint1_754 = __noswap_splat_laneq_s32(*(int32x4_t *) &__reint_754, __p3_754); \ + __ret_754 = __noswap_vdot_s32(__rev0_754, __rev1_754, *(int8x8_t *) &__reint1_754); \ + __ret_754 = __builtin_shufflevector(__ret_754, __ret_754, 1, 0); \ + __ret_754; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fp16fml"))) float32x4_t vfmlalq_high_f16(float32x4_t __p0, float16x8_t __p1, float16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vfmlalq_high_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai __attribute__((target("fp16fml"))) float32x4_t vfmlalq_high_f16(float32x4_t __p0, float16x8_t __p1, float16x8_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vfmlalq_high_f16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("fp16fml"))) float32x4_t __noswap_vfmlalq_high_f16(float32x4_t __p0, float16x8_t __p1, float16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vfmlalq_high_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fp16fml"))) float32x2_t vfmlal_high_f16(float32x2_t __p0, float16x4_t __p1, float16x4_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vfmlal_high_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#else +__ai __attribute__((target("fp16fml"))) float32x2_t vfmlal_high_f16(float32x2_t __p0, float16x4_t __p1, float16x4_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float32x2_t) __builtin_neon_vfmlal_high_f16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("fp16fml"))) float32x2_t __noswap_vfmlal_high_f16(float32x2_t __p0, float16x4_t __p1, float16x4_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vfmlal_high_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fp16fml"))) float32x4_t vfmlalq_low_f16(float32x4_t __p0, float16x8_t __p1, float16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vfmlalq_low_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai __attribute__((target("fp16fml"))) float32x4_t vfmlalq_low_f16(float32x4_t __p0, float16x8_t __p1, float16x8_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vfmlalq_low_f16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("fp16fml"))) float32x4_t __noswap_vfmlalq_low_f16(float32x4_t __p0, float16x8_t __p1, float16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vfmlalq_low_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fp16fml"))) float32x2_t vfmlal_low_f16(float32x2_t __p0, float16x4_t __p1, float16x4_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vfmlal_low_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#else +__ai __attribute__((target("fp16fml"))) float32x2_t vfmlal_low_f16(float32x2_t __p0, float16x4_t __p1, float16x4_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float32x2_t) __builtin_neon_vfmlal_low_f16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("fp16fml"))) float32x2_t __noswap_vfmlal_low_f16(float32x2_t __p0, float16x4_t __p1, float16x4_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vfmlal_low_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fp16fml"))) float32x4_t vfmlslq_high_f16(float32x4_t __p0, float16x8_t __p1, float16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vfmlslq_high_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai __attribute__((target("fp16fml"))) float32x4_t vfmlslq_high_f16(float32x4_t __p0, float16x8_t __p1, float16x8_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vfmlslq_high_f16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("fp16fml"))) float32x4_t __noswap_vfmlslq_high_f16(float32x4_t __p0, float16x8_t __p1, float16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vfmlslq_high_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fp16fml"))) float32x2_t vfmlsl_high_f16(float32x2_t __p0, float16x4_t __p1, float16x4_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vfmlsl_high_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#else +__ai __attribute__((target("fp16fml"))) float32x2_t vfmlsl_high_f16(float32x2_t __p0, float16x4_t __p1, float16x4_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float32x2_t) __builtin_neon_vfmlsl_high_f16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("fp16fml"))) float32x2_t __noswap_vfmlsl_high_f16(float32x2_t __p0, float16x4_t __p1, float16x4_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vfmlsl_high_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fp16fml"))) float32x4_t vfmlslq_low_f16(float32x4_t __p0, float16x8_t __p1, float16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vfmlslq_low_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#else +__ai __attribute__((target("fp16fml"))) float32x4_t vfmlslq_low_f16(float32x4_t __p0, float16x8_t __p1, float16x8_t __p2) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vfmlslq_low_f16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("fp16fml"))) float32x4_t __noswap_vfmlslq_low_f16(float32x4_t __p0, float16x8_t __p1, float16x8_t __p2) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vfmlslq_low_f16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 41); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fp16fml"))) float32x2_t vfmlsl_low_f16(float32x2_t __p0, float16x4_t __p1, float16x4_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vfmlsl_low_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#else +__ai __attribute__((target("fp16fml"))) float32x2_t vfmlsl_low_f16(float32x2_t __p0, float16x4_t __p1, float16x4_t __p2) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (float32x2_t) __builtin_neon_vfmlsl_low_f16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("fp16fml"))) float32x2_t __noswap_vfmlsl_low_f16(float32x2_t __p0, float16x4_t __p1, float16x4_t __p2) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vfmlsl_low_f16((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 9); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vdivq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = __p0 / __p1; + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vdivq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 / __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vdiv_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = __p0 / __p1; + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vdiv_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 / __rev1; + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vduph_lane_f16(__p0, __p1) __extension__ ({ \ + float16_t __ret; \ + float16x4_t __s0 = __p0; \ + __ret = (float16_t) __builtin_neon_vduph_lane_f16((float16x4_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vduph_lane_f16(__p0, __p1) __extension__ ({ \ + float16_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vduph_lane_f16((float16x4_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vduph_laneq_f16(__p0, __p1) __extension__ ({ \ + float16_t __ret; \ + float16x8_t __s0 = __p0; \ + __ret = (float16_t) __builtin_neon_vduph_laneq_f16((float16x8_t)__s0, __p1); \ + __ret; \ +}) +#else +#define vduph_laneq_f16(__p0, __p1) __extension__ ({ \ + float16_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vduph_laneq_f16((float16x8_t)__rev0, __p1); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmah_lane_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16_t __ret; \ + float16_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + float16x4_t __s2 = __p2; \ + __ret = (float16_t) __builtin_neon_vfmah_lane_f16(__s0, __s1, (float16x4_t)__s2, __p3); \ + __ret; \ +}) +#else +#define vfmah_lane_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16_t __ret; \ + float16_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + float16x4_t __s2 = __p2; \ + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vfmah_lane_f16(__s0, __s1, (float16x4_t)__rev2, __p3); \ + __ret; \ +}) +#define __noswap_vfmah_lane_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16_t __ret; \ + float16_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + float16x4_t __s2 = __p2; \ + __ret = (float16_t) __builtin_neon_vfmah_lane_f16(__s0, __s1, (float16x4_t)__s2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmaq_lane_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + float16x4_t __s2 = __p2; \ + __ret = (float16x8_t) __builtin_neon_vfmaq_lane_f16((int8x16_t)__s0, (int8x16_t)__s1, (int8x8_t)__s2, __p3, 40); \ + __ret; \ +}) +#else +#define vfmaq_lane_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + float16x4_t __s2 = __p2; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (float16x8_t) __builtin_neon_vfmaq_lane_f16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x8_t)__rev2, __p3, 40); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vfmaq_lane_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + float16x4_t __s2 = __p2; \ + __ret = (float16x8_t) __builtin_neon_vfmaq_lane_f16((int8x16_t)__s0, (int8x16_t)__s1, (int8x8_t)__s2, __p3, 40); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfma_lane_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + float16x4_t __s2 = __p2; \ + __ret = (float16x4_t) __builtin_neon_vfma_lane_f16((int8x8_t)__s0, (int8x8_t)__s1, (int8x8_t)__s2, __p3, 8); \ + __ret; \ +}) +#else +#define vfma_lane_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + float16x4_t __s2 = __p2; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + float16x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (float16x4_t) __builtin_neon_vfma_lane_f16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x8_t)__rev2, __p3, 8); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vfma_lane_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + float16x4_t __s2 = __p2; \ + __ret = (float16x4_t) __builtin_neon_vfma_lane_f16((int8x8_t)__s0, (int8x8_t)__s1, (int8x8_t)__s2, __p3, 8); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmah_laneq_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16_t __ret; \ + float16_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + float16x8_t __s2 = __p2; \ + __ret = (float16_t) __builtin_neon_vfmah_laneq_f16(__s0, __s1, (float16x8_t)__s2, __p3); \ + __ret; \ +}) +#else +#define vfmah_laneq_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16_t __ret; \ + float16_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + float16x8_t __s2 = __p2; \ + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vfmah_laneq_f16(__s0, __s1, (float16x8_t)__rev2, __p3); \ + __ret; \ +}) +#define __noswap_vfmah_laneq_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16_t __ret; \ + float16_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + float16x8_t __s2 = __p2; \ + __ret = (float16_t) __builtin_neon_vfmah_laneq_f16(__s0, __s1, (float16x8_t)__s2, __p3); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmaq_laneq_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + float16x8_t __s2 = __p2; \ + __ret = (float16x8_t) __builtin_neon_vfmaq_laneq_f16((int8x16_t)__s0, (int8x16_t)__s1, (int8x16_t)__s2, __p3, 40); \ + __ret; \ +}) +#else +#define vfmaq_laneq_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + float16x8_t __s2 = __p2; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16x8_t) __builtin_neon_vfmaq_laneq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, __p3, 40); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vfmaq_laneq_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + float16x8_t __s2 = __p2; \ + __ret = (float16x8_t) __builtin_neon_vfmaq_laneq_f16((int8x16_t)__s0, (int8x16_t)__s1, (int8x16_t)__s2, __p3, 40); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfma_laneq_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + float16x8_t __s2 = __p2; \ + __ret = (float16x4_t) __builtin_neon_vfma_laneq_f16((int8x8_t)__s0, (int8x8_t)__s1, (int8x16_t)__s2, __p3, 8); \ + __ret; \ +}) +#else +#define vfma_laneq_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + float16x8_t __s2 = __p2; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + float16x8_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16x4_t) __builtin_neon_vfma_laneq_f16((int8x8_t)__rev0, (int8x8_t)__rev1, (int8x16_t)__rev2, __p3, 8); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#define __noswap_vfma_laneq_f16(__p0, __p1, __p2, __p3) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + float16x8_t __s2 = __p2; \ + __ret = (float16x4_t) __builtin_neon_vfma_laneq_f16((int8x8_t)__s0, (int8x8_t)__s1, (int8x16_t)__s2, __p3, 8); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmaq_n_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + float16_t __s2 = __p2; \ + __ret = vfmaq_f16(__s0, __s1, (float16x8_t) {__s2, __s2, __s2, __s2, __s2, __s2, __s2, __s2}); \ + __ret; \ +}) +#else +#define vfmaq_n_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + float16_t __s2 = __p2; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = __noswap_vfmaq_f16(__rev0, __rev1, (float16x8_t) {__s2, __s2, __s2, __s2, __s2, __s2, __s2, __s2}); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfma_n_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + float16_t __s2 = __p2; \ + __ret = vfma_f16(__s0, __s1, (float16x4_t) {__s2, __s2, __s2, __s2}); \ + __ret; \ +}) +#else +#define vfma_n_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + float16_t __s2 = __p2; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = __noswap_vfma_f16(__rev0, __rev1, (float16x4_t) {__s2, __s2, __s2, __s2}); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmsh_lane_f16(__p0_755, __p1_755, __p2_755, __p3_755) __extension__ ({ \ + float16_t __ret_755; \ + float16_t __s0_755 = __p0_755; \ + float16_t __s1_755 = __p1_755; \ + float16x4_t __s2_755 = __p2_755; \ + __ret_755 = vfmah_lane_f16(__s0_755, -__s1_755, __s2_755, __p3_755); \ + __ret_755; \ +}) +#else +#define vfmsh_lane_f16(__p0_756, __p1_756, __p2_756, __p3_756) __extension__ ({ \ + float16_t __ret_756; \ + float16_t __s0_756 = __p0_756; \ + float16_t __s1_756 = __p1_756; \ + float16x4_t __s2_756 = __p2_756; \ + float16x4_t __rev2_756; __rev2_756 = __builtin_shufflevector(__s2_756, __s2_756, 3, 2, 1, 0); \ + __ret_756 = __noswap_vfmah_lane_f16(__s0_756, -__s1_756, __rev2_756, __p3_756); \ + __ret_756; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmsq_lane_f16(__p0_757, __p1_757, __p2_757, __p3_757) __extension__ ({ \ + float16x8_t __ret_757; \ + float16x8_t __s0_757 = __p0_757; \ + float16x8_t __s1_757 = __p1_757; \ + float16x4_t __s2_757 = __p2_757; \ + __ret_757 = vfmaq_lane_f16(__s0_757, -__s1_757, __s2_757, __p3_757); \ + __ret_757; \ +}) +#else +#define vfmsq_lane_f16(__p0_758, __p1_758, __p2_758, __p3_758) __extension__ ({ \ + float16x8_t __ret_758; \ + float16x8_t __s0_758 = __p0_758; \ + float16x8_t __s1_758 = __p1_758; \ + float16x4_t __s2_758 = __p2_758; \ + float16x8_t __rev0_758; __rev0_758 = __builtin_shufflevector(__s0_758, __s0_758, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1_758; __rev1_758 = __builtin_shufflevector(__s1_758, __s1_758, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x4_t __rev2_758; __rev2_758 = __builtin_shufflevector(__s2_758, __s2_758, 3, 2, 1, 0); \ + __ret_758 = __noswap_vfmaq_lane_f16(__rev0_758, -__rev1_758, __rev2_758, __p3_758); \ + __ret_758 = __builtin_shufflevector(__ret_758, __ret_758, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_758; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfms_lane_f16(__p0_759, __p1_759, __p2_759, __p3_759) __extension__ ({ \ + float16x4_t __ret_759; \ + float16x4_t __s0_759 = __p0_759; \ + float16x4_t __s1_759 = __p1_759; \ + float16x4_t __s2_759 = __p2_759; \ + __ret_759 = vfma_lane_f16(__s0_759, -__s1_759, __s2_759, __p3_759); \ + __ret_759; \ +}) +#else +#define vfms_lane_f16(__p0_760, __p1_760, __p2_760, __p3_760) __extension__ ({ \ + float16x4_t __ret_760; \ + float16x4_t __s0_760 = __p0_760; \ + float16x4_t __s1_760 = __p1_760; \ + float16x4_t __s2_760 = __p2_760; \ + float16x4_t __rev0_760; __rev0_760 = __builtin_shufflevector(__s0_760, __s0_760, 3, 2, 1, 0); \ + float16x4_t __rev1_760; __rev1_760 = __builtin_shufflevector(__s1_760, __s1_760, 3, 2, 1, 0); \ + float16x4_t __rev2_760; __rev2_760 = __builtin_shufflevector(__s2_760, __s2_760, 3, 2, 1, 0); \ + __ret_760 = __noswap_vfma_lane_f16(__rev0_760, -__rev1_760, __rev2_760, __p3_760); \ + __ret_760 = __builtin_shufflevector(__ret_760, __ret_760, 3, 2, 1, 0); \ + __ret_760; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmsh_laneq_f16(__p0_761, __p1_761, __p2_761, __p3_761) __extension__ ({ \ + float16_t __ret_761; \ + float16_t __s0_761 = __p0_761; \ + float16_t __s1_761 = __p1_761; \ + float16x8_t __s2_761 = __p2_761; \ + __ret_761 = vfmah_laneq_f16(__s0_761, -__s1_761, __s2_761, __p3_761); \ + __ret_761; \ +}) +#else +#define vfmsh_laneq_f16(__p0_762, __p1_762, __p2_762, __p3_762) __extension__ ({ \ + float16_t __ret_762; \ + float16_t __s0_762 = __p0_762; \ + float16_t __s1_762 = __p1_762; \ + float16x8_t __s2_762 = __p2_762; \ + float16x8_t __rev2_762; __rev2_762 = __builtin_shufflevector(__s2_762, __s2_762, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_762 = __noswap_vfmah_laneq_f16(__s0_762, -__s1_762, __rev2_762, __p3_762); \ + __ret_762; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmsq_laneq_f16(__p0_763, __p1_763, __p2_763, __p3_763) __extension__ ({ \ + float16x8_t __ret_763; \ + float16x8_t __s0_763 = __p0_763; \ + float16x8_t __s1_763 = __p1_763; \ + float16x8_t __s2_763 = __p2_763; \ + __ret_763 = vfmaq_laneq_f16(__s0_763, -__s1_763, __s2_763, __p3_763); \ + __ret_763; \ +}) +#else +#define vfmsq_laneq_f16(__p0_764, __p1_764, __p2_764, __p3_764) __extension__ ({ \ + float16x8_t __ret_764; \ + float16x8_t __s0_764 = __p0_764; \ + float16x8_t __s1_764 = __p1_764; \ + float16x8_t __s2_764 = __p2_764; \ + float16x8_t __rev0_764; __rev0_764 = __builtin_shufflevector(__s0_764, __s0_764, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1_764; __rev1_764 = __builtin_shufflevector(__s1_764, __s1_764, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev2_764; __rev2_764 = __builtin_shufflevector(__s2_764, __s2_764, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_764 = __noswap_vfmaq_laneq_f16(__rev0_764, -__rev1_764, __rev2_764, __p3_764); \ + __ret_764 = __builtin_shufflevector(__ret_764, __ret_764, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_764; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfms_laneq_f16(__p0_765, __p1_765, __p2_765, __p3_765) __extension__ ({ \ + float16x4_t __ret_765; \ + float16x4_t __s0_765 = __p0_765; \ + float16x4_t __s1_765 = __p1_765; \ + float16x8_t __s2_765 = __p2_765; \ + __ret_765 = vfma_laneq_f16(__s0_765, -__s1_765, __s2_765, __p3_765); \ + __ret_765; \ +}) +#else +#define vfms_laneq_f16(__p0_766, __p1_766, __p2_766, __p3_766) __extension__ ({ \ + float16x4_t __ret_766; \ + float16x4_t __s0_766 = __p0_766; \ + float16x4_t __s1_766 = __p1_766; \ + float16x8_t __s2_766 = __p2_766; \ + float16x4_t __rev0_766; __rev0_766 = __builtin_shufflevector(__s0_766, __s0_766, 3, 2, 1, 0); \ + float16x4_t __rev1_766; __rev1_766 = __builtin_shufflevector(__s1_766, __s1_766, 3, 2, 1, 0); \ + float16x8_t __rev2_766; __rev2_766 = __builtin_shufflevector(__s2_766, __s2_766, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_766 = __noswap_vfma_laneq_f16(__rev0_766, -__rev1_766, __rev2_766, __p3_766); \ + __ret_766 = __builtin_shufflevector(__ret_766, __ret_766, 3, 2, 1, 0); \ + __ret_766; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmsq_n_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + float16_t __s2 = __p2; \ + __ret = vfmaq_f16(__s0, -__s1, (float16x8_t) {__s2, __s2, __s2, __s2, __s2, __s2, __s2, __s2}); \ + __ret; \ +}) +#else +#define vfmsq_n_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + float16_t __s2 = __p2; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = __noswap_vfmaq_f16(__rev0, -__rev1, (float16x8_t) {__s2, __s2, __s2, __s2, __s2, __s2, __s2, __s2}); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfms_n_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + float16_t __s2 = __p2; \ + __ret = vfma_f16(__s0, -__s1, (float16x4_t) {__s2, __s2, __s2, __s2}); \ + __ret; \ +}) +#else +#define vfms_n_f16(__p0, __p1, __p2) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + float16_t __s2 = __p2; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = __noswap_vfma_f16(__rev0, -__rev1, (float16x4_t) {__s2, __s2, __s2, __s2}); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmaxnmvq_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x8_t __s0 = __p0; \ + __ret = (float16_t) __builtin_neon_vmaxnmvq_f16((int8x16_t)__s0); \ + __ret; \ +}) +#else +#define vmaxnmvq_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vmaxnmvq_f16((int8x16_t)__rev0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmaxnmv_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x4_t __s0 = __p0; \ + __ret = (float16_t) __builtin_neon_vmaxnmv_f16((int8x8_t)__s0); \ + __ret; \ +}) +#else +#define vmaxnmv_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vmaxnmv_f16((int8x8_t)__rev0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmaxvq_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x8_t __s0 = __p0; \ + __ret = (float16_t) __builtin_neon_vmaxvq_f16((int8x16_t)__s0); \ + __ret; \ +}) +#else +#define vmaxvq_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vmaxvq_f16((int8x16_t)__rev0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmaxv_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x4_t __s0 = __p0; \ + __ret = (float16_t) __builtin_neon_vmaxv_f16((int8x8_t)__s0); \ + __ret; \ +}) +#else +#define vmaxv_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vmaxv_f16((int8x8_t)__rev0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vminnmvq_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x8_t __s0 = __p0; \ + __ret = (float16_t) __builtin_neon_vminnmvq_f16((int8x16_t)__s0); \ + __ret; \ +}) +#else +#define vminnmvq_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vminnmvq_f16((int8x16_t)__rev0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vminnmv_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x4_t __s0 = __p0; \ + __ret = (float16_t) __builtin_neon_vminnmv_f16((int8x8_t)__s0); \ + __ret; \ +}) +#else +#define vminnmv_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vminnmv_f16((int8x8_t)__rev0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vminvq_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x8_t __s0 = __p0; \ + __ret = (float16_t) __builtin_neon_vminvq_f16((int8x16_t)__s0); \ + __ret; \ +}) +#else +#define vminvq_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x8_t __s0 = __p0; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vminvq_f16((int8x16_t)__rev0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vminv_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x4_t __s0 = __p0; \ + __ret = (float16_t) __builtin_neon_vminv_f16((int8x8_t)__s0); \ + __ret; \ +}) +#else +#define vminv_f16(__p0) __extension__ ({ \ + float16_t __ret; \ + float16x4_t __s0 = __p0; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vminv_f16((int8x8_t)__rev0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulq_laneq_f16(__p0_767, __p1_767, __p2_767) __extension__ ({ \ + float16x8_t __ret_767; \ + float16x8_t __s0_767 = __p0_767; \ + float16x8_t __s1_767 = __p1_767; \ + __ret_767 = __s0_767 * splatq_laneq_f16(__s1_767, __p2_767); \ + __ret_767; \ +}) +#else +#define vmulq_laneq_f16(__p0_768, __p1_768, __p2_768) __extension__ ({ \ + float16x8_t __ret_768; \ + float16x8_t __s0_768 = __p0_768; \ + float16x8_t __s1_768 = __p1_768; \ + float16x8_t __rev0_768; __rev0_768 = __builtin_shufflevector(__s0_768, __s0_768, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1_768; __rev1_768 = __builtin_shufflevector(__s1_768, __s1_768, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_768 = __rev0_768 * __noswap_splatq_laneq_f16(__rev1_768, __p2_768); \ + __ret_768 = __builtin_shufflevector(__ret_768, __ret_768, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_768; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmul_laneq_f16(__p0_769, __p1_769, __p2_769) __extension__ ({ \ + float16x4_t __ret_769; \ + float16x4_t __s0_769 = __p0_769; \ + float16x8_t __s1_769 = __p1_769; \ + __ret_769 = __s0_769 * splat_laneq_f16(__s1_769, __p2_769); \ + __ret_769; \ +}) +#else +#define vmul_laneq_f16(__p0_770, __p1_770, __p2_770) __extension__ ({ \ + float16x4_t __ret_770; \ + float16x4_t __s0_770 = __p0_770; \ + float16x8_t __s1_770 = __p1_770; \ + float16x4_t __rev0_770; __rev0_770 = __builtin_shufflevector(__s0_770, __s0_770, 3, 2, 1, 0); \ + float16x8_t __rev1_770; __rev1_770 = __builtin_shufflevector(__s1_770, __s1_770, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_770 = __rev0_770 * __noswap_splat_laneq_f16(__rev1_770, __p2_770); \ + __ret_770 = __builtin_shufflevector(__ret_770, __ret_770, 3, 2, 1, 0); \ + __ret_770; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vmulxq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vmulxq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vmulxq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vmulxq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("fullfp16"))) float16x8_t __noswap_vmulxq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vmulxq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vmulx_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vmulx_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vmulx_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vmulx_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai __attribute__((target("fullfp16"))) float16x4_t __noswap_vmulx_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vmulx_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulxh_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16_t __ret; \ + float16_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + __ret = (float16_t) __builtin_neon_vmulxh_lane_f16(__s0, (float16x4_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vmulxh_lane_f16(__p0, __p1, __p2) __extension__ ({ \ + float16_t __ret; \ + float16_t __s0 = __p0; \ + float16x4_t __s1 = __p1; \ + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vmulxh_lane_f16(__s0, (float16x4_t)__rev1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulxq_lane_f16(__p0_771, __p1_771, __p2_771) __extension__ ({ \ + float16x8_t __ret_771; \ + float16x8_t __s0_771 = __p0_771; \ + float16x4_t __s1_771 = __p1_771; \ + __ret_771 = vmulxq_f16(__s0_771, splatq_lane_f16(__s1_771, __p2_771)); \ + __ret_771; \ +}) +#else +#define vmulxq_lane_f16(__p0_772, __p1_772, __p2_772) __extension__ ({ \ + float16x8_t __ret_772; \ + float16x8_t __s0_772 = __p0_772; \ + float16x4_t __s1_772 = __p1_772; \ + float16x8_t __rev0_772; __rev0_772 = __builtin_shufflevector(__s0_772, __s0_772, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x4_t __rev1_772; __rev1_772 = __builtin_shufflevector(__s1_772, __s1_772, 3, 2, 1, 0); \ + __ret_772 = __noswap_vmulxq_f16(__rev0_772, __noswap_splatq_lane_f16(__rev1_772, __p2_772)); \ + __ret_772 = __builtin_shufflevector(__ret_772, __ret_772, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_772; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulx_lane_f16(__p0_773, __p1_773, __p2_773) __extension__ ({ \ + float16x4_t __ret_773; \ + float16x4_t __s0_773 = __p0_773; \ + float16x4_t __s1_773 = __p1_773; \ + __ret_773 = vmulx_f16(__s0_773, splat_lane_f16(__s1_773, __p2_773)); \ + __ret_773; \ +}) +#else +#define vmulx_lane_f16(__p0_774, __p1_774, __p2_774) __extension__ ({ \ + float16x4_t __ret_774; \ + float16x4_t __s0_774 = __p0_774; \ + float16x4_t __s1_774 = __p1_774; \ + float16x4_t __rev0_774; __rev0_774 = __builtin_shufflevector(__s0_774, __s0_774, 3, 2, 1, 0); \ + float16x4_t __rev1_774; __rev1_774 = __builtin_shufflevector(__s1_774, __s1_774, 3, 2, 1, 0); \ + __ret_774 = __noswap_vmulx_f16(__rev0_774, __noswap_splat_lane_f16(__rev1_774, __p2_774)); \ + __ret_774 = __builtin_shufflevector(__ret_774, __ret_774, 3, 2, 1, 0); \ + __ret_774; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulxh_laneq_f16(__p0, __p1, __p2) __extension__ ({ \ + float16_t __ret; \ + float16_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + __ret = (float16_t) __builtin_neon_vmulxh_laneq_f16(__s0, (float16x8_t)__s1, __p2); \ + __ret; \ +}) +#else +#define vmulxh_laneq_f16(__p0, __p1, __p2) __extension__ ({ \ + float16_t __ret; \ + float16_t __s0 = __p0; \ + float16x8_t __s1 = __p1; \ + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = (float16_t) __builtin_neon_vmulxh_laneq_f16(__s0, (float16x8_t)__rev1, __p2); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulxq_laneq_f16(__p0_775, __p1_775, __p2_775) __extension__ ({ \ + float16x8_t __ret_775; \ + float16x8_t __s0_775 = __p0_775; \ + float16x8_t __s1_775 = __p1_775; \ + __ret_775 = vmulxq_f16(__s0_775, splatq_laneq_f16(__s1_775, __p2_775)); \ + __ret_775; \ +}) +#else +#define vmulxq_laneq_f16(__p0_776, __p1_776, __p2_776) __extension__ ({ \ + float16x8_t __ret_776; \ + float16x8_t __s0_776 = __p0_776; \ + float16x8_t __s1_776 = __p1_776; \ + float16x8_t __rev0_776; __rev0_776 = __builtin_shufflevector(__s0_776, __s0_776, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev1_776; __rev1_776 = __builtin_shufflevector(__s1_776, __s1_776, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_776 = __noswap_vmulxq_f16(__rev0_776, __noswap_splatq_laneq_f16(__rev1_776, __p2_776)); \ + __ret_776 = __builtin_shufflevector(__ret_776, __ret_776, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_776; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulx_laneq_f16(__p0_777, __p1_777, __p2_777) __extension__ ({ \ + float16x4_t __ret_777; \ + float16x4_t __s0_777 = __p0_777; \ + float16x8_t __s1_777 = __p1_777; \ + __ret_777 = vmulx_f16(__s0_777, splat_laneq_f16(__s1_777, __p2_777)); \ + __ret_777; \ +}) +#else +#define vmulx_laneq_f16(__p0_778, __p1_778, __p2_778) __extension__ ({ \ + float16x4_t __ret_778; \ + float16x4_t __s0_778 = __p0_778; \ + float16x8_t __s1_778 = __p1_778; \ + float16x4_t __rev0_778; __rev0_778 = __builtin_shufflevector(__s0_778, __s0_778, 3, 2, 1, 0); \ + float16x8_t __rev1_778; __rev1_778 = __builtin_shufflevector(__s1_778, __s1_778, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_778 = __noswap_vmulx_f16(__rev0_778, __noswap_splat_laneq_f16(__rev1_778, __p2_778)); \ + __ret_778 = __builtin_shufflevector(__ret_778, __ret_778, 3, 2, 1, 0); \ + __ret_778; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulxq_n_f16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + __ret = vmulxq_f16(__s0, (float16x8_t) {__s1, __s1, __s1, __s1, __s1, __s1, __s1, __s1}); \ + __ret; \ +}) +#else +#define vmulxq_n_f16(__p0, __p1) __extension__ ({ \ + float16x8_t __ret; \ + float16x8_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret = __noswap_vmulxq_f16(__rev0, (float16x8_t) {__s1, __s1, __s1, __s1, __s1, __s1, __s1, __s1}); \ + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulx_n_f16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + __ret = vmulx_f16(__s0, (float16x4_t) {__s1, __s1, __s1, __s1}); \ + __ret; \ +}) +#else +#define vmulx_n_f16(__p0, __p1) __extension__ ({ \ + float16x4_t __ret; \ + float16x4_t __s0 = __p0; \ + float16_t __s1 = __p1; \ + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + __ret = __noswap_vmulx_f16(__rev0, (float16x4_t) {__s1, __s1, __s1, __s1}); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vpaddq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vpaddq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vpaddq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vpaddq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vpmaxq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vpmaxq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vpmaxq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vpmaxq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vpmaxnmq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vpmaxnmq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vpmaxnmq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vpmaxnmq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vpmaxnm_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vpmaxnm_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vpmaxnm_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vpmaxnm_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vpminq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vpminq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vpminq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vpminq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vpminnmq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vpminnmq_f16((int8x16_t)__p0, (int8x16_t)__p1, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vpminnmq_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vpminnmq_f16((int8x16_t)__rev0, (int8x16_t)__rev1, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vpminnm_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vpminnm_f16((int8x8_t)__p0, (int8x8_t)__p1, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vpminnm_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vpminnm_f16((int8x8_t)__rev0, (int8x8_t)__rev1, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vrndiq_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vrndiq_f16((int8x16_t)__p0, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vrndiq_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vrndiq_f16((int8x16_t)__rev0, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vrndi_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vrndi_f16((int8x8_t)__p0, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vrndi_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vrndi_f16((int8x8_t)__rev0, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vsqrtq_f16(float16x8_t __p0) { + float16x8_t __ret; + __ret = (float16x8_t) __builtin_neon_vsqrtq_f16((int8x16_t)__p0, 40); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vsqrtq_f16(float16x8_t __p0) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (float16x8_t) __builtin_neon_vsqrtq_f16((int8x16_t)__rev0, 40); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vsqrt_f16(float16x4_t __p0) { + float16x4_t __ret; + __ret = (float16x4_t) __builtin_neon_vsqrt_f16((int8x8_t)__p0, 8); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vsqrt_f16(float16x4_t __p0) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float16x4_t) __builtin_neon_vsqrt_f16((int8x8_t)__rev0, 8); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vtrn1q_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 2, 10, 4, 12, 6, 14); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vtrn1q_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 2, 10, 4, 12, 6, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vtrn1_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 2, 6); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vtrn1_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 2, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vtrn2q_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 9, 3, 11, 5, 13, 7, 15); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vtrn2q_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 9, 3, 11, 5, 13, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vtrn2_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 5, 3, 7); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vtrn2_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 5, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vuzp1q_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6, 8, 10, 12, 14); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vuzp1q_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6, 8, 10, 12, 14); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vuzp1_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 2, 4, 6); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vuzp1_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 2, 4, 6); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vuzp2q_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7, 9, 11, 13, 15); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vuzp2q_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7, 9, 11, 13, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vuzp2_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 1, 3, 5, 7); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vuzp2_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 1, 3, 5, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vzip1q_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 8, 1, 9, 2, 10, 3, 11); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vzip1q_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 8, 1, 9, 2, 10, 3, 11); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vzip1_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 0, 4, 1, 5); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vzip1_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 0, 4, 1, 5); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x8_t vzip2q_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 4, 12, 5, 13, 6, 14, 7, 15); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x8_t vzip2q_f16(float16x8_t __p0, float16x8_t __p1) { + float16x8_t __ret; + float16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + float16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 4, 12, 5, 13, 6, 14, 7, 15); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("fullfp16"))) float16x4_t vzip2_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + __ret = __builtin_shufflevector(__p0, __p1, 2, 6, 3, 7); + return __ret; +} +#else +__ai __attribute__((target("fullfp16"))) float16x4_t vzip2_f16(float16x4_t __p0, float16x4_t __p1) { + float16x4_t __ret; + float16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + float16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __builtin_shufflevector(__rev0, __rev1, 2, 6, 3, 7); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsudotq_laneq_s32(__p0_779, __p1_779, __p2_779, __p3_779) __extension__ ({ \ + int32x4_t __ret_779; \ + int32x4_t __s0_779 = __p0_779; \ + int8x16_t __s1_779 = __p1_779; \ + uint8x16_t __s2_779 = __p2_779; \ +uint8x16_t __reint_779 = __s2_779; \ + __ret_779 = vusdotq_s32(__s0_779, (uint8x16_t)(splatq_laneq_s32(*(int32x4_t *) &__reint_779, __p3_779)), __s1_779); \ + __ret_779; \ +}) +#else +#define vsudotq_laneq_s32(__p0_780, __p1_780, __p2_780, __p3_780) __extension__ ({ \ + int32x4_t __ret_780; \ + int32x4_t __s0_780 = __p0_780; \ + int8x16_t __s1_780 = __p1_780; \ + uint8x16_t __s2_780 = __p2_780; \ + int32x4_t __rev0_780; __rev0_780 = __builtin_shufflevector(__s0_780, __s0_780, 3, 2, 1, 0); \ + int8x16_t __rev1_780; __rev1_780 = __builtin_shufflevector(__s1_780, __s1_780, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x16_t __rev2_780; __rev2_780 = __builtin_shufflevector(__s2_780, __s2_780, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ +uint8x16_t __reint_780 = __rev2_780; \ + __ret_780 = __noswap_vusdotq_s32(__rev0_780, (uint8x16_t)(__noswap_splatq_laneq_s32(*(int32x4_t *) &__reint_780, __p3_780)), __rev1_780); \ + __ret_780 = __builtin_shufflevector(__ret_780, __ret_780, 3, 2, 1, 0); \ + __ret_780; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsudot_laneq_s32(__p0_781, __p1_781, __p2_781, __p3_781) __extension__ ({ \ + int32x2_t __ret_781; \ + int32x2_t __s0_781 = __p0_781; \ + int8x8_t __s1_781 = __p1_781; \ + uint8x16_t __s2_781 = __p2_781; \ +uint8x16_t __reint_781 = __s2_781; \ + __ret_781 = vusdot_s32(__s0_781, (uint8x8_t)(splat_laneq_s32(*(int32x4_t *) &__reint_781, __p3_781)), __s1_781); \ + __ret_781; \ +}) +#else +#define vsudot_laneq_s32(__p0_782, __p1_782, __p2_782, __p3_782) __extension__ ({ \ + int32x2_t __ret_782; \ + int32x2_t __s0_782 = __p0_782; \ + int8x8_t __s1_782 = __p1_782; \ + uint8x16_t __s2_782 = __p2_782; \ + int32x2_t __rev0_782; __rev0_782 = __builtin_shufflevector(__s0_782, __s0_782, 1, 0); \ + int8x8_t __rev1_782; __rev1_782 = __builtin_shufflevector(__s1_782, __s1_782, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x16_t __rev2_782; __rev2_782 = __builtin_shufflevector(__s2_782, __s2_782, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ +uint8x16_t __reint_782 = __rev2_782; \ + __ret_782 = __noswap_vusdot_s32(__rev0_782, (uint8x8_t)(__noswap_splat_laneq_s32(*(int32x4_t *) &__reint_782, __p3_782)), __rev1_782); \ + __ret_782 = __builtin_shufflevector(__ret_782, __ret_782, 1, 0); \ + __ret_782; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vusdotq_laneq_s32(__p0_783, __p1_783, __p2_783, __p3_783) __extension__ ({ \ + int32x4_t __ret_783; \ + int32x4_t __s0_783 = __p0_783; \ + uint8x16_t __s1_783 = __p1_783; \ + int8x16_t __s2_783 = __p2_783; \ +int8x16_t __reint_783 = __s2_783; \ + __ret_783 = vusdotq_s32(__s0_783, __s1_783, (int8x16_t)(splatq_laneq_s32(*(int32x4_t *) &__reint_783, __p3_783))); \ + __ret_783; \ +}) +#else +#define vusdotq_laneq_s32(__p0_784, __p1_784, __p2_784, __p3_784) __extension__ ({ \ + int32x4_t __ret_784; \ + int32x4_t __s0_784 = __p0_784; \ + uint8x16_t __s1_784 = __p1_784; \ + int8x16_t __s2_784 = __p2_784; \ + int32x4_t __rev0_784; __rev0_784 = __builtin_shufflevector(__s0_784, __s0_784, 3, 2, 1, 0); \ + uint8x16_t __rev1_784; __rev1_784 = __builtin_shufflevector(__s1_784, __s1_784, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x16_t __rev2_784; __rev2_784 = __builtin_shufflevector(__s2_784, __s2_784, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ +int8x16_t __reint_784 = __rev2_784; \ + __ret_784 = __noswap_vusdotq_s32(__rev0_784, __rev1_784, (int8x16_t)(__noswap_splatq_laneq_s32(*(int32x4_t *) &__reint_784, __p3_784))); \ + __ret_784 = __builtin_shufflevector(__ret_784, __ret_784, 3, 2, 1, 0); \ + __ret_784; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vusdot_laneq_s32(__p0_785, __p1_785, __p2_785, __p3_785) __extension__ ({ \ + int32x2_t __ret_785; \ + int32x2_t __s0_785 = __p0_785; \ + uint8x8_t __s1_785 = __p1_785; \ + int8x16_t __s2_785 = __p2_785; \ +int8x16_t __reint_785 = __s2_785; \ + __ret_785 = vusdot_s32(__s0_785, __s1_785, (int8x8_t)(splat_laneq_s32(*(int32x4_t *) &__reint_785, __p3_785))); \ + __ret_785; \ +}) +#else +#define vusdot_laneq_s32(__p0_786, __p1_786, __p2_786, __p3_786) __extension__ ({ \ + int32x2_t __ret_786; \ + int32x2_t __s0_786 = __p0_786; \ + uint8x8_t __s1_786 = __p1_786; \ + int8x16_t __s2_786 = __p2_786; \ + int32x2_t __rev0_786; __rev0_786 = __builtin_shufflevector(__s0_786, __s0_786, 1, 0); \ + uint8x8_t __rev1_786; __rev1_786 = __builtin_shufflevector(__s1_786, __s1_786, 7, 6, 5, 4, 3, 2, 1, 0); \ + int8x16_t __rev2_786; __rev2_786 = __builtin_shufflevector(__s2_786, __s2_786, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ +int8x16_t __reint_786 = __rev2_786; \ + __ret_786 = __noswap_vusdot_s32(__rev0_786, __rev1_786, (int8x8_t)(__noswap_splat_laneq_s32(*(int32x4_t *) &__reint_786, __p3_786))); \ + __ret_786 = __builtin_shufflevector(__ret_786, __ret_786, 1, 0); \ + __ret_786; \ +}) +#endif + +#define vldap1_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1_t __ret; \ + poly64x1_t __s1 = __p1; \ + __ret = (poly64x1_t) __builtin_neon_vldap1_lane_p64(__p0, (int8x8_t)__s1, __p2, 6); \ + __ret; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vldap1q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s1 = __p1; \ + __ret = (poly64x2_t) __builtin_neon_vldap1q_lane_p64(__p0, (int8x16_t)__s1, __p2, 38); \ + __ret; \ +}) +#else +#define vldap1q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __ret; \ + poly64x2_t __s1 = __p1; \ + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (poly64x2_t) __builtin_neon_vldap1q_lane_p64(__p0, (int8x16_t)__rev1, __p2, 38); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vldap1q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s1 = __p1; \ + __ret = (uint64x2_t) __builtin_neon_vldap1q_lane_u64(__p0, (int8x16_t)__s1, __p2, 51); \ + __ret; \ +}) +#else +#define vldap1q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s1 = __p1; \ + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vldap1q_lane_u64(__p0, (int8x16_t)__rev1, __p2, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vldap1q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s1 = __p1; \ + __ret = (float64x2_t) __builtin_neon_vldap1q_lane_f64(__p0, (int8x16_t)__s1, __p2, 42); \ + __ret; \ +}) +#else +#define vldap1q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __ret; \ + float64x2_t __s1 = __p1; \ + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (float64x2_t) __builtin_neon_vldap1q_lane_f64(__p0, (int8x16_t)__rev1, __p2, 42); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vldap1q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s1 = __p1; \ + __ret = (int64x2_t) __builtin_neon_vldap1q_lane_s64(__p0, (int8x16_t)__s1, __p2, 35); \ + __ret; \ +}) +#else +#define vldap1q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __ret; \ + int64x2_t __s1 = __p1; \ + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (int64x2_t) __builtin_neon_vldap1q_lane_s64(__p0, (int8x16_t)__rev1, __p2, 35); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#define vldap1_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1_t __ret; \ + uint64x1_t __s1 = __p1; \ + __ret = (uint64x1_t) __builtin_neon_vldap1_lane_u64(__p0, (int8x8_t)__s1, __p2, 19); \ + __ret; \ +}) +#define vldap1_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1_t __ret; \ + float64x1_t __s1 = __p1; \ + __ret = (float64x1_t) __builtin_neon_vldap1_lane_f64(__p0, (int8x8_t)__s1, __p2, 10); \ + __ret; \ +}) +#define vldap1_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1_t __ret; \ + int64x1_t __s1 = __p1; \ + __ret = (int64x1_t) __builtin_neon_vldap1_lane_s64(__p0, (int8x8_t)__s1, __p2, 3); \ + __ret; \ +}) +#define vstl1_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x1_t __s1 = __p1; \ + __builtin_neon_vstl1_lane_p64(__p0, (int8x8_t)__s1, __p2, 6); \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vstl1q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __s1 = __p1; \ + __builtin_neon_vstl1q_lane_p64(__p0, (int8x16_t)__s1, __p2, 38); \ +}) +#else +#define vstl1q_lane_p64(__p0, __p1, __p2) __extension__ ({ \ + poly64x2_t __s1 = __p1; \ + poly64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vstl1q_lane_p64(__p0, (int8x16_t)__rev1, __p2, 38); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vstl1q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __s1 = __p1; \ + __builtin_neon_vstl1q_lane_u64(__p0, (int8x16_t)__s1, __p2, 51); \ +}) +#else +#define vstl1q_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __s1 = __p1; \ + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vstl1q_lane_u64(__p0, (int8x16_t)__rev1, __p2, 51); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vstl1q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __s1 = __p1; \ + __builtin_neon_vstl1q_lane_f64(__p0, (int8x16_t)__s1, __p2, 42); \ +}) +#else +#define vstl1q_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x2_t __s1 = __p1; \ + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vstl1q_lane_f64(__p0, (int8x16_t)__rev1, __p2, 42); \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vstl1q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __s1 = __p1; \ + __builtin_neon_vstl1q_lane_s64(__p0, (int8x16_t)__s1, __p2, 35); \ +}) +#else +#define vstl1q_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x2_t __s1 = __p1; \ + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __builtin_neon_vstl1q_lane_s64(__p0, (int8x16_t)__rev1, __p2, 35); \ +}) +#endif + +#define vstl1_lane_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x1_t __s1 = __p1; \ + __builtin_neon_vstl1_lane_u64(__p0, (int8x8_t)__s1, __p2, 19); \ +}) +#define vstl1_lane_f64(__p0, __p1, __p2) __extension__ ({ \ + float64x1_t __s1 = __p1; \ + __builtin_neon_vstl1_lane_f64(__p0, (int8x8_t)__s1, __p2, 10); \ +}) +#define vstl1_lane_s64(__p0, __p1, __p2) __extension__ ({ \ + int64x1_t __s1 = __p1; \ + __builtin_neon_vstl1_lane_s64(__p0, (int8x8_t)__s1, __p2, 3); \ +}) +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint8x16_t vbcaxq_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_vbcaxq_u8((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 48); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint8x16_t vbcaxq_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_vbcaxq_u8((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint32x4_t vbcaxq_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vbcaxq_u32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint32x4_t vbcaxq_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vbcaxq_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint64x2_t vbcaxq_u64(uint64x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vbcaxq_u64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 51); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint64x2_t vbcaxq_u64(uint64x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vbcaxq_u64((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint16x8_t vbcaxq_u16(uint16x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_vbcaxq_u16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 49); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint16x8_t vbcaxq_u16(uint16x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_vbcaxq_u16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) int8x16_t vbcaxq_s8(int8x16_t __p0, int8x16_t __p1, int8x16_t __p2) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_vbcaxq_s8((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 32); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) int8x16_t vbcaxq_s8(int8x16_t __p0, int8x16_t __p1, int8x16_t __p2) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_vbcaxq_s8((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) int32x4_t vbcaxq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_vbcaxq_s32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) int32x4_t vbcaxq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_vbcaxq_s32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) int64x2_t vbcaxq_s64(int64x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_vbcaxq_s64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 35); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) int64x2_t vbcaxq_s64(int64x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (int64x2_t) __builtin_neon_vbcaxq_s64((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) int16x8_t vbcaxq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_vbcaxq_s16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 33); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) int16x8_t vbcaxq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_vbcaxq_s16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint8x16_t veor3q_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + __ret = (uint8x16_t) __builtin_neon_veor3q_u8((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 48); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint8x16_t veor3q_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint8x16_t) __builtin_neon_veor3q_u8((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 48); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint32x4_t veor3q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_veor3q_u32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint32x4_t veor3q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_veor3q_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint64x2_t veor3q_u64(uint64x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_veor3q_u64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 51); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint64x2_t veor3q_u64(uint64x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (uint64x2_t) __builtin_neon_veor3q_u64((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint16x8_t veor3q_u16(uint16x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint16x8_t __ret; + __ret = (uint16x8_t) __builtin_neon_veor3q_u16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 49); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint16x8_t veor3q_u16(uint16x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t) __builtin_neon_veor3q_u16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 49); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) int8x16_t veor3q_s8(int8x16_t __p0, int8x16_t __p1, int8x16_t __p2) { + int8x16_t __ret; + __ret = (int8x16_t) __builtin_neon_veor3q_s8((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 32); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) int8x16_t veor3q_s8(int8x16_t __p0, int8x16_t __p1, int8x16_t __p2) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int8x16_t) __builtin_neon_veor3q_s8((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 32); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) int32x4_t veor3q_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + __ret = (int32x4_t) __builtin_neon_veor3q_s32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 34); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) int32x4_t veor3q_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (int32x4_t) __builtin_neon_veor3q_s32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 34); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) int64x2_t veor3q_s64(int64x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int64x2_t __ret; + __ret = (int64x2_t) __builtin_neon_veor3q_s64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 35); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) int64x2_t veor3q_s64(int64x2_t __p0, int64x2_t __p1, int64x2_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (int64x2_t) __builtin_neon_veor3q_s64((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 35); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) int16x8_t veor3q_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + __ret = (int16x8_t) __builtin_neon_veor3q_s16((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 33); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) int16x8_t veor3q_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t) __builtin_neon_veor3q_s16((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 33); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint64x2_t vrax1q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vrax1q_u64((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint64x2_t vrax1q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vrax1q_u64((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint64x2_t vsha512hq_u64(uint64x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vsha512hq_u64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 51); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint64x2_t vsha512hq_u64(uint64x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vsha512hq_u64((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint64x2_t vsha512h2q_u64(uint64x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vsha512h2q_u64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 51); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint64x2_t vsha512h2q_u64(uint64x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vsha512h2q_u64((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint64x2_t vsha512su0q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vsha512su0q_u64((int8x16_t)__p0, (int8x16_t)__p1, 51); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint64x2_t vsha512su0q_u64(uint64x2_t __p0, uint64x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vsha512su0q_u64((int8x16_t)__rev0, (int8x16_t)__rev1, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sha3"))) uint64x2_t vsha512su1q_u64(uint64x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint64x2_t __ret; + __ret = (uint64x2_t) __builtin_neon_vsha512su1q_u64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 51); + return __ret; +} +#else +__ai __attribute__((target("sha3"))) uint64x2_t vsha512su1q_u64(uint64x2_t __p0, uint64x2_t __p1, uint64x2_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (uint64x2_t) __builtin_neon_vsha512su1q_u64((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 51); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vxarq_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + __ret = (uint64x2_t) __builtin_neon_vxarq_u64((int8x16_t)__s0, (int8x16_t)__s1, __p2, 51); \ + __ret; \ +}) +#else +#define vxarq_u64(__p0, __p1, __p2) __extension__ ({ \ + uint64x2_t __ret; \ + uint64x2_t __s0 = __p0; \ + uint64x2_t __s1 = __p1; \ + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 1, 0); \ + uint64x2_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 1, 0); \ + __ret = (uint64x2_t) __builtin_neon_vxarq_u64((int8x16_t)__rev0, (int8x16_t)__rev1, __p2, 51); \ + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sm4"))) uint32x4_t vsm3partw1q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsm3partw1q_u32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#else +__ai __attribute__((target("sm4"))) uint32x4_t vsm3partw1q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsm3partw1q_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sm4"))) uint32x4_t vsm3partw2q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsm3partw2q_u32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#else +__ai __attribute__((target("sm4"))) uint32x4_t vsm3partw2q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsm3partw2q_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sm4"))) uint32x4_t vsm3ss1q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsm3ss1q_u32((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 50); + return __ret; +} +#else +__ai __attribute__((target("sm4"))) uint32x4_t vsm3ss1q_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsm3ss1q_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsm3tt1aq_u32(__p0, __p1, __p2, __p3) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __s2 = __p2; \ + __ret = (uint32x4_t) __builtin_neon_vsm3tt1aq_u32((int8x16_t)__s0, (int8x16_t)__s1, (int8x16_t)__s2, __p3, 50); \ + __ret; \ +}) +#else +#define vsm3tt1aq_u32(__p0, __p1, __p2, __p3) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __s2 = __p2; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vsm3tt1aq_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, __p3, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsm3tt1bq_u32(__p0, __p1, __p2, __p3) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __s2 = __p2; \ + __ret = (uint32x4_t) __builtin_neon_vsm3tt1bq_u32((int8x16_t)__s0, (int8x16_t)__s1, (int8x16_t)__s2, __p3, 50); \ + __ret; \ +}) +#else +#define vsm3tt1bq_u32(__p0, __p1, __p2, __p3) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __s2 = __p2; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vsm3tt1bq_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, __p3, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsm3tt2aq_u32(__p0, __p1, __p2, __p3) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __s2 = __p2; \ + __ret = (uint32x4_t) __builtin_neon_vsm3tt2aq_u32((int8x16_t)__s0, (int8x16_t)__s1, (int8x16_t)__s2, __p3, 50); \ + __ret; \ +}) +#else +#define vsm3tt2aq_u32(__p0, __p1, __p2, __p3) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __s2 = __p2; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vsm3tt2aq_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, __p3, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsm3tt2bq_u32(__p0, __p1, __p2, __p3) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __s2 = __p2; \ + __ret = (uint32x4_t) __builtin_neon_vsm3tt2bq_u32((int8x16_t)__s0, (int8x16_t)__s1, (int8x16_t)__s2, __p3, 50); \ + __ret; \ +}) +#else +#define vsm3tt2bq_u32(__p0, __p1, __p2, __p3) __extension__ ({ \ + uint32x4_t __ret; \ + uint32x4_t __s0 = __p0; \ + uint32x4_t __s1 = __p1; \ + uint32x4_t __s2 = __p2; \ + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__s0, __s0, 3, 2, 1, 0); \ + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__s1, __s1, 3, 2, 1, 0); \ + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__s2, __s2, 3, 2, 1, 0); \ + __ret = (uint32x4_t) __builtin_neon_vsm3tt2bq_u32((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, __p3, 50); \ + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); \ + __ret; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sm4"))) uint32x4_t vsm4eq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsm4eq_u32((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai __attribute__((target("sm4"))) uint32x4_t vsm4eq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsm4eq_u32((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("sm4"))) uint32x4_t vsm4ekeyq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t) __builtin_neon_vsm4ekeyq_u32((int8x16_t)__p0, (int8x16_t)__p1, 50); + return __ret; +} +#else +__ai __attribute__((target("sm4"))) uint32x4_t vsm4ekeyq_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t) __builtin_neon_vsm4ekeyq_u32((int8x16_t)__rev0, (int8x16_t)__rev1, 50); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +__ai __attribute__((target("v8.1a"))) int32_t vqrdmlahs_s32(int32_t __p0, int32_t __p1, int32_t __p2) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqrdmlahs_s32(__p0, __p1, __p2); + return __ret; +} +__ai __attribute__((target("v8.1a"))) int16_t vqrdmlahh_s16(int16_t __p0, int16_t __p1, int16_t __p2) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vqrdmlahh_s16(__p0, __p1, __p2); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlahs_lane_s32(__p0_787, __p1_787, __p2_787, __p3_787) __extension__ ({ \ + int32_t __ret_787; \ + int32_t __s0_787 = __p0_787; \ + int32_t __s1_787 = __p1_787; \ + int32x2_t __s2_787 = __p2_787; \ + __ret_787 = vqrdmlahs_s32(__s0_787, __s1_787, vget_lane_s32(__s2_787, __p3_787)); \ + __ret_787; \ +}) +#else +#define vqrdmlahs_lane_s32(__p0_788, __p1_788, __p2_788, __p3_788) __extension__ ({ \ + int32_t __ret_788; \ + int32_t __s0_788 = __p0_788; \ + int32_t __s1_788 = __p1_788; \ + int32x2_t __s2_788 = __p2_788; \ + int32x2_t __rev2_788; __rev2_788 = __builtin_shufflevector(__s2_788, __s2_788, 1, 0); \ + __ret_788 = vqrdmlahs_s32(__s0_788, __s1_788, __noswap_vget_lane_s32(__rev2_788, __p3_788)); \ + __ret_788; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlahh_lane_s16(__p0_789, __p1_789, __p2_789, __p3_789) __extension__ ({ \ + int16_t __ret_789; \ + int16_t __s0_789 = __p0_789; \ + int16_t __s1_789 = __p1_789; \ + int16x4_t __s2_789 = __p2_789; \ + __ret_789 = vqrdmlahh_s16(__s0_789, __s1_789, vget_lane_s16(__s2_789, __p3_789)); \ + __ret_789; \ +}) +#else +#define vqrdmlahh_lane_s16(__p0_790, __p1_790, __p2_790, __p3_790) __extension__ ({ \ + int16_t __ret_790; \ + int16_t __s0_790 = __p0_790; \ + int16_t __s1_790 = __p1_790; \ + int16x4_t __s2_790 = __p2_790; \ + int16x4_t __rev2_790; __rev2_790 = __builtin_shufflevector(__s2_790, __s2_790, 3, 2, 1, 0); \ + __ret_790 = vqrdmlahh_s16(__s0_790, __s1_790, __noswap_vget_lane_s16(__rev2_790, __p3_790)); \ + __ret_790; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlahs_laneq_s32(__p0_791, __p1_791, __p2_791, __p3_791) __extension__ ({ \ + int32_t __ret_791; \ + int32_t __s0_791 = __p0_791; \ + int32_t __s1_791 = __p1_791; \ + int32x4_t __s2_791 = __p2_791; \ + __ret_791 = vqrdmlahs_s32(__s0_791, __s1_791, vgetq_lane_s32(__s2_791, __p3_791)); \ + __ret_791; \ +}) +#else +#define vqrdmlahs_laneq_s32(__p0_792, __p1_792, __p2_792, __p3_792) __extension__ ({ \ + int32_t __ret_792; \ + int32_t __s0_792 = __p0_792; \ + int32_t __s1_792 = __p1_792; \ + int32x4_t __s2_792 = __p2_792; \ + int32x4_t __rev2_792; __rev2_792 = __builtin_shufflevector(__s2_792, __s2_792, 3, 2, 1, 0); \ + __ret_792 = vqrdmlahs_s32(__s0_792, __s1_792, __noswap_vgetq_lane_s32(__rev2_792, __p3_792)); \ + __ret_792; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlahh_laneq_s16(__p0_793, __p1_793, __p2_793, __p3_793) __extension__ ({ \ + int16_t __ret_793; \ + int16_t __s0_793 = __p0_793; \ + int16_t __s1_793 = __p1_793; \ + int16x8_t __s2_793 = __p2_793; \ + __ret_793 = vqrdmlahh_s16(__s0_793, __s1_793, vgetq_lane_s16(__s2_793, __p3_793)); \ + __ret_793; \ +}) +#else +#define vqrdmlahh_laneq_s16(__p0_794, __p1_794, __p2_794, __p3_794) __extension__ ({ \ + int16_t __ret_794; \ + int16_t __s0_794 = __p0_794; \ + int16_t __s1_794 = __p1_794; \ + int16x8_t __s2_794 = __p2_794; \ + int16x8_t __rev2_794; __rev2_794 = __builtin_shufflevector(__s2_794, __s2_794, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_794 = vqrdmlahh_s16(__s0_794, __s1_794, __noswap_vgetq_lane_s16(__rev2_794, __p3_794)); \ + __ret_794; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlahq_laneq_s32(__p0_795, __p1_795, __p2_795, __p3_795) __extension__ ({ \ + int32x4_t __ret_795; \ + int32x4_t __s0_795 = __p0_795; \ + int32x4_t __s1_795 = __p1_795; \ + int32x4_t __s2_795 = __p2_795; \ + __ret_795 = vqrdmlahq_s32(__s0_795, __s1_795, splatq_laneq_s32(__s2_795, __p3_795)); \ + __ret_795; \ +}) +#else +#define vqrdmlahq_laneq_s32(__p0_796, __p1_796, __p2_796, __p3_796) __extension__ ({ \ + int32x4_t __ret_796; \ + int32x4_t __s0_796 = __p0_796; \ + int32x4_t __s1_796 = __p1_796; \ + int32x4_t __s2_796 = __p2_796; \ + int32x4_t __rev0_796; __rev0_796 = __builtin_shufflevector(__s0_796, __s0_796, 3, 2, 1, 0); \ + int32x4_t __rev1_796; __rev1_796 = __builtin_shufflevector(__s1_796, __s1_796, 3, 2, 1, 0); \ + int32x4_t __rev2_796; __rev2_796 = __builtin_shufflevector(__s2_796, __s2_796, 3, 2, 1, 0); \ + __ret_796 = __noswap_vqrdmlahq_s32(__rev0_796, __rev1_796, __noswap_splatq_laneq_s32(__rev2_796, __p3_796)); \ + __ret_796 = __builtin_shufflevector(__ret_796, __ret_796, 3, 2, 1, 0); \ + __ret_796; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlahq_laneq_s16(__p0_797, __p1_797, __p2_797, __p3_797) __extension__ ({ \ + int16x8_t __ret_797; \ + int16x8_t __s0_797 = __p0_797; \ + int16x8_t __s1_797 = __p1_797; \ + int16x8_t __s2_797 = __p2_797; \ + __ret_797 = vqrdmlahq_s16(__s0_797, __s1_797, splatq_laneq_s16(__s2_797, __p3_797)); \ + __ret_797; \ +}) +#else +#define vqrdmlahq_laneq_s16(__p0_798, __p1_798, __p2_798, __p3_798) __extension__ ({ \ + int16x8_t __ret_798; \ + int16x8_t __s0_798 = __p0_798; \ + int16x8_t __s1_798 = __p1_798; \ + int16x8_t __s2_798 = __p2_798; \ + int16x8_t __rev0_798; __rev0_798 = __builtin_shufflevector(__s0_798, __s0_798, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_798; __rev1_798 = __builtin_shufflevector(__s1_798, __s1_798, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev2_798; __rev2_798 = __builtin_shufflevector(__s2_798, __s2_798, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_798 = __noswap_vqrdmlahq_s16(__rev0_798, __rev1_798, __noswap_splatq_laneq_s16(__rev2_798, __p3_798)); \ + __ret_798 = __builtin_shufflevector(__ret_798, __ret_798, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_798; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlah_laneq_s32(__p0_799, __p1_799, __p2_799, __p3_799) __extension__ ({ \ + int32x2_t __ret_799; \ + int32x2_t __s0_799 = __p0_799; \ + int32x2_t __s1_799 = __p1_799; \ + int32x4_t __s2_799 = __p2_799; \ + __ret_799 = vqrdmlah_s32(__s0_799, __s1_799, splat_laneq_s32(__s2_799, __p3_799)); \ + __ret_799; \ +}) +#else +#define vqrdmlah_laneq_s32(__p0_800, __p1_800, __p2_800, __p3_800) __extension__ ({ \ + int32x2_t __ret_800; \ + int32x2_t __s0_800 = __p0_800; \ + int32x2_t __s1_800 = __p1_800; \ + int32x4_t __s2_800 = __p2_800; \ + int32x2_t __rev0_800; __rev0_800 = __builtin_shufflevector(__s0_800, __s0_800, 1, 0); \ + int32x2_t __rev1_800; __rev1_800 = __builtin_shufflevector(__s1_800, __s1_800, 1, 0); \ + int32x4_t __rev2_800; __rev2_800 = __builtin_shufflevector(__s2_800, __s2_800, 3, 2, 1, 0); \ + __ret_800 = __noswap_vqrdmlah_s32(__rev0_800, __rev1_800, __noswap_splat_laneq_s32(__rev2_800, __p3_800)); \ + __ret_800 = __builtin_shufflevector(__ret_800, __ret_800, 1, 0); \ + __ret_800; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlah_laneq_s16(__p0_801, __p1_801, __p2_801, __p3_801) __extension__ ({ \ + int16x4_t __ret_801; \ + int16x4_t __s0_801 = __p0_801; \ + int16x4_t __s1_801 = __p1_801; \ + int16x8_t __s2_801 = __p2_801; \ + __ret_801 = vqrdmlah_s16(__s0_801, __s1_801, splat_laneq_s16(__s2_801, __p3_801)); \ + __ret_801; \ +}) +#else +#define vqrdmlah_laneq_s16(__p0_802, __p1_802, __p2_802, __p3_802) __extension__ ({ \ + int16x4_t __ret_802; \ + int16x4_t __s0_802 = __p0_802; \ + int16x4_t __s1_802 = __p1_802; \ + int16x8_t __s2_802 = __p2_802; \ + int16x4_t __rev0_802; __rev0_802 = __builtin_shufflevector(__s0_802, __s0_802, 3, 2, 1, 0); \ + int16x4_t __rev1_802; __rev1_802 = __builtin_shufflevector(__s1_802, __s1_802, 3, 2, 1, 0); \ + int16x8_t __rev2_802; __rev2_802 = __builtin_shufflevector(__s2_802, __s2_802, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_802 = __noswap_vqrdmlah_s16(__rev0_802, __rev1_802, __noswap_splat_laneq_s16(__rev2_802, __p3_802)); \ + __ret_802 = __builtin_shufflevector(__ret_802, __ret_802, 3, 2, 1, 0); \ + __ret_802; \ +}) +#endif + +__ai __attribute__((target("v8.1a"))) int32_t vqrdmlshs_s32(int32_t __p0, int32_t __p1, int32_t __p2) { + int32_t __ret; + __ret = (int32_t) __builtin_neon_vqrdmlshs_s32(__p0, __p1, __p2); + return __ret; +} +__ai __attribute__((target("v8.1a"))) int16_t vqrdmlshh_s16(int16_t __p0, int16_t __p1, int16_t __p2) { + int16_t __ret; + __ret = (int16_t) __builtin_neon_vqrdmlshh_s16(__p0, __p1, __p2); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlshs_lane_s32(__p0_803, __p1_803, __p2_803, __p3_803) __extension__ ({ \ + int32_t __ret_803; \ + int32_t __s0_803 = __p0_803; \ + int32_t __s1_803 = __p1_803; \ + int32x2_t __s2_803 = __p2_803; \ + __ret_803 = vqrdmlshs_s32(__s0_803, __s1_803, vget_lane_s32(__s2_803, __p3_803)); \ + __ret_803; \ +}) +#else +#define vqrdmlshs_lane_s32(__p0_804, __p1_804, __p2_804, __p3_804) __extension__ ({ \ + int32_t __ret_804; \ + int32_t __s0_804 = __p0_804; \ + int32_t __s1_804 = __p1_804; \ + int32x2_t __s2_804 = __p2_804; \ + int32x2_t __rev2_804; __rev2_804 = __builtin_shufflevector(__s2_804, __s2_804, 1, 0); \ + __ret_804 = vqrdmlshs_s32(__s0_804, __s1_804, __noswap_vget_lane_s32(__rev2_804, __p3_804)); \ + __ret_804; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlshh_lane_s16(__p0_805, __p1_805, __p2_805, __p3_805) __extension__ ({ \ + int16_t __ret_805; \ + int16_t __s0_805 = __p0_805; \ + int16_t __s1_805 = __p1_805; \ + int16x4_t __s2_805 = __p2_805; \ + __ret_805 = vqrdmlshh_s16(__s0_805, __s1_805, vget_lane_s16(__s2_805, __p3_805)); \ + __ret_805; \ +}) +#else +#define vqrdmlshh_lane_s16(__p0_806, __p1_806, __p2_806, __p3_806) __extension__ ({ \ + int16_t __ret_806; \ + int16_t __s0_806 = __p0_806; \ + int16_t __s1_806 = __p1_806; \ + int16x4_t __s2_806 = __p2_806; \ + int16x4_t __rev2_806; __rev2_806 = __builtin_shufflevector(__s2_806, __s2_806, 3, 2, 1, 0); \ + __ret_806 = vqrdmlshh_s16(__s0_806, __s1_806, __noswap_vget_lane_s16(__rev2_806, __p3_806)); \ + __ret_806; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlshs_laneq_s32(__p0_807, __p1_807, __p2_807, __p3_807) __extension__ ({ \ + int32_t __ret_807; \ + int32_t __s0_807 = __p0_807; \ + int32_t __s1_807 = __p1_807; \ + int32x4_t __s2_807 = __p2_807; \ + __ret_807 = vqrdmlshs_s32(__s0_807, __s1_807, vgetq_lane_s32(__s2_807, __p3_807)); \ + __ret_807; \ +}) +#else +#define vqrdmlshs_laneq_s32(__p0_808, __p1_808, __p2_808, __p3_808) __extension__ ({ \ + int32_t __ret_808; \ + int32_t __s0_808 = __p0_808; \ + int32_t __s1_808 = __p1_808; \ + int32x4_t __s2_808 = __p2_808; \ + int32x4_t __rev2_808; __rev2_808 = __builtin_shufflevector(__s2_808, __s2_808, 3, 2, 1, 0); \ + __ret_808 = vqrdmlshs_s32(__s0_808, __s1_808, __noswap_vgetq_lane_s32(__rev2_808, __p3_808)); \ + __ret_808; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlshh_laneq_s16(__p0_809, __p1_809, __p2_809, __p3_809) __extension__ ({ \ + int16_t __ret_809; \ + int16_t __s0_809 = __p0_809; \ + int16_t __s1_809 = __p1_809; \ + int16x8_t __s2_809 = __p2_809; \ + __ret_809 = vqrdmlshh_s16(__s0_809, __s1_809, vgetq_lane_s16(__s2_809, __p3_809)); \ + __ret_809; \ +}) +#else +#define vqrdmlshh_laneq_s16(__p0_810, __p1_810, __p2_810, __p3_810) __extension__ ({ \ + int16_t __ret_810; \ + int16_t __s0_810 = __p0_810; \ + int16_t __s1_810 = __p1_810; \ + int16x8_t __s2_810 = __p2_810; \ + int16x8_t __rev2_810; __rev2_810 = __builtin_shufflevector(__s2_810, __s2_810, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_810 = vqrdmlshh_s16(__s0_810, __s1_810, __noswap_vgetq_lane_s16(__rev2_810, __p3_810)); \ + __ret_810; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlshq_laneq_s32(__p0_811, __p1_811, __p2_811, __p3_811) __extension__ ({ \ + int32x4_t __ret_811; \ + int32x4_t __s0_811 = __p0_811; \ + int32x4_t __s1_811 = __p1_811; \ + int32x4_t __s2_811 = __p2_811; \ + __ret_811 = vqrdmlshq_s32(__s0_811, __s1_811, splatq_laneq_s32(__s2_811, __p3_811)); \ + __ret_811; \ +}) +#else +#define vqrdmlshq_laneq_s32(__p0_812, __p1_812, __p2_812, __p3_812) __extension__ ({ \ + int32x4_t __ret_812; \ + int32x4_t __s0_812 = __p0_812; \ + int32x4_t __s1_812 = __p1_812; \ + int32x4_t __s2_812 = __p2_812; \ + int32x4_t __rev0_812; __rev0_812 = __builtin_shufflevector(__s0_812, __s0_812, 3, 2, 1, 0); \ + int32x4_t __rev1_812; __rev1_812 = __builtin_shufflevector(__s1_812, __s1_812, 3, 2, 1, 0); \ + int32x4_t __rev2_812; __rev2_812 = __builtin_shufflevector(__s2_812, __s2_812, 3, 2, 1, 0); \ + __ret_812 = __noswap_vqrdmlshq_s32(__rev0_812, __rev1_812, __noswap_splatq_laneq_s32(__rev2_812, __p3_812)); \ + __ret_812 = __builtin_shufflevector(__ret_812, __ret_812, 3, 2, 1, 0); \ + __ret_812; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlshq_laneq_s16(__p0_813, __p1_813, __p2_813, __p3_813) __extension__ ({ \ + int16x8_t __ret_813; \ + int16x8_t __s0_813 = __p0_813; \ + int16x8_t __s1_813 = __p1_813; \ + int16x8_t __s2_813 = __p2_813; \ + __ret_813 = vqrdmlshq_s16(__s0_813, __s1_813, splatq_laneq_s16(__s2_813, __p3_813)); \ + __ret_813; \ +}) +#else +#define vqrdmlshq_laneq_s16(__p0_814, __p1_814, __p2_814, __p3_814) __extension__ ({ \ + int16x8_t __ret_814; \ + int16x8_t __s0_814 = __p0_814; \ + int16x8_t __s1_814 = __p1_814; \ + int16x8_t __s2_814 = __p2_814; \ + int16x8_t __rev0_814; __rev0_814 = __builtin_shufflevector(__s0_814, __s0_814, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev1_814; __rev1_814 = __builtin_shufflevector(__s1_814, __s1_814, 7, 6, 5, 4, 3, 2, 1, 0); \ + int16x8_t __rev2_814; __rev2_814 = __builtin_shufflevector(__s2_814, __s2_814, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_814 = __noswap_vqrdmlshq_s16(__rev0_814, __rev1_814, __noswap_splatq_laneq_s16(__rev2_814, __p3_814)); \ + __ret_814 = __builtin_shufflevector(__ret_814, __ret_814, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_814; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlsh_laneq_s32(__p0_815, __p1_815, __p2_815, __p3_815) __extension__ ({ \ + int32x2_t __ret_815; \ + int32x2_t __s0_815 = __p0_815; \ + int32x2_t __s1_815 = __p1_815; \ + int32x4_t __s2_815 = __p2_815; \ + __ret_815 = vqrdmlsh_s32(__s0_815, __s1_815, splat_laneq_s32(__s2_815, __p3_815)); \ + __ret_815; \ +}) +#else +#define vqrdmlsh_laneq_s32(__p0_816, __p1_816, __p2_816, __p3_816) __extension__ ({ \ + int32x2_t __ret_816; \ + int32x2_t __s0_816 = __p0_816; \ + int32x2_t __s1_816 = __p1_816; \ + int32x4_t __s2_816 = __p2_816; \ + int32x2_t __rev0_816; __rev0_816 = __builtin_shufflevector(__s0_816, __s0_816, 1, 0); \ + int32x2_t __rev1_816; __rev1_816 = __builtin_shufflevector(__s1_816, __s1_816, 1, 0); \ + int32x4_t __rev2_816; __rev2_816 = __builtin_shufflevector(__s2_816, __s2_816, 3, 2, 1, 0); \ + __ret_816 = __noswap_vqrdmlsh_s32(__rev0_816, __rev1_816, __noswap_splat_laneq_s32(__rev2_816, __p3_816)); \ + __ret_816 = __builtin_shufflevector(__ret_816, __ret_816, 1, 0); \ + __ret_816; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vqrdmlsh_laneq_s16(__p0_817, __p1_817, __p2_817, __p3_817) __extension__ ({ \ + int16x4_t __ret_817; \ + int16x4_t __s0_817 = __p0_817; \ + int16x4_t __s1_817 = __p1_817; \ + int16x8_t __s2_817 = __p2_817; \ + __ret_817 = vqrdmlsh_s16(__s0_817, __s1_817, splat_laneq_s16(__s2_817, __p3_817)); \ + __ret_817; \ +}) +#else +#define vqrdmlsh_laneq_s16(__p0_818, __p1_818, __p2_818, __p3_818) __extension__ ({ \ + int16x4_t __ret_818; \ + int16x4_t __s0_818 = __p0_818; \ + int16x4_t __s1_818 = __p1_818; \ + int16x8_t __s2_818 = __p2_818; \ + int16x4_t __rev0_818; __rev0_818 = __builtin_shufflevector(__s0_818, __s0_818, 3, 2, 1, 0); \ + int16x4_t __rev1_818; __rev1_818 = __builtin_shufflevector(__s1_818, __s1_818, 3, 2, 1, 0); \ + int16x8_t __rev2_818; __rev2_818 = __builtin_shufflevector(__s2_818, __s2_818, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_818 = __noswap_vqrdmlsh_s16(__rev0_818, __rev1_818, __noswap_splat_laneq_s16(__rev2_818, __p3_818)); \ + __ret_818 = __builtin_shufflevector(__ret_818, __ret_818, 3, 2, 1, 0); \ + __ret_818; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float64x2_t vcaddq_rot270_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcaddq_rot270_f64((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float64x2_t vcaddq_rot270_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vcaddq_rot270_f64((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float64x2_t vcaddq_rot90_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcaddq_rot90_f64((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float64x2_t vcaddq_rot90_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vcaddq_rot90_f64((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float64x2_t vcmlaq_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcmlaq_f64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 42); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float64x2_t vcmlaq_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (float64x2_t) __builtin_neon_vcmlaq_f64((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a"))) float64x2_t __noswap_vcmlaq_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcmlaq_f64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 42); + return __ret; +} +#endif + +__ai __attribute__((target("v8.3a"))) float64x1_t vcmla_f64(float64x1_t __p0, float64x1_t __p1, float64x1_t __p2) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vcmla_f64((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 10); + return __ret; +} +#define vcmla_lane_f64(__p0_819, __p1_819, __p2_819, __p3_819) __extension__ ({ \ + float64x1_t __ret_819; \ + float64x1_t __s0_819 = __p0_819; \ + float64x1_t __s1_819 = __p1_819; \ + float64x1_t __s2_819 = __p2_819; \ +float64x1_t __reint_819 = __s2_819; \ +uint64x2_t __reint1_819 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_819, __p3_819), vgetq_lane_u64(*(uint64x2_t *) &__reint_819, __p3_819)}; \ + __ret_819 = vcmla_f64(__s0_819, __s1_819, *(float64x1_t *) &__reint1_819); \ + __ret_819; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_lane_f64(__p0_820, __p1_820, __p2_820, __p3_820) __extension__ ({ \ + float64x2_t __ret_820; \ + float64x2_t __s0_820 = __p0_820; \ + float64x2_t __s1_820 = __p1_820; \ + float64x1_t __s2_820 = __p2_820; \ +float64x1_t __reint_820 = __s2_820; \ +uint64x2_t __reint1_820 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_820, __p3_820), vgetq_lane_u64(*(uint64x2_t *) &__reint_820, __p3_820)}; \ + __ret_820 = vcmlaq_f64(__s0_820, __s1_820, *(float64x2_t *) &__reint1_820); \ + __ret_820; \ +}) +#else +#define vcmlaq_lane_f64(__p0_821, __p1_821, __p2_821, __p3_821) __extension__ ({ \ + float64x2_t __ret_821; \ + float64x2_t __s0_821 = __p0_821; \ + float64x2_t __s1_821 = __p1_821; \ + float64x1_t __s2_821 = __p2_821; \ + float64x2_t __rev0_821; __rev0_821 = __builtin_shufflevector(__s0_821, __s0_821, 1, 0); \ + float64x2_t __rev1_821; __rev1_821 = __builtin_shufflevector(__s1_821, __s1_821, 1, 0); \ +float64x1_t __reint_821 = __s2_821; \ +uint64x2_t __reint1_821 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_821, __p3_821), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_821, __p3_821)}; \ + __ret_821 = __noswap_vcmlaq_f64(__rev0_821, __rev1_821, *(float64x2_t *) &__reint1_821); \ + __ret_821 = __builtin_shufflevector(__ret_821, __ret_821, 1, 0); \ + __ret_821; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_laneq_f64(__p0_822, __p1_822, __p2_822, __p3_822) __extension__ ({ \ + float64x1_t __ret_822; \ + float64x1_t __s0_822 = __p0_822; \ + float64x1_t __s1_822 = __p1_822; \ + float64x2_t __s2_822 = __p2_822; \ +float64x2_t __reint_822 = __s2_822; \ +uint64x2_t __reint1_822 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_822, __p3_822), vgetq_lane_u64(*(uint64x2_t *) &__reint_822, __p3_822)}; \ + __ret_822 = vcmla_f64(__s0_822, __s1_822, *(float64x1_t *) &__reint1_822); \ + __ret_822; \ +}) +#else +#define vcmla_laneq_f64(__p0_823, __p1_823, __p2_823, __p3_823) __extension__ ({ \ + float64x1_t __ret_823; \ + float64x1_t __s0_823 = __p0_823; \ + float64x1_t __s1_823 = __p1_823; \ + float64x2_t __s2_823 = __p2_823; \ + float64x2_t __rev2_823; __rev2_823 = __builtin_shufflevector(__s2_823, __s2_823, 1, 0); \ +float64x2_t __reint_823 = __rev2_823; \ +uint64x2_t __reint1_823 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_823, __p3_823), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_823, __p3_823)}; \ + __ret_823 = vcmla_f64(__s0_823, __s1_823, *(float64x1_t *) &__reint1_823); \ + __ret_823; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_laneq_f64(__p0_824, __p1_824, __p2_824, __p3_824) __extension__ ({ \ + float64x2_t __ret_824; \ + float64x2_t __s0_824 = __p0_824; \ + float64x2_t __s1_824 = __p1_824; \ + float64x2_t __s2_824 = __p2_824; \ +float64x2_t __reint_824 = __s2_824; \ +uint64x2_t __reint1_824 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_824, __p3_824), vgetq_lane_u64(*(uint64x2_t *) &__reint_824, __p3_824)}; \ + __ret_824 = vcmlaq_f64(__s0_824, __s1_824, *(float64x2_t *) &__reint1_824); \ + __ret_824; \ +}) +#else +#define vcmlaq_laneq_f64(__p0_825, __p1_825, __p2_825, __p3_825) __extension__ ({ \ + float64x2_t __ret_825; \ + float64x2_t __s0_825 = __p0_825; \ + float64x2_t __s1_825 = __p1_825; \ + float64x2_t __s2_825 = __p2_825; \ + float64x2_t __rev0_825; __rev0_825 = __builtin_shufflevector(__s0_825, __s0_825, 1, 0); \ + float64x2_t __rev1_825; __rev1_825 = __builtin_shufflevector(__s1_825, __s1_825, 1, 0); \ + float64x2_t __rev2_825; __rev2_825 = __builtin_shufflevector(__s2_825, __s2_825, 1, 0); \ +float64x2_t __reint_825 = __rev2_825; \ +uint64x2_t __reint1_825 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_825, __p3_825), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_825, __p3_825)}; \ + __ret_825 = __noswap_vcmlaq_f64(__rev0_825, __rev1_825, *(float64x2_t *) &__reint1_825); \ + __ret_825 = __builtin_shufflevector(__ret_825, __ret_825, 1, 0); \ + __ret_825; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float64x2_t vcmlaq_rot180_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcmlaq_rot180_f64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 42); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float64x2_t vcmlaq_rot180_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (float64x2_t) __builtin_neon_vcmlaq_rot180_f64((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a"))) float64x2_t __noswap_vcmlaq_rot180_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcmlaq_rot180_f64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 42); + return __ret; +} +#endif + +__ai __attribute__((target("v8.3a"))) float64x1_t vcmla_rot180_f64(float64x1_t __p0, float64x1_t __p1, float64x1_t __p2) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vcmla_rot180_f64((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 10); + return __ret; +} +#define vcmla_rot180_lane_f64(__p0_826, __p1_826, __p2_826, __p3_826) __extension__ ({ \ + float64x1_t __ret_826; \ + float64x1_t __s0_826 = __p0_826; \ + float64x1_t __s1_826 = __p1_826; \ + float64x1_t __s2_826 = __p2_826; \ +float64x1_t __reint_826 = __s2_826; \ +uint64x2_t __reint1_826 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_826, __p3_826), vgetq_lane_u64(*(uint64x2_t *) &__reint_826, __p3_826)}; \ + __ret_826 = vcmla_rot180_f64(__s0_826, __s1_826, *(float64x1_t *) &__reint1_826); \ + __ret_826; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot180_lane_f64(__p0_827, __p1_827, __p2_827, __p3_827) __extension__ ({ \ + float64x2_t __ret_827; \ + float64x2_t __s0_827 = __p0_827; \ + float64x2_t __s1_827 = __p1_827; \ + float64x1_t __s2_827 = __p2_827; \ +float64x1_t __reint_827 = __s2_827; \ +uint64x2_t __reint1_827 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_827, __p3_827), vgetq_lane_u64(*(uint64x2_t *) &__reint_827, __p3_827)}; \ + __ret_827 = vcmlaq_rot180_f64(__s0_827, __s1_827, *(float64x2_t *) &__reint1_827); \ + __ret_827; \ +}) +#else +#define vcmlaq_rot180_lane_f64(__p0_828, __p1_828, __p2_828, __p3_828) __extension__ ({ \ + float64x2_t __ret_828; \ + float64x2_t __s0_828 = __p0_828; \ + float64x2_t __s1_828 = __p1_828; \ + float64x1_t __s2_828 = __p2_828; \ + float64x2_t __rev0_828; __rev0_828 = __builtin_shufflevector(__s0_828, __s0_828, 1, 0); \ + float64x2_t __rev1_828; __rev1_828 = __builtin_shufflevector(__s1_828, __s1_828, 1, 0); \ +float64x1_t __reint_828 = __s2_828; \ +uint64x2_t __reint1_828 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_828, __p3_828), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_828, __p3_828)}; \ + __ret_828 = __noswap_vcmlaq_rot180_f64(__rev0_828, __rev1_828, *(float64x2_t *) &__reint1_828); \ + __ret_828 = __builtin_shufflevector(__ret_828, __ret_828, 1, 0); \ + __ret_828; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot180_laneq_f64(__p0_829, __p1_829, __p2_829, __p3_829) __extension__ ({ \ + float64x1_t __ret_829; \ + float64x1_t __s0_829 = __p0_829; \ + float64x1_t __s1_829 = __p1_829; \ + float64x2_t __s2_829 = __p2_829; \ +float64x2_t __reint_829 = __s2_829; \ +uint64x2_t __reint1_829 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_829, __p3_829), vgetq_lane_u64(*(uint64x2_t *) &__reint_829, __p3_829)}; \ + __ret_829 = vcmla_rot180_f64(__s0_829, __s1_829, *(float64x1_t *) &__reint1_829); \ + __ret_829; \ +}) +#else +#define vcmla_rot180_laneq_f64(__p0_830, __p1_830, __p2_830, __p3_830) __extension__ ({ \ + float64x1_t __ret_830; \ + float64x1_t __s0_830 = __p0_830; \ + float64x1_t __s1_830 = __p1_830; \ + float64x2_t __s2_830 = __p2_830; \ + float64x2_t __rev2_830; __rev2_830 = __builtin_shufflevector(__s2_830, __s2_830, 1, 0); \ +float64x2_t __reint_830 = __rev2_830; \ +uint64x2_t __reint1_830 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_830, __p3_830), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_830, __p3_830)}; \ + __ret_830 = vcmla_rot180_f64(__s0_830, __s1_830, *(float64x1_t *) &__reint1_830); \ + __ret_830; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot180_laneq_f64(__p0_831, __p1_831, __p2_831, __p3_831) __extension__ ({ \ + float64x2_t __ret_831; \ + float64x2_t __s0_831 = __p0_831; \ + float64x2_t __s1_831 = __p1_831; \ + float64x2_t __s2_831 = __p2_831; \ +float64x2_t __reint_831 = __s2_831; \ +uint64x2_t __reint1_831 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_831, __p3_831), vgetq_lane_u64(*(uint64x2_t *) &__reint_831, __p3_831)}; \ + __ret_831 = vcmlaq_rot180_f64(__s0_831, __s1_831, *(float64x2_t *) &__reint1_831); \ + __ret_831; \ +}) +#else +#define vcmlaq_rot180_laneq_f64(__p0_832, __p1_832, __p2_832, __p3_832) __extension__ ({ \ + float64x2_t __ret_832; \ + float64x2_t __s0_832 = __p0_832; \ + float64x2_t __s1_832 = __p1_832; \ + float64x2_t __s2_832 = __p2_832; \ + float64x2_t __rev0_832; __rev0_832 = __builtin_shufflevector(__s0_832, __s0_832, 1, 0); \ + float64x2_t __rev1_832; __rev1_832 = __builtin_shufflevector(__s1_832, __s1_832, 1, 0); \ + float64x2_t __rev2_832; __rev2_832 = __builtin_shufflevector(__s2_832, __s2_832, 1, 0); \ +float64x2_t __reint_832 = __rev2_832; \ +uint64x2_t __reint1_832 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_832, __p3_832), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_832, __p3_832)}; \ + __ret_832 = __noswap_vcmlaq_rot180_f64(__rev0_832, __rev1_832, *(float64x2_t *) &__reint1_832); \ + __ret_832 = __builtin_shufflevector(__ret_832, __ret_832, 1, 0); \ + __ret_832; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float64x2_t vcmlaq_rot270_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcmlaq_rot270_f64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 42); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float64x2_t vcmlaq_rot270_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (float64x2_t) __builtin_neon_vcmlaq_rot270_f64((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a"))) float64x2_t __noswap_vcmlaq_rot270_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcmlaq_rot270_f64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 42); + return __ret; +} +#endif + +__ai __attribute__((target("v8.3a"))) float64x1_t vcmla_rot270_f64(float64x1_t __p0, float64x1_t __p1, float64x1_t __p2) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vcmla_rot270_f64((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 10); + return __ret; +} +#define vcmla_rot270_lane_f64(__p0_833, __p1_833, __p2_833, __p3_833) __extension__ ({ \ + float64x1_t __ret_833; \ + float64x1_t __s0_833 = __p0_833; \ + float64x1_t __s1_833 = __p1_833; \ + float64x1_t __s2_833 = __p2_833; \ +float64x1_t __reint_833 = __s2_833; \ +uint64x2_t __reint1_833 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_833, __p3_833), vgetq_lane_u64(*(uint64x2_t *) &__reint_833, __p3_833)}; \ + __ret_833 = vcmla_rot270_f64(__s0_833, __s1_833, *(float64x1_t *) &__reint1_833); \ + __ret_833; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot270_lane_f64(__p0_834, __p1_834, __p2_834, __p3_834) __extension__ ({ \ + float64x2_t __ret_834; \ + float64x2_t __s0_834 = __p0_834; \ + float64x2_t __s1_834 = __p1_834; \ + float64x1_t __s2_834 = __p2_834; \ +float64x1_t __reint_834 = __s2_834; \ +uint64x2_t __reint1_834 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_834, __p3_834), vgetq_lane_u64(*(uint64x2_t *) &__reint_834, __p3_834)}; \ + __ret_834 = vcmlaq_rot270_f64(__s0_834, __s1_834, *(float64x2_t *) &__reint1_834); \ + __ret_834; \ +}) +#else +#define vcmlaq_rot270_lane_f64(__p0_835, __p1_835, __p2_835, __p3_835) __extension__ ({ \ + float64x2_t __ret_835; \ + float64x2_t __s0_835 = __p0_835; \ + float64x2_t __s1_835 = __p1_835; \ + float64x1_t __s2_835 = __p2_835; \ + float64x2_t __rev0_835; __rev0_835 = __builtin_shufflevector(__s0_835, __s0_835, 1, 0); \ + float64x2_t __rev1_835; __rev1_835 = __builtin_shufflevector(__s1_835, __s1_835, 1, 0); \ +float64x1_t __reint_835 = __s2_835; \ +uint64x2_t __reint1_835 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_835, __p3_835), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_835, __p3_835)}; \ + __ret_835 = __noswap_vcmlaq_rot270_f64(__rev0_835, __rev1_835, *(float64x2_t *) &__reint1_835); \ + __ret_835 = __builtin_shufflevector(__ret_835, __ret_835, 1, 0); \ + __ret_835; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot270_laneq_f64(__p0_836, __p1_836, __p2_836, __p3_836) __extension__ ({ \ + float64x1_t __ret_836; \ + float64x1_t __s0_836 = __p0_836; \ + float64x1_t __s1_836 = __p1_836; \ + float64x2_t __s2_836 = __p2_836; \ +float64x2_t __reint_836 = __s2_836; \ +uint64x2_t __reint1_836 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_836, __p3_836), vgetq_lane_u64(*(uint64x2_t *) &__reint_836, __p3_836)}; \ + __ret_836 = vcmla_rot270_f64(__s0_836, __s1_836, *(float64x1_t *) &__reint1_836); \ + __ret_836; \ +}) +#else +#define vcmla_rot270_laneq_f64(__p0_837, __p1_837, __p2_837, __p3_837) __extension__ ({ \ + float64x1_t __ret_837; \ + float64x1_t __s0_837 = __p0_837; \ + float64x1_t __s1_837 = __p1_837; \ + float64x2_t __s2_837 = __p2_837; \ + float64x2_t __rev2_837; __rev2_837 = __builtin_shufflevector(__s2_837, __s2_837, 1, 0); \ +float64x2_t __reint_837 = __rev2_837; \ +uint64x2_t __reint1_837 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_837, __p3_837), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_837, __p3_837)}; \ + __ret_837 = vcmla_rot270_f64(__s0_837, __s1_837, *(float64x1_t *) &__reint1_837); \ + __ret_837; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot270_laneq_f64(__p0_838, __p1_838, __p2_838, __p3_838) __extension__ ({ \ + float64x2_t __ret_838; \ + float64x2_t __s0_838 = __p0_838; \ + float64x2_t __s1_838 = __p1_838; \ + float64x2_t __s2_838 = __p2_838; \ +float64x2_t __reint_838 = __s2_838; \ +uint64x2_t __reint1_838 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_838, __p3_838), vgetq_lane_u64(*(uint64x2_t *) &__reint_838, __p3_838)}; \ + __ret_838 = vcmlaq_rot270_f64(__s0_838, __s1_838, *(float64x2_t *) &__reint1_838); \ + __ret_838; \ +}) +#else +#define vcmlaq_rot270_laneq_f64(__p0_839, __p1_839, __p2_839, __p3_839) __extension__ ({ \ + float64x2_t __ret_839; \ + float64x2_t __s0_839 = __p0_839; \ + float64x2_t __s1_839 = __p1_839; \ + float64x2_t __s2_839 = __p2_839; \ + float64x2_t __rev0_839; __rev0_839 = __builtin_shufflevector(__s0_839, __s0_839, 1, 0); \ + float64x2_t __rev1_839; __rev1_839 = __builtin_shufflevector(__s1_839, __s1_839, 1, 0); \ + float64x2_t __rev2_839; __rev2_839 = __builtin_shufflevector(__s2_839, __s2_839, 1, 0); \ +float64x2_t __reint_839 = __rev2_839; \ +uint64x2_t __reint1_839 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_839, __p3_839), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_839, __p3_839)}; \ + __ret_839 = __noswap_vcmlaq_rot270_f64(__rev0_839, __rev1_839, *(float64x2_t *) &__reint1_839); \ + __ret_839 = __builtin_shufflevector(__ret_839, __ret_839, 1, 0); \ + __ret_839; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.3a"))) float64x2_t vcmlaq_rot90_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcmlaq_rot90_f64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 42); + return __ret; +} +#else +__ai __attribute__((target("v8.3a"))) float64x2_t vcmlaq_rot90_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + float64x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = (float64x2_t) __builtin_neon_vcmlaq_rot90_f64((int8x16_t)__rev0, (int8x16_t)__rev1, (int8x16_t)__rev2, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai __attribute__((target("v8.3a"))) float64x2_t __noswap_vcmlaq_rot90_f64(float64x2_t __p0, float64x2_t __p1, float64x2_t __p2) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vcmlaq_rot90_f64((int8x16_t)__p0, (int8x16_t)__p1, (int8x16_t)__p2, 42); + return __ret; +} +#endif + +__ai __attribute__((target("v8.3a"))) float64x1_t vcmla_rot90_f64(float64x1_t __p0, float64x1_t __p1, float64x1_t __p2) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vcmla_rot90_f64((int8x8_t)__p0, (int8x8_t)__p1, (int8x8_t)__p2, 10); + return __ret; +} +#define vcmla_rot90_lane_f64(__p0_840, __p1_840, __p2_840, __p3_840) __extension__ ({ \ + float64x1_t __ret_840; \ + float64x1_t __s0_840 = __p0_840; \ + float64x1_t __s1_840 = __p1_840; \ + float64x1_t __s2_840 = __p2_840; \ +float64x1_t __reint_840 = __s2_840; \ +uint64x2_t __reint1_840 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_840, __p3_840), vgetq_lane_u64(*(uint64x2_t *) &__reint_840, __p3_840)}; \ + __ret_840 = vcmla_rot90_f64(__s0_840, __s1_840, *(float64x1_t *) &__reint1_840); \ + __ret_840; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot90_lane_f64(__p0_841, __p1_841, __p2_841, __p3_841) __extension__ ({ \ + float64x2_t __ret_841; \ + float64x2_t __s0_841 = __p0_841; \ + float64x2_t __s1_841 = __p1_841; \ + float64x1_t __s2_841 = __p2_841; \ +float64x1_t __reint_841 = __s2_841; \ +uint64x2_t __reint1_841 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_841, __p3_841), vgetq_lane_u64(*(uint64x2_t *) &__reint_841, __p3_841)}; \ + __ret_841 = vcmlaq_rot90_f64(__s0_841, __s1_841, *(float64x2_t *) &__reint1_841); \ + __ret_841; \ +}) +#else +#define vcmlaq_rot90_lane_f64(__p0_842, __p1_842, __p2_842, __p3_842) __extension__ ({ \ + float64x2_t __ret_842; \ + float64x2_t __s0_842 = __p0_842; \ + float64x2_t __s1_842 = __p1_842; \ + float64x1_t __s2_842 = __p2_842; \ + float64x2_t __rev0_842; __rev0_842 = __builtin_shufflevector(__s0_842, __s0_842, 1, 0); \ + float64x2_t __rev1_842; __rev1_842 = __builtin_shufflevector(__s1_842, __s1_842, 1, 0); \ +float64x1_t __reint_842 = __s2_842; \ +uint64x2_t __reint1_842 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_842, __p3_842), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_842, __p3_842)}; \ + __ret_842 = __noswap_vcmlaq_rot90_f64(__rev0_842, __rev1_842, *(float64x2_t *) &__reint1_842); \ + __ret_842 = __builtin_shufflevector(__ret_842, __ret_842, 1, 0); \ + __ret_842; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmla_rot90_laneq_f64(__p0_843, __p1_843, __p2_843, __p3_843) __extension__ ({ \ + float64x1_t __ret_843; \ + float64x1_t __s0_843 = __p0_843; \ + float64x1_t __s1_843 = __p1_843; \ + float64x2_t __s2_843 = __p2_843; \ +float64x2_t __reint_843 = __s2_843; \ +uint64x2_t __reint1_843 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_843, __p3_843), vgetq_lane_u64(*(uint64x2_t *) &__reint_843, __p3_843)}; \ + __ret_843 = vcmla_rot90_f64(__s0_843, __s1_843, *(float64x1_t *) &__reint1_843); \ + __ret_843; \ +}) +#else +#define vcmla_rot90_laneq_f64(__p0_844, __p1_844, __p2_844, __p3_844) __extension__ ({ \ + float64x1_t __ret_844; \ + float64x1_t __s0_844 = __p0_844; \ + float64x1_t __s1_844 = __p1_844; \ + float64x2_t __s2_844 = __p2_844; \ + float64x2_t __rev2_844; __rev2_844 = __builtin_shufflevector(__s2_844, __s2_844, 1, 0); \ +float64x2_t __reint_844 = __rev2_844; \ +uint64x2_t __reint1_844 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_844, __p3_844), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_844, __p3_844)}; \ + __ret_844 = vcmla_rot90_f64(__s0_844, __s1_844, *(float64x1_t *) &__reint1_844); \ + __ret_844; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcmlaq_rot90_laneq_f64(__p0_845, __p1_845, __p2_845, __p3_845) __extension__ ({ \ + float64x2_t __ret_845; \ + float64x2_t __s0_845 = __p0_845; \ + float64x2_t __s1_845 = __p1_845; \ + float64x2_t __s2_845 = __p2_845; \ +float64x2_t __reint_845 = __s2_845; \ +uint64x2_t __reint1_845 = (uint64x2_t) {vgetq_lane_u64(*(uint64x2_t *) &__reint_845, __p3_845), vgetq_lane_u64(*(uint64x2_t *) &__reint_845, __p3_845)}; \ + __ret_845 = vcmlaq_rot90_f64(__s0_845, __s1_845, *(float64x2_t *) &__reint1_845); \ + __ret_845; \ +}) +#else +#define vcmlaq_rot90_laneq_f64(__p0_846, __p1_846, __p2_846, __p3_846) __extension__ ({ \ + float64x2_t __ret_846; \ + float64x2_t __s0_846 = __p0_846; \ + float64x2_t __s1_846 = __p1_846; \ + float64x2_t __s2_846 = __p2_846; \ + float64x2_t __rev0_846; __rev0_846 = __builtin_shufflevector(__s0_846, __s0_846, 1, 0); \ + float64x2_t __rev1_846; __rev1_846 = __builtin_shufflevector(__s1_846, __s1_846, 1, 0); \ + float64x2_t __rev2_846; __rev2_846 = __builtin_shufflevector(__s2_846, __s2_846, 1, 0); \ +float64x2_t __reint_846 = __rev2_846; \ +uint64x2_t __reint1_846 = (uint64x2_t) {__noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_846, __p3_846), __noswap_vgetq_lane_u64(*(uint64x2_t *) &__reint_846, __p3_846)}; \ + __ret_846 = __noswap_vcmlaq_rot90_f64(__rev0_846, __rev1_846, *(float64x2_t *) &__reint1_846); \ + __ret_846 = __builtin_shufflevector(__ret_846, __ret_846, 1, 0); \ + __ret_846; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.5a"))) float32x4_t vrnd32xq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrnd32xq_f32((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai __attribute__((target("v8.5a"))) float32x4_t vrnd32xq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrnd32xq_f32((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.5a"))) float32x2_t vrnd32x_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrnd32x_f32((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai __attribute__((target("v8.5a"))) float32x2_t vrnd32x_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrnd32x_f32((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.5a"))) float64x2_t vrnd32xq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrnd32xq_f64((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai __attribute__((target("v8.5a"))) float64x2_t vrnd32xq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrnd32xq_f64((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai __attribute__((target("v8.5a"))) float64x1_t vrnd32x_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrnd32x_f64((int8x8_t)__p0, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.5a"))) float32x4_t vrnd32zq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrnd32zq_f32((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai __attribute__((target("v8.5a"))) float32x4_t vrnd32zq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrnd32zq_f32((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.5a"))) float32x2_t vrnd32z_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrnd32z_f32((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai __attribute__((target("v8.5a"))) float32x2_t vrnd32z_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrnd32z_f32((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.5a"))) float64x2_t vrnd32zq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrnd32zq_f64((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai __attribute__((target("v8.5a"))) float64x2_t vrnd32zq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrnd32zq_f64((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai __attribute__((target("v8.5a"))) float64x1_t vrnd32z_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrnd32z_f64((int8x8_t)__p0, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.5a"))) float32x4_t vrnd64xq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrnd64xq_f32((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai __attribute__((target("v8.5a"))) float32x4_t vrnd64xq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrnd64xq_f32((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.5a"))) float32x2_t vrnd64x_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrnd64x_f32((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai __attribute__((target("v8.5a"))) float32x2_t vrnd64x_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrnd64x_f32((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.5a"))) float64x2_t vrnd64xq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrnd64xq_f64((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai __attribute__((target("v8.5a"))) float64x2_t vrnd64xq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrnd64xq_f64((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai __attribute__((target("v8.5a"))) float64x1_t vrnd64x_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrnd64x_f64((int8x8_t)__p0, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.5a"))) float32x4_t vrnd64zq_f32(float32x4_t __p0) { + float32x4_t __ret; + __ret = (float32x4_t) __builtin_neon_vrnd64zq_f32((int8x16_t)__p0, 41); + return __ret; +} +#else +__ai __attribute__((target("v8.5a"))) float32x4_t vrnd64zq_f32(float32x4_t __p0) { + float32x4_t __ret; + float32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + __ret = (float32x4_t) __builtin_neon_vrnd64zq_f32((int8x16_t)__rev0, 41); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.5a"))) float32x2_t vrnd64z_f32(float32x2_t __p0) { + float32x2_t __ret; + __ret = (float32x2_t) __builtin_neon_vrnd64z_f32((int8x8_t)__p0, 9); + return __ret; +} +#else +__ai __attribute__((target("v8.5a"))) float32x2_t vrnd64z_f32(float32x2_t __p0) { + float32x2_t __ret; + float32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float32x2_t) __builtin_neon_vrnd64z_f32((int8x8_t)__rev0, 9); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("v8.5a"))) float64x2_t vrnd64zq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrnd64zq_f64((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai __attribute__((target("v8.5a"))) float64x2_t vrnd64zq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrnd64zq_f64((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai __attribute__((target("v8.5a"))) float64x1_t vrnd64z_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrnd64z_f64((int8x8_t)__p0, 10); + return __ret; +} +#endif +#if defined(__aarch64__) && defined(__ARM_FEATURE_DIRECTED_ROUNDING) +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vrndq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrndq_v((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai float64x2_t vrndq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrndq_v((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vrnd_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrnd_v((int8x8_t)__p0, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vrndaq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrndaq_v((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai float64x2_t vrndaq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrndaq_v((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vrnda_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrnda_v((int8x8_t)__p0, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vrndiq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrndiq_v((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai float64x2_t vrndiq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrndiq_v((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vrndi_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrndi_v((int8x8_t)__p0, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vrndmq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrndmq_v((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai float64x2_t vrndmq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrndmq_v((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vrndm_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrndm_v((int8x8_t)__p0, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vrndnq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrndnq_v((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai float64x2_t vrndnq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrndnq_v((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vrndn_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrndn_v((int8x8_t)__p0, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vrndpq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrndpq_v((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai float64x2_t vrndpq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrndpq_v((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vrndp_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrndp_v((int8x8_t)__p0, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vrndxq_f64(float64x2_t __p0) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vrndxq_v((int8x16_t)__p0, 42); + return __ret; +} +#else +__ai float64x2_t vrndxq_f64(float64x2_t __p0) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + __ret = (float64x2_t) __builtin_neon_vrndxq_v((int8x16_t)__rev0, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vrndx_f64(float64x1_t __p0) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vrndx_v((int8x8_t)__p0, 10); + return __ret; +} +#endif +#if defined(__aarch64__) && defined(__ARM_FEATURE_NUMERIC_MAXMIN) +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vmaxnmq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vmaxnmq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vmaxnmq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vmaxnmq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vmaxnm_f64(float64x1_t __p0, float64x1_t __p1) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vmaxnm_v((int8x8_t)__p0, (int8x8_t)__p1, 10); + return __ret; +} +#ifdef __LITTLE_ENDIAN__ +__ai float64x2_t vminnmq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + __ret = (float64x2_t) __builtin_neon_vminnmq_v((int8x16_t)__p0, (int8x16_t)__p1, 42); + return __ret; +} +#else +__ai float64x2_t vminnmq_f64(float64x2_t __p0, float64x2_t __p1) { + float64x2_t __ret; + float64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + float64x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (float64x2_t) __builtin_neon_vminnmq_v((int8x16_t)__rev0, (int8x16_t)__rev1, 42); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +__ai float64x1_t vminnm_f64(float64x1_t __p0, float64x1_t __p1) { + float64x1_t __ret; + __ret = (float64x1_t) __builtin_neon_vminnm_v((int8x8_t)__p0, (int8x8_t)__p1, 10); + return __ret; +} +#endif +#ifdef __LITTLE_ENDIAN__ +__ai uint8x16_t vabaq_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + __ret = __p0 + vabdq_u8(__p1, __p2); + return __ret; +} +#else +__ai uint8x16_t vabaq_u8(uint8x16_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint8x16_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabdq_u8(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vabaq_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + __ret = __p0 + vabdq_u32(__p1, __p2); + return __ret; +} +#else +__ai uint32x4_t vabaq_u32(uint32x4_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabdq_u32(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vabaq_u16(uint16x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint16x8_t __ret; + __ret = __p0 + vabdq_u16(__p1, __p2); + return __ret; +} +#else +__ai uint16x8_t vabaq_u16(uint16x8_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabdq_u16(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x16_t vabaq_s8(int8x16_t __p0, int8x16_t __p1, int8x16_t __p2) { + int8x16_t __ret; + __ret = __p0 + vabdq_s8(__p1, __p2); + return __ret; +} +#else +__ai int8x16_t vabaq_s8(int8x16_t __p0, int8x16_t __p1, int8x16_t __p2) { + int8x16_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabdq_s8(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vabaq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + __ret = __p0 + vabdq_s32(__p1, __p2); + return __ret; +} +#else +__ai int32x4_t vabaq_s32(int32x4_t __p0, int32x4_t __p1, int32x4_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabdq_s32(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vabaq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + __ret = __p0 + vabdq_s16(__p1, __p2); + return __ret; +} +#else +__ai int16x8_t vabaq_s16(int16x8_t __p0, int16x8_t __p1, int16x8_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabdq_s16(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint8x8_t vaba_u8(uint8x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + __ret = __p0 + vabd_u8(__p1, __p2); + return __ret; +} +#else +__ai uint8x8_t vaba_u8(uint8x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint8x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabd_u8(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x2_t vaba_u32(uint32x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint32x2_t __ret; + __ret = __p0 + vabd_u32(__p1, __p2); + return __ret; +} +#else +__ai uint32x2_t vaba_u32(uint32x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint32x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 + __noswap_vabd_u32(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x4_t vaba_u16(uint16x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint16x4_t __ret; + __ret = __p0 + vabd_u16(__p1, __p2); + return __ret; +} +#else +__ai uint16x4_t vaba_u16(uint16x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint16x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabd_u16(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int8x8_t vaba_s8(int8x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int8x8_t __ret; + __ret = __p0 + vabd_s8(__p1, __p2); + return __ret; +} +#else +__ai int8x8_t vaba_s8(int8x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int8x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabd_s8(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x2_t vaba_s32(int32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + __ret = __p0 + vabd_s32(__p1, __p2); + return __ret; +} +#else +__ai int32x2_t vaba_s32(int32x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int32x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 + __noswap_vabd_s32(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x4_t vaba_s16(int16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + __ret = __p0 + vabd_s16(__p1, __p2); + return __ret; +} +#else +__ai int16x4_t vaba_s16(int16x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int16x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabd_s16(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vabdl_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(vmovl_u8((uint8x8_t)(vabd_u8(__p0, __p1)))); + return __ret; +} +#else +__ai uint16x8_t vabdl_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (uint16x8_t)(__noswap_vmovl_u8((uint8x8_t)(__noswap_vabd_u8(__rev0, __rev1)))); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint16x8_t __noswap_vabdl_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + __ret = (uint16x8_t)(__noswap_vmovl_u8((uint8x8_t)(__noswap_vabd_u8(__p0, __p1)))); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vabdl_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(vmovl_u32((uint32x2_t)(vabd_u32(__p0, __p1)))); + return __ret; +} +#else +__ai uint64x2_t vabdl_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (uint64x2_t)(__noswap_vmovl_u32((uint32x2_t)(__noswap_vabd_u32(__rev0, __rev1)))); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint64x2_t __noswap_vabdl_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + __ret = (uint64x2_t)(__noswap_vmovl_u32((uint32x2_t)(__noswap_vabd_u32(__p0, __p1)))); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vabdl_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(vmovl_u16((uint16x4_t)(vabd_u16(__p0, __p1)))); + return __ret; +} +#else +__ai uint32x4_t vabdl_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (uint32x4_t)(__noswap_vmovl_u16((uint16x4_t)(__noswap_vabd_u16(__rev0, __rev1)))); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint32x4_t __noswap_vabdl_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + __ret = (uint32x4_t)(__noswap_vmovl_u16((uint16x4_t)(__noswap_vabd_u16(__p0, __p1)))); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vabdl_s8(int8x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t)(vmovl_u8((uint8x8_t)(vabd_s8(__p0, __p1)))); + return __ret; +} +#else +__ai int16x8_t vabdl_s8(int8x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = (int16x8_t)(__noswap_vmovl_u8((uint8x8_t)(__noswap_vabd_s8(__rev0, __rev1)))); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int16x8_t __noswap_vabdl_s8(int8x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + __ret = (int16x8_t)(__noswap_vmovl_u8((uint8x8_t)(__noswap_vabd_s8(__p0, __p1)))); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vabdl_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t)(vmovl_u32((uint32x2_t)(vabd_s32(__p0, __p1)))); + return __ret; +} +#else +__ai int64x2_t vabdl_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = (int64x2_t)(__noswap_vmovl_u32((uint32x2_t)(__noswap_vabd_s32(__rev0, __rev1)))); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vabdl_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + __ret = (int64x2_t)(__noswap_vmovl_u32((uint32x2_t)(__noswap_vabd_s32(__p0, __p1)))); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vabdl_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t)(vmovl_u16((uint16x4_t)(vabd_s16(__p0, __p1)))); + return __ret; +} +#else +__ai int32x4_t vabdl_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = (int32x4_t)(__noswap_vmovl_u16((uint16x4_t)(__noswap_vabd_s16(__rev0, __rev1)))); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vabdl_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + __ret = (int32x4_t)(__noswap_vmovl_u16((uint16x4_t)(__noswap_vabd_s16(__p0, __p1)))); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vaddl_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + __ret = vmovl_u8(__p0) + vmovl_u8(__p1); + return __ret; +} +#else +__ai uint16x8_t vaddl_u8(uint8x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + uint8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmovl_u8(__rev0) + __noswap_vmovl_u8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vaddl_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + __ret = vmovl_u32(__p0) + vmovl_u32(__p1); + return __ret; +} +#else +__ai uint64x2_t vaddl_u32(uint32x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + uint32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vmovl_u32(__rev0) + __noswap_vmovl_u32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vaddl_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + __ret = vmovl_u16(__p0) + vmovl_u16(__p1); + return __ret; +} +#else +__ai uint32x4_t vaddl_u16(uint16x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + uint16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmovl_u16(__rev0) + __noswap_vmovl_u16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vaddl_s8(int8x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + __ret = vmovl_s8(__p0) + vmovl_s8(__p1); + return __ret; +} +#else +__ai int16x8_t vaddl_s8(int8x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + int8x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmovl_s8(__rev0) + __noswap_vmovl_s8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vaddl_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + __ret = vmovl_s32(__p0) + vmovl_s32(__p1); + return __ret; +} +#else +__ai int64x2_t vaddl_s32(int32x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + int32x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __noswap_vmovl_s32(__rev0) + __noswap_vmovl_s32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vaddl_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + __ret = vmovl_s16(__p0) + vmovl_s16(__p1); + return __ret; +} +#else +__ai int32x4_t vaddl_s16(int16x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + int16x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmovl_s16(__rev0) + __noswap_vmovl_s16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vaddw_u8(uint16x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + __ret = __p0 + vmovl_u8(__p1); + return __ret; +} +#else +__ai uint16x8_t vaddw_u8(uint16x8_t __p0, uint8x8_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmovl_u8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vaddw_u32(uint64x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + __ret = __p0 + vmovl_u32(__p1); + return __ret; +} +#else +__ai uint64x2_t vaddw_u32(uint64x2_t __p0, uint32x2_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __noswap_vmovl_u32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vaddw_u16(uint32x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + __ret = __p0 + vmovl_u16(__p1); + return __ret; +} +#else +__ai uint32x4_t vaddw_u16(uint32x4_t __p0, uint16x4_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmovl_u16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vaddw_s8(int16x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + __ret = __p0 + vmovl_s8(__p1); + return __ret; +} +#else +__ai int16x8_t vaddw_s8(int16x8_t __p0, int8x8_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmovl_s8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vaddw_s32(int64x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + __ret = __p0 + vmovl_s32(__p1); + return __ret; +} +#else +__ai int64x2_t vaddw_s32(int64x2_t __p0, int32x2_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __noswap_vmovl_s32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vaddw_s16(int32x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + __ret = __p0 + vmovl_s16(__p1); + return __ret; +} +#else +__ai int32x4_t vaddw_s16(int32x4_t __p0, int16x4_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmovl_s16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vget_lane_f16(__p0_847, __p1_847) __extension__ ({ \ + float16_t __ret_847; \ + float16x4_t __s0_847 = __p0_847; \ +float16x4_t __reint_847 = __s0_847; \ +int16_t __reint1_847 = vget_lane_s16(*(int16x4_t *) &__reint_847, __p1_847); \ + __ret_847 = *(float16_t *) &__reint1_847; \ + __ret_847; \ +}) +#else +#define vget_lane_f16(__p0_848, __p1_848) __extension__ ({ \ + float16_t __ret_848; \ + float16x4_t __s0_848 = __p0_848; \ + float16x4_t __rev0_848; __rev0_848 = __builtin_shufflevector(__s0_848, __s0_848, 3, 2, 1, 0); \ +float16x4_t __reint_848 = __rev0_848; \ +int16_t __reint1_848 = __noswap_vget_lane_s16(*(int16x4_t *) &__reint_848, __p1_848); \ + __ret_848 = *(float16_t *) &__reint1_848; \ + __ret_848; \ +}) +#define __noswap_vget_lane_f16(__p0_849, __p1_849) __extension__ ({ \ + float16_t __ret_849; \ + float16x4_t __s0_849 = __p0_849; \ +float16x4_t __reint_849 = __s0_849; \ +int16_t __reint1_849 = __noswap_vget_lane_s16(*(int16x4_t *) &__reint_849, __p1_849); \ + __ret_849 = *(float16_t *) &__reint1_849; \ + __ret_849; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vgetq_lane_f16(__p0_850, __p1_850) __extension__ ({ \ + float16_t __ret_850; \ + float16x8_t __s0_850 = __p0_850; \ +float16x8_t __reint_850 = __s0_850; \ +int16_t __reint1_850 = vgetq_lane_s16(*(int16x8_t *) &__reint_850, __p1_850); \ + __ret_850 = *(float16_t *) &__reint1_850; \ + __ret_850; \ +}) +#else +#define vgetq_lane_f16(__p0_851, __p1_851) __extension__ ({ \ + float16_t __ret_851; \ + float16x8_t __s0_851 = __p0_851; \ + float16x8_t __rev0_851; __rev0_851 = __builtin_shufflevector(__s0_851, __s0_851, 7, 6, 5, 4, 3, 2, 1, 0); \ +float16x8_t __reint_851 = __rev0_851; \ +int16_t __reint1_851 = __noswap_vgetq_lane_s16(*(int16x8_t *) &__reint_851, __p1_851); \ + __ret_851 = *(float16_t *) &__reint1_851; \ + __ret_851; \ +}) +#define __noswap_vgetq_lane_f16(__p0_852, __p1_852) __extension__ ({ \ + float16_t __ret_852; \ + float16x8_t __s0_852 = __p0_852; \ +float16x8_t __reint_852 = __s0_852; \ +int16_t __reint1_852 = __noswap_vgetq_lane_s16(*(int16x8_t *) &__reint_852, __p1_852); \ + __ret_852 = *(float16_t *) &__reint1_852; \ + __ret_852; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmlal_u8(uint16x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint16x8_t __ret; + __ret = __p0 + vmull_u8(__p1, __p2); + return __ret; +} +#else +__ai uint16x8_t vmlal_u8(uint16x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmull_u8(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint16x8_t __noswap_vmlal_u8(uint16x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint16x8_t __ret; + __ret = __p0 + __noswap_vmull_u8(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmlal_u32(uint64x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint64x2_t __ret; + __ret = __p0 + vmull_u32(__p1, __p2); + return __ret; +} +#else +__ai uint64x2_t vmlal_u32(uint64x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 + __noswap_vmull_u32(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint64x2_t __noswap_vmlal_u32(uint64x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint64x2_t __ret; + __ret = __p0 + __noswap_vmull_u32(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmlal_u16(uint32x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint32x4_t __ret; + __ret = __p0 + vmull_u16(__p1, __p2); + return __ret; +} +#else +__ai uint32x4_t vmlal_u16(uint32x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmull_u16(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint32x4_t __noswap_vmlal_u16(uint32x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint32x4_t __ret; + __ret = __p0 + __noswap_vmull_u16(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmlal_s8(int16x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int16x8_t __ret; + __ret = __p0 + vmull_s8(__p1, __p2); + return __ret; +} +#else +__ai int16x8_t vmlal_s8(int16x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmull_s8(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int16x8_t __noswap_vmlal_s8(int16x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int16x8_t __ret; + __ret = __p0 + __noswap_vmull_s8(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmlal_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + __ret = __p0 + vmull_s32(__p1, __p2); + return __ret; +} +#else +__ai int64x2_t vmlal_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 + __noswap_vmull_s32(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vmlal_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + __ret = __p0 + __noswap_vmull_s32(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmlal_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + __ret = __p0 + vmull_s16(__p1, __p2); + return __ret; +} +#else +__ai int32x4_t vmlal_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmull_s16(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vmlal_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + __ret = __p0 + __noswap_vmull_s16(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_lane_u32(__p0_853, __p1_853, __p2_853, __p3_853) __extension__ ({ \ + uint64x2_t __ret_853; \ + uint64x2_t __s0_853 = __p0_853; \ + uint32x2_t __s1_853 = __p1_853; \ + uint32x2_t __s2_853 = __p2_853; \ + __ret_853 = __s0_853 + vmull_u32(__s1_853, splat_lane_u32(__s2_853, __p3_853)); \ + __ret_853; \ +}) +#else +#define vmlal_lane_u32(__p0_854, __p1_854, __p2_854, __p3_854) __extension__ ({ \ + uint64x2_t __ret_854; \ + uint64x2_t __s0_854 = __p0_854; \ + uint32x2_t __s1_854 = __p1_854; \ + uint32x2_t __s2_854 = __p2_854; \ + uint64x2_t __rev0_854; __rev0_854 = __builtin_shufflevector(__s0_854, __s0_854, 1, 0); \ + uint32x2_t __rev1_854; __rev1_854 = __builtin_shufflevector(__s1_854, __s1_854, 1, 0); \ + uint32x2_t __rev2_854; __rev2_854 = __builtin_shufflevector(__s2_854, __s2_854, 1, 0); \ + __ret_854 = __rev0_854 + __noswap_vmull_u32(__rev1_854, __noswap_splat_lane_u32(__rev2_854, __p3_854)); \ + __ret_854 = __builtin_shufflevector(__ret_854, __ret_854, 1, 0); \ + __ret_854; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_lane_u16(__p0_855, __p1_855, __p2_855, __p3_855) __extension__ ({ \ + uint32x4_t __ret_855; \ + uint32x4_t __s0_855 = __p0_855; \ + uint16x4_t __s1_855 = __p1_855; \ + uint16x4_t __s2_855 = __p2_855; \ + __ret_855 = __s0_855 + vmull_u16(__s1_855, splat_lane_u16(__s2_855, __p3_855)); \ + __ret_855; \ +}) +#else +#define vmlal_lane_u16(__p0_856, __p1_856, __p2_856, __p3_856) __extension__ ({ \ + uint32x4_t __ret_856; \ + uint32x4_t __s0_856 = __p0_856; \ + uint16x4_t __s1_856 = __p1_856; \ + uint16x4_t __s2_856 = __p2_856; \ + uint32x4_t __rev0_856; __rev0_856 = __builtin_shufflevector(__s0_856, __s0_856, 3, 2, 1, 0); \ + uint16x4_t __rev1_856; __rev1_856 = __builtin_shufflevector(__s1_856, __s1_856, 3, 2, 1, 0); \ + uint16x4_t __rev2_856; __rev2_856 = __builtin_shufflevector(__s2_856, __s2_856, 3, 2, 1, 0); \ + __ret_856 = __rev0_856 + __noswap_vmull_u16(__rev1_856, __noswap_splat_lane_u16(__rev2_856, __p3_856)); \ + __ret_856 = __builtin_shufflevector(__ret_856, __ret_856, 3, 2, 1, 0); \ + __ret_856; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_lane_s32(__p0_857, __p1_857, __p2_857, __p3_857) __extension__ ({ \ + int64x2_t __ret_857; \ + int64x2_t __s0_857 = __p0_857; \ + int32x2_t __s1_857 = __p1_857; \ + int32x2_t __s2_857 = __p2_857; \ + __ret_857 = __s0_857 + vmull_s32(__s1_857, splat_lane_s32(__s2_857, __p3_857)); \ + __ret_857; \ +}) +#else +#define vmlal_lane_s32(__p0_858, __p1_858, __p2_858, __p3_858) __extension__ ({ \ + int64x2_t __ret_858; \ + int64x2_t __s0_858 = __p0_858; \ + int32x2_t __s1_858 = __p1_858; \ + int32x2_t __s2_858 = __p2_858; \ + int64x2_t __rev0_858; __rev0_858 = __builtin_shufflevector(__s0_858, __s0_858, 1, 0); \ + int32x2_t __rev1_858; __rev1_858 = __builtin_shufflevector(__s1_858, __s1_858, 1, 0); \ + int32x2_t __rev2_858; __rev2_858 = __builtin_shufflevector(__s2_858, __s2_858, 1, 0); \ + __ret_858 = __rev0_858 + __noswap_vmull_s32(__rev1_858, __noswap_splat_lane_s32(__rev2_858, __p3_858)); \ + __ret_858 = __builtin_shufflevector(__ret_858, __ret_858, 1, 0); \ + __ret_858; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlal_lane_s16(__p0_859, __p1_859, __p2_859, __p3_859) __extension__ ({ \ + int32x4_t __ret_859; \ + int32x4_t __s0_859 = __p0_859; \ + int16x4_t __s1_859 = __p1_859; \ + int16x4_t __s2_859 = __p2_859; \ + __ret_859 = __s0_859 + vmull_s16(__s1_859, splat_lane_s16(__s2_859, __p3_859)); \ + __ret_859; \ +}) +#else +#define vmlal_lane_s16(__p0_860, __p1_860, __p2_860, __p3_860) __extension__ ({ \ + int32x4_t __ret_860; \ + int32x4_t __s0_860 = __p0_860; \ + int16x4_t __s1_860 = __p1_860; \ + int16x4_t __s2_860 = __p2_860; \ + int32x4_t __rev0_860; __rev0_860 = __builtin_shufflevector(__s0_860, __s0_860, 3, 2, 1, 0); \ + int16x4_t __rev1_860; __rev1_860 = __builtin_shufflevector(__s1_860, __s1_860, 3, 2, 1, 0); \ + int16x4_t __rev2_860; __rev2_860 = __builtin_shufflevector(__s2_860, __s2_860, 3, 2, 1, 0); \ + __ret_860 = __rev0_860 + __noswap_vmull_s16(__rev1_860, __noswap_splat_lane_s16(__rev2_860, __p3_860)); \ + __ret_860 = __builtin_shufflevector(__ret_860, __ret_860, 3, 2, 1, 0); \ + __ret_860; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmlal_n_u32(uint64x2_t __p0, uint32x2_t __p1, uint32_t __p2) { + uint64x2_t __ret; + __ret = __p0 + vmull_u32(__p1, (uint32x2_t) {__p2, __p2}); + return __ret; +} +#else +__ai uint64x2_t vmlal_n_u32(uint64x2_t __p0, uint32x2_t __p1, uint32_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __noswap_vmull_u32(__rev1, (uint32x2_t) {__p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint64x2_t __noswap_vmlal_n_u32(uint64x2_t __p0, uint32x2_t __p1, uint32_t __p2) { + uint64x2_t __ret; + __ret = __p0 + __noswap_vmull_u32(__p1, (uint32x2_t) {__p2, __p2}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmlal_n_u16(uint32x4_t __p0, uint16x4_t __p1, uint16_t __p2) { + uint32x4_t __ret; + __ret = __p0 + vmull_u16(__p1, (uint16x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#else +__ai uint32x4_t vmlal_n_u16(uint32x4_t __p0, uint16x4_t __p1, uint16_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmull_u16(__rev1, (uint16x4_t) {__p2, __p2, __p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint32x4_t __noswap_vmlal_n_u16(uint32x4_t __p0, uint16x4_t __p1, uint16_t __p2) { + uint32x4_t __ret; + __ret = __p0 + __noswap_vmull_u16(__p1, (uint16x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmlal_n_s32(int64x2_t __p0, int32x2_t __p1, int32_t __p2) { + int64x2_t __ret; + __ret = __p0 + vmull_s32(__p1, (int32x2_t) {__p2, __p2}); + return __ret; +} +#else +__ai int64x2_t vmlal_n_s32(int64x2_t __p0, int32x2_t __p1, int32_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 + __noswap_vmull_s32(__rev1, (int32x2_t) {__p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vmlal_n_s32(int64x2_t __p0, int32x2_t __p1, int32_t __p2) { + int64x2_t __ret; + __ret = __p0 + __noswap_vmull_s32(__p1, (int32x2_t) {__p2, __p2}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmlal_n_s16(int32x4_t __p0, int16x4_t __p1, int16_t __p2) { + int32x4_t __ret; + __ret = __p0 + vmull_s16(__p1, (int16x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#else +__ai int32x4_t vmlal_n_s16(int32x4_t __p0, int16x4_t __p1, int16_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmull_s16(__rev1, (int16x4_t) {__p2, __p2, __p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vmlal_n_s16(int32x4_t __p0, int16x4_t __p1, int16_t __p2) { + int32x4_t __ret; + __ret = __p0 + __noswap_vmull_s16(__p1, (int16x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmlsl_u8(uint16x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint16x8_t __ret; + __ret = __p0 - vmull_u8(__p1, __p2); + return __ret; +} +#else +__ai uint16x8_t vmlsl_u8(uint16x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmull_u8(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint16x8_t __noswap_vmlsl_u8(uint16x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint16x8_t __ret; + __ret = __p0 - __noswap_vmull_u8(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmlsl_u32(uint64x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint64x2_t __ret; + __ret = __p0 - vmull_u32(__p1, __p2); + return __ret; +} +#else +__ai uint64x2_t vmlsl_u32(uint64x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 - __noswap_vmull_u32(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint64x2_t __noswap_vmlsl_u32(uint64x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint64x2_t __ret; + __ret = __p0 - __noswap_vmull_u32(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmlsl_u16(uint32x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint32x4_t __ret; + __ret = __p0 - vmull_u16(__p1, __p2); + return __ret; +} +#else +__ai uint32x4_t vmlsl_u16(uint32x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmull_u16(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint32x4_t __noswap_vmlsl_u16(uint32x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint32x4_t __ret; + __ret = __p0 - __noswap_vmull_u16(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmlsl_s8(int16x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int16x8_t __ret; + __ret = __p0 - vmull_s8(__p1, __p2); + return __ret; +} +#else +__ai int16x8_t vmlsl_s8(int16x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmull_s8(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int16x8_t __noswap_vmlsl_s8(int16x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int16x8_t __ret; + __ret = __p0 - __noswap_vmull_s8(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmlsl_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + __ret = __p0 - vmull_s32(__p1, __p2); + return __ret; +} +#else +__ai int64x2_t vmlsl_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 - __noswap_vmull_s32(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vmlsl_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + __ret = __p0 - __noswap_vmull_s32(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmlsl_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + __ret = __p0 - vmull_s16(__p1, __p2); + return __ret; +} +#else +__ai int32x4_t vmlsl_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmull_s16(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vmlsl_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + __ret = __p0 - __noswap_vmull_s16(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_lane_u32(__p0_861, __p1_861, __p2_861, __p3_861) __extension__ ({ \ + uint64x2_t __ret_861; \ + uint64x2_t __s0_861 = __p0_861; \ + uint32x2_t __s1_861 = __p1_861; \ + uint32x2_t __s2_861 = __p2_861; \ + __ret_861 = __s0_861 - vmull_u32(__s1_861, splat_lane_u32(__s2_861, __p3_861)); \ + __ret_861; \ +}) +#else +#define vmlsl_lane_u32(__p0_862, __p1_862, __p2_862, __p3_862) __extension__ ({ \ + uint64x2_t __ret_862; \ + uint64x2_t __s0_862 = __p0_862; \ + uint32x2_t __s1_862 = __p1_862; \ + uint32x2_t __s2_862 = __p2_862; \ + uint64x2_t __rev0_862; __rev0_862 = __builtin_shufflevector(__s0_862, __s0_862, 1, 0); \ + uint32x2_t __rev1_862; __rev1_862 = __builtin_shufflevector(__s1_862, __s1_862, 1, 0); \ + uint32x2_t __rev2_862; __rev2_862 = __builtin_shufflevector(__s2_862, __s2_862, 1, 0); \ + __ret_862 = __rev0_862 - __noswap_vmull_u32(__rev1_862, __noswap_splat_lane_u32(__rev2_862, __p3_862)); \ + __ret_862 = __builtin_shufflevector(__ret_862, __ret_862, 1, 0); \ + __ret_862; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_lane_u16(__p0_863, __p1_863, __p2_863, __p3_863) __extension__ ({ \ + uint32x4_t __ret_863; \ + uint32x4_t __s0_863 = __p0_863; \ + uint16x4_t __s1_863 = __p1_863; \ + uint16x4_t __s2_863 = __p2_863; \ + __ret_863 = __s0_863 - vmull_u16(__s1_863, splat_lane_u16(__s2_863, __p3_863)); \ + __ret_863; \ +}) +#else +#define vmlsl_lane_u16(__p0_864, __p1_864, __p2_864, __p3_864) __extension__ ({ \ + uint32x4_t __ret_864; \ + uint32x4_t __s0_864 = __p0_864; \ + uint16x4_t __s1_864 = __p1_864; \ + uint16x4_t __s2_864 = __p2_864; \ + uint32x4_t __rev0_864; __rev0_864 = __builtin_shufflevector(__s0_864, __s0_864, 3, 2, 1, 0); \ + uint16x4_t __rev1_864; __rev1_864 = __builtin_shufflevector(__s1_864, __s1_864, 3, 2, 1, 0); \ + uint16x4_t __rev2_864; __rev2_864 = __builtin_shufflevector(__s2_864, __s2_864, 3, 2, 1, 0); \ + __ret_864 = __rev0_864 - __noswap_vmull_u16(__rev1_864, __noswap_splat_lane_u16(__rev2_864, __p3_864)); \ + __ret_864 = __builtin_shufflevector(__ret_864, __ret_864, 3, 2, 1, 0); \ + __ret_864; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_lane_s32(__p0_865, __p1_865, __p2_865, __p3_865) __extension__ ({ \ + int64x2_t __ret_865; \ + int64x2_t __s0_865 = __p0_865; \ + int32x2_t __s1_865 = __p1_865; \ + int32x2_t __s2_865 = __p2_865; \ + __ret_865 = __s0_865 - vmull_s32(__s1_865, splat_lane_s32(__s2_865, __p3_865)); \ + __ret_865; \ +}) +#else +#define vmlsl_lane_s32(__p0_866, __p1_866, __p2_866, __p3_866) __extension__ ({ \ + int64x2_t __ret_866; \ + int64x2_t __s0_866 = __p0_866; \ + int32x2_t __s1_866 = __p1_866; \ + int32x2_t __s2_866 = __p2_866; \ + int64x2_t __rev0_866; __rev0_866 = __builtin_shufflevector(__s0_866, __s0_866, 1, 0); \ + int32x2_t __rev1_866; __rev1_866 = __builtin_shufflevector(__s1_866, __s1_866, 1, 0); \ + int32x2_t __rev2_866; __rev2_866 = __builtin_shufflevector(__s2_866, __s2_866, 1, 0); \ + __ret_866 = __rev0_866 - __noswap_vmull_s32(__rev1_866, __noswap_splat_lane_s32(__rev2_866, __p3_866)); \ + __ret_866 = __builtin_shufflevector(__ret_866, __ret_866, 1, 0); \ + __ret_866; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmlsl_lane_s16(__p0_867, __p1_867, __p2_867, __p3_867) __extension__ ({ \ + int32x4_t __ret_867; \ + int32x4_t __s0_867 = __p0_867; \ + int16x4_t __s1_867 = __p1_867; \ + int16x4_t __s2_867 = __p2_867; \ + __ret_867 = __s0_867 - vmull_s16(__s1_867, splat_lane_s16(__s2_867, __p3_867)); \ + __ret_867; \ +}) +#else +#define vmlsl_lane_s16(__p0_868, __p1_868, __p2_868, __p3_868) __extension__ ({ \ + int32x4_t __ret_868; \ + int32x4_t __s0_868 = __p0_868; \ + int16x4_t __s1_868 = __p1_868; \ + int16x4_t __s2_868 = __p2_868; \ + int32x4_t __rev0_868; __rev0_868 = __builtin_shufflevector(__s0_868, __s0_868, 3, 2, 1, 0); \ + int16x4_t __rev1_868; __rev1_868 = __builtin_shufflevector(__s1_868, __s1_868, 3, 2, 1, 0); \ + int16x4_t __rev2_868; __rev2_868 = __builtin_shufflevector(__s2_868, __s2_868, 3, 2, 1, 0); \ + __ret_868 = __rev0_868 - __noswap_vmull_s16(__rev1_868, __noswap_splat_lane_s16(__rev2_868, __p3_868)); \ + __ret_868 = __builtin_shufflevector(__ret_868, __ret_868, 3, 2, 1, 0); \ + __ret_868; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmlsl_n_u32(uint64x2_t __p0, uint32x2_t __p1, uint32_t __p2) { + uint64x2_t __ret; + __ret = __p0 - vmull_u32(__p1, (uint32x2_t) {__p2, __p2}); + return __ret; +} +#else +__ai uint64x2_t vmlsl_n_u32(uint64x2_t __p0, uint32x2_t __p1, uint32_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __noswap_vmull_u32(__rev1, (uint32x2_t) {__p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint64x2_t __noswap_vmlsl_n_u32(uint64x2_t __p0, uint32x2_t __p1, uint32_t __p2) { + uint64x2_t __ret; + __ret = __p0 - __noswap_vmull_u32(__p1, (uint32x2_t) {__p2, __p2}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmlsl_n_u16(uint32x4_t __p0, uint16x4_t __p1, uint16_t __p2) { + uint32x4_t __ret; + __ret = __p0 - vmull_u16(__p1, (uint16x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#else +__ai uint32x4_t vmlsl_n_u16(uint32x4_t __p0, uint16x4_t __p1, uint16_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmull_u16(__rev1, (uint16x4_t) {__p2, __p2, __p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint32x4_t __noswap_vmlsl_n_u16(uint32x4_t __p0, uint16x4_t __p1, uint16_t __p2) { + uint32x4_t __ret; + __ret = __p0 - __noswap_vmull_u16(__p1, (uint16x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmlsl_n_s32(int64x2_t __p0, int32x2_t __p1, int32_t __p2) { + int64x2_t __ret; + __ret = __p0 - vmull_s32(__p1, (int32x2_t) {__p2, __p2}); + return __ret; +} +#else +__ai int64x2_t vmlsl_n_s32(int64x2_t __p0, int32x2_t __p1, int32_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + __ret = __rev0 - __noswap_vmull_s32(__rev1, (int32x2_t) {__p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vmlsl_n_s32(int64x2_t __p0, int32x2_t __p1, int32_t __p2) { + int64x2_t __ret; + __ret = __p0 - __noswap_vmull_s32(__p1, (int32x2_t) {__p2, __p2}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmlsl_n_s16(int32x4_t __p0, int16x4_t __p1, int16_t __p2) { + int32x4_t __ret; + __ret = __p0 - vmull_s16(__p1, (int16x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#else +__ai int32x4_t vmlsl_n_s16(int32x4_t __p0, int16x4_t __p1, int16_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 - __noswap_vmull_s16(__rev1, (int16x4_t) {__p2, __p2, __p2, __p2}); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vmlsl_n_s16(int32x4_t __p0, int16x4_t __p1, int16_t __p2) { + int32x4_t __ret; + __ret = __p0 - __noswap_vmull_s16(__p1, (int16x4_t) {__p2, __p2, __p2, __p2}); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vset_lane_f16(__p0_869, __p1_869, __p2_869) __extension__ ({ \ + float16x4_t __ret_869; \ + float16_t __s0_869 = __p0_869; \ + float16x4_t __s1_869 = __p1_869; \ +float16_t __reint_869 = __s0_869; \ +float16x4_t __reint1_869 = __s1_869; \ +int16x4_t __reint2_869 = vset_lane_s16(*(int16_t *) &__reint_869, *(int16x4_t *) &__reint1_869, __p2_869); \ + __ret_869 = *(float16x4_t *) &__reint2_869; \ + __ret_869; \ +}) +#else +#define vset_lane_f16(__p0_870, __p1_870, __p2_870) __extension__ ({ \ + float16x4_t __ret_870; \ + float16_t __s0_870 = __p0_870; \ + float16x4_t __s1_870 = __p1_870; \ + float16x4_t __rev1_870; __rev1_870 = __builtin_shufflevector(__s1_870, __s1_870, 3, 2, 1, 0); \ +float16_t __reint_870 = __s0_870; \ +float16x4_t __reint1_870 = __rev1_870; \ +int16x4_t __reint2_870 = __noswap_vset_lane_s16(*(int16_t *) &__reint_870, *(int16x4_t *) &__reint1_870, __p2_870); \ + __ret_870 = *(float16x4_t *) &__reint2_870; \ + __ret_870 = __builtin_shufflevector(__ret_870, __ret_870, 3, 2, 1, 0); \ + __ret_870; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsetq_lane_f16(__p0_871, __p1_871, __p2_871) __extension__ ({ \ + float16x8_t __ret_871; \ + float16_t __s0_871 = __p0_871; \ + float16x8_t __s1_871 = __p1_871; \ +float16_t __reint_871 = __s0_871; \ +float16x8_t __reint1_871 = __s1_871; \ +int16x8_t __reint2_871 = vsetq_lane_s16(*(int16_t *) &__reint_871, *(int16x8_t *) &__reint1_871, __p2_871); \ + __ret_871 = *(float16x8_t *) &__reint2_871; \ + __ret_871; \ +}) +#else +#define vsetq_lane_f16(__p0_872, __p1_872, __p2_872) __extension__ ({ \ + float16x8_t __ret_872; \ + float16_t __s0_872 = __p0_872; \ + float16x8_t __s1_872 = __p1_872; \ + float16x8_t __rev1_872; __rev1_872 = __builtin_shufflevector(__s1_872, __s1_872, 7, 6, 5, 4, 3, 2, 1, 0); \ +float16_t __reint_872 = __s0_872; \ +float16x8_t __reint1_872 = __rev1_872; \ +int16x8_t __reint2_872 = __noswap_vsetq_lane_s16(*(int16_t *) &__reint_872, *(int16x8_t *) &__reint1_872, __p2_872); \ + __ret_872 = *(float16x8_t *) &__reint2_872; \ + __ret_872 = __builtin_shufflevector(__ret_872, __ret_872, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_872; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vbfmlalbq_lane_f32(__p0_873, __p1_873, __p2_873, __p3_873) __extension__ ({ \ + float32x4_t __ret_873; \ + float32x4_t __s0_873 = __p0_873; \ + bfloat16x8_t __s1_873 = __p1_873; \ + bfloat16x4_t __s2_873 = __p2_873; \ + __ret_873 = vbfmlalbq_f32(__s0_873, __s1_873, (bfloat16x8_t) {vget_lane_bf16(__s2_873, __p3_873), vget_lane_bf16(__s2_873, __p3_873), vget_lane_bf16(__s2_873, __p3_873), vget_lane_bf16(__s2_873, __p3_873), vget_lane_bf16(__s2_873, __p3_873), vget_lane_bf16(__s2_873, __p3_873), vget_lane_bf16(__s2_873, __p3_873), vget_lane_bf16(__s2_873, __p3_873)}); \ + __ret_873; \ +}) +#else +#define vbfmlalbq_lane_f32(__p0_874, __p1_874, __p2_874, __p3_874) __extension__ ({ \ + float32x4_t __ret_874; \ + float32x4_t __s0_874 = __p0_874; \ + bfloat16x8_t __s1_874 = __p1_874; \ + bfloat16x4_t __s2_874 = __p2_874; \ + float32x4_t __rev0_874; __rev0_874 = __builtin_shufflevector(__s0_874, __s0_874, 3, 2, 1, 0); \ + bfloat16x8_t __rev1_874; __rev1_874 = __builtin_shufflevector(__s1_874, __s1_874, 7, 6, 5, 4, 3, 2, 1, 0); \ + bfloat16x4_t __rev2_874; __rev2_874 = __builtin_shufflevector(__s2_874, __s2_874, 3, 2, 1, 0); \ + __ret_874 = __noswap_vbfmlalbq_f32(__rev0_874, __rev1_874, (bfloat16x8_t) {__noswap_vget_lane_bf16(__rev2_874, __p3_874), __noswap_vget_lane_bf16(__rev2_874, __p3_874), __noswap_vget_lane_bf16(__rev2_874, __p3_874), __noswap_vget_lane_bf16(__rev2_874, __p3_874), __noswap_vget_lane_bf16(__rev2_874, __p3_874), __noswap_vget_lane_bf16(__rev2_874, __p3_874), __noswap_vget_lane_bf16(__rev2_874, __p3_874), __noswap_vget_lane_bf16(__rev2_874, __p3_874)}); \ + __ret_874 = __builtin_shufflevector(__ret_874, __ret_874, 3, 2, 1, 0); \ + __ret_874; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vbfmlalbq_laneq_f32(__p0_875, __p1_875, __p2_875, __p3_875) __extension__ ({ \ + float32x4_t __ret_875; \ + float32x4_t __s0_875 = __p0_875; \ + bfloat16x8_t __s1_875 = __p1_875; \ + bfloat16x8_t __s2_875 = __p2_875; \ + __ret_875 = vbfmlalbq_f32(__s0_875, __s1_875, (bfloat16x8_t) {vgetq_lane_bf16(__s2_875, __p3_875), vgetq_lane_bf16(__s2_875, __p3_875), vgetq_lane_bf16(__s2_875, __p3_875), vgetq_lane_bf16(__s2_875, __p3_875), vgetq_lane_bf16(__s2_875, __p3_875), vgetq_lane_bf16(__s2_875, __p3_875), vgetq_lane_bf16(__s2_875, __p3_875), vgetq_lane_bf16(__s2_875, __p3_875)}); \ + __ret_875; \ +}) +#else +#define vbfmlalbq_laneq_f32(__p0_876, __p1_876, __p2_876, __p3_876) __extension__ ({ \ + float32x4_t __ret_876; \ + float32x4_t __s0_876 = __p0_876; \ + bfloat16x8_t __s1_876 = __p1_876; \ + bfloat16x8_t __s2_876 = __p2_876; \ + float32x4_t __rev0_876; __rev0_876 = __builtin_shufflevector(__s0_876, __s0_876, 3, 2, 1, 0); \ + bfloat16x8_t __rev1_876; __rev1_876 = __builtin_shufflevector(__s1_876, __s1_876, 7, 6, 5, 4, 3, 2, 1, 0); \ + bfloat16x8_t __rev2_876; __rev2_876 = __builtin_shufflevector(__s2_876, __s2_876, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_876 = __noswap_vbfmlalbq_f32(__rev0_876, __rev1_876, (bfloat16x8_t) {__noswap_vgetq_lane_bf16(__rev2_876, __p3_876), __noswap_vgetq_lane_bf16(__rev2_876, __p3_876), __noswap_vgetq_lane_bf16(__rev2_876, __p3_876), __noswap_vgetq_lane_bf16(__rev2_876, __p3_876), __noswap_vgetq_lane_bf16(__rev2_876, __p3_876), __noswap_vgetq_lane_bf16(__rev2_876, __p3_876), __noswap_vgetq_lane_bf16(__rev2_876, __p3_876), __noswap_vgetq_lane_bf16(__rev2_876, __p3_876)}); \ + __ret_876 = __builtin_shufflevector(__ret_876, __ret_876, 3, 2, 1, 0); \ + __ret_876; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vbfmlaltq_lane_f32(__p0_877, __p1_877, __p2_877, __p3_877) __extension__ ({ \ + float32x4_t __ret_877; \ + float32x4_t __s0_877 = __p0_877; \ + bfloat16x8_t __s1_877 = __p1_877; \ + bfloat16x4_t __s2_877 = __p2_877; \ + __ret_877 = vbfmlaltq_f32(__s0_877, __s1_877, (bfloat16x8_t) {vget_lane_bf16(__s2_877, __p3_877), vget_lane_bf16(__s2_877, __p3_877), vget_lane_bf16(__s2_877, __p3_877), vget_lane_bf16(__s2_877, __p3_877), vget_lane_bf16(__s2_877, __p3_877), vget_lane_bf16(__s2_877, __p3_877), vget_lane_bf16(__s2_877, __p3_877), vget_lane_bf16(__s2_877, __p3_877)}); \ + __ret_877; \ +}) +#else +#define vbfmlaltq_lane_f32(__p0_878, __p1_878, __p2_878, __p3_878) __extension__ ({ \ + float32x4_t __ret_878; \ + float32x4_t __s0_878 = __p0_878; \ + bfloat16x8_t __s1_878 = __p1_878; \ + bfloat16x4_t __s2_878 = __p2_878; \ + float32x4_t __rev0_878; __rev0_878 = __builtin_shufflevector(__s0_878, __s0_878, 3, 2, 1, 0); \ + bfloat16x8_t __rev1_878; __rev1_878 = __builtin_shufflevector(__s1_878, __s1_878, 7, 6, 5, 4, 3, 2, 1, 0); \ + bfloat16x4_t __rev2_878; __rev2_878 = __builtin_shufflevector(__s2_878, __s2_878, 3, 2, 1, 0); \ + __ret_878 = __noswap_vbfmlaltq_f32(__rev0_878, __rev1_878, (bfloat16x8_t) {__noswap_vget_lane_bf16(__rev2_878, __p3_878), __noswap_vget_lane_bf16(__rev2_878, __p3_878), __noswap_vget_lane_bf16(__rev2_878, __p3_878), __noswap_vget_lane_bf16(__rev2_878, __p3_878), __noswap_vget_lane_bf16(__rev2_878, __p3_878), __noswap_vget_lane_bf16(__rev2_878, __p3_878), __noswap_vget_lane_bf16(__rev2_878, __p3_878), __noswap_vget_lane_bf16(__rev2_878, __p3_878)}); \ + __ret_878 = __builtin_shufflevector(__ret_878, __ret_878, 3, 2, 1, 0); \ + __ret_878; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vbfmlaltq_laneq_f32(__p0_879, __p1_879, __p2_879, __p3_879) __extension__ ({ \ + float32x4_t __ret_879; \ + float32x4_t __s0_879 = __p0_879; \ + bfloat16x8_t __s1_879 = __p1_879; \ + bfloat16x8_t __s2_879 = __p2_879; \ + __ret_879 = vbfmlaltq_f32(__s0_879, __s1_879, (bfloat16x8_t) {vgetq_lane_bf16(__s2_879, __p3_879), vgetq_lane_bf16(__s2_879, __p3_879), vgetq_lane_bf16(__s2_879, __p3_879), vgetq_lane_bf16(__s2_879, __p3_879), vgetq_lane_bf16(__s2_879, __p3_879), vgetq_lane_bf16(__s2_879, __p3_879), vgetq_lane_bf16(__s2_879, __p3_879), vgetq_lane_bf16(__s2_879, __p3_879)}); \ + __ret_879; \ +}) +#else +#define vbfmlaltq_laneq_f32(__p0_880, __p1_880, __p2_880, __p3_880) __extension__ ({ \ + float32x4_t __ret_880; \ + float32x4_t __s0_880 = __p0_880; \ + bfloat16x8_t __s1_880 = __p1_880; \ + bfloat16x8_t __s2_880 = __p2_880; \ + float32x4_t __rev0_880; __rev0_880 = __builtin_shufflevector(__s0_880, __s0_880, 3, 2, 1, 0); \ + bfloat16x8_t __rev1_880; __rev1_880 = __builtin_shufflevector(__s1_880, __s1_880, 7, 6, 5, 4, 3, 2, 1, 0); \ + bfloat16x8_t __rev2_880; __rev2_880 = __builtin_shufflevector(__s2_880, __s2_880, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_880 = __noswap_vbfmlaltq_f32(__rev0_880, __rev1_880, (bfloat16x8_t) {__noswap_vgetq_lane_bf16(__rev2_880, __p3_880), __noswap_vgetq_lane_bf16(__rev2_880, __p3_880), __noswap_vgetq_lane_bf16(__rev2_880, __p3_880), __noswap_vgetq_lane_bf16(__rev2_880, __p3_880), __noswap_vgetq_lane_bf16(__rev2_880, __p3_880), __noswap_vgetq_lane_bf16(__rev2_880, __p3_880), __noswap_vgetq_lane_bf16(__rev2_880, __p3_880), __noswap_vgetq_lane_bf16(__rev2_880, __p3_880)}); \ + __ret_880 = __builtin_shufflevector(__ret_880, __ret_880, 3, 2, 1, 0); \ + __ret_880; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) float32x4_t vcvtq_high_f32_bf16(bfloat16x8_t __p0) { + float32x4_t __ret; + __ret = vcvt_f32_bf16(vget_high_bf16(__p0)); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) float32x4_t vcvtq_high_f32_bf16(bfloat16x8_t __p0) { + float32x4_t __ret; + bfloat16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcvt_f32_bf16(__noswap_vget_high_bf16(__rev0)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai __attribute__((target("bf16"))) float32x4_t vcvtq_low_f32_bf16(bfloat16x8_t __p0) { + float32x4_t __ret; + __ret = vcvt_f32_bf16(vget_low_bf16(__p0)); + return __ret; +} +#else +__ai __attribute__((target("bf16"))) float32x4_t vcvtq_low_f32_bf16(bfloat16x8_t __p0) { + float32x4_t __ret; + bfloat16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vcvt_f32_bf16(__noswap_vget_low_bf16(__rev0)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsudotq_lane_s32(__p0_881, __p1_881, __p2_881, __p3_881) __extension__ ({ \ + int32x4_t __ret_881; \ + int32x4_t __s0_881 = __p0_881; \ + int8x16_t __s1_881 = __p1_881; \ + uint8x8_t __s2_881 = __p2_881; \ +uint8x8_t __reint_881 = __s2_881; \ + __ret_881 = vusdotq_s32(__s0_881, (uint8x16_t)(splatq_lane_s32(*(int32x2_t *) &__reint_881, __p3_881)), __s1_881); \ + __ret_881; \ +}) +#else +#define vsudotq_lane_s32(__p0_882, __p1_882, __p2_882, __p3_882) __extension__ ({ \ + int32x4_t __ret_882; \ + int32x4_t __s0_882 = __p0_882; \ + int8x16_t __s1_882 = __p1_882; \ + uint8x8_t __s2_882 = __p2_882; \ + int32x4_t __rev0_882; __rev0_882 = __builtin_shufflevector(__s0_882, __s0_882, 3, 2, 1, 0); \ + int8x16_t __rev1_882; __rev1_882 = __builtin_shufflevector(__s1_882, __s1_882, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x8_t __rev2_882; __rev2_882 = __builtin_shufflevector(__s2_882, __s2_882, 7, 6, 5, 4, 3, 2, 1, 0); \ +uint8x8_t __reint_882 = __rev2_882; \ + __ret_882 = __noswap_vusdotq_s32(__rev0_882, (uint8x16_t)(__noswap_splatq_lane_s32(*(int32x2_t *) &__reint_882, __p3_882)), __rev1_882); \ + __ret_882 = __builtin_shufflevector(__ret_882, __ret_882, 3, 2, 1, 0); \ + __ret_882; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vsudot_lane_s32(__p0_883, __p1_883, __p2_883, __p3_883) __extension__ ({ \ + int32x2_t __ret_883; \ + int32x2_t __s0_883 = __p0_883; \ + int8x8_t __s1_883 = __p1_883; \ + uint8x8_t __s2_883 = __p2_883; \ +uint8x8_t __reint_883 = __s2_883; \ + __ret_883 = vusdot_s32(__s0_883, (uint8x8_t)(splat_lane_s32(*(int32x2_t *) &__reint_883, __p3_883)), __s1_883); \ + __ret_883; \ +}) +#else +#define vsudot_lane_s32(__p0_884, __p1_884, __p2_884, __p3_884) __extension__ ({ \ + int32x2_t __ret_884; \ + int32x2_t __s0_884 = __p0_884; \ + int8x8_t __s1_884 = __p1_884; \ + uint8x8_t __s2_884 = __p2_884; \ + int32x2_t __rev0_884; __rev0_884 = __builtin_shufflevector(__s0_884, __s0_884, 1, 0); \ + int8x8_t __rev1_884; __rev1_884 = __builtin_shufflevector(__s1_884, __s1_884, 7, 6, 5, 4, 3, 2, 1, 0); \ + uint8x8_t __rev2_884; __rev2_884 = __builtin_shufflevector(__s2_884, __s2_884, 7, 6, 5, 4, 3, 2, 1, 0); \ +uint8x8_t __reint_884 = __rev2_884; \ + __ret_884 = __noswap_vusdot_s32(__rev0_884, (uint8x8_t)(__noswap_splat_lane_s32(*(int32x2_t *) &__reint_884, __p3_884)), __rev1_884); \ + __ret_884 = __builtin_shufflevector(__ret_884, __ret_884, 1, 0); \ + __ret_884; \ +}) +#endif + +#if defined(__aarch64__) +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vabdl_high_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + __ret = vabdl_u8(vget_high_u8(__p0), vget_high_u8(__p1)); + return __ret; +} +#else +__ai uint16x8_t vabdl_high_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vabdl_u8(__noswap_vget_high_u8(__rev0), __noswap_vget_high_u8(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vabdl_high_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + __ret = vabdl_u32(vget_high_u32(__p0), vget_high_u32(__p1)); + return __ret; +} +#else +__ai uint64x2_t vabdl_high_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vabdl_u32(__noswap_vget_high_u32(__rev0), __noswap_vget_high_u32(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vabdl_high_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + __ret = vabdl_u16(vget_high_u16(__p0), vget_high_u16(__p1)); + return __ret; +} +#else +__ai uint32x4_t vabdl_high_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vabdl_u16(__noswap_vget_high_u16(__rev0), __noswap_vget_high_u16(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vabdl_high_s8(int8x16_t __p0, int8x16_t __p1) { + int16x8_t __ret; + __ret = vabdl_s8(vget_high_s8(__p0), vget_high_s8(__p1)); + return __ret; +} +#else +__ai int16x8_t vabdl_high_s8(int8x16_t __p0, int8x16_t __p1) { + int16x8_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vabdl_s8(__noswap_vget_high_s8(__rev0), __noswap_vget_high_s8(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vabdl_high_s32(int32x4_t __p0, int32x4_t __p1) { + int64x2_t __ret; + __ret = vabdl_s32(vget_high_s32(__p0), vget_high_s32(__p1)); + return __ret; +} +#else +__ai int64x2_t vabdl_high_s32(int32x4_t __p0, int32x4_t __p1) { + int64x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vabdl_s32(__noswap_vget_high_s32(__rev0), __noswap_vget_high_s32(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vabdl_high_s16(int16x8_t __p0, int16x8_t __p1) { + int32x4_t __ret; + __ret = vabdl_s16(vget_high_s16(__p0), vget_high_s16(__p1)); + return __ret; +} +#else +__ai int32x4_t vabdl_high_s16(int16x8_t __p0, int16x8_t __p1) { + int32x4_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vabdl_s16(__noswap_vget_high_s16(__rev0), __noswap_vget_high_s16(__rev1)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vaddl_high_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + __ret = vmovl_high_u8(__p0) + vmovl_high_u8(__p1); + return __ret; +} +#else +__ai uint16x8_t vaddl_high_u8(uint8x16_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + uint8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmovl_high_u8(__rev0) + __noswap_vmovl_high_u8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vaddl_high_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + __ret = vmovl_high_u32(__p0) + vmovl_high_u32(__p1); + return __ret; +} +#else +__ai uint64x2_t vaddl_high_u32(uint32x4_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmovl_high_u32(__rev0) + __noswap_vmovl_high_u32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vaddl_high_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + __ret = vmovl_high_u16(__p0) + vmovl_high_u16(__p1); + return __ret; +} +#else +__ai uint32x4_t vaddl_high_u16(uint16x8_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmovl_high_u16(__rev0) + __noswap_vmovl_high_u16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vaddl_high_s8(int8x16_t __p0, int8x16_t __p1) { + int16x8_t __ret; + __ret = vmovl_high_s8(__p0) + vmovl_high_s8(__p1); + return __ret; +} +#else +__ai int16x8_t vaddl_high_s8(int8x16_t __p0, int8x16_t __p1) { + int16x8_t __ret; + int8x16_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmovl_high_s8(__rev0) + __noswap_vmovl_high_s8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vaddl_high_s32(int32x4_t __p0, int32x4_t __p1) { + int64x2_t __ret; + __ret = vmovl_high_s32(__p0) + vmovl_high_s32(__p1); + return __ret; +} +#else +__ai int64x2_t vaddl_high_s32(int32x4_t __p0, int32x4_t __p1) { + int64x2_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmovl_high_s32(__rev0) + __noswap_vmovl_high_s32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vaddl_high_s16(int16x8_t __p0, int16x8_t __p1) { + int32x4_t __ret; + __ret = vmovl_high_s16(__p0) + vmovl_high_s16(__p1); + return __ret; +} +#else +__ai int32x4_t vaddl_high_s16(int16x8_t __p0, int16x8_t __p1) { + int32x4_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmovl_high_s16(__rev0) + __noswap_vmovl_high_s16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vaddw_high_u8(uint16x8_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + __ret = __p0 + vmovl_high_u8(__p1); + return __ret; +} +#else +__ai uint16x8_t vaddw_high_u8(uint16x8_t __p0, uint8x16_t __p1) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmovl_high_u8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vaddw_high_u32(uint64x2_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + __ret = __p0 + vmovl_high_u32(__p1); + return __ret; +} +#else +__ai uint64x2_t vaddw_high_u32(uint64x2_t __p0, uint32x4_t __p1) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmovl_high_u32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vaddw_high_u16(uint32x4_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + __ret = __p0 + vmovl_high_u16(__p1); + return __ret; +} +#else +__ai uint32x4_t vaddw_high_u16(uint32x4_t __p0, uint16x8_t __p1) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmovl_high_u16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vaddw_high_s8(int16x8_t __p0, int8x16_t __p1) { + int16x8_t __ret; + __ret = __p0 + vmovl_high_s8(__p1); + return __ret; +} +#else +__ai int16x8_t vaddw_high_s8(int16x8_t __p0, int8x16_t __p1) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmovl_high_s8(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vaddw_high_s32(int64x2_t __p0, int32x4_t __p1) { + int64x2_t __ret; + __ret = __p0 + vmovl_high_s32(__p1); + return __ret; +} +#else +__ai int64x2_t vaddw_high_s32(int64x2_t __p0, int32x4_t __p1) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmovl_high_s32(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vaddw_high_s16(int32x4_t __p0, int16x8_t __p1) { + int32x4_t __ret; + __ret = __p0 + vmovl_high_s16(__p1); + return __ret; +} +#else +__ai int32x4_t vaddw_high_s16(int32x4_t __p0, int16x8_t __p1) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vmovl_high_s16(__rev1); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_p64(__p0_885, __p1_885, __p2_885, __p3_885) __extension__ ({ \ + poly64x2_t __ret_885; \ + poly64x2_t __s0_885 = __p0_885; \ + poly64x1_t __s2_885 = __p2_885; \ + __ret_885 = vsetq_lane_p64(vget_lane_p64(__s2_885, __p3_885), __s0_885, __p1_885); \ + __ret_885; \ +}) +#else +#define vcopyq_lane_p64(__p0_886, __p1_886, __p2_886, __p3_886) __extension__ ({ \ + poly64x2_t __ret_886; \ + poly64x2_t __s0_886 = __p0_886; \ + poly64x1_t __s2_886 = __p2_886; \ + poly64x2_t __rev0_886; __rev0_886 = __builtin_shufflevector(__s0_886, __s0_886, 1, 0); \ + __ret_886 = __noswap_vsetq_lane_p64(vget_lane_p64(__s2_886, __p3_886), __rev0_886, __p1_886); \ + __ret_886 = __builtin_shufflevector(__ret_886, __ret_886, 1, 0); \ + __ret_886; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_lane_f64(__p0_887, __p1_887, __p2_887, __p3_887) __extension__ ({ \ + float64x2_t __ret_887; \ + float64x2_t __s0_887 = __p0_887; \ + float64x1_t __s2_887 = __p2_887; \ + __ret_887 = vsetq_lane_f64(vget_lane_f64(__s2_887, __p3_887), __s0_887, __p1_887); \ + __ret_887; \ +}) +#else +#define vcopyq_lane_f64(__p0_888, __p1_888, __p2_888, __p3_888) __extension__ ({ \ + float64x2_t __ret_888; \ + float64x2_t __s0_888 = __p0_888; \ + float64x1_t __s2_888 = __p2_888; \ + float64x2_t __rev0_888; __rev0_888 = __builtin_shufflevector(__s0_888, __s0_888, 1, 0); \ + __ret_888 = __noswap_vsetq_lane_f64(vget_lane_f64(__s2_888, __p3_888), __rev0_888, __p1_888); \ + __ret_888 = __builtin_shufflevector(__ret_888, __ret_888, 1, 0); \ + __ret_888; \ +}) +#endif + +#define vcopy_lane_p64(__p0_889, __p1_889, __p2_889, __p3_889) __extension__ ({ \ + poly64x1_t __ret_889; \ + poly64x1_t __s0_889 = __p0_889; \ + poly64x1_t __s2_889 = __p2_889; \ + __ret_889 = vset_lane_p64(vget_lane_p64(__s2_889, __p3_889), __s0_889, __p1_889); \ + __ret_889; \ +}) +#define vcopy_lane_f64(__p0_890, __p1_890, __p2_890, __p3_890) __extension__ ({ \ + float64x1_t __ret_890; \ + float64x1_t __s0_890 = __p0_890; \ + float64x1_t __s2_890 = __p2_890; \ + __ret_890 = vset_lane_f64(vget_lane_f64(__s2_890, __p3_890), __s0_890, __p1_890); \ + __ret_890; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_p64(__p0_891, __p1_891, __p2_891, __p3_891) __extension__ ({ \ + poly64x2_t __ret_891; \ + poly64x2_t __s0_891 = __p0_891; \ + poly64x2_t __s2_891 = __p2_891; \ + __ret_891 = vsetq_lane_p64(vgetq_lane_p64(__s2_891, __p3_891), __s0_891, __p1_891); \ + __ret_891; \ +}) +#else +#define vcopyq_laneq_p64(__p0_892, __p1_892, __p2_892, __p3_892) __extension__ ({ \ + poly64x2_t __ret_892; \ + poly64x2_t __s0_892 = __p0_892; \ + poly64x2_t __s2_892 = __p2_892; \ + poly64x2_t __rev0_892; __rev0_892 = __builtin_shufflevector(__s0_892, __s0_892, 1, 0); \ + poly64x2_t __rev2_892; __rev2_892 = __builtin_shufflevector(__s2_892, __s2_892, 1, 0); \ + __ret_892 = __noswap_vsetq_lane_p64(__noswap_vgetq_lane_p64(__rev2_892, __p3_892), __rev0_892, __p1_892); \ + __ret_892 = __builtin_shufflevector(__ret_892, __ret_892, 1, 0); \ + __ret_892; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopyq_laneq_f64(__p0_893, __p1_893, __p2_893, __p3_893) __extension__ ({ \ + float64x2_t __ret_893; \ + float64x2_t __s0_893 = __p0_893; \ + float64x2_t __s2_893 = __p2_893; \ + __ret_893 = vsetq_lane_f64(vgetq_lane_f64(__s2_893, __p3_893), __s0_893, __p1_893); \ + __ret_893; \ +}) +#else +#define vcopyq_laneq_f64(__p0_894, __p1_894, __p2_894, __p3_894) __extension__ ({ \ + float64x2_t __ret_894; \ + float64x2_t __s0_894 = __p0_894; \ + float64x2_t __s2_894 = __p2_894; \ + float64x2_t __rev0_894; __rev0_894 = __builtin_shufflevector(__s0_894, __s0_894, 1, 0); \ + float64x2_t __rev2_894; __rev2_894 = __builtin_shufflevector(__s2_894, __s2_894, 1, 0); \ + __ret_894 = __noswap_vsetq_lane_f64(__noswap_vgetq_lane_f64(__rev2_894, __p3_894), __rev0_894, __p1_894); \ + __ret_894 = __builtin_shufflevector(__ret_894, __ret_894, 1, 0); \ + __ret_894; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_p64(__p0_895, __p1_895, __p2_895, __p3_895) __extension__ ({ \ + poly64x1_t __ret_895; \ + poly64x1_t __s0_895 = __p0_895; \ + poly64x2_t __s2_895 = __p2_895; \ + __ret_895 = vset_lane_p64(vgetq_lane_p64(__s2_895, __p3_895), __s0_895, __p1_895); \ + __ret_895; \ +}) +#else +#define vcopy_laneq_p64(__p0_896, __p1_896, __p2_896, __p3_896) __extension__ ({ \ + poly64x1_t __ret_896; \ + poly64x1_t __s0_896 = __p0_896; \ + poly64x2_t __s2_896 = __p2_896; \ + poly64x2_t __rev2_896; __rev2_896 = __builtin_shufflevector(__s2_896, __s2_896, 1, 0); \ + __ret_896 = vset_lane_p64(__noswap_vgetq_lane_p64(__rev2_896, __p3_896), __s0_896, __p1_896); \ + __ret_896; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vcopy_laneq_f64(__p0_897, __p1_897, __p2_897, __p3_897) __extension__ ({ \ + float64x1_t __ret_897; \ + float64x1_t __s0_897 = __p0_897; \ + float64x2_t __s2_897 = __p2_897; \ + __ret_897 = vset_lane_f64(vgetq_lane_f64(__s2_897, __p3_897), __s0_897, __p1_897); \ + __ret_897; \ +}) +#else +#define vcopy_laneq_f64(__p0_898, __p1_898, __p2_898, __p3_898) __extension__ ({ \ + float64x1_t __ret_898; \ + float64x1_t __s0_898 = __p0_898; \ + float64x2_t __s2_898 = __p2_898; \ + float64x2_t __rev2_898; __rev2_898 = __builtin_shufflevector(__s2_898, __s2_898, 1, 0); \ + __ret_898 = vset_lane_f64(__noswap_vgetq_lane_f64(__rev2_898, __p3_898), __s0_898, __p1_898); \ + __ret_898; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmlal_high_u8(uint16x8_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint16x8_t __ret; + __ret = vmlal_u8(__p0, vget_high_u8(__p1), vget_high_u8(__p2)); + return __ret; +} +#else +__ai uint16x8_t vmlal_high_u8(uint16x8_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmlal_u8(__rev0, __noswap_vget_high_u8(__rev1), __noswap_vget_high_u8(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmlal_high_u32(uint64x2_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint64x2_t __ret; + __ret = vmlal_u32(__p0, vget_high_u32(__p1), vget_high_u32(__p2)); + return __ret; +} +#else +__ai uint64x2_t vmlal_high_u32(uint64x2_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vmlal_u32(__rev0, __noswap_vget_high_u32(__rev1), __noswap_vget_high_u32(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmlal_high_u16(uint32x4_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint32x4_t __ret; + __ret = vmlal_u16(__p0, vget_high_u16(__p1), vget_high_u16(__p2)); + return __ret; +} +#else +__ai uint32x4_t vmlal_high_u16(uint32x4_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmlal_u16(__rev0, __noswap_vget_high_u16(__rev1), __noswap_vget_high_u16(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmlal_high_s8(int16x8_t __p0, int8x16_t __p1, int8x16_t __p2) { + int16x8_t __ret; + __ret = vmlal_s8(__p0, vget_high_s8(__p1), vget_high_s8(__p2)); + return __ret; +} +#else +__ai int16x8_t vmlal_high_s8(int16x8_t __p0, int8x16_t __p1, int8x16_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmlal_s8(__rev0, __noswap_vget_high_s8(__rev1), __noswap_vget_high_s8(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmlal_high_s32(int64x2_t __p0, int32x4_t __p1, int32x4_t __p2) { + int64x2_t __ret; + __ret = vmlal_s32(__p0, vget_high_s32(__p1), vget_high_s32(__p2)); + return __ret; +} +#else +__ai int64x2_t vmlal_high_s32(int64x2_t __p0, int32x4_t __p1, int32x4_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vmlal_s32(__rev0, __noswap_vget_high_s32(__rev1), __noswap_vget_high_s32(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmlal_high_s16(int32x4_t __p0, int16x8_t __p1, int16x8_t __p2) { + int32x4_t __ret; + __ret = vmlal_s16(__p0, vget_high_s16(__p1), vget_high_s16(__p2)); + return __ret; +} +#else +__ai int32x4_t vmlal_high_s16(int32x4_t __p0, int16x8_t __p1, int16x8_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmlal_s16(__rev0, __noswap_vget_high_s16(__rev1), __noswap_vget_high_s16(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmlal_high_n_u32(uint64x2_t __p0, uint32x4_t __p1, uint32_t __p2) { + uint64x2_t __ret; + __ret = vmlal_n_u32(__p0, vget_high_u32(__p1), __p2); + return __ret; +} +#else +__ai uint64x2_t vmlal_high_n_u32(uint64x2_t __p0, uint32x4_t __p1, uint32_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmlal_n_u32(__rev0, __noswap_vget_high_u32(__rev1), __p2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmlal_high_n_u16(uint32x4_t __p0, uint16x8_t __p1, uint16_t __p2) { + uint32x4_t __ret; + __ret = vmlal_n_u16(__p0, vget_high_u16(__p1), __p2); + return __ret; +} +#else +__ai uint32x4_t vmlal_high_n_u16(uint32x4_t __p0, uint16x8_t __p1, uint16_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmlal_n_u16(__rev0, __noswap_vget_high_u16(__rev1), __p2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmlal_high_n_s32(int64x2_t __p0, int32x4_t __p1, int32_t __p2) { + int64x2_t __ret; + __ret = vmlal_n_s32(__p0, vget_high_s32(__p1), __p2); + return __ret; +} +#else +__ai int64x2_t vmlal_high_n_s32(int64x2_t __p0, int32x4_t __p1, int32_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmlal_n_s32(__rev0, __noswap_vget_high_s32(__rev1), __p2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmlal_high_n_s16(int32x4_t __p0, int16x8_t __p1, int16_t __p2) { + int32x4_t __ret; + __ret = vmlal_n_s16(__p0, vget_high_s16(__p1), __p2); + return __ret; +} +#else +__ai int32x4_t vmlal_high_n_s16(int32x4_t __p0, int16x8_t __p1, int16_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmlal_n_s16(__rev0, __noswap_vget_high_s16(__rev1), __p2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vmlsl_high_u8(uint16x8_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint16x8_t __ret; + __ret = vmlsl_u8(__p0, vget_high_u8(__p1), vget_high_u8(__p2)); + return __ret; +} +#else +__ai uint16x8_t vmlsl_high_u8(uint16x8_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmlsl_u8(__rev0, __noswap_vget_high_u8(__rev1), __noswap_vget_high_u8(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmlsl_high_u32(uint64x2_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint64x2_t __ret; + __ret = vmlsl_u32(__p0, vget_high_u32(__p1), vget_high_u32(__p2)); + return __ret; +} +#else +__ai uint64x2_t vmlsl_high_u32(uint64x2_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vmlsl_u32(__rev0, __noswap_vget_high_u32(__rev1), __noswap_vget_high_u32(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmlsl_high_u16(uint32x4_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint32x4_t __ret; + __ret = vmlsl_u16(__p0, vget_high_u16(__p1), vget_high_u16(__p2)); + return __ret; +} +#else +__ai uint32x4_t vmlsl_high_u16(uint32x4_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmlsl_u16(__rev0, __noswap_vget_high_u16(__rev1), __noswap_vget_high_u16(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vmlsl_high_s8(int16x8_t __p0, int8x16_t __p1, int8x16_t __p2) { + int16x8_t __ret; + __ret = vmlsl_s8(__p0, vget_high_s8(__p1), vget_high_s8(__p2)); + return __ret; +} +#else +__ai int16x8_t vmlsl_high_s8(int16x8_t __p0, int8x16_t __p1, int8x16_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmlsl_s8(__rev0, __noswap_vget_high_s8(__rev1), __noswap_vget_high_s8(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmlsl_high_s32(int64x2_t __p0, int32x4_t __p1, int32x4_t __p2) { + int64x2_t __ret; + __ret = vmlsl_s32(__p0, vget_high_s32(__p1), vget_high_s32(__p2)); + return __ret; +} +#else +__ai int64x2_t vmlsl_high_s32(int64x2_t __p0, int32x4_t __p1, int32x4_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vmlsl_s32(__rev0, __noswap_vget_high_s32(__rev1), __noswap_vget_high_s32(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmlsl_high_s16(int32x4_t __p0, int16x8_t __p1, int16x8_t __p2) { + int32x4_t __ret; + __ret = vmlsl_s16(__p0, vget_high_s16(__p1), vget_high_s16(__p2)); + return __ret; +} +#else +__ai int32x4_t vmlsl_high_s16(int32x4_t __p0, int16x8_t __p1, int16x8_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmlsl_s16(__rev0, __noswap_vget_high_s16(__rev1), __noswap_vget_high_s16(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vmlsl_high_n_u32(uint64x2_t __p0, uint32x4_t __p1, uint32_t __p2) { + uint64x2_t __ret; + __ret = vmlsl_n_u32(__p0, vget_high_u32(__p1), __p2); + return __ret; +} +#else +__ai uint64x2_t vmlsl_high_n_u32(uint64x2_t __p0, uint32x4_t __p1, uint32_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmlsl_n_u32(__rev0, __noswap_vget_high_u32(__rev1), __p2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vmlsl_high_n_u16(uint32x4_t __p0, uint16x8_t __p1, uint16_t __p2) { + uint32x4_t __ret; + __ret = vmlsl_n_u16(__p0, vget_high_u16(__p1), __p2); + return __ret; +} +#else +__ai uint32x4_t vmlsl_high_n_u16(uint32x4_t __p0, uint16x8_t __p1, uint16_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmlsl_n_u16(__rev0, __noswap_vget_high_u16(__rev1), __p2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vmlsl_high_n_s32(int64x2_t __p0, int32x4_t __p1, int32_t __p2) { + int64x2_t __ret; + __ret = vmlsl_n_s32(__p0, vget_high_s32(__p1), __p2); + return __ret; +} +#else +__ai int64x2_t vmlsl_high_n_s32(int64x2_t __p0, int32x4_t __p1, int32_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + __ret = __noswap_vmlsl_n_s32(__rev0, __noswap_vget_high_s32(__rev1), __p2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vmlsl_high_n_s16(int32x4_t __p0, int16x8_t __p1, int16_t __p2) { + int32x4_t __ret; + __ret = vmlsl_n_s16(__p0, vget_high_s16(__p1), __p2); + return __ret; +} +#else +__ai int32x4_t vmlsl_high_n_s16(int32x4_t __p0, int16x8_t __p1, int16_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vmlsl_n_s16(__rev0, __noswap_vget_high_s16(__rev1), __p2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#define vmulx_lane_f64(__p0_899, __p1_899, __p2_899) __extension__ ({ \ + float64x1_t __ret_899; \ + float64x1_t __s0_899 = __p0_899; \ + float64x1_t __s1_899 = __p1_899; \ + float64_t __x_899 = vget_lane_f64(__s0_899, 0); \ + float64_t __y_899 = vget_lane_f64(__s1_899, __p2_899); \ + float64_t __z_899 = vmulxd_f64(__x_899, __y_899); \ + __ret_899 = vset_lane_f64(__z_899, __s0_899, __p2_899); \ + __ret_899; \ +}) +#ifdef __LITTLE_ENDIAN__ +#define vmulx_laneq_f64(__p0_900, __p1_900, __p2_900) __extension__ ({ \ + float64x1_t __ret_900; \ + float64x1_t __s0_900 = __p0_900; \ + float64x2_t __s1_900 = __p1_900; \ + float64_t __x_900 = vget_lane_f64(__s0_900, 0); \ + float64_t __y_900 = vgetq_lane_f64(__s1_900, __p2_900); \ + float64_t __z_900 = vmulxd_f64(__x_900, __y_900); \ + __ret_900 = vset_lane_f64(__z_900, __s0_900, 0); \ + __ret_900; \ +}) +#else +#define vmulx_laneq_f64(__p0_901, __p1_901, __p2_901) __extension__ ({ \ + float64x1_t __ret_901; \ + float64x1_t __s0_901 = __p0_901; \ + float64x2_t __s1_901 = __p1_901; \ + float64x2_t __rev1_901; __rev1_901 = __builtin_shufflevector(__s1_901, __s1_901, 1, 0); \ + float64_t __x_901 = vget_lane_f64(__s0_901, 0); \ + float64_t __y_901 = __noswap_vgetq_lane_f64(__rev1_901, __p2_901); \ + float64_t __z_901 = vmulxd_f64(__x_901, __y_901); \ + __ret_901 = vset_lane_f64(__z_901, __s0_901, 0); \ + __ret_901; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlalq_lane_high_f16(__p0_902, __p1_902, __p2_902, __p3_902) __extension__ ({ \ + float32x4_t __ret_902; \ + float32x4_t __s0_902 = __p0_902; \ + float16x8_t __s1_902 = __p1_902; \ + float16x4_t __s2_902 = __p2_902; \ + __ret_902 = vfmlalq_high_f16(__s0_902, __s1_902, (float16x8_t) {vget_lane_f16(__s2_902, __p3_902), vget_lane_f16(__s2_902, __p3_902), vget_lane_f16(__s2_902, __p3_902), vget_lane_f16(__s2_902, __p3_902), vget_lane_f16(__s2_902, __p3_902), vget_lane_f16(__s2_902, __p3_902), vget_lane_f16(__s2_902, __p3_902), vget_lane_f16(__s2_902, __p3_902)}); \ + __ret_902; \ +}) +#else +#define vfmlalq_lane_high_f16(__p0_903, __p1_903, __p2_903, __p3_903) __extension__ ({ \ + float32x4_t __ret_903; \ + float32x4_t __s0_903 = __p0_903; \ + float16x8_t __s1_903 = __p1_903; \ + float16x4_t __s2_903 = __p2_903; \ + float32x4_t __rev0_903; __rev0_903 = __builtin_shufflevector(__s0_903, __s0_903, 3, 2, 1, 0); \ + float16x8_t __rev1_903; __rev1_903 = __builtin_shufflevector(__s1_903, __s1_903, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x4_t __rev2_903; __rev2_903 = __builtin_shufflevector(__s2_903, __s2_903, 3, 2, 1, 0); \ + __ret_903 = __noswap_vfmlalq_high_f16(__rev0_903, __rev1_903, (float16x8_t) {__noswap_vget_lane_f16(__rev2_903, __p3_903), __noswap_vget_lane_f16(__rev2_903, __p3_903), __noswap_vget_lane_f16(__rev2_903, __p3_903), __noswap_vget_lane_f16(__rev2_903, __p3_903), __noswap_vget_lane_f16(__rev2_903, __p3_903), __noswap_vget_lane_f16(__rev2_903, __p3_903), __noswap_vget_lane_f16(__rev2_903, __p3_903), __noswap_vget_lane_f16(__rev2_903, __p3_903)}); \ + __ret_903 = __builtin_shufflevector(__ret_903, __ret_903, 3, 2, 1, 0); \ + __ret_903; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlal_lane_high_f16(__p0_904, __p1_904, __p2_904, __p3_904) __extension__ ({ \ + float32x2_t __ret_904; \ + float32x2_t __s0_904 = __p0_904; \ + float16x4_t __s1_904 = __p1_904; \ + float16x4_t __s2_904 = __p2_904; \ + __ret_904 = vfmlal_high_f16(__s0_904, __s1_904, (float16x4_t) {vget_lane_f16(__s2_904, __p3_904), vget_lane_f16(__s2_904, __p3_904), vget_lane_f16(__s2_904, __p3_904), vget_lane_f16(__s2_904, __p3_904)}); \ + __ret_904; \ +}) +#else +#define vfmlal_lane_high_f16(__p0_905, __p1_905, __p2_905, __p3_905) __extension__ ({ \ + float32x2_t __ret_905; \ + float32x2_t __s0_905 = __p0_905; \ + float16x4_t __s1_905 = __p1_905; \ + float16x4_t __s2_905 = __p2_905; \ + float32x2_t __rev0_905; __rev0_905 = __builtin_shufflevector(__s0_905, __s0_905, 1, 0); \ + float16x4_t __rev1_905; __rev1_905 = __builtin_shufflevector(__s1_905, __s1_905, 3, 2, 1, 0); \ + float16x4_t __rev2_905; __rev2_905 = __builtin_shufflevector(__s2_905, __s2_905, 3, 2, 1, 0); \ + __ret_905 = __noswap_vfmlal_high_f16(__rev0_905, __rev1_905, (float16x4_t) {__noswap_vget_lane_f16(__rev2_905, __p3_905), __noswap_vget_lane_f16(__rev2_905, __p3_905), __noswap_vget_lane_f16(__rev2_905, __p3_905), __noswap_vget_lane_f16(__rev2_905, __p3_905)}); \ + __ret_905 = __builtin_shufflevector(__ret_905, __ret_905, 1, 0); \ + __ret_905; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlalq_lane_low_f16(__p0_906, __p1_906, __p2_906, __p3_906) __extension__ ({ \ + float32x4_t __ret_906; \ + float32x4_t __s0_906 = __p0_906; \ + float16x8_t __s1_906 = __p1_906; \ + float16x4_t __s2_906 = __p2_906; \ + __ret_906 = vfmlalq_low_f16(__s0_906, __s1_906, (float16x8_t) {vget_lane_f16(__s2_906, __p3_906), vget_lane_f16(__s2_906, __p3_906), vget_lane_f16(__s2_906, __p3_906), vget_lane_f16(__s2_906, __p3_906), vget_lane_f16(__s2_906, __p3_906), vget_lane_f16(__s2_906, __p3_906), vget_lane_f16(__s2_906, __p3_906), vget_lane_f16(__s2_906, __p3_906)}); \ + __ret_906; \ +}) +#else +#define vfmlalq_lane_low_f16(__p0_907, __p1_907, __p2_907, __p3_907) __extension__ ({ \ + float32x4_t __ret_907; \ + float32x4_t __s0_907 = __p0_907; \ + float16x8_t __s1_907 = __p1_907; \ + float16x4_t __s2_907 = __p2_907; \ + float32x4_t __rev0_907; __rev0_907 = __builtin_shufflevector(__s0_907, __s0_907, 3, 2, 1, 0); \ + float16x8_t __rev1_907; __rev1_907 = __builtin_shufflevector(__s1_907, __s1_907, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x4_t __rev2_907; __rev2_907 = __builtin_shufflevector(__s2_907, __s2_907, 3, 2, 1, 0); \ + __ret_907 = __noswap_vfmlalq_low_f16(__rev0_907, __rev1_907, (float16x8_t) {__noswap_vget_lane_f16(__rev2_907, __p3_907), __noswap_vget_lane_f16(__rev2_907, __p3_907), __noswap_vget_lane_f16(__rev2_907, __p3_907), __noswap_vget_lane_f16(__rev2_907, __p3_907), __noswap_vget_lane_f16(__rev2_907, __p3_907), __noswap_vget_lane_f16(__rev2_907, __p3_907), __noswap_vget_lane_f16(__rev2_907, __p3_907), __noswap_vget_lane_f16(__rev2_907, __p3_907)}); \ + __ret_907 = __builtin_shufflevector(__ret_907, __ret_907, 3, 2, 1, 0); \ + __ret_907; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlal_lane_low_f16(__p0_908, __p1_908, __p2_908, __p3_908) __extension__ ({ \ + float32x2_t __ret_908; \ + float32x2_t __s0_908 = __p0_908; \ + float16x4_t __s1_908 = __p1_908; \ + float16x4_t __s2_908 = __p2_908; \ + __ret_908 = vfmlal_low_f16(__s0_908, __s1_908, (float16x4_t) {vget_lane_f16(__s2_908, __p3_908), vget_lane_f16(__s2_908, __p3_908), vget_lane_f16(__s2_908, __p3_908), vget_lane_f16(__s2_908, __p3_908)}); \ + __ret_908; \ +}) +#else +#define vfmlal_lane_low_f16(__p0_909, __p1_909, __p2_909, __p3_909) __extension__ ({ \ + float32x2_t __ret_909; \ + float32x2_t __s0_909 = __p0_909; \ + float16x4_t __s1_909 = __p1_909; \ + float16x4_t __s2_909 = __p2_909; \ + float32x2_t __rev0_909; __rev0_909 = __builtin_shufflevector(__s0_909, __s0_909, 1, 0); \ + float16x4_t __rev1_909; __rev1_909 = __builtin_shufflevector(__s1_909, __s1_909, 3, 2, 1, 0); \ + float16x4_t __rev2_909; __rev2_909 = __builtin_shufflevector(__s2_909, __s2_909, 3, 2, 1, 0); \ + __ret_909 = __noswap_vfmlal_low_f16(__rev0_909, __rev1_909, (float16x4_t) {__noswap_vget_lane_f16(__rev2_909, __p3_909), __noswap_vget_lane_f16(__rev2_909, __p3_909), __noswap_vget_lane_f16(__rev2_909, __p3_909), __noswap_vget_lane_f16(__rev2_909, __p3_909)}); \ + __ret_909 = __builtin_shufflevector(__ret_909, __ret_909, 1, 0); \ + __ret_909; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlalq_laneq_high_f16(__p0_910, __p1_910, __p2_910, __p3_910) __extension__ ({ \ + float32x4_t __ret_910; \ + float32x4_t __s0_910 = __p0_910; \ + float16x8_t __s1_910 = __p1_910; \ + float16x8_t __s2_910 = __p2_910; \ + __ret_910 = vfmlalq_high_f16(__s0_910, __s1_910, (float16x8_t) {vgetq_lane_f16(__s2_910, __p3_910), vgetq_lane_f16(__s2_910, __p3_910), vgetq_lane_f16(__s2_910, __p3_910), vgetq_lane_f16(__s2_910, __p3_910), vgetq_lane_f16(__s2_910, __p3_910), vgetq_lane_f16(__s2_910, __p3_910), vgetq_lane_f16(__s2_910, __p3_910), vgetq_lane_f16(__s2_910, __p3_910)}); \ + __ret_910; \ +}) +#else +#define vfmlalq_laneq_high_f16(__p0_911, __p1_911, __p2_911, __p3_911) __extension__ ({ \ + float32x4_t __ret_911; \ + float32x4_t __s0_911 = __p0_911; \ + float16x8_t __s1_911 = __p1_911; \ + float16x8_t __s2_911 = __p2_911; \ + float32x4_t __rev0_911; __rev0_911 = __builtin_shufflevector(__s0_911, __s0_911, 3, 2, 1, 0); \ + float16x8_t __rev1_911; __rev1_911 = __builtin_shufflevector(__s1_911, __s1_911, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev2_911; __rev2_911 = __builtin_shufflevector(__s2_911, __s2_911, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_911 = __noswap_vfmlalq_high_f16(__rev0_911, __rev1_911, (float16x8_t) {__noswap_vgetq_lane_f16(__rev2_911, __p3_911), __noswap_vgetq_lane_f16(__rev2_911, __p3_911), __noswap_vgetq_lane_f16(__rev2_911, __p3_911), __noswap_vgetq_lane_f16(__rev2_911, __p3_911), __noswap_vgetq_lane_f16(__rev2_911, __p3_911), __noswap_vgetq_lane_f16(__rev2_911, __p3_911), __noswap_vgetq_lane_f16(__rev2_911, __p3_911), __noswap_vgetq_lane_f16(__rev2_911, __p3_911)}); \ + __ret_911 = __builtin_shufflevector(__ret_911, __ret_911, 3, 2, 1, 0); \ + __ret_911; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlal_laneq_high_f16(__p0_912, __p1_912, __p2_912, __p3_912) __extension__ ({ \ + float32x2_t __ret_912; \ + float32x2_t __s0_912 = __p0_912; \ + float16x4_t __s1_912 = __p1_912; \ + float16x8_t __s2_912 = __p2_912; \ + __ret_912 = vfmlal_high_f16(__s0_912, __s1_912, (float16x4_t) {vgetq_lane_f16(__s2_912, __p3_912), vgetq_lane_f16(__s2_912, __p3_912), vgetq_lane_f16(__s2_912, __p3_912), vgetq_lane_f16(__s2_912, __p3_912)}); \ + __ret_912; \ +}) +#else +#define vfmlal_laneq_high_f16(__p0_913, __p1_913, __p2_913, __p3_913) __extension__ ({ \ + float32x2_t __ret_913; \ + float32x2_t __s0_913 = __p0_913; \ + float16x4_t __s1_913 = __p1_913; \ + float16x8_t __s2_913 = __p2_913; \ + float32x2_t __rev0_913; __rev0_913 = __builtin_shufflevector(__s0_913, __s0_913, 1, 0); \ + float16x4_t __rev1_913; __rev1_913 = __builtin_shufflevector(__s1_913, __s1_913, 3, 2, 1, 0); \ + float16x8_t __rev2_913; __rev2_913 = __builtin_shufflevector(__s2_913, __s2_913, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_913 = __noswap_vfmlal_high_f16(__rev0_913, __rev1_913, (float16x4_t) {__noswap_vgetq_lane_f16(__rev2_913, __p3_913), __noswap_vgetq_lane_f16(__rev2_913, __p3_913), __noswap_vgetq_lane_f16(__rev2_913, __p3_913), __noswap_vgetq_lane_f16(__rev2_913, __p3_913)}); \ + __ret_913 = __builtin_shufflevector(__ret_913, __ret_913, 1, 0); \ + __ret_913; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlalq_laneq_low_f16(__p0_914, __p1_914, __p2_914, __p3_914) __extension__ ({ \ + float32x4_t __ret_914; \ + float32x4_t __s0_914 = __p0_914; \ + float16x8_t __s1_914 = __p1_914; \ + float16x8_t __s2_914 = __p2_914; \ + __ret_914 = vfmlalq_low_f16(__s0_914, __s1_914, (float16x8_t) {vgetq_lane_f16(__s2_914, __p3_914), vgetq_lane_f16(__s2_914, __p3_914), vgetq_lane_f16(__s2_914, __p3_914), vgetq_lane_f16(__s2_914, __p3_914), vgetq_lane_f16(__s2_914, __p3_914), vgetq_lane_f16(__s2_914, __p3_914), vgetq_lane_f16(__s2_914, __p3_914), vgetq_lane_f16(__s2_914, __p3_914)}); \ + __ret_914; \ +}) +#else +#define vfmlalq_laneq_low_f16(__p0_915, __p1_915, __p2_915, __p3_915) __extension__ ({ \ + float32x4_t __ret_915; \ + float32x4_t __s0_915 = __p0_915; \ + float16x8_t __s1_915 = __p1_915; \ + float16x8_t __s2_915 = __p2_915; \ + float32x4_t __rev0_915; __rev0_915 = __builtin_shufflevector(__s0_915, __s0_915, 3, 2, 1, 0); \ + float16x8_t __rev1_915; __rev1_915 = __builtin_shufflevector(__s1_915, __s1_915, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev2_915; __rev2_915 = __builtin_shufflevector(__s2_915, __s2_915, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_915 = __noswap_vfmlalq_low_f16(__rev0_915, __rev1_915, (float16x8_t) {__noswap_vgetq_lane_f16(__rev2_915, __p3_915), __noswap_vgetq_lane_f16(__rev2_915, __p3_915), __noswap_vgetq_lane_f16(__rev2_915, __p3_915), __noswap_vgetq_lane_f16(__rev2_915, __p3_915), __noswap_vgetq_lane_f16(__rev2_915, __p3_915), __noswap_vgetq_lane_f16(__rev2_915, __p3_915), __noswap_vgetq_lane_f16(__rev2_915, __p3_915), __noswap_vgetq_lane_f16(__rev2_915, __p3_915)}); \ + __ret_915 = __builtin_shufflevector(__ret_915, __ret_915, 3, 2, 1, 0); \ + __ret_915; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlal_laneq_low_f16(__p0_916, __p1_916, __p2_916, __p3_916) __extension__ ({ \ + float32x2_t __ret_916; \ + float32x2_t __s0_916 = __p0_916; \ + float16x4_t __s1_916 = __p1_916; \ + float16x8_t __s2_916 = __p2_916; \ + __ret_916 = vfmlal_low_f16(__s0_916, __s1_916, (float16x4_t) {vgetq_lane_f16(__s2_916, __p3_916), vgetq_lane_f16(__s2_916, __p3_916), vgetq_lane_f16(__s2_916, __p3_916), vgetq_lane_f16(__s2_916, __p3_916)}); \ + __ret_916; \ +}) +#else +#define vfmlal_laneq_low_f16(__p0_917, __p1_917, __p2_917, __p3_917) __extension__ ({ \ + float32x2_t __ret_917; \ + float32x2_t __s0_917 = __p0_917; \ + float16x4_t __s1_917 = __p1_917; \ + float16x8_t __s2_917 = __p2_917; \ + float32x2_t __rev0_917; __rev0_917 = __builtin_shufflevector(__s0_917, __s0_917, 1, 0); \ + float16x4_t __rev1_917; __rev1_917 = __builtin_shufflevector(__s1_917, __s1_917, 3, 2, 1, 0); \ + float16x8_t __rev2_917; __rev2_917 = __builtin_shufflevector(__s2_917, __s2_917, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_917 = __noswap_vfmlal_low_f16(__rev0_917, __rev1_917, (float16x4_t) {__noswap_vgetq_lane_f16(__rev2_917, __p3_917), __noswap_vgetq_lane_f16(__rev2_917, __p3_917), __noswap_vgetq_lane_f16(__rev2_917, __p3_917), __noswap_vgetq_lane_f16(__rev2_917, __p3_917)}); \ + __ret_917 = __builtin_shufflevector(__ret_917, __ret_917, 1, 0); \ + __ret_917; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlslq_lane_high_f16(__p0_918, __p1_918, __p2_918, __p3_918) __extension__ ({ \ + float32x4_t __ret_918; \ + float32x4_t __s0_918 = __p0_918; \ + float16x8_t __s1_918 = __p1_918; \ + float16x4_t __s2_918 = __p2_918; \ + __ret_918 = vfmlslq_high_f16(__s0_918, __s1_918, (float16x8_t) {vget_lane_f16(__s2_918, __p3_918), vget_lane_f16(__s2_918, __p3_918), vget_lane_f16(__s2_918, __p3_918), vget_lane_f16(__s2_918, __p3_918), vget_lane_f16(__s2_918, __p3_918), vget_lane_f16(__s2_918, __p3_918), vget_lane_f16(__s2_918, __p3_918), vget_lane_f16(__s2_918, __p3_918)}); \ + __ret_918; \ +}) +#else +#define vfmlslq_lane_high_f16(__p0_919, __p1_919, __p2_919, __p3_919) __extension__ ({ \ + float32x4_t __ret_919; \ + float32x4_t __s0_919 = __p0_919; \ + float16x8_t __s1_919 = __p1_919; \ + float16x4_t __s2_919 = __p2_919; \ + float32x4_t __rev0_919; __rev0_919 = __builtin_shufflevector(__s0_919, __s0_919, 3, 2, 1, 0); \ + float16x8_t __rev1_919; __rev1_919 = __builtin_shufflevector(__s1_919, __s1_919, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x4_t __rev2_919; __rev2_919 = __builtin_shufflevector(__s2_919, __s2_919, 3, 2, 1, 0); \ + __ret_919 = __noswap_vfmlslq_high_f16(__rev0_919, __rev1_919, (float16x8_t) {__noswap_vget_lane_f16(__rev2_919, __p3_919), __noswap_vget_lane_f16(__rev2_919, __p3_919), __noswap_vget_lane_f16(__rev2_919, __p3_919), __noswap_vget_lane_f16(__rev2_919, __p3_919), __noswap_vget_lane_f16(__rev2_919, __p3_919), __noswap_vget_lane_f16(__rev2_919, __p3_919), __noswap_vget_lane_f16(__rev2_919, __p3_919), __noswap_vget_lane_f16(__rev2_919, __p3_919)}); \ + __ret_919 = __builtin_shufflevector(__ret_919, __ret_919, 3, 2, 1, 0); \ + __ret_919; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlsl_lane_high_f16(__p0_920, __p1_920, __p2_920, __p3_920) __extension__ ({ \ + float32x2_t __ret_920; \ + float32x2_t __s0_920 = __p0_920; \ + float16x4_t __s1_920 = __p1_920; \ + float16x4_t __s2_920 = __p2_920; \ + __ret_920 = vfmlsl_high_f16(__s0_920, __s1_920, (float16x4_t) {vget_lane_f16(__s2_920, __p3_920), vget_lane_f16(__s2_920, __p3_920), vget_lane_f16(__s2_920, __p3_920), vget_lane_f16(__s2_920, __p3_920)}); \ + __ret_920; \ +}) +#else +#define vfmlsl_lane_high_f16(__p0_921, __p1_921, __p2_921, __p3_921) __extension__ ({ \ + float32x2_t __ret_921; \ + float32x2_t __s0_921 = __p0_921; \ + float16x4_t __s1_921 = __p1_921; \ + float16x4_t __s2_921 = __p2_921; \ + float32x2_t __rev0_921; __rev0_921 = __builtin_shufflevector(__s0_921, __s0_921, 1, 0); \ + float16x4_t __rev1_921; __rev1_921 = __builtin_shufflevector(__s1_921, __s1_921, 3, 2, 1, 0); \ + float16x4_t __rev2_921; __rev2_921 = __builtin_shufflevector(__s2_921, __s2_921, 3, 2, 1, 0); \ + __ret_921 = __noswap_vfmlsl_high_f16(__rev0_921, __rev1_921, (float16x4_t) {__noswap_vget_lane_f16(__rev2_921, __p3_921), __noswap_vget_lane_f16(__rev2_921, __p3_921), __noswap_vget_lane_f16(__rev2_921, __p3_921), __noswap_vget_lane_f16(__rev2_921, __p3_921)}); \ + __ret_921 = __builtin_shufflevector(__ret_921, __ret_921, 1, 0); \ + __ret_921; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlslq_lane_low_f16(__p0_922, __p1_922, __p2_922, __p3_922) __extension__ ({ \ + float32x4_t __ret_922; \ + float32x4_t __s0_922 = __p0_922; \ + float16x8_t __s1_922 = __p1_922; \ + float16x4_t __s2_922 = __p2_922; \ + __ret_922 = vfmlslq_low_f16(__s0_922, __s1_922, (float16x8_t) {vget_lane_f16(__s2_922, __p3_922), vget_lane_f16(__s2_922, __p3_922), vget_lane_f16(__s2_922, __p3_922), vget_lane_f16(__s2_922, __p3_922), vget_lane_f16(__s2_922, __p3_922), vget_lane_f16(__s2_922, __p3_922), vget_lane_f16(__s2_922, __p3_922), vget_lane_f16(__s2_922, __p3_922)}); \ + __ret_922; \ +}) +#else +#define vfmlslq_lane_low_f16(__p0_923, __p1_923, __p2_923, __p3_923) __extension__ ({ \ + float32x4_t __ret_923; \ + float32x4_t __s0_923 = __p0_923; \ + float16x8_t __s1_923 = __p1_923; \ + float16x4_t __s2_923 = __p2_923; \ + float32x4_t __rev0_923; __rev0_923 = __builtin_shufflevector(__s0_923, __s0_923, 3, 2, 1, 0); \ + float16x8_t __rev1_923; __rev1_923 = __builtin_shufflevector(__s1_923, __s1_923, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x4_t __rev2_923; __rev2_923 = __builtin_shufflevector(__s2_923, __s2_923, 3, 2, 1, 0); \ + __ret_923 = __noswap_vfmlslq_low_f16(__rev0_923, __rev1_923, (float16x8_t) {__noswap_vget_lane_f16(__rev2_923, __p3_923), __noswap_vget_lane_f16(__rev2_923, __p3_923), __noswap_vget_lane_f16(__rev2_923, __p3_923), __noswap_vget_lane_f16(__rev2_923, __p3_923), __noswap_vget_lane_f16(__rev2_923, __p3_923), __noswap_vget_lane_f16(__rev2_923, __p3_923), __noswap_vget_lane_f16(__rev2_923, __p3_923), __noswap_vget_lane_f16(__rev2_923, __p3_923)}); \ + __ret_923 = __builtin_shufflevector(__ret_923, __ret_923, 3, 2, 1, 0); \ + __ret_923; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlsl_lane_low_f16(__p0_924, __p1_924, __p2_924, __p3_924) __extension__ ({ \ + float32x2_t __ret_924; \ + float32x2_t __s0_924 = __p0_924; \ + float16x4_t __s1_924 = __p1_924; \ + float16x4_t __s2_924 = __p2_924; \ + __ret_924 = vfmlsl_low_f16(__s0_924, __s1_924, (float16x4_t) {vget_lane_f16(__s2_924, __p3_924), vget_lane_f16(__s2_924, __p3_924), vget_lane_f16(__s2_924, __p3_924), vget_lane_f16(__s2_924, __p3_924)}); \ + __ret_924; \ +}) +#else +#define vfmlsl_lane_low_f16(__p0_925, __p1_925, __p2_925, __p3_925) __extension__ ({ \ + float32x2_t __ret_925; \ + float32x2_t __s0_925 = __p0_925; \ + float16x4_t __s1_925 = __p1_925; \ + float16x4_t __s2_925 = __p2_925; \ + float32x2_t __rev0_925; __rev0_925 = __builtin_shufflevector(__s0_925, __s0_925, 1, 0); \ + float16x4_t __rev1_925; __rev1_925 = __builtin_shufflevector(__s1_925, __s1_925, 3, 2, 1, 0); \ + float16x4_t __rev2_925; __rev2_925 = __builtin_shufflevector(__s2_925, __s2_925, 3, 2, 1, 0); \ + __ret_925 = __noswap_vfmlsl_low_f16(__rev0_925, __rev1_925, (float16x4_t) {__noswap_vget_lane_f16(__rev2_925, __p3_925), __noswap_vget_lane_f16(__rev2_925, __p3_925), __noswap_vget_lane_f16(__rev2_925, __p3_925), __noswap_vget_lane_f16(__rev2_925, __p3_925)}); \ + __ret_925 = __builtin_shufflevector(__ret_925, __ret_925, 1, 0); \ + __ret_925; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlslq_laneq_high_f16(__p0_926, __p1_926, __p2_926, __p3_926) __extension__ ({ \ + float32x4_t __ret_926; \ + float32x4_t __s0_926 = __p0_926; \ + float16x8_t __s1_926 = __p1_926; \ + float16x8_t __s2_926 = __p2_926; \ + __ret_926 = vfmlslq_high_f16(__s0_926, __s1_926, (float16x8_t) {vgetq_lane_f16(__s2_926, __p3_926), vgetq_lane_f16(__s2_926, __p3_926), vgetq_lane_f16(__s2_926, __p3_926), vgetq_lane_f16(__s2_926, __p3_926), vgetq_lane_f16(__s2_926, __p3_926), vgetq_lane_f16(__s2_926, __p3_926), vgetq_lane_f16(__s2_926, __p3_926), vgetq_lane_f16(__s2_926, __p3_926)}); \ + __ret_926; \ +}) +#else +#define vfmlslq_laneq_high_f16(__p0_927, __p1_927, __p2_927, __p3_927) __extension__ ({ \ + float32x4_t __ret_927; \ + float32x4_t __s0_927 = __p0_927; \ + float16x8_t __s1_927 = __p1_927; \ + float16x8_t __s2_927 = __p2_927; \ + float32x4_t __rev0_927; __rev0_927 = __builtin_shufflevector(__s0_927, __s0_927, 3, 2, 1, 0); \ + float16x8_t __rev1_927; __rev1_927 = __builtin_shufflevector(__s1_927, __s1_927, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev2_927; __rev2_927 = __builtin_shufflevector(__s2_927, __s2_927, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_927 = __noswap_vfmlslq_high_f16(__rev0_927, __rev1_927, (float16x8_t) {__noswap_vgetq_lane_f16(__rev2_927, __p3_927), __noswap_vgetq_lane_f16(__rev2_927, __p3_927), __noswap_vgetq_lane_f16(__rev2_927, __p3_927), __noswap_vgetq_lane_f16(__rev2_927, __p3_927), __noswap_vgetq_lane_f16(__rev2_927, __p3_927), __noswap_vgetq_lane_f16(__rev2_927, __p3_927), __noswap_vgetq_lane_f16(__rev2_927, __p3_927), __noswap_vgetq_lane_f16(__rev2_927, __p3_927)}); \ + __ret_927 = __builtin_shufflevector(__ret_927, __ret_927, 3, 2, 1, 0); \ + __ret_927; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlsl_laneq_high_f16(__p0_928, __p1_928, __p2_928, __p3_928) __extension__ ({ \ + float32x2_t __ret_928; \ + float32x2_t __s0_928 = __p0_928; \ + float16x4_t __s1_928 = __p1_928; \ + float16x8_t __s2_928 = __p2_928; \ + __ret_928 = vfmlsl_high_f16(__s0_928, __s1_928, (float16x4_t) {vgetq_lane_f16(__s2_928, __p3_928), vgetq_lane_f16(__s2_928, __p3_928), vgetq_lane_f16(__s2_928, __p3_928), vgetq_lane_f16(__s2_928, __p3_928)}); \ + __ret_928; \ +}) +#else +#define vfmlsl_laneq_high_f16(__p0_929, __p1_929, __p2_929, __p3_929) __extension__ ({ \ + float32x2_t __ret_929; \ + float32x2_t __s0_929 = __p0_929; \ + float16x4_t __s1_929 = __p1_929; \ + float16x8_t __s2_929 = __p2_929; \ + float32x2_t __rev0_929; __rev0_929 = __builtin_shufflevector(__s0_929, __s0_929, 1, 0); \ + float16x4_t __rev1_929; __rev1_929 = __builtin_shufflevector(__s1_929, __s1_929, 3, 2, 1, 0); \ + float16x8_t __rev2_929; __rev2_929 = __builtin_shufflevector(__s2_929, __s2_929, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_929 = __noswap_vfmlsl_high_f16(__rev0_929, __rev1_929, (float16x4_t) {__noswap_vgetq_lane_f16(__rev2_929, __p3_929), __noswap_vgetq_lane_f16(__rev2_929, __p3_929), __noswap_vgetq_lane_f16(__rev2_929, __p3_929), __noswap_vgetq_lane_f16(__rev2_929, __p3_929)}); \ + __ret_929 = __builtin_shufflevector(__ret_929, __ret_929, 1, 0); \ + __ret_929; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlslq_laneq_low_f16(__p0_930, __p1_930, __p2_930, __p3_930) __extension__ ({ \ + float32x4_t __ret_930; \ + float32x4_t __s0_930 = __p0_930; \ + float16x8_t __s1_930 = __p1_930; \ + float16x8_t __s2_930 = __p2_930; \ + __ret_930 = vfmlslq_low_f16(__s0_930, __s1_930, (float16x8_t) {vgetq_lane_f16(__s2_930, __p3_930), vgetq_lane_f16(__s2_930, __p3_930), vgetq_lane_f16(__s2_930, __p3_930), vgetq_lane_f16(__s2_930, __p3_930), vgetq_lane_f16(__s2_930, __p3_930), vgetq_lane_f16(__s2_930, __p3_930), vgetq_lane_f16(__s2_930, __p3_930), vgetq_lane_f16(__s2_930, __p3_930)}); \ + __ret_930; \ +}) +#else +#define vfmlslq_laneq_low_f16(__p0_931, __p1_931, __p2_931, __p3_931) __extension__ ({ \ + float32x4_t __ret_931; \ + float32x4_t __s0_931 = __p0_931; \ + float16x8_t __s1_931 = __p1_931; \ + float16x8_t __s2_931 = __p2_931; \ + float32x4_t __rev0_931; __rev0_931 = __builtin_shufflevector(__s0_931, __s0_931, 3, 2, 1, 0); \ + float16x8_t __rev1_931; __rev1_931 = __builtin_shufflevector(__s1_931, __s1_931, 7, 6, 5, 4, 3, 2, 1, 0); \ + float16x8_t __rev2_931; __rev2_931 = __builtin_shufflevector(__s2_931, __s2_931, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_931 = __noswap_vfmlslq_low_f16(__rev0_931, __rev1_931, (float16x8_t) {__noswap_vgetq_lane_f16(__rev2_931, __p3_931), __noswap_vgetq_lane_f16(__rev2_931, __p3_931), __noswap_vgetq_lane_f16(__rev2_931, __p3_931), __noswap_vgetq_lane_f16(__rev2_931, __p3_931), __noswap_vgetq_lane_f16(__rev2_931, __p3_931), __noswap_vgetq_lane_f16(__rev2_931, __p3_931), __noswap_vgetq_lane_f16(__rev2_931, __p3_931), __noswap_vgetq_lane_f16(__rev2_931, __p3_931)}); \ + __ret_931 = __builtin_shufflevector(__ret_931, __ret_931, 3, 2, 1, 0); \ + __ret_931; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vfmlsl_laneq_low_f16(__p0_932, __p1_932, __p2_932, __p3_932) __extension__ ({ \ + float32x2_t __ret_932; \ + float32x2_t __s0_932 = __p0_932; \ + float16x4_t __s1_932 = __p1_932; \ + float16x8_t __s2_932 = __p2_932; \ + __ret_932 = vfmlsl_low_f16(__s0_932, __s1_932, (float16x4_t) {vgetq_lane_f16(__s2_932, __p3_932), vgetq_lane_f16(__s2_932, __p3_932), vgetq_lane_f16(__s2_932, __p3_932), vgetq_lane_f16(__s2_932, __p3_932)}); \ + __ret_932; \ +}) +#else +#define vfmlsl_laneq_low_f16(__p0_933, __p1_933, __p2_933, __p3_933) __extension__ ({ \ + float32x2_t __ret_933; \ + float32x2_t __s0_933 = __p0_933; \ + float16x4_t __s1_933 = __p1_933; \ + float16x8_t __s2_933 = __p2_933; \ + float32x2_t __rev0_933; __rev0_933 = __builtin_shufflevector(__s0_933, __s0_933, 1, 0); \ + float16x4_t __rev1_933; __rev1_933 = __builtin_shufflevector(__s1_933, __s1_933, 3, 2, 1, 0); \ + float16x8_t __rev2_933; __rev2_933 = __builtin_shufflevector(__s2_933, __s2_933, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_933 = __noswap_vfmlsl_low_f16(__rev0_933, __rev1_933, (float16x4_t) {__noswap_vgetq_lane_f16(__rev2_933, __p3_933), __noswap_vgetq_lane_f16(__rev2_933, __p3_933), __noswap_vgetq_lane_f16(__rev2_933, __p3_933), __noswap_vgetq_lane_f16(__rev2_933, __p3_933)}); \ + __ret_933 = __builtin_shufflevector(__ret_933, __ret_933, 1, 0); \ + __ret_933; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulh_lane_f16(__p0_934, __p1_934, __p2_934) __extension__ ({ \ + float16_t __ret_934; \ + float16_t __s0_934 = __p0_934; \ + float16x4_t __s1_934 = __p1_934; \ + __ret_934 = __s0_934 * vget_lane_f16(__s1_934, __p2_934); \ + __ret_934; \ +}) +#else +#define vmulh_lane_f16(__p0_935, __p1_935, __p2_935) __extension__ ({ \ + float16_t __ret_935; \ + float16_t __s0_935 = __p0_935; \ + float16x4_t __s1_935 = __p1_935; \ + float16x4_t __rev1_935; __rev1_935 = __builtin_shufflevector(__s1_935, __s1_935, 3, 2, 1, 0); \ + __ret_935 = __s0_935 * __noswap_vget_lane_f16(__rev1_935, __p2_935); \ + __ret_935; \ +}) +#endif + +#ifdef __LITTLE_ENDIAN__ +#define vmulh_laneq_f16(__p0_936, __p1_936, __p2_936) __extension__ ({ \ + float16_t __ret_936; \ + float16_t __s0_936 = __p0_936; \ + float16x8_t __s1_936 = __p1_936; \ + __ret_936 = __s0_936 * vgetq_lane_f16(__s1_936, __p2_936); \ + __ret_936; \ +}) +#else +#define vmulh_laneq_f16(__p0_937, __p1_937, __p2_937) __extension__ ({ \ + float16_t __ret_937; \ + float16_t __s0_937 = __p0_937; \ + float16x8_t __s1_937 = __p1_937; \ + float16x8_t __rev1_937; __rev1_937 = __builtin_shufflevector(__s1_937, __s1_937, 7, 6, 5, 4, 3, 2, 1, 0); \ + __ret_937 = __s0_937 * __noswap_vgetq_lane_f16(__rev1_937, __p2_937); \ + __ret_937; \ +}) +#endif + +#endif +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vabal_u8(uint16x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint16x8_t __ret; + __ret = __p0 + vabdl_u8(__p1, __p2); + return __ret; +} +#else +__ai uint16x8_t vabal_u8(uint16x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabdl_u8(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai uint16x8_t __noswap_vabal_u8(uint16x8_t __p0, uint8x8_t __p1, uint8x8_t __p2) { + uint16x8_t __ret; + __ret = __p0 + __noswap_vabdl_u8(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vabal_u32(uint64x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint64x2_t __ret; + __ret = __p0 + vabdl_u32(__p1, __p2); + return __ret; +} +#else +__ai uint64x2_t vabal_u32(uint64x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + uint32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 + __noswap_vabdl_u32(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai uint64x2_t __noswap_vabal_u32(uint64x2_t __p0, uint32x2_t __p1, uint32x2_t __p2) { + uint64x2_t __ret; + __ret = __p0 + __noswap_vabdl_u32(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vabal_u16(uint32x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint32x4_t __ret; + __ret = __p0 + vabdl_u16(__p1, __p2); + return __ret; +} +#else +__ai uint32x4_t vabal_u16(uint32x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabdl_u16(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai uint32x4_t __noswap_vabal_u16(uint32x4_t __p0, uint16x4_t __p1, uint16x4_t __p2) { + uint32x4_t __ret; + __ret = __p0 + __noswap_vabdl_u16(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vabal_s8(int16x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int16x8_t __ret; + __ret = __p0 + vabdl_s8(__p1, __p2); + return __ret; +} +#else +__ai int16x8_t vabal_s8(int16x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int8x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabdl_s8(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +__ai int16x8_t __noswap_vabal_s8(int16x8_t __p0, int8x8_t __p1, int8x8_t __p2) { + int16x8_t __ret; + __ret = __p0 + __noswap_vabdl_s8(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vabal_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + __ret = __p0 + vabdl_s32(__p1, __p2); + return __ret; +} +#else +__ai int64x2_t vabal_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x2_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 1, 0); + int32x2_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 1, 0); + __ret = __rev0 + __noswap_vabdl_s32(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +__ai int64x2_t __noswap_vabal_s32(int64x2_t __p0, int32x2_t __p1, int32x2_t __p2) { + int64x2_t __ret; + __ret = __p0 + __noswap_vabdl_s32(__p1, __p2); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vabal_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + __ret = __p0 + vabdl_s16(__p1, __p2); + return __ret; +} +#else +__ai int32x4_t vabal_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int16x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __rev0 + __noswap_vabdl_s16(__rev1, __rev2); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +__ai int32x4_t __noswap_vabal_s16(int32x4_t __p0, int16x4_t __p1, int16x4_t __p2) { + int32x4_t __ret; + __ret = __p0 + __noswap_vabdl_s16(__p1, __p2); + return __ret; +} +#endif + +#if defined(__aarch64__) +#ifdef __LITTLE_ENDIAN__ +__ai uint16x8_t vabal_high_u8(uint16x8_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint16x8_t __ret; + __ret = vabal_u8(__p0, vget_high_u8(__p1), vget_high_u8(__p2)); + return __ret; +} +#else +__ai uint16x8_t vabal_high_u8(uint16x8_t __p0, uint8x16_t __p1, uint8x16_t __p2) { + uint16x8_t __ret; + uint16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + uint8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vabal_u8(__rev0, __noswap_vget_high_u8(__rev1), __noswap_vget_high_u8(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint64x2_t vabal_high_u32(uint64x2_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint64x2_t __ret; + __ret = vabal_u32(__p0, vget_high_u32(__p1), vget_high_u32(__p2)); + return __ret; +} +#else +__ai uint64x2_t vabal_high_u32(uint64x2_t __p0, uint32x4_t __p1, uint32x4_t __p2) { + uint64x2_t __ret; + uint64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + uint32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + uint32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vabal_u32(__rev0, __noswap_vget_high_u32(__rev1), __noswap_vget_high_u32(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai uint32x4_t vabal_high_u16(uint32x4_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint32x4_t __ret; + __ret = vabal_u16(__p0, vget_high_u16(__p1), vget_high_u16(__p2)); + return __ret; +} +#else +__ai uint32x4_t vabal_high_u16(uint32x4_t __p0, uint16x8_t __p1, uint16x8_t __p2) { + uint32x4_t __ret; + uint32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + uint16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + uint16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vabal_u16(__rev0, __noswap_vget_high_u16(__rev1), __noswap_vget_high_u16(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int16x8_t vabal_high_s8(int16x8_t __p0, int8x16_t __p1, int8x16_t __p2) { + int16x8_t __ret; + __ret = vabal_s8(__p0, vget_high_s8(__p1), vget_high_s8(__p2)); + return __ret; +} +#else +__ai int16x8_t vabal_high_s8(int16x8_t __p0, int8x16_t __p1, int8x16_t __p2) { + int16x8_t __ret; + int16x8_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + int8x16_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vabal_s8(__rev0, __noswap_vget_high_s8(__rev1), __noswap_vget_high_s8(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 7, 6, 5, 4, 3, 2, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int64x2_t vabal_high_s32(int64x2_t __p0, int32x4_t __p1, int32x4_t __p2) { + int64x2_t __ret; + __ret = vabal_s32(__p0, vget_high_s32(__p1), vget_high_s32(__p2)); + return __ret; +} +#else +__ai int64x2_t vabal_high_s32(int64x2_t __p0, int32x4_t __p1, int32x4_t __p2) { + int64x2_t __ret; + int64x2_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 1, 0); + int32x4_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 3, 2, 1, 0); + int32x4_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 3, 2, 1, 0); + __ret = __noswap_vabal_s32(__rev0, __noswap_vget_high_s32(__rev1), __noswap_vget_high_s32(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 1, 0); + return __ret; +} +#endif + +#ifdef __LITTLE_ENDIAN__ +__ai int32x4_t vabal_high_s16(int32x4_t __p0, int16x8_t __p1, int16x8_t __p2) { + int32x4_t __ret; + __ret = vabal_s16(__p0, vget_high_s16(__p1), vget_high_s16(__p2)); + return __ret; +} +#else +__ai int32x4_t vabal_high_s16(int32x4_t __p0, int16x8_t __p1, int16x8_t __p2) { + int32x4_t __ret; + int32x4_t __rev0; __rev0 = __builtin_shufflevector(__p0, __p0, 3, 2, 1, 0); + int16x8_t __rev1; __rev1 = __builtin_shufflevector(__p1, __p1, 7, 6, 5, 4, 3, 2, 1, 0); + int16x8_t __rev2; __rev2 = __builtin_shufflevector(__p2, __p2, 7, 6, 5, 4, 3, 2, 1, 0); + __ret = __noswap_vabal_s16(__rev0, __noswap_vget_high_s16(__rev1), __noswap_vget_high_s16(__rev2)); + __ret = __builtin_shufflevector(__ret, __ret, 3, 2, 1, 0); + return __ret; +} +#endif + +#endif + +#undef __ai + +#endif /* if !defined(__ARM_NEON) */ +#endif /* ifndef __ARM_FP */ +#endif /* __ARM_NEON_H */ diff --git a/software/lib/sw_lib/devices/include/arm_vector_types.h b/software/lib/sw_lib/devices/include/arm_vector_types.h new file mode 100644 index 0000000..b0dd66b --- /dev/null +++ b/software/lib/sw_lib/devices/include/arm_vector_types.h @@ -0,0 +1,345 @@ +/*===---- arm_vector_types - ARM vector type ------=== + * + * + * Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions. + * See https://llvm.org/LICENSE.txt for license information. + * SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception + * + *===-----------------------------------------------------------------------=== + */ + +#if !defined(__ARM_NEON_H) && !defined(__ARM_SVE_H) +#error "This file should not be used standalone. Please include arm_neon.h or arm_sve.h instead" + +#endif +#ifndef __ARM_NEON_TYPES_H +#define __ARM_NEON_TYPES_H +typedef float float32_t; +typedef __fp16 float16_t; +#ifdef __aarch64__ +typedef double float64_t; +#endif + +typedef __attribute__((neon_vector_type(8))) int8_t int8x8_t; +typedef __attribute__((neon_vector_type(16))) int8_t int8x16_t; +typedef __attribute__((neon_vector_type(4))) int16_t int16x4_t; +typedef __attribute__((neon_vector_type(8))) int16_t int16x8_t; +typedef __attribute__((neon_vector_type(2))) int32_t int32x2_t; +typedef __attribute__((neon_vector_type(4))) int32_t int32x4_t; +typedef __attribute__((neon_vector_type(1))) int64_t int64x1_t; +typedef __attribute__((neon_vector_type(2))) int64_t int64x2_t; +typedef __attribute__((neon_vector_type(8))) uint8_t uint8x8_t; +typedef __attribute__((neon_vector_type(16))) uint8_t uint8x16_t; +typedef __attribute__((neon_vector_type(4))) uint16_t uint16x4_t; +typedef __attribute__((neon_vector_type(8))) uint16_t uint16x8_t; +typedef __attribute__((neon_vector_type(2))) uint32_t uint32x2_t; +typedef __attribute__((neon_vector_type(4))) uint32_t uint32x4_t; +typedef __attribute__((neon_vector_type(1))) uint64_t uint64x1_t; +typedef __attribute__((neon_vector_type(2))) uint64_t uint64x2_t; +typedef __attribute__((neon_vector_type(4))) float16_t float16x4_t; +typedef __attribute__((neon_vector_type(8))) float16_t float16x8_t; +typedef __attribute__((neon_vector_type(2))) float32_t float32x2_t; +typedef __attribute__((neon_vector_type(4))) float32_t float32x4_t; +#ifdef __aarch64__ +typedef __attribute__((neon_vector_type(1))) float64_t float64x1_t; +typedef __attribute__((neon_vector_type(2))) float64_t float64x2_t; +#endif + +typedef struct int8x8x2_t { + int8x8_t val[2]; +} int8x8x2_t; + +typedef struct int8x16x2_t { + int8x16_t val[2]; +} int8x16x2_t; + +typedef struct int16x4x2_t { + int16x4_t val[2]; +} int16x4x2_t; + +typedef struct int16x8x2_t { + int16x8_t val[2]; +} int16x8x2_t; + +typedef struct int32x2x2_t { + int32x2_t val[2]; +} int32x2x2_t; + +typedef struct int32x4x2_t { + int32x4_t val[2]; +} int32x4x2_t; + +typedef struct int64x1x2_t { + int64x1_t val[2]; +} int64x1x2_t; + +typedef struct int64x2x2_t { + int64x2_t val[2]; +} int64x2x2_t; + +typedef struct uint8x8x2_t { + uint8x8_t val[2]; +} uint8x8x2_t; + +typedef struct uint8x16x2_t { + uint8x16_t val[2]; +} uint8x16x2_t; + +typedef struct uint16x4x2_t { + uint16x4_t val[2]; +} uint16x4x2_t; + +typedef struct uint16x8x2_t { + uint16x8_t val[2]; +} uint16x8x2_t; + +typedef struct uint32x2x2_t { + uint32x2_t val[2]; +} uint32x2x2_t; + +typedef struct uint32x4x2_t { + uint32x4_t val[2]; +} uint32x4x2_t; + +typedef struct uint64x1x2_t { + uint64x1_t val[2]; +} uint64x1x2_t; + +typedef struct uint64x2x2_t { + uint64x2_t val[2]; +} uint64x2x2_t; + +typedef struct float16x4x2_t { + float16x4_t val[2]; +} float16x4x2_t; + +typedef struct float16x8x2_t { + float16x8_t val[2]; +} float16x8x2_t; + +typedef struct float32x2x2_t { + float32x2_t val[2]; +} float32x2x2_t; + +typedef struct float32x4x2_t { + float32x4_t val[2]; +} float32x4x2_t; + +#ifdef __aarch64__ +typedef struct float64x1x2_t { + float64x1_t val[2]; +} float64x1x2_t; + +typedef struct float64x2x2_t { + float64x2_t val[2]; +} float64x2x2_t; + +#endif +typedef struct int8x8x3_t { + int8x8_t val[3]; +} int8x8x3_t; + +typedef struct int8x16x3_t { + int8x16_t val[3]; +} int8x16x3_t; + +typedef struct int16x4x3_t { + int16x4_t val[3]; +} int16x4x3_t; + +typedef struct int16x8x3_t { + int16x8_t val[3]; +} int16x8x3_t; + +typedef struct int32x2x3_t { + int32x2_t val[3]; +} int32x2x3_t; + +typedef struct int32x4x3_t { + int32x4_t val[3]; +} int32x4x3_t; + +typedef struct int64x1x3_t { + int64x1_t val[3]; +} int64x1x3_t; + +typedef struct int64x2x3_t { + int64x2_t val[3]; +} int64x2x3_t; + +typedef struct uint8x8x3_t { + uint8x8_t val[3]; +} uint8x8x3_t; + +typedef struct uint8x16x3_t { + uint8x16_t val[3]; +} uint8x16x3_t; + +typedef struct uint16x4x3_t { + uint16x4_t val[3]; +} uint16x4x3_t; + +typedef struct uint16x8x3_t { + uint16x8_t val[3]; +} uint16x8x3_t; + +typedef struct uint32x2x3_t { + uint32x2_t val[3]; +} uint32x2x3_t; + +typedef struct uint32x4x3_t { + uint32x4_t val[3]; +} uint32x4x3_t; + +typedef struct uint64x1x3_t { + uint64x1_t val[3]; +} uint64x1x3_t; + +typedef struct uint64x2x3_t { + uint64x2_t val[3]; +} uint64x2x3_t; + +typedef struct float16x4x3_t { + float16x4_t val[3]; +} float16x4x3_t; + +typedef struct float16x8x3_t { + float16x8_t val[3]; +} float16x8x3_t; + +typedef struct float32x2x3_t { + float32x2_t val[3]; +} float32x2x3_t; + +typedef struct float32x4x3_t { + float32x4_t val[3]; +} float32x4x3_t; + +#ifdef __aarch64__ +typedef struct float64x1x3_t { + float64x1_t val[3]; +} float64x1x3_t; + +typedef struct float64x2x3_t { + float64x2_t val[3]; +} float64x2x3_t; + +#endif +typedef struct int8x8x4_t { + int8x8_t val[4]; +} int8x8x4_t; + +typedef struct int8x16x4_t { + int8x16_t val[4]; +} int8x16x4_t; + +typedef struct int16x4x4_t { + int16x4_t val[4]; +} int16x4x4_t; + +typedef struct int16x8x4_t { + int16x8_t val[4]; +} int16x8x4_t; + +typedef struct int32x2x4_t { + int32x2_t val[4]; +} int32x2x4_t; + +typedef struct int32x4x4_t { + int32x4_t val[4]; +} int32x4x4_t; + +typedef struct int64x1x4_t { + int64x1_t val[4]; +} int64x1x4_t; + +typedef struct int64x2x4_t { + int64x2_t val[4]; +} int64x2x4_t; + +typedef struct uint8x8x4_t { + uint8x8_t val[4]; +} uint8x8x4_t; + +typedef struct uint8x16x4_t { + uint8x16_t val[4]; +} uint8x16x4_t; + +typedef struct uint16x4x4_t { + uint16x4_t val[4]; +} uint16x4x4_t; + +typedef struct uint16x8x4_t { + uint16x8_t val[4]; +} uint16x8x4_t; + +typedef struct uint32x2x4_t { + uint32x2_t val[4]; +} uint32x2x4_t; + +typedef struct uint32x4x4_t { + uint32x4_t val[4]; +} uint32x4x4_t; + +typedef struct uint64x1x4_t { + uint64x1_t val[4]; +} uint64x1x4_t; + +typedef struct uint64x2x4_t { + uint64x2_t val[4]; +} uint64x2x4_t; + +typedef struct float16x4x4_t { + float16x4_t val[4]; +} float16x4x4_t; + +typedef struct float16x8x4_t { + float16x8_t val[4]; +} float16x8x4_t; + +typedef struct float32x2x4_t { + float32x2_t val[4]; +} float32x2x4_t; + +typedef struct float32x4x4_t { + float32x4_t val[4]; +} float32x4x4_t; + +#ifdef __aarch64__ +typedef struct float64x1x4_t { + float64x1_t val[4]; +} float64x1x4_t; + +typedef struct float64x2x4_t { + float64x2_t val[4]; +} float64x2x4_t; + +#endif +typedef __attribute__((neon_vector_type(4))) bfloat16_t bfloat16x4_t; +typedef __attribute__((neon_vector_type(8))) bfloat16_t bfloat16x8_t; + +typedef struct bfloat16x4x2_t { + bfloat16x4_t val[2]; +} bfloat16x4x2_t; + +typedef struct bfloat16x8x2_t { + bfloat16x8_t val[2]; +} bfloat16x8x2_t; + +typedef struct bfloat16x4x3_t { + bfloat16x4_t val[3]; +} bfloat16x4x3_t; + +typedef struct bfloat16x8x3_t { + bfloat16x8_t val[3]; +} bfloat16x8x3_t; + +typedef struct bfloat16x4x4_t { + bfloat16x4_t val[4]; +} bfloat16x4x4_t; + +typedef struct bfloat16x8x4_t { + bfloat16x8_t val[4]; +} bfloat16x8x4_t; + +#endif // __ARM_NEON_TYPES_H diff --git a/software/lib/sw_lib/devices/include/gic400.h b/software/lib/sw_lib/devices/include/gic400.h new file mode 100755 index 0000000..1b2f47a --- /dev/null +++ b/software/lib/sw_lib/devices/include/gic400.h @@ -0,0 +1,161 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + +#ifndef __GIC400_H__ +#define __GIC400_H__ + +#include <stdio.h> + +#define ENABLE_GROUP0 1U << 0 +#define ENABLE_GROUP1 1U << 1 + +/* Register Definitions*/ + +struct set_and_clear_regs +{ + volatile unsigned int set[32], clear[32]; +}; + +typedef struct +{ + volatile unsigned int control; /* 0x000 */ + volatile unsigned const int controller_type; /* 0x004 */ + volatile unsigned int iidr; /* 0x008 */ + const unsigned int padding1[29]; /* 0x010 */ + unsigned int group[32]; /* 0x080 */ + struct set_and_clear_regs enable; /* 0x100 */ + struct set_and_clear_regs pending; /* 0x200 */ + struct set_and_clear_regs active; /* 0x300 */ + volatile unsigned int priority[256]; /* 0x400 */ + volatile unsigned int target[256]; /* 0x800 */ + volatile unsigned int configuration[64]; /* 0xC00 */ + const char padding2[512]; /* 0xD00 */ + volatile unsigned int software_interrupt; /* 0xF00 */ + const char padding3[207]; + volatile unsigned const int pid4; /* 0xFD0 */ + const char padding4[12]; + volatile unsigned const int pid[4]; /* 0xFE0 */ + volatile unsigned const int cid[4]; /* 0xFF0 */ +} interrupt_distributor; + +typedef struct +{ + volatile unsigned int control; /* 0x00 */ + volatile unsigned int priority_mask; /* 0x04 */ + volatile unsigned int binary_point; /* 0x08 */ + volatile unsigned const int interrupt_ack; /* 0x0c */ + volatile unsigned int end_of_interrupt; /* 0x10 */ + volatile unsigned const int running_priority; /* 0x14 */ + volatile unsigned const int highest_pending; /* 0x18 */ + volatile unsigned int aliased_binary_point; /* 0x1C */ + volatile unsigned int aliased_interrupt_ack; /* 0x20 */ + volatile unsigned int aliased_end_of_interript; /* 0x24 */ + +} cpu_interface; + + +typedef struct +{ + volatile unsigned int hcr; /* 0x00 */ + volatile unsigned int vtr; /* 0x04 */ + volatile unsigned int vmcr; /* 0x08 */ + volatile unsigned int padding1; /* 0x0c */ + volatile unsigned int misr; /* 0x10 */ + volatile unsigned int padding2[3]; + volatile unsigned int eisr0; /* 0x20 */ + volatile unsigned int eisr1; /* 0x24 */ + volatile unsigned int padding3[2]; + volatile unsigned int elsr0; /* 0x30 */ + volatile unsigned int elsr1; /* 0x34 */ + volatile unsigned int padding4[2]; + volatile unsigned int padding5[48]; + volatile unsigned int lr[64]; /* 0x100 */ +}virtual_interface_ctrl; + + +typedef struct +{ + volatile unsigned int ctlr; /* 0x00 */ + volatile unsigned int pmr; /* 0x04 */ + volatile unsigned int bpr; /* 0x08 */ + volatile unsigned int iar; /* 0x0c */ + volatile unsigned int eoir; /* 0x10 */ + volatile unsigned int rpr; /* 0x14 */ + volatile unsigned int hppir; /* 0x18 */ + volatile unsigned int abpr; /* 0x1c */ + volatile unsigned int aiar; /* 0x20 */ + volatile unsigned int aeoir; /* 0x24 */ + volatile unsigned int ahppir; /* 0x28 */ + volatile unsigned int padding1; /* 0x2C */ + volatile unsigned int padding2[1012]; + volatile unsigned int dir; /* 0x1000 */ + +} virtual_cpu_interface; + +extern void (*wrapped_irq_vector[][4])(void); + +void irq_handler(void); + + +/* Function prototype - interrupt handler function prototype */ +typedef void (*IntHandler)(int, int); + +/* Function prototype - legacy gic initialise function */ +void gic_initialise (void); + +/* Function prototype - install interrupt handler */ +void gic_install_handler (unsigned int, IntHandler); + +/* Function prototype - enable interrupt */ +void gic_enable_interrupt (unsigned int); + +/* Function prototype - disable interrupt */ +void gic_disable_interrupt (unsigned int); + +/* Function prototype - virtual irq handler */ +void call_handler_virq(unsigned int, unsigned int); + +/* Function prototype - returns interrupt type */ +void gic_interrupt_type(unsigned int, unsigned int); + +/* Function prototype - interrupt handler */ +void irq_handler(void); + +/* Function prototype - target the cpu in multi-cluster multi-cpu environment */ +void intr_target_cpu(unsigned int interrupt, unsigned int cpu, unsigned int set); + +/* Function prototype - set the interrupt security */ +void intr_security_set(unsigned int interrupt, unsigned int set); + +/* Function prototype - set the interrupt security */ +void set_intr_conf(unsigned int int_num, unsigned int int_val); + +/* Function prototype - set the interrupt priority and priority mask */ +void set_intr_priority_mask(unsigned int intr_num, unsigned int intr_pri, unsigned int pri_mask); + +/* Function prototype - set the cpu interface and distributor */ +void gic_init_ci_di(unsigned int fiq_enable); + +/* Function prototype - Gic improved initialise method */ +void gic_initialise_intr(unsigned int interrupt, unsigned int cpu, unsigned int set, unsigned int fiq_enable); + +void gic_read_pids(); +void gic_set_active_interrupt(unsigned int); +void gic_disable_cpu_interface(void); + + +#endif diff --git a/software/lib/sw_lib/devices/include/global_defines.h b/software/lib/sw_lib/devices/include/global_defines.h new file mode 100755 index 0000000..c124df3 --- /dev/null +++ b/software/lib/sw_lib/devices/include/global_defines.h @@ -0,0 +1,42 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + +#ifndef GLOBAL_DEFINES_H +#define GLOBAL_DEFINES_H + + +#include <stdint.h> /* standard types definitions */ + + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + <strong>IO Type Qualifiers</strong> are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + + +#endif diff --git a/software/lib/sw_lib/devices/include/qspi_flash.h b/software/lib/sw_lib/devices/include/qspi_flash.h new file mode 100644 index 0000000..de06f6c --- /dev/null +++ b/software/lib/sw_lib/devices/include/qspi_flash.h @@ -0,0 +1,61 @@ +#include <stdint.h> + +extern void qspi_enable_cache(); +extern void qspi_xip_enable(); +extern int32_t SPI_READ_JEDIC(); +extern void spi_reset(); +extern void SET_QPI_MODE(); +extern int32_t QPI_READ_JEDIC(); + + +#define QSPI_CONFIG_BASEADDR (0x01000000UL) + +/*------------- SL AHB QSPI --------------------------------------*/ +/** @addtogroup SL AHB QSPI + @{ +*/ +typedef struct +{ + volatile uint32_t QSPI_CONTROL; + volatile uint32_t QSPI_STATUS; + volatile uint32_t QSPI_CMD; + volatile uint32_t QSPI_ADDR; + volatile uint32_t QSPI_RDATA0; + volatile uint32_t QSPI_RDATA1; + volatile uint32_t QSPI_RDATA2; + volatile uint32_t QSPI_RDATA3; + volatile uint32_t QSPI_WDATA0; + volatile uint32_t QSPI_WDATA1; + volatile uint32_t QSPI_WDATA2; + volatile uint32_t QSPI_WDATA3; +} AHB_QSPI_TypeDef; + +#define SL_AHB_QSPI ((AHB_QSPI_TypeDef *) QSPI_CONFIG_BASEADDR) + +#define QSPI_CACHE_CONFIG_ADDR (0x01001000UL) + +/*------------- Arm Flash Cache --------------------------------------*/ +/** @addtogroup Arm Flash Cache + @{ +*/ +typedef struct +{ + volatile uint32_t CCR; + volatile uint32_t SR; + volatile uint32_t IRQMASK; + volatile uint32_t IRQSTAT; + volatile uint32_t HWPARAMS; + volatile uint32_t CSHR; + volatile uint32_t CSMR; +} Arm_Flash_Cache_TypeDef; + +#define CACHE_CTRL ((Arm_Flash_Cache_TypeDef *) QSPI_CACHE_CONFIG_ADDR) + +#define QSPI_DATA_BASEADDR (0x00400000UL) + +typedef struct +{ + volatile uint32_t DATA[512]; +} QSPI_CACHE_TypeDef; + +#define QSPI_CACHE ((QSPI_CACHE_TypeDef *) QSPI_DATA_BASEADDR) diff --git a/software/lib/sw_lib/devices/include/uart_stdout.h b/software/lib/sw_lib/devices/include/uart_stdout.h new file mode 100644 index 0000000..e513680 --- /dev/null +++ b/software/lib/sw_lib/devices/include/uart_stdout.h @@ -0,0 +1,32 @@ +/* + *----------------------------------------------------------------------------- + * The confidential and proprietary information contained in this file may + * only be used by a person authorised under and to the extent permitted + * by a subsisting licensing agreement from Arm Limited or its affiliates. + * + * (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. + * ALL RIGHTS RESERVED + * + * This entire notice must be reproduced on all copies of this file + * and copies of this file may only be made by a person if such person is + * permitted to do so under the terms of a subsisting license agreement + * from Arm Limited or its affiliates. + * + * SVN Information + * + * Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ + * + * Revision : $Revision: 371321 $ + * + * Release Information : Cortex-M System Design Kit-r1p1-00rel0 + *----------------------------------------------------------------------------- + */ +/* Functions for stdout during simulation */ +/* The functions are implemented in shared/software/common/uart_stdout.c */ +#include <stdint.h> + +extern void UartStdOutInit(void); +extern void Uart2StdOutInit(void); +extern unsigned char UartPutc(unsigned char my_ch); +extern unsigned char UartGetc(void); +extern void UartEndSimulation(void); diff --git a/software/lib/sw_lib/devices/src/gic400.c b/software/lib/sw_lib/devices/src/gic400.c new file mode 100755 index 0000000..39b6fe7 --- /dev/null +++ b/software/lib/sw_lib/devices/src/gic400.c @@ -0,0 +1,329 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + +#include <stdio.h> +#include "gic400.h" +#include "uart_stdout.h" +#include "system.h" +#include "sys_memory_map.h" +#ifdef AARCH_V8 +# include "ipc.h" +# include "cpu_asm_codes.h" +#else +# include "helper_functions.h" +#endif + +#define MAX_NO_OF_INTERRUPTS_IMPLEMENTED 512 +#define SPURIOUS_INTERRUPT 1023 +#define INTERRUPT_MASK 0x000003FF +#define CPUID_SHIFT 10 + + +/* DEFAULT ADDRESSES IN MEMORY MAP FOR INTERRUPT CONTROLLER */ +static interrupt_distributor * id = (interrupt_distributor *)(GIC_DISTRIBUTOR_BASE); +static cpu_interface * ci = (cpu_interface *)(GIC_CPU_INTERFACE_BASE); +static virtual_interface_ctrl *vctrl = (virtual_interface_ctrl *)(GIC_VIRTUAL_INTERFACE_CONTROL_BASE); +static virtual_cpu_interface *vci = (virtual_cpu_interface *)(GIC_VIRTUAL_CPU_INTERFACE_BASE); + + +static IntHandler IntHandlers[MAX_NO_OF_INTERRUPTS_IMPLEMENTED] __attribute__((section ("RW"))); + +/* Following function routes the interrupt to targeted CPU */ +void intr_target_cpu(unsigned int interrupt, unsigned int cpu, unsigned int set) +{ + unsigned int word, bit_shift, temp; + + /* There are 4 interrupt target registers per word */ + word = (interrupt / 4); + bit_shift = (interrupt % 4) * 8; + cpu = (1 << cpu) << bit_shift; + + temp = id->target[word]; + if (set) + { + temp |= cpu; + } + else + { + temp &= ~cpu; + } + id->target[word] = temp; + +#ifdef DEBUG + printf("intr_target_cpu: interrupt = %d addr=%x val=%x vtbw=%x\n",interrupt, &id->target[word], id->target[word], temp); +#endif + + instr_sync_barrier(); +} + +/* Following function sets the security of interrupt */ +void intr_security_set(unsigned int interrupt, unsigned int set) +{ + unsigned int word, bit_shift, temp; + + /* There are 4 interrupt target registers per word */ + word = interrupt / 32; + bit_shift = (interrupt % 32); + + /* Non-secure interrupt */ + temp = id->group[word]; + if (set) + { + temp |= (1U << bit_shift); + } + /* Secure interrupt */ + else + { + temp &= ~(1U << bit_shift); + } + + // while(id->group[word] ==0) + id->group[word] = temp; + +#ifdef DEBUG + printf("intr_security_set: interrupt = %d addr=%x val=%x vtbw=%x\n",interrupt, &id->group[word], id->group[word], temp); +#endif + + instr_sync_barrier(); +} + +/* Following function enables the interrupt */ +void gic_enable_interrupt (unsigned int i) +{ + unsigned int word; + + word = i / 32; + i %= 32; + i = 1 << i; + + // while(id->enable.set[word] ==0) + id->enable.set[word] = i; + +#ifdef DEBUG + printf("Enable_intr: addr=%x val=%x vtbw=%x\n",&id->enable.set[word],id->enable.set[word],i); +#endif +} + +/* Following function disables the interrupt */ +void gic_disable_interrupt (unsigned int i) +{ + unsigned int word; + + word = i / 32; + i %= 32; + i = 1 << i; + + // while(id->enable.clear[word]==0) + id->enable.clear[word] = i; +} + +/* Set correct interrupt configuration (level sensitive, 1-N) */ +void set_intr_conf(unsigned int int_num, unsigned int int_val) +{ + unsigned int reg_num = int_num / 16; + unsigned int bit_shift = (int_num % 16) * 2; + + // while(id->configuration[reg_num] ==0) + id->configuration[reg_num] |= (int_val << (bit_shift)); +#ifdef DEBUG + printf("set_intr_conf: interrupt = %d addr=%x val=%x vtbw=%x\n",int_num, &id->configuration[reg_num], id->configuration[reg_num], (int_val << (bit_shift)) ); +#endif + +} + +/* Following function sets the priority and priority masks */ +void set_intr_priority_mask(unsigned int intr_num, unsigned int intr_pri, unsigned int pri_mask) +{ + unsigned int reg_num = (intr_num / 4); + unsigned int bit_shift = (intr_num % 4) * 8; + + if (intr_pri > pri_mask) + printf("Interrupt priority should be lesser than priority mask\n"); + + // while(ci->priority_mask == 0) + ci->priority_mask = pri_mask; + + // while(id->priority[reg_num] == 0) + id->priority[reg_num] |= (intr_pri << (bit_shift)); + +#ifdef DEBUG + printf("priority mask: intr num=%d addr=%x val=%x vtbw=%x\n",intr_num, &ci->priority_mask, ci->priority_mask, pri_mask); + printf("priority mask: addr=%x val=%x vtbw=%x\n", &id->priority[reg_num], id->priority[reg_num], (intr_pri << ((bit_shift))) ); +#endif +} + +/* Following function sets the cpu interface and distributor */ +void gic_init_ci_di(unsigned int fiq_enable) +{ + if(fiq_enable){ + ci->control = 0x9; + + } else { + // while(ci->control ==0) + ci->control = 0x1; + } + + //while(id->control == 0) + id->control = 0x1; + +#ifdef DEBUG + printf("gic_init_ci_di: addr=%x val=%x addr=%x val=%x\n",&ci->control, ci->control, &id->control, id->control); +#endif + +} + +/* Following function configures the GIC, targets the interrupt to cpu and configures priority */ +void gic_initialise_intr(unsigned int interrupt, unsigned int cpu, unsigned int set, unsigned int fiq_enable) +{ + /* Install the handler for v7 code */ + unsigned int affreg; + + /* asm volatile ( */ + /* "MRC p15, 0, %x[output], c0, c0, 5 \n\t" */ + /* : [output] "=r" (affreg) */ + /* : */ + /* : */ + /* ); */ +#ifdef AARCH_V8 + affreg = get_cluster_id(); +#else + affreg = get_processor_affinity(); +#endif // ARM_V8_32BIT + + id->control = 0x00000000; + /* Interrupt target cpu */ + intr_target_cpu(interrupt, cpu, set); + + /* Interrupt (1-N model, Level sensitive) -> 0x1 */ + /* Interrupt (N-N model, edge sensitive) -> 0x2 */ + /* Refer to Interrupt configuration register GICD_ICFGRn */ + set_intr_conf(interrupt, 0x1); + + /* Set priority mask and priority */ + set_intr_priority_mask(interrupt, 0x00, 0xF0); + + /* Set security */ + intr_security_set(interrupt, 0); + + /* Enable gic distributor and CPU interface */ + gic_init_ci_di(fiq_enable); + + } + + +/* Following function installs the handler */ +void gic_install_handler(unsigned int interrupt, IntHandler handler) +{ + if (interrupt > MAX_NO_OF_INTERRUPTS_IMPLEMENTED) { + return; + } + IntHandlers[interrupt] = handler; +} + + +/* Following function calls the interrupt handler */ +void irq_handler(void) +{ + int source, interrupt, raw_interrupt; + + /* service all pending interrupts */ + while (1) + { + /* Get the highest priority interrupt */ + raw_interrupt = ci->interrupt_ack; + source = raw_interrupt >> CPUID_SHIFT; + interrupt = raw_interrupt & INTERRUPT_MASK; + + if (interrupt == SPURIOUS_INTERRUPT) { + break; + } + + /* Call the handler function */ + if (IntHandlers[interrupt]) { + IntHandlers[interrupt](interrupt, source); + } + + // data_sync_barrier(); + + /* Clear the interrupt */ + ci->end_of_interrupt = raw_interrupt; + } + +} + +/* Following function calls the virtual interrupt handler */ +void call_handler_virq(unsigned int interrupt, unsigned int source) +{ + if (IntHandlers[interrupt]) { + IntHandlers[interrupt](interrupt, source); + } +} + +/* Following function identifies the interrupt type */ +void gic_interrupt_type(unsigned int interrupt, unsigned int edge_type) { + + unsigned int tmp_config; + unsigned int config_reg; + unsigned int bit_pos; + + //check interrupt is in range + if(interrupt > MAX_NO_OF_INTERRUPTS_IMPLEMENTED) { + return; + } + + //calculate which GICD_ICFGRn the interrupt is in + config_reg = interrupt / 16; + + //calculate bit position in the GICD_ICFGRn register + //Add 1 as each interrupt has two bits [X:Y] + //Y - is readonly + //X - selects the edge type + bit_pos = (interrupt - (config_reg * 16)) * 2 + 1; + + tmp_config = id->configuration[config_reg]; + + tmp_config = tmp_config | (edge_type << bit_pos); + + id->configuration[config_reg] = tmp_config; + +} + +void gic_read_pids(){ + printf("Addr=%x val=%x\n",&id->pid4, id->pid4); + for (int i=0;i<4;i++){ + printf("Addr=%x val=%x\n",&id->pid[i], id->pid[i]); + } + for (int i=0;i<4;i++){ + printf("Addr=%x val=%x\n",&id->cid[i], id->cid[i]); + } +} + +void gic_set_active_interrupt(unsigned int i){ + unsigned int word; + + word = i / 32; + i %= 32; + i = 1 << i; + id->active.set[word] = i; + +} + +void gic_disable_cpu_interface(void) +{ + /* Disable the CPU Interface */ + ci->control &= ~(ENABLE_GROUP0 | ENABLE_GROUP1); +} diff --git a/software/lib/sw_lib/devices/src/qspi_flash.c b/software/lib/sw_lib/devices/src/qspi_flash.c new file mode 100644 index 0000000..4ec8749 --- /dev/null +++ b/software/lib/sw_lib/devices/src/qspi_flash.c @@ -0,0 +1,70 @@ +#include "qspi_flash.h" + +void spi_reset(){ + SL_AHB_QSPI->QSPI_CMD = 0x066; + SL_AHB_QSPI->QSPI_CMD = 0x166; + while(SL_AHB_QSPI->QSPI_STATUS&1){ + ; + } + SL_AHB_QSPI->QSPI_CMD = 0x099; + SL_AHB_QSPI->QSPI_CMD = 0x199; + while(SL_AHB_QSPI->QSPI_STATUS&1){ ;} +} + +void SET_QPI_MODE(){ + SL_AHB_QSPI->QSPI_CMD = 0x038; + SL_AHB_QSPI->QSPI_CMD = 0x138; + while(SL_AHB_QSPI->QSPI_STATUS&1){ ;} + int32_t tmp_QSPI_CONTROL; + tmp_QSPI_CONTROL = SL_AHB_QSPI->QSPI_CONTROL; + tmp_QSPI_CONTROL = tmp_QSPI_CONTROL | 1; + SL_AHB_QSPI->QSPI_CONTROL=tmp_QSPI_CONTROL; + return; +} + +void qspi_enable_cache(){ + uint32_t QSPI_CTRL_tmp; + QSPI_CTRL_tmp=SL_AHB_QSPI->QSPI_CONTROL; + QSPI_CTRL_tmp = QSPI_CTRL_tmp | 1<<8; + SL_AHB_QSPI->QSPI_CONTROL = QSPI_CTRL_tmp; + + CACHE_CTRL->CCR = 0x61; + while((CACHE_CTRL->SR&0x3)!=2){;} + + return; +} + +void qspi_xip_enable(){ + uint32_t QSPI_CTRL_tmp; + // Setup continuous reading + QSPI_CTRL_tmp=SL_AHB_QSPI->QSPI_CONTROL; + QSPI_CTRL_tmp = QSPI_CTRL_tmp | 1<<8; + SL_AHB_QSPI->QSPI_CONTROL = QSPI_CTRL_tmp; + + QSPI_CTRL_tmp=SL_AHB_QSPI->QSPI_CONTROL; + QSPI_CTRL_tmp = QSPI_CTRL_tmp | ((1<<24) + (0x0A0<<16)); + SL_AHB_QSPI->QSPI_CONTROL = QSPI_CTRL_tmp; + // 1 read with op code + uint32_t rdata; + rdata = QSPI_CACHE->DATA[0]; + // set no-opcode mode + QSPI_CTRL_tmp=SL_AHB_QSPI->QSPI_CONTROL; + QSPI_CTRL_tmp = QSPI_CTRL_tmp | (1<<25); + SL_AHB_QSPI->QSPI_CONTROL = QSPI_CTRL_tmp; + return; +} + +int32_t SPI_READ_JEDIC(){ + SL_AHB_QSPI->QSPI_CMD = 0x0002009F; + SL_AHB_QSPI->QSPI_CMD = 0x0002039F; + while(SL_AHB_QSPI->QSPI_STATUS&1){ ;} + return SL_AHB_QSPI->QSPI_RDATA0; +} + +int32_t QPI_READ_JEDIC(){ + SL_AHB_QSPI->QSPI_CMD = 0x000210AF; + SL_AHB_QSPI->QSPI_CMD = 0x000213AF; + while(SL_AHB_QSPI->QSPI_STATUS&1){ ;} + return SL_AHB_QSPI->QSPI_RDATA0; +} + diff --git a/software/lib/sw_lib/devices/src/uart_stdout.c b/software/lib/sw_lib/devices/src/uart_stdout.c new file mode 100644 index 0000000..bee199a --- /dev/null +++ b/software/lib/sw_lib/devices/src/uart_stdout.c @@ -0,0 +1,65 @@ +/* + *----------------------------------------------------------------------------- + * The confidential and proprietary information contained in this file may + * only be used by a person authorised under and to the extent permitted + * by a subsisting licensing agreement from Arm Limited or its affiliates. + * + * (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. + * ALL RIGHTS RESERVED + * + * This entire notice must be reproduced on all copies of this file + * and copies of this file may only be made by a person if such person is + * permitted to do so under the terms of a subsisting license agreement + * from Arm Limited or its affiliates. + * + * SVN Information + * + * Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ + * + * Revision : $Revision: 371321 $ + * + * Release Information : Cortex-M System Design Kit-r1p1-00rel0 + *----------------------------------------------------------------------------- + */ + + /* + + UART functions for retargetting + + */ + +#include "uart_stdout.h" +#include "CMSDK.h" +#define CLKFREQ 100000000 +#define BAUDRATE 3125000 +#define BAUDCLKDIV (CLKFREQ / BAUDRATE) + +void UartStdOutInit(void) +{ + CMSDK_UART2->CTRL = 0x00; // disable whie reprogramming + CMSDK_UART2->BAUDDIV = BAUDCLKDIV; // (100MHz/BAUDRATE) in 16.4 format + CMSDK_UART2->CTRL = 0x01; // TX, standard UART2 + return; +} + + +// Output a character +unsigned char UartPutc(unsigned char my_ch) +{ + while (CMSDK_UART2->STATE & 1); // Wait if Transmit Holding register full + CMSDK_UART2->DATA = my_ch; // write to transmit holding register + return (my_ch); +} +// Get a character +unsigned char UartGetc(void) +{ + while (((CMSDK_UART2->STATE & 2)==0)); + return (CMSDK_UART2->DATA); +} + +void UartEndSimulation(void) +{ + UartPutc((char) 0x4); // End of simulation + while(1); +} + diff --git a/software/lib/sw_lib/host/include/clus_pe_cnt.h b/software/lib/sw_lib/host/include/clus_pe_cnt.h new file mode 100644 index 0000000..f51b421 --- /dev/null +++ b/software/lib/sw_lib/host/include/clus_pe_cnt.h @@ -0,0 +1,89 @@ + +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- +#ifndef __CLUS_PE_CNT__ +#define __CLUS_PE_CNT__ + +#define NUM_PE_CLUS_0 1 + +#define NUM_PE_CLUS_1 0 +#define NUM_PE_CLUS_2 0 +#define NUM_PE_CLUS_3 0 +#define NUM_PE_CLUS_4 0 +#define NUM_PE_CLUS_5 0 +#define NUM_PE_CLUS_6 0 +#define NUM_PE_CLUS_7 0 +#define NUM_PE_CLUS_8 0 +#define NUM_PE_CLUS_9 0 +#define NUM_PE_CLUS_10 0 +#define NUM_PE_CLUS_11 0 +#define NUM_PE_CLUS_12 0 +#define NUM_PE_CLUS_13 0 +#define NUM_PE_CLUS_14 0 +#define NUM_PE_CLUS_15 0 +#define NUM_PE_CLUS_16 0 +#define NUM_PE_CLUS_17 0 +#define NUM_PE_CLUS_18 0 +#define NUM_PE_CLUS_19 0 +#define NUM_PE_CLUS_20 0 +#define NUM_PE_CLUS_21 0 +#define NUM_PE_CLUS_22 0 +#define NUM_PE_CLUS_23 0 +#define NUM_PE_CLUS_24 0 +#define NUM_PE_CLUS_25 0 +#define NUM_PE_CLUS_26 0 +#define NUM_PE_CLUS_27 0 +#define NUM_PE_CLUS_28 0 +#define NUM_PE_CLUS_29 0 +#define NUM_PE_CLUS_30 0 +#define NUM_PE_CLUS_31 0 +#define NUM_PE_CLUS_32 0 +#define NUM_PE_CLUS_33 0 +#define NUM_PE_CLUS_34 0 +#define NUM_PE_CLUS_35 0 +#define NUM_PE_CLUS_36 0 +#define NUM_PE_CLUS_37 0 +#define NUM_PE_CLUS_38 0 +#define NUM_PE_CLUS_39 0 +#define NUM_PE_CLUS_40 0 +#define NUM_PE_CLUS_41 0 +#define NUM_PE_CLUS_42 0 +#define NUM_PE_CLUS_43 0 +#define NUM_PE_CLUS_44 0 +#define NUM_PE_CLUS_45 0 +#define NUM_PE_CLUS_46 0 +#define NUM_PE_CLUS_47 0 +#define NUM_PE_CLUS_48 0 +#define NUM_PE_CLUS_49 0 +#define NUM_PE_CLUS_50 0 +#define NUM_PE_CLUS_51 0 +#define NUM_PE_CLUS_52 0 +#define NUM_PE_CLUS_53 0 +#define NUM_PE_CLUS_54 0 +#define NUM_PE_CLUS_55 0 +#define NUM_PE_CLUS_56 0 +#define NUM_PE_CLUS_57 0 +#define NUM_PE_CLUS_58 0 +#define NUM_PE_CLUS_59 0 +#define NUM_PE_CLUS_60 0 +#define NUM_PE_CLUS_61 0 +#define NUM_PE_CLUS_62 0 +#define NUM_PE_CLUS_63 0 + +#define NUM_THREADS 1 + +#endif diff --git a/software/lib/sw_lib/host/include/il_mem_map_includes.h b/software/lib/sw_lib/host/include/il_mem_map_includes.h new file mode 100755 index 0000000..c1f5cca --- /dev/null +++ b/software/lib/sw_lib/host/include/il_mem_map_includes.h @@ -0,0 +1,36 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + +#ifndef __IL_MEM_MAP_INCLUDES_H__ +#define __IL_MEM_MAP_INCLUDES_H__ + +#include <global_defines.h> + +// ************** REDEFINE TOP LEVEL defines ********************** +// TOP's TRICKBOX + +// ****************** ADD IL LEVEL defines ************************ +// IL's TRICKBOX +// CVM starting point where the CPU synchronisition structure is +#define CPUSYNC_BASE 0x02300000 +//Integration Layer Vultan flash controller APB interface base address + +// Integration layer's Trickbox + +#endif /* __IL_MEM_MAP_INCLUDES_H__ */ + + diff --git a/software/lib/sw_lib/host/include/il_sys_includes.h b/software/lib/sw_lib/host/include/il_sys_includes.h new file mode 100755 index 0000000..c0c6a93 --- /dev/null +++ b/software/lib/sw_lib/host/include/il_sys_includes.h @@ -0,0 +1,25 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + +#ifndef __IL_SYS_INCLUDES_H__ +#define __IL_SYS_INCLUDES_H__ + +// *************** IL SYSTEM LEVEL INCLUDES to use in TOP LEVEL context ***************** + +#endif /* __IL_SYS_INCLUDES_H__ */ + + diff --git a/software/lib/sw_lib/host/include/platform.h b/software/lib/sw_lib/host/include/platform.h new file mode 100755 index 0000000..4c9cb9d --- /dev/null +++ b/software/lib/sw_lib/host/include/platform.h @@ -0,0 +1,32 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + +#ifndef __PLATFORM__ +#define __PLATFORM__ +#include <arm_acle.h> +void sync_ext_abort_handler(void); + +void invalidate_l2_caches(void); +void disable_smp(void); +void disable_caches(void); +void enable_icache(void); +uint32_t get_sctlr_val(void); +void write_sctlr_val(uint32_t sctlr_val); +void clear_OS_LOCK(void); +#endif + + diff --git a/software/lib/sw_lib/host/include/sys_intr_map.h b/software/lib/sw_lib/host/include/sys_intr_map.h new file mode 100644 index 0000000..ce61979 --- /dev/null +++ b/software/lib/sw_lib/host/include/sys_intr_map.h @@ -0,0 +1,29 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + +#define NUM_SHD_INTR 64//PPIs +#define CNTH_INTR 26 +#define CNTV_INTR 27 +#define CNTPS_INTR 29 +#define CNTPNS_INTR 30 +//SPIs +#define UART0_TX_INTR 32 +#define UART0_RX_INTR 33 +#define UART0_TX_OVR_INTR 34 +#define UART0_RX_OVR_INTR 35 +#define UART0_COMB_INTR 36 +#define TIMER0_INTR 37 diff --git a/software/lib/sw_lib/host/include/sys_memory_map.h b/software/lib/sw_lib/host/include/sys_memory_map.h new file mode 100644 index 0000000..f9915af --- /dev/null +++ b/software/lib/sw_lib/host/include/sys_memory_map.h @@ -0,0 +1,45 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + + + +#define GIC_BASE 0x01100000UL +#define GIC_DISTRIBUTOR_BASE 0x01101000UL +#define GIC_CPU_INTERFACE_BASE 0x01102000UL +#define GIC_VIRTUAL_INTERFACE_CONTROL_BASE 0x01104000UL +#define GIC_VIRTUAL_CPU_INTERFACE_BASE 0x01106000UL + +#define PERIPHERAL_BASE 0x40000000UL +#define SYS_UART0_BASE PERIPHERAL_BASE +#define TIMER0_BASE 0x40001000UL + +#define DAP_DBG_BASE 0x60000000UL + +#define PASS_CODE 0xBEEF1AC0 +#define FAIL_CODE 0xDEADBEEF +#define HOST_CXDT_CODE 0xFFFFFFFF +#define INTR_RTR_NUM_SII 96 +#define INTR_RTR_NUM_ICI 4 +#define INTR_RTR_LDE_LEVEL 2 +#define NUM_CLUSTERS 1 +#define NUM_CORES 1 + + +// ******** Contains REDEFINES for TOP level defines to use in IL context ********** +// ************* Include Intgeration Layer includes always as last ***************** +#include "il_mem_map_includes.h" +// ********************************************************************************* diff --git a/software/lib/sw_lib/host/include/system_defines.h b/software/lib/sw_lib/host/include/system_defines.h new file mode 100755 index 0000000..ee604d2 --- /dev/null +++ b/software/lib/sw_lib/host/include/system_defines.h @@ -0,0 +1,16 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- diff --git a/software/lib/sw_lib/host/scat/bootloader.scat b/software/lib/sw_lib/host/scat/bootloader.scat new file mode 100644 index 0000000..e4d75fb --- /dev/null +++ b/software/lib/sw_lib/host/scat/bootloader.scat @@ -0,0 +1,28 @@ + + +LoadRegion 0x00000000 +{ + SEC_ROM_BOOT_IMG 0x0000000 + { + boot.s.v8-a.o (SECURE_ROM_BOOT, +FIRST) + *(+RO) + } + +} + +SRAM 0x00800000 +{ + ARM_LIB_STACK 0x00800000 EMPTY 0x2000 + { + } + + ARM_LIB_HEAP 0x00802000 EMPTY 0x2000 + { + + } + + RWZI 0x00804000 0x2000 + { + *(+RW,+ZI) + } +} diff --git a/software/lib/sw_lib/host/scat/default.ld b/software/lib/sw_lib/host/scat/default.ld new file mode 100755 index 0000000..80f04df --- /dev/null +++ b/software/lib/sw_lib/host/scat/default.ld @@ -0,0 +1,150 @@ +/*------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- +*/ + +MEMORY +{ + SSE710_SEC_ROM (rx) : ORIGIN = 0x00000000, LENGTH = 16K + SSE710_SEC_SRAM (rwx) : ORIGIN = 0x00004000, LENGTH = 4096K +} + +GROUP(libgcc.a libc.a libm.a libnosys.a) + +SECTIONS +{ + .SEC_ROM_BOOT_IMG : + { + *boot.s.v8-a.o(SECURE_ROM_BOOT) + FILL(0xDEADDEAD); + . = ORIGIN(SSE710_SEC_ROM) + LENGTH(SSE710_SEC_ROM); + } > SSE710_SEC_ROM + + .LOAD_MAIN : + { + *(.text*) + + KEEP(*(.init)) + KEEP(*(.fini)) + + /* .ctors */ + *crtbegin.o(.ctors) + *crtbegin?.o(.ctors) + *(EXCLUDE_FILE(*crtend?.o *crtend.o) .ctors) + *(SORT(.ctors.*)) + *(.ctors) + + /* .dtors */ + *crtbegin.o(.dtors) + *crtbegin?.o(.dtors) + *(EXCLUDE_FILE(*crtend?.o *crtend.o) .dtors) + *(SORT(.dtors.*)) + *(.dtors) + + *(.rodata*) + + KEEP(*(.eh_frame*)) + + __data_start__ = .; + *(.data*) + + . = ALIGN(4); + /* preinit data */ + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP(*(.preinit_array)) + PROVIDE_HIDDEN (__preinit_array_end = .); + + . = ALIGN(4); + /* init data */ + PROVIDE_HIDDEN (__init_array_start = .); + KEEP(*(SORT(.init_array.*))) + KEEP(*(.init_array)) + PROVIDE_HIDDEN (__init_array_end = .); + + + . = ALIGN(4); + /* finit data */ + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP(*(SORT(.fini_array.*))) + KEEP(*(.fini_array)) + PROVIDE_HIDDEN (__fini_array_end = .); + + KEEP(*(.jcr*)) + . = ALIGN(4); + /* All data end */ + __data_end__ = .; + + } > SSE710_SEC_SRAM + + .ARM.extab : + { + *(.ARM.extab* .gnu.linkonce.armextab.*) + } > SSE710_SEC_SRAM + + __exidx_start = .; + .ARM.exidx : + { + *(.ARM.exidx* .gnu.linkonce.armexidx.*) + } > SSE710_SEC_SRAM + __exidx_end = .; + + .bss : + { + . = ALIGN(4); + __bss_start__ = .; + *(.bss*) + *(COMMON) + . = ALIGN(4); + __bss_end__ = .; + } > SSE710_SEC_SRAM + + .PAGE_TABLE_SECTIONS 0x02100000 : + { + *page_table.s.v8-a.o(*) + } > SSE710_SEC_SRAM + + /* Notes section + * This is not used so we discard it. Although not used it needs to be + * explicitly mentioned in the linker script as some toolchains will place + * the notes section at address 0 if it is not explicitly mentioned. */ + /DISCARD/ : { *(.note*) } + + .heap 0x02310000 (COPY): + { + __end__ = .; + end = __end__; + *(.heap*) + __HeapLimit = .; + } > SSE710_SEC_SRAM + + /* .stack_dummy section doesn't contains any symbols. It is only + * used for linker to calculate size of stack sections, and assign + * values to stack symbols later */ + .stack_dummy 0x023c0000 (COPY): + { + *(.stack*) + } > SSE710_SEC_SRAM + + /* Set stack top to end of RAM, and stack limit move down by + * size of stack_dummy section */ + __StackTop = ORIGIN(SSE710_SEC_SRAM) + LENGTH(SSE710_SEC_SRAM); + __StackLimit = __StackTop - SIZEOF(.stack_dummy); + PROVIDE(__stack = __StackTop); + + /* Check if data + heap + stack exceeds RAM limit */ + ASSERT(__StackLimit >= __HeapLimit, "region RAM overflowed with stack") +} + diff --git a/software/lib/sw_lib/host/scat/default.scat b/software/lib/sw_lib/host/scat/default.scat new file mode 100755 index 0000000..7634ee5 --- /dev/null +++ b/software/lib/sw_lib/host/scat/default.scat @@ -0,0 +1,43 @@ +;;------------------------------------------------------------------------------ +;; The confidential and proprietary information contained in this file may +;; only be used by a person authorised under and to the extent permitted +;; by a subsisting licensing agreement from Arm Limited or its affiliates. +;; +;; (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +;; ALL RIGHTS RESERVED +;; +;; This entire notice must be reproduced on all copies of this file +;; and copies of this file may only be made by a person if such person is +;; permitted to do so under the terms of a subsisting license agreement +;; from Arm Limited or its affiliates. +;; +;; Release Information : SSE710-r0p0-00rel0 +;; +;;------------------------------------------------------------------------------ + +ROM 0x00400000 +{ + EXEC_ROM 0x00400000 0x1000000 + { + boot.s.v8-a.o (SECURE_ROM_BOOT, +FIRST) + *(+RO) + } +} + +SRAM 0x00800000 +{ + RWZI 0x00800000 0x4000 + { + *(+RW,+ZI) + } + + ARM_LIB_STACK 0x00804000 EMPTY 0x2000 + { + } + + ARM_LIB_HEAP 0x00806000 EMPTY 0x2000 + { + } + +} + diff --git a/software/lib/sw_lib/host/src/sys_utils.c b/software/lib/sw_lib/host/src/sys_utils.c new file mode 100644 index 0000000..39fe34f --- /dev/null +++ b/software/lib/sw_lib/host/src/sys_utils.c @@ -0,0 +1,114 @@ +//------------------------------------------------------------------------------ +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// Release Information : SSE710-r0p0-00rel0 +// +// ----------------------------------------------------------------------------- + +#include <stdio.h> +#include <stdarg.h> +#include "system.h" +#include "sys_memory_map.h" +#include "sys_intr_map.h" +#include "host_chassis_control.h" +#include "uart_stdout.h" +#include "cpu_asm_codes.h" +// ************* Include Intgeration Layer includes always as last ***************** +#include "il_sys_includes.h" +// ********************************************************************************* + +//Integration layer init function +// __attribute__((weak)) void il_init(void){ +// HOST_CHASSIS_CTRL_TypeDef *host_cpu_chassis_control = ((HOST_CHASSIS_CTRL_TypeDef *) HOST_CPU_HOST_BASE_CONTROL_BASE); +// printf("Extsys0 and Extsys1 CPUWAIT are de-asserted.\n"); +// host_cpu_chassis_control->EXT_SYS0_RST_CTRL.B.CPUWAIT = 0; +// host_cpu_chassis_control->EXT_SYS1_RST_CTRL.B.CPUWAIT = 0; +// } + +// __attribute__((weak)) int main_ext(){ +// call_wfi(); +// return 0; +// } + + +/**----------------------------------------------------------------------------- + Function name : cpu_test_hook + Input Parameters : void + Return Type : void + Descritpion : 1. Hook function to be called from the cpu_test function + 2. __weak definition as overriden by test +------------------------------------------------------------------------------*/ +// __attribute__((weak)) void cpu_test_hook(void) { +// } + + +// @override +// Override weak Moonshine function in apps_v8_32/src/cpu_asm_codes.c, +// to not print unwanted characters in the log with slave CPUs +/**----------------------------------------------------------------------------- + Function name : cpu_test + Input Parameters : void + Return Type : void + Descritpion : 1. Entry point for all slave CPU's + 2. __weak defination as overriden by test + 3. In the absence of Tets implementation, avoids data corruption and prints & +------------------------------------------------------------------------------*/ +// void cpu_test(void) +// { +// HOST_CHASSIS_CTRL_TypeDef *host_cpu_chassis_control = ((HOST_CHASSIS_CTRL_TypeDef *) HOST_CPU_HOST_BASE_CONTROL_BASE); + +// unsigned int cpu_num; +// cpu_num = get_cpu_core_number(); + +// cpu_test_hook(); +// while(1) { +// call_wfe(); +// } +// } + + + +// extern int main(); + +// void master_cpu_entry(void){ +// // Clear wakeup, so CORE0 can turn off if needed +// HOST_CHASSIS_CTRL_TypeDef *host_cpu_chassis_control = ((HOST_CHASSIS_CTRL_TypeDef *) HOST_CPU_HOST_BASE_CONTROL_BASE); +// host_cpu_chassis_control->HOST_CPU_WAKEUP.B.CORE0_WAKEUP = 0x0; + +// main(); +// call_wfi(); +// } + + +/**----------------------------------------------------------------------------- + Function name : TEST_PASS + Input Parameters : void + Return Type : void + Descritpion : Test pass function + ------------------------------------------------------------------------------*/ + +void TEST_PASS(void) { + call_wfi(); +} + +/**----------------------------------------------------------------------------- + Function name : TEST_FAIL + Input Parameters : void + Return Type : void + Descritpion : Test fail function + ------------------------------------------------------------------------------*/ + +void TEST_FAIL(void) { + call_wfi(); +} + diff --git a/software/shared/bin/cxdt.bin b/software/shared/bin/cxdt.bin new file mode 120000 index 0000000..1f9d046 --- /dev/null +++ b/software/shared/bin/cxdt.bin @@ -0,0 +1 @@ +/home/dwn1c21/SoC-Labs/megasoc_project/megasoc_tech/software/shared/bin/cxdt_empty.bin \ No newline at end of file diff --git a/software/shared/bin/cxdt_empty.bin b/software/shared/bin/cxdt_empty.bin new file mode 100755 index 0000000000000000000000000000000000000000..0b63655ec2098d092a67e7e69c0085b3527c4980 GIT binary patch literal 46072 zcmZQzU}11%U|?`(U|{fMzz+hG5c0kZ3=IAZ3=GU34etM*BVZ^<$p@w%3?DLo@GLMm z!C|2yp)0Y-EnRN4TZmh%+Zrdviwqy4f7H40+wq^-=EkUI?8I>4x6~rH)o#Yl67D)1 z85m+VGB5~OF<q`WQE~9sjUaZ$%LXS54l-V4$>2#S06A2dL4`q;K~2Dl@p8q%-#3ET z87><fWVp(mP~a}Gl{rJ2i}7-UvZQm0CeuZ>4>SG<>@5YeCjSxGTLEUh{UfmV2blHk zkHFqyO{R<NAH;qL>}608*ek#FfZG8#h8c`A**|3dWdCsBr~F=lt?VB(ehKVl|4{o& z(Cz>CxBpo_y#2%e;nHvBE&soN_|LqD{X@o|f~~A>JZ@rcOb__a@E;Yh6VUs0i9?U+ zGV>Ycqa1cDdhC}O&oCY}lV^UQSfN>vB9kjqCinAF!QOw*85sU;<#S`~n4lEs{LAZ? zf2Rb)#Fh#MRmN48ZVWS6Kb-%^ppk#kN=N4c&m9FfVGCvk_79DJctxNf!`9Z;HiJRI z%^ECI@`qar0$f~NT%s9{Y=W7y`k#>-<BUZPOwJH<<Nvd|8M!IC-Ctn$;JvDqjV|Mp zO^mBF!FEoCtA+4Ap=uv5(0CwY15yVuqY<tS!Z(Ggd%i&A!3kd{8y;JbT8J6haJ3M= zJXG!L1#BPOerve>+lvT?9bOEoof3=_TPofwX1Q@PGR|QA;Qfz5BlRMqx{{9b1%W#P zI?5MV?kKo%Td-)<-;jMEs3UzrNJsL5f{w`rMV+#Xh7U}2LN0LJQP$D9V5H-J!C1%l zf{9MnMXv{DIvy9yb=)pk=(t?4)JeSP_+YLlx6PAHS#AP`AbZ6BG0a%*!0uq+w!op? ziR%KR>iGq1AHM!#m|^U8i2V}3qT6{7hX;yo=NBkG==W3r>*N9J{Ph=Er@#e9)q@Mz zKHNaj$@byGuXCOp84fZW3Ca%?-Oemv`*8f1+yf(<_lh7lK>T_Y#U#NCjH>GvuzlE! zWYTF5whya+?eSpD@RVUp0EM2S+wlcAp8wm*3r$PuUhmaGX{oKko{>QXmI_w<WzfjH znCNNXmdC&;&!*vYf&I==e?|?x3rrd^7g#l-FM2-E(%`(HrxA7$rl;>ODCMv{fbrY@ zGS1L)gQX+ue=KeeaJdQ;Io*FOZklfE7U;p{GyXEpFoNrq1<P+<AoqZA6%RP&ML^{h z!8~5D{PqQWaCr}?`4IWPe?jK&UBLE1^_K!T1;Ko;?!}-2N<nI#Z56PX)%wezp>;9e z-@r{nPyGVN9eGb?4YLb*3@jQZ7t}O9USxToqhWACU+>MuzK0T?FkSqA8D=o4YB+3g z;<>P40o#WUKN)5kxoJ9V^kDn&>gNV%3~YPI1K08G55tTD><9Q)IDNk0uz>Bu^`8tg zwA>D|pXB#`SoyH$q1QtO28CM;3@`W?7!r$e<C81mlS?w=Q;IUnQZ+QKT#7QwGV{_E zT*F-bLOlIK-26jBR8thnGfOfQ!V+^zQx#MzxIjfM9=#z!p6;H0j?UiLG~&_c?BVF= z=jwyoR=j#~*r=eTrR5qF<R7G^rKP~Yz#uRc#ozf!#i>PLpTm9Z>8F~a;GB_~oUKrh zSX`W%0`~8oDGUrU_i^Y&ayd5LIa6@x1BH}-Xb2>zP_2A`h@aGwB#iiRbPja(_YJ^| z7-$$_)8iKE<Ab3ApL(CrU=MJxV(5bV2b(=0Ut!e-ii>c^AU{t(cW`Xnn8?7OaG!zU z`2yYtS#IAvK_%d{-wZQWIQd@q?7{Y-@`sk&Cl7|1Y#&N~SY$ZJFsfK2I6QdcndJs4 z^BR6L%xGY3;9ucndVx{pxd+>agdbXN&%rvP!8$AwG#+HRfy~YN4a*lC7w&kleenJP z%NKV%&ON`gKnSWX>^H*<CPfa14Ne>vE_twhFo&zV=dtVgy#;I^^nQSHd%;#FH-?T0 z$`Q^_yr)$h_c<W(URA}8$3&OWi@}(I{lmK-JnTID-2D6^g8clV-27Z_`#d=e86R-D zDY~(Kxc{3$p<pkU+fL6P&$-+*-M&8mx0T(E2V|n6hx2()22}@%O`ePs_EmuV%KCx( zH-m=RMT;s%r6vakMUa2?{Wfq@v3Rd|)Sr_vjR90IZ~eg&(PQ7kF4fbMsnJu}vj`qe zZ+=1izQC#S0;B3&57rN7{wuld@L-rJ2le&sUko!(upi)G;FNJe$!(Jd>xbR{lc92F ze=*EpQc-Z&;1qR%QMK2D^~2i#pi)TDVWkJ_hvol4F=LV7^PmlG-xjzI#|sNRSU*gK zs-N${`l0{-d}yg<{Gie^%Z&qEd(HmEFk^v})&)k@QV-S-wf~jerg<>TJpVk)tpJ=V zy20{N7Z_D@Jy<{F{#SDA_h6X0|9K|d&Z=LKw6MU5{Q{$EiU;e5sQ*fC?H&v>*E|Q+ zQ2(~Fx$#27x7;(@-$8<5!iEX~bEXN1`YGZUgNEourWxuQOc%5?1TKm_FsNc&#h}43 z!_tlW0_z8lU#l1xXIQ#1IfL3pe}A#Kfl>nN2b*77Zj3WNJ%`m%Ccm^8Up@Z^Zj~`~ zOi=W2j`n0wbC9t0WSp=b8ly_T7&HPdYUrC>(A4+2Xzrio#$#>?PN|~57&M$OYE*^# zGH8fj$Z~^-a)H8B-NGS-VS$s$1s@OA53l|)%v5r-bny3J{qW?UMuvloMuPeSSWGKj zaQ0yRaN{4t3?*>s@8<FPxhy=i9{*&R!J(?*@PuK36Ym8^H3<*a4~M|!X*$??uzuL{ zPaoP&FmU^~*9xhSKoppcAz_X_o-V-(L8*x;3Mq*ti3*v;3aS+ft`!AHTrLKNH+&2X z8Egy;IujWfYWf%$-b_L%KM+PjN)C*|*JLsd^=^<73S9%Jegl=&M|v0-4s<avh;%V9 zd|_u`IMNF#Z*n>r7z%nA7-V`G7$!_&U@)1)z;I?V14F@97Ps#USU=eQ`>pubi<40y zgY^T~FNF+-3f2$3zZf;7E-)%xVrNkViU0d~P?1rolL5s3@pD1lCtn5)?hF5wG~GZV z?|(8tS+9PAaypFn_~(D6PtSkA+ey#7+A8`b7*w*{o_Jcgv3?N$$)MqS@u8=In}{W= zp5%o*1~v`03-1*<8MQQYF6e2PUsQaM<;LR%Qp@<00o<BV1oO821hq!4EZ~F7e*~9Y zOwJJbWnlSR3s^s#`zr!hbN`2t8^a7XIR83|o}Qne6#io`qFuKO+Oh$)Yj%Kh=Dr^c z8k!dk+%EcQXsBJ_ymP^mS;OrDlX@-#i$=@^HH{D8mW}QOeU18y84t4DR)KXb{=qQA z!eNC|>4l>ntRGtcGR)L+vvfG@!TO>8?_p^9kp3XcZ9Z6a&ksndUf~pbfl+0T2kVEN zzp&DKr-$Ez&7N6qoU))&vHS<9_VBu}8I=G3YPoIpV3;WYEypt9rtn=@?ZNuN3vR|L z57rOPe+|Gjj6s6-gXIfgdi<g8WWO-agY|<U)Sat5o;_c+fc1msU(p9yZe?IMTK#~O zKP#NLFEFZ1_F(-W^;gSnjR(U_)(@g!vkekJrG>>-7PsXKSU+(8{jHb+O=CBHK+;(B zPeu(9q%?Ns$3fM#42;l}bo57-8zgnH{9x2zxWJ%bu@_|8%mu6;p8WZ(7zj0OBh)mL zpNtyf7m!U@@`F)>?*haWmJbjAGitD3U{q27r{`%XW_B%L{jlrLZ$%rZne9+BxqdQg z2wli>V^CDcVEJ(1Kcfcsg#~rsT4@G@B9k*H?H9sL64)B(!TMp^pV|eiA143tgvKkV zulr*wyBmv}g1JRCg9gh5289I)jBXDSI3F-5{Qv&sKZAzm1*QxJ#YG7Z6C5%U6BQDF zD@sCL;`D=AkwJ-*31pMa5131;|1->BP;}x50_R5qxOo15Mg@jh4NOeV42owTTz<g7 z;27c*lv<FJnVeXXU!>ripO==IURsn`l9`_eZs&!bTXS?RH>8dH1JMR6Dab6vXoNwF zA4g|z%mz{-q-~8=AEcPWstw%61hvgU<y8%|eFQ2y6{avSG)!h-u$TZZ3&Ca+Dm-uC zR1bAMTH#sH%fJv4;2DY@G|myOAx`Lm4B+r|E6vMG&A}oUQk0mSs*s+VmkJ4Bg|yPV zWKc+hDvG@P5`}`I)Z)~<5>UW{(o@KP231BiGiJsIj0!*Y=E`h!V!8O!gY`q{@1F}Q zA7r@+fKy%TKZY3%?C-Ds^Wp&WE&ns9YPm^(*~b61++@Hkz5n^(l+E%%^FO1iE}NE{ z3Rqm}|9{0*!Ve8W_R0MJulUC6Czvhv-=dmP!}o%N;-U<Y9N&KzMWsv-i}U|uuLi|D zD-fIc{{ycUDEsd}0jLc>{=rQD{EtylsoRqYr1tGUSnAaIcg2HY79@YLe0T^KSNI1> zolVY={B-3XgDS|^JQqP_+^=897qEW#{_6rX-;_MhS333_779$@P<Va?l!I6=o>;*8 z;n6Qfh5z4O|1l{1*!yEEiyNcbL4Px5h73l{EH}Oj42motUi@X4wLt)s7eMZt3$yKl z?E{eP&A%Wwf@CH@WmqoG@?ib2?bpl&GVstp_7@WRFu(2li|U6Ws9vs%-5#tTX8fAA zfc3-FUywE;C{|(aUj#Pi<rQ7H$uq$sTd%@Qo(MMi023^9T;bu?0+wlj%UD3|JbD3U zdNJ6nFIPZe@nLJ98>1V8`V==iHw!nO4BiJxZlMm$7mD0O9hfe#K2URGexTsC*NNe# zz8jB;Y6gSuyNjl7tj=5)lH5w&v>bLhv0h@GC7>#lq2<gvS1W-*kLdz~0$;+1y$l-6 z7dR3e6eTj49`GbcWF#nZI7=ijDty>0x0S_>L4k1s(}XmS2PsS|7$$JJDY!ANPVw|H z`2T&|f5sV&Y%Et9l^*6_Vit6MnC<}LDL%;OWZ`grkj^yY02|BI1`~x01w{^Lg#@|1 z0$bZQu(&Z)WV&$_Bvg151bZ+jGEHDv#XK>?jpf6iKMHOvGyi`-@}EJ0ZG!+<HDg7V zn_z)MML>b42ZN%g5A#HjdPO&o`X_%F6<B65uz}hJ8E!0-SUybu%b@V^Il3*DD7KvZ z!>GZ05n@ySe+9Sy-%tN%P&oEn9^}`IOgDxKjsk@YMkR*|j{+SJ21SsKLTp-|ARE*F z{#Mikm*6ZP692L*GAcpxNc3NYZWT`k7WW6BGR`%`S0N*@SOHWtq~?{R7Nw>r6cpvB z7bWKA=7B~fa`RJC6}TAk9T*rG4loFysISN@!J!;nrlOZcpfbm!nt|cN{}2Be-ZDG@ z!9TnV450F#pNE0LNkUffBqJy{aQ^-21<9W*e}6z(|Nnf0vVQ$xP+-|0u=fKf6jT{9 zS~oCiLgGmw0~8Yc7uXdX*dd{z&@HRZ#PXlf5*!P6|J15|V-S77p!mdtQAvmm6kf^? zwmR`#eCWaY;rEY+3s^t=`0*T?`xzBjH+<O3vI?X(SBB*S(;t=@2Y3&#Kf9;^4|@i1 z*kANup2Rfa7C78j|6x#g^qgbs4G)G18EzbV1wgLMXxjjDAtbhrz+>wySl5I<2s2N4 zfqcQPz@X^B4szjdMNURgjMn{u#3G1Y@kgQipeF+hB+Nh}`F|LdAYqpEXQu~)BFlt_ z2~2Ja5<qPYmJbPk7?dETar7TXB@J-79QFs)>umVJpfL9t>0xOO4ol$&42l!sVX63F zs}tA7J`dIpp+EW-uzm>oF$EfyoDUG;821|zjxR96u^t?b9pDgQ|HGhQ_x%6X<_)~_ z<lPvi@w#zWbyl=_but7+FuJuaVEv%{gJ%Y#N{fSmTZ-HQXTA$v9;_cEe;B%TF5sEP z`a$H!Bu~h=D~kgAh7Wr+z`epgPsUXa609>>K1lyobld3w8l6;f=y2ZUz~sz038JRe z6QbhS??=x+gJKV?v%#}<gM$Qv+VTp1MN>ECRh&#r(^x+I_{E@c{$i*;;{_Il)6W&E z7&Vw~Fsi;+Gh<|%!8n0os*#(bThRih+5f-K`o9~=v>dFaUHrwMvErheKJ$ezeU1w( z3X7gAR5569UUczeRDEN{`T%0SgCC>nA2Wpc31IWH{&zpG*~;RUvVi5owEqlBAHZ>( z@*7sRFaDLffMF)fhmQXYN=y$tID%vtCNRuo(ENEZK#ozd(IIOA!$g)3mH!noSQHs% zv3zj<4Qj_Sfm^~X9~^#z<_bW0v;J4j-ukU)*je44@H1Y>TFCGqYhm^RmJc!i8FWN0 zYCv;_1~@0?fJ!rFP#R`Y1f@~g-wa9+mc(xc1(pp3dn>|BgeF#mnJ9OryMoFCP?_Wz z;tOl7q^FjYfJ&j1%;LnP9O$?psGkWcH_q52%8mjt1_qb=3=FEpRtm5IRn=mJg8ZTq z1=Ui_w&E9d1_tQhYN<kMMRICtO0hz5W_n&?jzW26N=b%7ei}$)Qf5gpsN4dLnSuJa z&iO?psTJ|Q#_`~$Aao3ufdNe%-b7?zfXV6l8Y^IH<zdJdmllAWotmN$0CIhBW>spj zm4ZQqs(}S$92jC3hIz;uVCoe#R7({=e$eD%U~tW2U~tJSW>5u%B`8!;4FY+SfkA-b zfxy<k9xNY9{{8V_`B3=p4>SjZ`Vin!BBK?pEc*g4%RYm1uGX*LiXWjhkIJtP&{A9e z7o?>LYHe{{eB#0K!TH~-1uP#N{ym1;Q2&5s6{NlC`;%ox1N+OXpjIZNtYY}}Us21= z5!`P4_4B{tQ)nCV%TEO*NSpNiPgv{o)lWo=@X1egXrG7q0;B}A2KRV+|1)T~UtmyT z%y^WrFu@@sDN!MjQ7MQGQbwNq`Csvz*GI5zhku>{rQ!)^ydX9I?w<;Z8E%U*SUzm~ z$*80PPS+cMawutlS!;e8C}y}RWwLx&{*zJ31T41bCx?;+m^Jq&%PL42edh<LJ%DIW zP58;63TlHNeF6!eIUXz@HviqQfaSx6zq6s`*!$<O@Y(wV96r#N=mrm#4|D&5TB1t- z85EX0`LUHzmBno>Q?K8821r{}9NZRN^aIq|)N%&p;)tK1cJE#%)r(CYEFbFrwl84$ zPz~)fgW8M?iYy<x!0K0l)jL4dvs^6mVEK^tw{yWWc)Pd^)DLHWe+4wg0g1m{u*f-h zyE63$sKttCS4#c_wGu(&uYAz)!3IwT6$goAPlgG5D;QV(F=PRa7He=^umG36m7Wd~ z4LOdP6$?TilryO4#$MpO)8fgl%YT7GSM~y@uJ#2k-MEVu4^(wME~x8zT+r4HxS+2a zdeI#^kHqpp<p<-8PYj^e7sCve4>y0XxEZ>Yfaj?|^H3}wM1Fv30+{?suzV$ao{HrI z%MZpGR&Fr)onZNT_&gQ!hcEvbXKaF-zY;9pvVi5o#Xmw1tlStL7`a7w3K()cFk<@k zJk-<3jmMDbL9nNh8>cMDJrjR`MtwjtqO5NG&=9c!hX~_@_loN(Ky#wZ9}fKojdwxn zC=+OiR4!ooF#iu|ltai@Q&0MWuHKJ}usKxb4=evO%wSS8aHwb4;q>MLqYAqR%ZH9X z3^T3V3>~UGSUxoUfsA3^d;abLWW4Z+AY{%3R2GBESWw$cfETUZht^I66(yi%BB%h# zXJBMtWMBf9BMc0T3V7O!$l4e{<u|B&h4$f#OLE~|f9L$%g8aPHyb=Y~VpzS9n4Fwi zT%4Jdld6zZso)$C3bGs2hsUBHRvkD7NBTMYhlXHO26*&<h7J5fLxKZBTrsr4=JJr; z3bPBQFC1MLVY@tB3D^a%Tdar-nVc>L21J^e{|_><x5J6y;-hDbD*r(F|F@OfUk`?v zU!Q&0D!+xr?XdbUzu&&U7qEON{LMT=LXn}v!}*`5vjj&JXk`5lIPEbiGG$4)O>l?F ze}l_^0n0NW$v1R*IKP9-zX8i5>j%j{gUdew%fs|DDDpf|FHmbxO?V*t(}2Bzt${UR z|7ZVx4h0nnPZ_2ejZW_`-(0}*LFRYDQ-iG+H?X+<S5h<rwZ;E_*ZHrYbkoyg#ozDh z{}t;!R&%g3@N;rHG0cu)RO)gNcDn5QD4+3ymNUbHRnCvnnb;YwvMX?bNJSN;0Ou?> z;fMx_P6h`F<z$D9gyg^t273**GO)Yydayb0u{t01U{pNp<H^+O#Ch@X0;UHmoLDX< zWC$ckWOO*QKj1J?=wQ(Ja&g}RkO-)kYOq&e3u7%)Ee|_mrVuM9x1ck#n+iXZn<txs zn<rn5vA`Z1qjS#-wjRoFP+8>6=*FPzV0b8<yTrR>qi=I&v?QY{gSOxWHKy6pLKj#S zIhiA+L@y*W&U9kBxN2cza=~6D=S>R_rGr>o7BwaFmK5yWx~M6cq25H}=SAO=g1tKy zzJ3lGrP$-kpa$wmg8Gx&{_k3#kg|6{Ldt)|2l<YMpuTX|0)=D-_2nrY3!bMWB=7cE znAzyWcTu5URD=5>FKB%RlY$%bhw8r!;Jzut%>UmVe{2M+vbqQ|)8@a~g9Vw5PDU5k zcy>53U(~2ptnYBrzQ}x5DKj}Uz#-Cs$&JI7%bDc@12Y3RhcovD*#{Y!3Mnfx6H@*w zJj{1AXmnnh@-STpEDCZLqhW{hgLKVGjSNm^23`(l26hI1OE)8_osJBb*xU?ES7tD1 z9=)hgtC-2e%)u+*%*f8k-zmM{k@b>-TY~{(hJccwGh@Po`~$3v;PDAi4F_t=bZ%f! z1C`SMe*RYM1h-h2Kb-#C?!lnSkm2m#;wJh)(`|x+qq9OrRSa{*EGM3erz8U_oGWHJ zv0t2+(VTH9<3L8FgOh`xGv9?=nN-*3mnSHgdKNf4NH}IOWU)_Vn^5Y>pun9W{y@*| z<8ui`CO0o<9nV0CuFg$PJQusF1GJbg=DKM#^jEAe2-IS}nB_L1v9n@gv4hMEr{|X$ zl-Lz7IfHub%pV&5GHR$?C{|4J@?=!VVE$0?mr+CJLV{O<Cx}=0mr+CXf<m{fDih0F zM$m{g^M~}mppoKiMFu5^j`+Wf8q62?m3S37nU+7$dI%}+OS%~tqLwl+fZE}%&~iOE z#8<%`GJ=>2Dp#L8fQ-$$Li+pY(hLIa3=9Sx44~y@3>?)A4Ax8x430s*3>u|**?IZp zc?w>x0h$a944>cs@^j8FO7+Ri%T~}=2q?<TO?6Jq$x+Z(2(BzHNzGM&7ib8*&YmtH zy+QuI3L&5_0Hi&g8l0J)QKI0InpB#O&}F5-0Csm~vVvy-LKxHrSEyw`m>B>u6YjpE z)UwRt%=|n}1_s^<tQ(QT0e!R@Tp!+JV34@az`)S(pP%8t|Nkj`3=E)HSoeofqwWHu z(m!yVEdRr(QGY?9Thon+<&q3Ye%>EOjj{_FZVVj~ir;)ZoE5;O=~v&ce!tc2866~z z+$`OgKXm`eaBJw4P=v|D#Owb+bRxw6f0y`&uJ-?TzJI9d_|?pq93&Z)4BePN#A28Y z5fA(WDpB`;&hNjxfaOE^k8C#<CH9$YGyZ@7j$tbU*dz-KlR)AO57-_sf6#)P#-PBt z;ltj7t+J|b8Cl#IHTf?bTEOzb{RbpeKw%;R8sWNdcmc}?n;)QAyQ2$OKA1!0gTSH2 z{D)EF(}g1o-ah{UUpKeHs{uTRSIThMQ(eRD0_UAW-i#Vb7nn5oFQ{m^Tu{|8y=eL1 zy|Of;mWI{^Z4L7adK!8c^fk0D8a&8ylW~)Dvv6bnAoZI8KAXq<;rwq<n{eX-ek2u~ zzd>_epjID9{XVdYZ3~z`Jp0f3z{rj50Z4T7Z&2Iq$6hTrNw;s$wcNfuH*)**{H>yq z8z<wt=d(d=Jo#6U`A1O8PAR~-!mG35y>f#DgUWh;X~sMTEzS4~oOjlGGi&l*V9`{# zprUDhK~vM{V#)&@O{WXGn#C6kHNRhMevsuR>BjtF|1U`Wy~2s}0;9?T59SZ^|ASl! zT5mMZqv^rC1?^Ckt3dPD;FV0g7p8eIf9M9U3D9%^>1hS8ApouJD0(n=LEeL2@H{p1 zhY7zxvw0a87*)DFm_HQ#*K%9v!7wxYK`VH^kNHCbSU%zcqe_zp^M|DWT5hvE7-qUd zZ7hVl&HX}^2lI!Z|5|R7Js4)1KZpdaJFsN_kN{U<c7ai)(1ZDd!+$NeZV!f;st^7@ z4}hxjg}Yq&0;5X02lEGAsM{Mo1fh0W|6-WIqGsT*mSF{GK3yfwgZYEhf6%O^p+lty z^9K>I4=oay9+WL${=oZR!R-fl?(RSxqlV<gngz@snE(G*WKfbd1f|0Zzy2#q8Y*Rh z*r$H6C@uoCj{f?uSmh-MR<ZvVXgq`&JRZXQVaG2f@F*Jdht0nrvwO@RKK;D^AcdEK z0ajju$_k`16TK*5KrUxoAOjqr;+6qP4_p{ot!o~rAml<;2NPnr!^gmYw2rAHHyPfJ zhP6!ztz*Kb2kSZ}nfs76ZJ;rm7yXDivfSi&L>m><687{%oAF{`=s=nyLs5@?ii|;~ zlYv2|hk-$%kAXp<n}Oj#Cj-NYZX9-k8u~%5f#{aP!muPGRlzkRNFf<*CeognfdMi% z%lzTQ&#w!ZKYaQNoALd*fceAgzsM<I`%hR3*z^;Y0zUqL>0j|P%MCR0%KYK^4;bsw zj}Hr&Kb!!Y$+4BOf=%;_C-(&&!-I*8ZW4wJ6S?J?GCz3A82tMl|C?j)!NkT)CM7}V z#zaO1j=d6FS=?TDy!7-iNJvs}Q!rqdz%0)oo0ReIyXS8vH--8JHo+^287G(-_)l)) z&|ta1%`VN)?96n5;{l@r^8*gf1MJKfm=qQi2)Ie?Wmfq09Mt+@P-x%4sD9ONKP#^r zXx@ZDlj*_>c9RSC4;ZvmFI)iS|G$dW=NB-4$oqR6I!1Hc!$I+Yg+kJTOb+J-i3$}g z8jKfMH3~0Y@nHTC{TD<={58m6RCJIrNJz*$$;#oJkeKw~tOxT4pTB1pFn{objxi); zS~!1vejJql|DIdG{J|DUJRwnom4TmSf(DxdAM*s387vxn7nx^RYj9uO?ZNy(<L}7@ z%pX)y)HAMTUBx_;Wyb&S`o9=wG_Z1C-QdCeK^Ux307d0=560D7EEJMJ^Fs=5T5eN3 z6%77;U;Ya=JCvAtiB-@!G0}l7A(Qzkqar68$Oi`)9rzh8FoMUCKWuIDZQa12cEEP` z0_G17|9Cv$U}fRwU~}hVUd^=1(hbxCe)Drh2GdN&i3}5X&KkPyTEJn;{Nc<W79H-3 z*7}?mJ3W{`9R0Ir0rQ7Le@dZaI~o-S*f}pYdoX|4@#nw-<_}x{<U>O|+b75WfQ`U| zHBP)2G&35VxGsW9x21ph&g@;lW5fJm!5_#>ACHMb27|`yi#(=fGMX6-nwu{&fafoM zY>o0|P`hAzZ~^m&u0N6fS`Q4}jxWg0VE)kjN2B9}XNMEV#Z(XG4>f;|c`$#dgqr5- za{*>&2guGC59SZqe-u0RElA2>{*VS$#R2Z)yuQd`S|+2_!Ju{VB4}La$5uOE2DJ&c zhZit^2>he)U_}PQ1VgtIU^_kknEP1xPk@;X_K&Lv^9S2Md}oe={bK<&8`(cv84Oyt z!DfHhx^@GDg3g3fKBqmHKPde<<*(}J|A_ev??j#n3K@J86e>AZa+GtpaoGI-9`%!N z#ttXuiySNdfB*EO^<l$923VO1EdunN&<g?7GQtgA8dX20G{cQ*28RFt|MP>&M$i~@ zNMccXYKf<dLOFDjt+*gHIWsLYHANvUzeoY(j^zBjyi~{>eM!E8OMrrEDHnK+Ca7FJ z(#OC6HzT*SxCA^?mz1hdm0Fa~g^-Ijh*bbfC#5PBr-G;VvFJ<A&nrpH%u{d-@&z@@ zJzc={4XXJtHHivki8+}mNa{TEQc^2)6ky7A6#No%Q*{)Apsi=HS*oQv(4lGtD+SeJ z9Wd5VEe4H0yXBYWr6BB9NX<=3O-V^jQOL}L8w#?tG?fePc96e{GxAGwQWTO>74q{y z9TJE+DW#AlC8-F#8jwM9O&x{g{G6Q36wnA$YF<fECCn*Y3{XFKxdwQ;=qUIm=9Q); zCYO{Jr4}jVCFX)mXJCN&!K4D@d@gW*rly~P0o`7(AqpT%D^RS=MK={>Jr@JaZs&kd zklmPp2ea3r0v>kYFh#c)Yyj9^0}GJN`DtmXd0@{b!-mR0HbNZFz`y|N`*8F_`ZkLe zh)h_xKy(7fihtjC{1;ilcVa;%>tyyxY!g`~EXYu-&UH)9RLbN(%5!Gs0uCFUnam#w zel79Ue6Yqz?ZU#0MknQq%x8Gca@c@+@f@}s&e9h_dCc{qLbYafhm**~Sqqpyg#Vi5 z!TcfQmuB_q%&bgM?q^)VcYx>YR1Z)&=<y4*>dPR(z;s0hgT|$c>N6PFIIi}3Fn_TA z)wh88g9TJxeMSQ-$JKTZ<`4S6dKWN%(1D9PuyR~&^kDv={HuEb^9Kc}ctR#a1%rm* z1<41M3z$EM{sNVfLcdmIWV@}(%*<pz%yYIAY(K}Zeb0+Lm_M-mYFohkff1^E0a!Q3 z#cU7e58r>bFJS)g<tN0Q3o>>%abHxZX3*fcnBu|w;RRUsDYEPZu<Qj;8F}+3$W7Ov zvN|&yWDHDnCM?Kc&|tW*`sqYa{r{6eL3hK4y+5`xsP*}Re7ygsJhY6Q>;Wp5xBu+$ zndpyP;=6e;e^~RA?@TYagk1g;v&09Lkaxf(<iD*Iz6@$Mwi6aGPG$Zu5pH%3*zC@q z)&7igkj>WjVE$0|lkZF!*z8I?X8+qOu(fFc^M~}G|G%I44+^8CpZ~wl{txnT?9cz- z4gXGA!2BWN=l}2j{(xA)KmUI}@Mqcr<_~^9|9?;Z!|=i7C!^bp1<W7ZezGVC>;?79 zxnPOj&@3mX0#<fFXd^^E0HtLG4b@^0)CBD*0HtYA<^YwE2J=93Xs|(IPZx#MqN4mF z1_m$J00jh(fx#uUETpm^6;i}N6e;K`1f_zvBcwp~TG%r%Fszx!z~Gx%Tns8c0uqZ# zz~!7maY<2TUOLFlC~~mU4@urRC$YF#!BPR98zF<hpb}dF#SIJ$41W1}x;WH>q_FDY z;$mP>sDYO!o-RQOR$w=xmBkB;A!GUI<%g#WxbPrYbU@2WmjE0#a6yJ&K(my^T)GGV ziWe?N@CdyEhNLbR0~crz2r_^JAMimj0B#gWZ9phQj*Ec{T;M`@x*&64H4#im7bMES zz~u^x|6)+J0;*pNKv9^JnN*Yrt_Vs&&SOaQV8|$NPw;TaC~${B&<f#$j4>X366S6S z<|b|eCKeS;8XOmxHP|jNY6x6l(crtlsv&wo_Q6p_1-C4>P6nnAKYxK(9g0jJzWfq= za33tr$;kBK?JtHI4m|8gDxdsfn8CowFo8jr`vSv^T)6}6=PwwzSr{-X2`DmsnD`si zZn9gz^kL_J#|2Cuw*9w+whKWcl>%Eu+(6^i$_y+YYJPCCad7eQ2?&XZNir-@<a@A0 zk>|k*MXm>H6geJjP-J_sMUmyf4n?L1dlVTS98hHXko;R<FT`)EIQ+(SfklJk0;>l9 z1*r!x|MdJ4LGn*4Xr(_hvVUqo{dl$m{7i}*&LCg$f_>G%-oVeKap5A!XAEGUsepaP zv4H7=&wt?sOdmY|Gb8y7l0GHwF))DksUYtef+VftlEji!1#lG(U!|0voLpK2UI_r| zCo7~C<>xA-fX4wLs$r{{5b6xJ&fLK3#^RQuB;%Rk_UF6eZw6gn(A=+brkjM@1P2W# zrVA4oI3gI21j$_5B&qe~GJ}Fbf`XeQc)Xw2jnA`l1B;u2Qi>r+Hxpjn9B|#78w~a` zFa&fkFnriLaRZxMj+-dBZ?NP4Z-4FwzZWolIQ-AZ?e7A`45knJ{((w#6<Lb}P#gZk z#T++Hu-XIvzx#4O_`ZPY!`gpFZlAzvSAh2&sK{zS)e3^^l23k&>IE5~-3uQVFnyTz zk3q%OtsrBm1FI9)g%2JJ85|=%EMWT3^-t4{LFx5^|KGR%Pmy`DNkQlJ<qa7O8j=@Y zEnxak{f|M#%59Ouh73_RCMWI-k31GKG#EHWJY2x^A@`q_8-wEA1^>U#fa-jC`Q`$q z4~hSN?ESFyvOl95gNDF`s*J0?OwLsa3`)jslO33ym@iCbcoUH#^CkckvI<(CFEeNg zUf7Xw#+$==M*@RFgRzlYlLMC%^MxjcIT0x`a{?S>4s24;_<Z@p-Va;*H=J6)JK^F2 zrVo1mt}S5tp#AT`0;Ufd|3L0h{l}od?#AlIH$lS<G$-}SlPl)GmhUAOiDy|1dR!MD zW-Q2HoX9XCxk9Pp$wJ;4Odr(#@y=7M;G5Ct#B?#SVy6@9g_R4KJ}~}MsNkEBUXh%w zl)W%JA%}AU*9yJ^O7)6453<>&u}<Qc$Ub2~Cd-7xOodF)Iu?+*3=<e9CRHd_T=8J~ z@bK@09MGCXz7v-gFnzf7SIw=#%qXLM!NdIj-<khkUcmI>!e7IT2l@ZM=lsu=Y0zDM z`TPQ=56AwV^I-aL`0xMkO8;9t95W7NFgi0`U{v7W@L?~5!tdt-Tl+S!xbZ4yxgGUn z`oR5@VTM9E;|zsTrWpyP%rh30vdnl;$~r@#gl$Gb3Hyu%B^)yzlyJ^aDC3%uP{uuD zK^f1C2W2}v7i4xgF<xL$P$*|m-~+FhTfc!poyCn&fnhr91jgwNa(WXKDl}Gd6eU!6 z6e)mKI+i6=c$B55El+!%rk<XjZs)dq!SZyb4|#tXR23eqWMEyT;KuZ!?g#4(1`Ux5 z2c0|F1ursjKg<(i7Idzcd6?$N&Uuw_<^fhu8ODhR`4|;C92jPT%7<m3{Qoys2Bh!y z#l;JlKDho>b!#wvpTMBcd{HBVQIW%0BY|PYf;0wwrwfb<tP>a%7$!7A?Q{FVI>V9O zlb=~r^dbW{1M}jHL*frJBqRbIIVNyUWLxq7d-{L275~0Z{3Wr%p^8C+=>o%yG8w%I z3^N>L7$$)1WW2yI<N9+(J?5K?ik{35^4T9S&S21Bzrdi!eUTBg29y~z@5TCnQ4i!A z4v1@}gW|Z-V|518hj)KK{x1K;sKB7kI$<Z+U5p=o{kL#qoyqj!=r7h8^)d_^pDr?e z*zt=|kM*M74AxbR4E$~iZdV@sc>wAQqL*)=h771Jp>Q8k_95@lTkwE^0bGSCIOmt< zl~{o*td|T73aZAX3RVgXT;YjD;I)}Z(kTUb@rea_d3o^$6?td?WDl2?zP^@%OJ;F$ zei?X?CuGJ2Y%~{!B-RQVtQNEb(%;Y3FC>_Y!6iUP!7)Hb!8bM6F(su)M*-ZYat3v7 zpv@c|1<$<V5=c+SIX^cSy72rJ14BxIs)1o?d}4vBfpKX(sNV)|05SxuVPF8w=X<)q zmN0>veyYU`3=B$K3=E*QkHty`hBN$-{tQ<@QL1ima$+7hKtQuZC7{7kaGjBvS`6-F z7vz_x7Ad6U=YqPvnR#jXMY-V32MW;)4B89~46$4c3@NJ_7#KeY{%4&5>IXf_I3US1 zfk9bsMwBDN1m;Pgl<)zZ5?=mhov|q6u(*)K!;AyYk&Zf(bSE~jvs~0#rQoJFlj*~a zpNei;D;PfX|76sNxBy8njCxEL^=7m?*<OI89EOREAMX4E*|a!=VdjI3NC^g|07vEt z4AYsw<;pd%O<R70Qm(=UP->S3+ZO1kGZ|zX|3xkEI1|%{g+Ezmda$!!O~?c7={U)H zl22<D(}(Ea5I6Y#WYiG5!1Uno0;UhWzaeRK$HfQv3>r)qH8Mcy|Ih-a4-LPyGERZZ zE6~bS{S6-=D^@cVYZ<sXSvi~?**y6`Cgl89s0Gcdo?5{4A?>$97b7bt?}Y_S9}<2m z)+%H|=4C<hR~9gR2!n_*GVpVN=VEVw@;^k3iGdFyc4q<82dCcxd+oNexc&RS`3Hjr z>%|2*2QwKo880Zfb+{|kEzAa$w8?dg>K@jC>Kg6e3bhOql4}>$KCFGZfa!zsZ-ts{ zH>I41+3bgtYZlc!ta<Oj^g-hHJ8;RzdQq|d?E+A_&h&xrH>i}hyug^jpvifmIm0RA z(*mXs%)b}pGUj{AFs5@p`0l~<;rB04P5a~5Kj_HYU$1}Of)yti4@h{*2o@+-FqSA* zIF>xhSeS7ru`%&s&cXDBxttY@1q*XMD;x_J<xb4FlyNAbF@ZrzL6Iw@Dd|uWQ?gUC zf}4YZV-15Q`vr!2P%MGUn@(_f1DcO!x(XUEbo<|+z!aGQj)fP$?e>CBmtb*gFwMwd z)R4LeQt|E29WMp9g_#Zp6P@@jDpuU|(oha`zUkE^kysJw93&x_<0&JUvNC~Dk?{e8 z;>rZZk^)c<Q<2AQf|8f>1@9?tvtk$~F;~oUo8`oN@r;*iMUqQ!#cC(^i&rxyXS8J; z&nR}Nb<lR^yudK~h}VB@o(rBb4Vsdd7<BGkP<tTk)?gfyks=e5AmaA<d9hoHn{cRe z#S)3+g2Ne28IKbdB`_+tC<zBLC_PGl{G36D_u^s?rVqitHZNfM5b$diG(1;&p2%>J zIgtRGiTSX#a|5eeTgHJ59yj(08lbwTAfv*gU}eUO3{Gz537pJZJeVdjR4{7rUgY53 z<k{iGdcjks!-)w@GGAN=ivM3%9!>F>?9Z6#DZ`jpz|M2!1M2}k&I>IbOdmvlZCt?g zK?u4FqWod?Lk0$hwG0e%K;?_Bf@@w1Xn`kqMj2eLae;~sFjoOm^d{z|D8S0u;?mq) zQ0D<u{xC2wa4CQW#S9J1K)p)^D2O(*GKf{M1rL51LDp%)m4SxTGV@9yg$_tPSQ%K6 zf&#dEl2@9Wlv)ImQc#FCG(;F>T*1Ylpa7eohA04$(Z+B!P;-h>%Rp`hNkTEEn&i^r zlKkA%B8A-i6v!YXiW*SA(%I9+7*g~aRVXMpd%75*@C;FS25_FPf+}R4Y=EbWIb0M} zBbcG^Oi_3yFdjG#6jnpZba<yw!5Q3>HmI=FQE+h$3yBPHg=tW*RRC3?V13R3p$gzW zt`*jr1FWXTo`C^L9VCv6QWL?$W*`aZSSYBj2Gzd|4;dJE1z0y~XfQB**vP=Z@Cr8m zSCXHvkeirSiRj)J>nLRAB^RY87N;sW2YdPjhxj^1D1aiPq^MFMF+DLePY>jX9rqX* z-ZJnpa5*RDf%aQ~tXC*A^Hm6T4pt~G0Bzg?_ht%;@<FTH@{2(6p{t<a3L3&x2mse& zE|6Nx(*?Y$7q**AA*mEHFbp2D1=$5IWxzXIHn2DFGbl2-aXIr|U{rE);Bpqdz@*IN z7UjU@EO&ufDZqilS@NRngO?smAF6)5Sitn59J-I6L-9er?8C<%Ods-ofO-$vQ1OTP z914ui57Px7{sfi(KX@PhTfjV<X%^Fms2>bEOcyoW7(ZP2Tfoi)u9vDWWVrqRzVk1e z+g}gH%q^*fsTx%d>^@hG+!z%dj0DRysx>>A**Q2RoDZ^{<O?iM$TLX$vViG>$q$1( z294MYnw?tJoSZ`Jg3f2z-tw`o`sT^_!S*-nj0Uy_KGq4XP69V~c`$vD{h{gh!Gm=c z(+7zk7U20Oi-ZsPCs{b0Kct&K0Ij+;$YcDV_?uB>jRVUJB{wE#mPrhXAhFB8Syr)t zSwg>AX0SMYzhL0@|GV8^6SoGFgbYRv#hZ&f7(cxIe`^8bhgbhCG6WPIWI$$vOisvP z))2fo%Y*U5-T(JI7(d*C&N(xJ*FEGunBu|s;llqL3qC%70uCX@4=4YFOmF>T;O1b! zSi_*n47SbaSM~#k8U_u&3mb9+6gguUH90RZDt^fK1iQb<gYm=K|MwSgKXA-r(B!+o zsB|zth*{A2V0w)Q<A(+RA1+}0Fc)ew!vnXp4Y`eZ44Ntz6jT_M7#^g^3AinA;BfkN zfo;`tPXjl`5A%Mp%}A61g&*65Mkj%rSssiZYX7s%QgplP5hTa>q5MB01VJr;59uIX zLjNTnfaouO7(clF6@C!w!T2HRKl=<ub^}fhaNM0+!1y5&uJ=JcC%Bet@Z`vJkmE>X zP$+rMpitm0zxAR=mK$RQWT(_Qj}FBK3(klJg991N6PT4wc?dc)O;j)dmHdz~m*Wc< zKj{5;^kDp;{hvWe*zKeT;|I0>C!lo-gCZz(-S~5K0pkbh|DZhupp%`>di__Ppy=rA zAQK$Ypz-r^fxE!g|KC6VKjF!!z>)C(``-VI8jKhIe?R*Jv~%nCcaz_T7BGJJ{qO(x z*Z*1E7&TZgFzK*fSnt7*0qWZwTfp())B?5#=fHf%3uhNFJh-}m@x$YP3T^^>|7~S) zYsh$*0cxv&+KC{UYyTEzJjj^ud)tpi{iHLav)DyJ55^Ct|K0Fl{BYu*M#gnd(8&Ry zJ-81N6e={7Je(UP6Dk6nIV2o&ES()w6sj87*{`s8Fn-wh@2m&ohjsrnGNwOV{*ZwI zRE{a=D){B+DMY0f<wMH`P-z0)*r@}aAj-^BFg5}e5ugTeZX#qpNC8yufr_Nu#G>rf z6otfMjPe7QK17j>WEH5KQP4%Kvx1wJSOTsF!7X|&E(TC}iZCD(JTQk+nt>*~7#J8% z@G~&9tYlzN2+7DSMij50HNQovX{kl2dC93M3c2}3sR|_-iFpe7dCA}jn<p!g$A9y{ zTR9+Yeo(Op84m(Y<dqbGW`*+772E@YKyF9$ay(r?tpUV%EwTp`tU!GZ_kbYvVo+TT zT8Eqo*)Nx<0BSUV`x_wR7*N!}r4$l#Km~mzcmOLAWVb>=ekN$C9b_Pyi-BPcviq@y zj}Eki2Ww9Q&7<jYG0eEfz~BrzGzT<L?HpieqTu4>?h@n(^4y(Okg+xRP$~fi*aNQ^ z7*y_q+)@NvUkB|kRw57Y!b}2d(bCciaSaYpaC7wZadpws(&A!ZfQbcrdj<qR1ws8> zn0SC=aImY3Lbzv$hXS<U8w}Bj&<j=L?+ul3atwA=2!MuLW-(}jtW-w<W%2-APaCXa zU;x$G4XYR!KzVFI2D6fY^MVA03NBB~e8!*%YFqF81<GlE{`}huDxV<wzxaYyuQ#%L zfKH)PU{ve`vltZ`**V}MAQo68vA~@HtcD}8z#Syg0apWJfkaNgML;ZOg$8!e$TNrr zjYEUzhKvID{~nBrj0p@64EBS1D!(rlxEpL`P-RqMa$C&K{ow!i_y6Z4{Qv&u|Nrl= z{?ASL|NZ&@Ah}DM7_>fKG}!xLE2G*rb{;oYH%4{t2QSzeFPJAVK44HVNXX>??G3s0 zKSl1zCI+347eOoXp7=1TahND%Y-49sWzgWi_#t6Kf<dBbLTQ4*{sJeE3mP*RG=E>X zy@2sU{vXwZgbW4^fs3UHMhc*@!><XfivJb<e?R)4Q4w^0z`_5i3I7$ACMc8??7i+; zu+_u)nx}(=Lk5Ee;{`^Ag1!I0ANzm8kHc(32BQZ51xA&n>^WeQCj5T`HE99Vq&fe$ zB!Jcde%Q*O0t$6jH=hL1t_MFSe+Gk$6b{flnvFBaKSlp@<-T52aQm=#m#4s159eK; z4iX14KxH>bHu?W{A5iJ6pz#0u&HpTJObY+MU;Y2}`G>8H>ifW<RGz?~$$bGioIv{A z|L1a~$bG&DnV;i#o2kigkx^}t*CNjVXBP<$MGLq8-|zlsQTYFT-G5hp20g|LjH)~j zSag{#FzK>fV1KY6!$D?20`mh%xSaU^G=WL?@dZX*u?tfcFn&1od)flV566Gc^MlMS zGUy6lVA18eupon7SL^~vr>5JC1&klI{nl{%u@_<%h`;Xlx91RE(*nj1%YRQ@!1@5B zx_$xUhXudqf$IO?5ECCg2d&_Tgq^tC95+=ro&>IW>JNUfgVwKrLX;yh!R;Ws14oP7 z3-%O_dJYz6e&<{cPznUa7ibjn06VMmG*5;ZoEe^S9ZoD4LE{&oG|Z6z3ZZTP%H+On zV$i#Kk!yy7BA1kco2DD%2k+mYy|N&?!#!EtJe<Qkn>M&hFe`#|Z2HHb!*+o|`L?r^ z8-oJFl>gt?{#RCDn4sXsI-6zI|L@EGv$|Dz@VPN&c*wOlabDt-_LO5#KDp_(^MOrL zZVVIuf1moF32ajDzYKN<{vQd98W%5UxEZ>E_I3W)%I0S1!Ry9hz)-WoN#g>GBD2E( z?-l<TRMPy#9&B-9xyYiR<;I}QFoEkqvZsQZhqGl2o2KXm76k^SOU}Y>Ab0%#o&p}# zj`U#2XmNUS8Dd-5KWPsR1J;rsdk;u5R61xpyQ#c@@xzN>if%y*7(YDur30PG__3Eu zaW{BnJ)h$L@7w=Fd~N=ZLH)logAVHjYd0&XzYYH@C@?57Ob`Z-?rZ&Lb+du{d+LKN z4;UD@oFiO9tQ3Mv5{tkaA0hJ~!6liwr8%X=Tnr){3=9lLj0_icUEGB}rU;%tQP5Q= z&C5v4OUX%1Q3wv#bqP=?N-ZwP&nr#^&6|SyH=%jpW!X9k&~xS797BCVxEL6M!(BZ6 zLwti3ax(K$6$<jf8*C~Sic3n9vlVjk%N6pA6iV};%T>TLFa-2uWTt0e(IjyT(x(EI z)8OSURth1G0ScZ$3OT8H=_MHo=|!oDC8<S_THeeA)BpfAJK!5585kHiz^UZqrURS6 zp*!*a_vU|)SgZaEN*O=)8i3D&0;Rv$zrQ?Ooqu^~fXfHLiwfXU|JPpzB}N4nC&mkm z$_x(}lxImeFnqtrs3_p}|NEQ2273#(in{T*vAHpP*zto=L+8S4kA@5e4L)#to&A3z z2b%(;LpJ{j@mCDY&Rou*R(-=i!3PUC9&&g%9AIzc<55s>aA0tdVRSZdYcTx({rX=` zH;}5$|2cG6E?)I;bH3_fAi<glD(x<L@+)~bU-Z1>>?pyY;E}+U=q%TfaEKvDA~?}O zW^&?xjUP8bEmu(e^keHuUluowjFWy2pxkovga_k?c|Wx>6y1)2TkkV|$~-s<PUlBF zTiiHe7&Le<uxW^0*zIv3V}X;?h3y`yZrlA`ohu?4G=wgQJlNsE_@VBnqT8+oj326? z>lSPtZ1Q0IQ2cYx0>%#oKfgQ&)sk!fukuKAJCd;8!y$%AgXaRX25jCCG(XCBVVMWx zhp3-B7l=PlaAWu&^^;M9^Ws7e#t#8M_b=dm05ajzA4Uz93o||bD>9aV<~wJ4Fn)0S z32J%ULG5Kws0akjcou90&DZ_^-ua(VL*l{<R>7;C9$lUZ&JGexnVM_@><s*z{2fkg z7lb&47`dD|!11a6zX@#e5pezSW2=}Oj~lxisPt#lu)R?2!LP{4)R58R#C{RfrU#Yd zYX5W}toG1;0IC7SFMvd(|0%FL@oPC>76<WW{Pko%zyX@S;L(x1kmbe$F8we42ko_h z#dNDPj{=KB0z)RFgDj)71vsW3{Q>FT@`qsp!wiE2kWVeP{#Rsi%W`8i{QrH^f6$3Q z3^N+oc&<izFn&1jL(#3<gYm<jA0f~_4Woj^UIqn=y#?U9e+fIA+tLI^Ma6_faGm+> zw`u|?9ew&;03IjgPyn?CJUn#VT%0{T7-Ly9885JE@?BJt2*{YJ$igU4rNYU;&f=`$ z_W%3TKZ<T3y71ppMG)Ql2Smn$$+iCr_6lreP<i4k2M*8czeT|G<=+B|DIWj7pZ^UJ zKMfT>{##%#!&VMOP&**xkAh;1$N%pEe-sp>J^p|9`J<p1<?;W!#~%g7NRR*DUH-6u z+X)VTI25H6{(ram!?2fOE32Zs!vF7!f6FTddi?)B|2M;4&}m@&9{k{#2GvHe*2D7O z+`gdILOd7vz_Oe%Oq%Q$STtELFl(}0VAW*0(D|V2LBoTV2e9&S&jSVqP&orC?=A#0 zFqEu?wCg})IW7STC7^X6;4&q(1k^=RNGVVN_d*;?N>XzRK*w5?<SRgS87d?y<mKln zAbJZf0bC3rMU|jdcS*iNW?p7VW@1ieajHUMo&s#k3)Bv98`&)}6I2+N<bzh`DS(^) zX`sQLl6-~W)S}G99EI@AqEs#hJnFnc9NocY$*$#QNLa_fFz-17!=%rgNmF%O+g`4} z`*;!G9?4z1cN_oz9{m6RcjNzz5B`6b_|KrQA_0{1UjHlD3#yy{`Lu0F$Pk<_>L%yL zpuuwS_rl)`7(dkiOh^!z!90y=D$4{0eZ~tv7ce~dxqxB9&xP!>*=8kVFnp-_&!E7) z0W>qft@!`D+aFM`m(A^;$A9o%CznnJ9fpfsDt|l--5j0&cyLHCO#sE7_{IN<pfnFk zt>*tgdt(@8eD!yf;kj^-LE!2a4~7p;{|((fFJSm!2OYhre(=tN;e+{qL$_}ppPxH0 zuwH%T!SF#Jtm^f1My3CX462jZSq(uZ>i=cbNV%Zk#-+&kA@3*S1V@=%84Wka4;eoh zG?_1Ix_wx{@ImN5!Uf;{GHUo-V3@(6!FFM(6W65%erC{FTr(a$zv#j6;qN~~x7Qv! zpZ`~9H3yyl2eRSrUq%h%3k)+rD)lb1Dlw=Ev0H-6@Q;5OHPkLJ&d8N<1ly?xwe!vb zh7ULX!SwI_%cvoIVW|`AC59Oc{0t9xAMk5Dxd@Y8_ZL(ef@Ju?t>k}unPxC5IwmkE zfYw>Dxc&dm^_NkD_rh{;S-uR~o@TiSicbgd_yuT3{vr>C5A**ix*c7>@L~49dGHcM z0GyYOdx*I)WbnE%XmDMe>@nFh!P!wlLm|VNLqfrzp^8C6_5x&`!SVl~nIZ#DEj9*5 zCg(1XZtn!=0}>3G7sXjQbs0k)c%9iUfcD%mLCf00KcHHx*@NLj;y*1nMYnYx3?E|t z)xm8C%{|DuiMa8*vAX^LzUx1uhV_Mb4-NxJ4GJoAZh=c$Mg=D4%i<vZqhFA+Rsf?0 zJs`{ItN|`p&3=JYa{OYLz@sAoE{{QcP$=<S_@cvpF~;*3gRgUpC&P?PiB5@*L|Ezj zW2-FKEo^T8znA=H)G)YU19uB3o~Qgv;9yhaaCpUVP&}KT$(b8mo6r0u1a%9eVxu#p zHuq#a0I$#A|Af@%r++i(uwAtE_{rerZ0lhl;UM9f2rBbI`K@UKzni%y(+p8J4`(w^ zIX4H1pA0S%3o;#K*e_<geNnP>W18{*d***oI#H-=U}wK-?8X5VUGkq%gX4m>8w->_ z=Rf2GI#8PzR89tX$aynnC{)OKML0J}Bvfc9M>q>eC>Y54HdHZaGF}ADgM&u7`X9`C zun63?EN5U~$jMLFORh*M0nG^qF)%QMGoa1If;L%$reiH~aw-%8f?a(K6<oml3WX2@ zg>VA}1BJX|E^r?kRF=ZZTUa^k5};bD;2azo91tE98UWgaQJR-pQIMKklA4lJ2`T^? z7*?!fV1TG|adO9_E&w`Q16n8zHxhJ&n}%vBXgx8*dIkm*H8A6l)F8@K$cRvB0c?de zWUS-NS_Xy-p#1+QvEsZ3!-t>H9r2)E>nRV04<G-4#`Hj}H-A1p2c`NCTU$4XyFuC~ z*8dqbEH5NhC{!@7W>EV7z2zUHhT(<%9?Y{7E0~=XDnMcf{xNE(Us#awIKxSjL9N&k zr27B&5C1^>H$iNc)jUf7zo-8LokOTl!L*7;@&ES)zd<>lX@*4tqatV(3q&_euhd`2 z%KrabTfys^{(t}ek5MBB><%WdI~@Lj%Eq}KOtS?Hn4LkkfLv1jk5MBM!zHW#F>3f- zSdj5N!&S1}(cr-fR`!b^9a?VIZvVf}`NybXe}O?y;sX1OjSSop43j}}JWA5?|G)Es zeakR|QL(||Kt9NwAUTM>6MxtrfOyPc-~9mZR%KAom34P4li`@r=5+cp$UHs?BYA}i zkdM`WgLbPn*nP-{_!y)YV$$M2kX?kx;a>lbQNs+$zbF1OYUo`^^k6~?cb0#k9kY;- zLxj7@KSm8nuuf|?P`H2m%cvoEL7{>f9PUqkF=}vKV3^K04WbVg;)#D46kMNw+}gCk z(}QWQr=P3y8cERH0H}TPZ!f6MV1VY*CqEfAzJgDX1?B8LzZf;%f$ALouNN5<7i1(R z6b3Fx0Lgs&^>44mR#rE2aIZq-!haQ3lPot#>ue#!6|)o+Ksyf^CV@)19X}zt-(oL| z5`*H`=g2L}&R-xufLfINS7kjIK1~0u=%(bs@L}?AB@f7X@t`{6#D7@364A>0_r3f- zsFnBcd+~n(MRAXR-}C>2Mt1&vzwr+=G7f7E{`;N`RTuwXK#|Ym-}mVM0(&_;85B4y zL8D*{44^ia#5x8BP<~=!U{HdU3!ruwsJ#LzA8ej8Fzk8Ez)-Mt(FQ)Z95+Tc1-HN7 z4gRe5WqGiAp+*M72an$>vPu~YTHi0`xEX?F?f<YmSOJ!^g3Bpw0JT(CEL^dG;e+De zIX<fwDkLy`(E7b$p+W}32esc@Jwde6?=4`y-0vM=TI%;MFfI1`FqjtleFjYP{k{&S zxqd$Y(`>)rfN7@Rpb%*B`2ptr2AxU!LFBK%UW2WSSuAeMRqY$PG8{5IGnm)%AAOjq zRIQNdnZY=TVItFngiO%5*XzZs4;Fw~Zx%B=c(=I7_k$<MkJo-J%uLAq|Gnn_A+W#B z{ZgoS?b*P<9ideH3M_K;7dv?6A&Xo428PVUOxB4k6B4qLE1WVOWF~^g)UGUGeV~v9 zvgPUmh6mRdFi*^N<2@Sbt5op<Y{!ycidhdb|9|)TzY=WXoL>nQ3=@<p9xW7h1G!<! zud~nPw>D)Q%n;%hVrHJmG|9PwslwBPaWccCC!P!$3?G_*$%D^Vn+SFR|3tnC3o>~o zfYv^6PvV-Gki)t@En78vadzf$RksKE4W`esm2*JuS-U{+0cdRr<AwDLcpiY(KCst- z+LY%(BUUva6&DsTJh-@kc^bn+mdT8hm?r4>f!64q_cQ>-|F3hNpjB%u7nSPIE(D3# z{{pStV7t(m!7!2M#2K)d=`T(2in`nX1UG=%q|zSe7lPcZ`AcB0%vK4XQ>>TxSd>*V zB%L@eFizrjW0=6?c48r@S1R#KW-qfF!$c{!b6~!}FPXgpTPJ69Y>?(=P?2V4pTagd zxI(CcX${|zLm7?W_ymOvv&RW=sQmcJu|Z((g$E1_HOOb&s}_U#(6MaLIqTr{N}&1{ z-fMuYx6(_=FJVZ@OfO0-$UyWcAaV-TTnr2lnP`Qg#Jud3%pwI_1tp&#C52dPE(QjV z4Gav$rAZJa$vLIPC8<U6*{PKvjX8-)sW~9k-l>&J)?5tPsg(u_(P^1EIm!7s`9-!$ zIiL;mNjasdN;(Q)#kNW=0ZL$Vvr{V#38{5Ns5Qb=TbY`ZlV6T788rC;UQ7YDAUn0v z7)4KhQF2CR3PQPiKoD3V0|Ut2CMasb?k>p8%SI^I($Yc%HB@7EYNaWPzJkP@)UwR{ zoYaz{R3xjAq79_87SyQ%5h%(*?IciefvknGK|#mBz%XG01B1qW&|ZS1()9GyA_ZML zg_Hu-QiW(}psE%t#ab(X*4lyAB!g5yHg$myJ<!qz*_X2s+~z8U>4mO>gXjuSD9KlF z3{XH)&$5Am0UQjFU}k^>A5<*8D7BJ-f#J^v$l8e-=*m0r1e{R?Lk)Pdkd*?MZ&6VL zT8n3;prBe@6O>v8+9d>9R|if73=B2ZpcBt(ph^{L6l_#W?NHYpsFv0u)Pbd;ZNpjy z28M|B3=BWEf?E8K{xYaY_%o`l$Tf6p$Xn>Z;lz4D*-gq#WT8a{!-voxDKZK=A1*g2 zI7Yt62c0qS|GUh;A4KIuCJ!!fPBi{u`3#&7tFgKgv^|7j&3Xm~fvpN!EVnt_6s#^d zFenP_<#tn0x$JPkVUg5C(7FdV&@L4IEv%jbTbWle&0zSz`$u38#~zjq67CEPOM(~~ z__r{+32bFq#XN)I!~fq1IsPp&TiLzYR#m%Xx`Ef2emMA>K_SzP;lqXBGEfsXvV-b6 z7Pr<+hKz%3j8_fR7#=979bjj_P_Q>C!@zA}#sqhTEC(5dq+GdgS3#pWV6_zo*x4_F zR4mL;P(W7!p5M`8y`WLiz{YU(RVITn(*s6j)(6otlbx6@J&0k@_<3<f<}--{QY#WQ zvJOaSB!OBFd;YUL$jVTtSecO`Gr@g}(~rv_(c}LM_I}^WpjxUakijrhWSQUv@NGyM zOt&1I1eb9<V3^67(Z$Yqm46x215b9At9;8CRT&?E)y!v5Q88zE!0=)A9|J?n&=eU4 zjUN}a!S@R>%wYI%_t!u0?j8mPT?WV+OVHT!kFES}j2)b;Ojo6SYFwBeNcz;c@R_i> zF(|5-ay$@Y7vePIUlyAeBFFA2W8^H&Ddr~U{Q07i8%qU)vI;xv)dGFy3!v43f<{cr z3?Eki`T_3a^13Oy31om>rOX6fH-GAX!QM-<mpN`T@SHyU+(nLo0ep_5LgNO7Bn7vJ z86EBn8budWHJC0WWh~5C{UFkxL9N~eBBP+e>c(-~P?zmeg`|Q7XipjA1vcjo&o{6$ zUS&~eb7oL@kjU<~AW=(~>w<<G!-oU^88p-{7})M$V31^((3&XufN91q1|dnN6B84e zCP2(&)Ms@QzxW`NK|}tQf<l|K%maoQT#5~u9?lFi9V87bIuar3Slt*t%=pis@%|#C zKGy|3U5<+|aRmi7=Xx0?{lm9GZ3^aF4o(Xa85P)^Kd>`i5Kw4y&e7$*kfF<Y!AIBT zLYA(@g%Vwh3st&i7sMVoK6sd+?*>{?xx<Oojq_rTzUBo+g$AaF8EnoVQC2sW2RZt( z7yc_S8n8Jt=xScjaC5Yf)L_2BsBnOZ%~`=z!A&he(~W7CnA^|quD?L*{6TH?Z?Yda z4lr;SFii-3?x67Sd4s~$=L`y$pPR`tP4ju4l%au?zFnRxWc}NFOO}D*J9u1Hz>VQU z-VcR}M43blt_wvPOc%;EEH5&FMq*W19x$o0s4zaz_2p1uQeswSROhJhl+o5;yO5;8 zenG+VM16?{`vr~%vd$vTAD^=*usYi~zkM#Cz~am>L(z@lgXm938Q}{IiiU}W35<$g z6FiwdCKe<ru(Mn>aAQ(pP-OU^|H~lP!r34dbk724v<0*~hRsdLM<MqhJIe(}4wefp z94QRnpDVcuJP1)>_#pq2ok8G2C5J+;Cj+CBpfiVah@wKO1!$D}x0M@Y6kziIAA3Q) zex?QnhJYYO2GFVyw@ijiet*UZPcuNP*;lZ$UjVg1X8kg7WB7392ZILVEep4Qdo@^@ zt}@PG_#pe!M-e3d;g>?L1BU~HLMp?Ie|!J`|NoysiJ7z8N#`;%SGSYkWd`LrZhjA# z-MF1?t}rM$b96fyUIxj_faMu4PjRz<aG0~(iShDj#sgA8j?G|pH<;}VW_yF#AU%wi zxxwti9ANbfVD(^jH<%4Fo$<0anC&RVaEZ|^$Wg$pz&-ZCDSn0vo=(gH43{08f*lx? z=D2~((*?Uh=<*+>PDY_!AoucI?qr-K*zLptrCBa_GFn}jB*^fflapGmym<-Joyl%N zj?n@FZj6^5oEWqju6jBZxF@?sgWb!xl|cbiUNG(D+Y0KnGJHt-%`_p?jZtCsKEAyi zTR|l{lfvqK9DC)r=D4vR$#i2?SiKK);xLHMe<ah5O=0!EZ_gnrIl(GrwlYlMQed2z z>9%^G%-#Zbh#U`Cu3#&h8^Z^gU#uAhZrlb(IG!+Uabmmy8cWE?&~jtYkhs8@vCv8I zGPj$e8;2n%tei6#CA|}vGo+=t69N-h5*QyiW&|cM$QJBnas!R5KD(&s1}b}g{bbO% za}iQ=u*x%hc=eMZgF#2?f=dSD0|mE~3<e#>3u~NyUS`bTl33-$a#1UT)vZ$kw8FqO zK_kOaa-kFJ#l(!v1jP&o35|q;y*UX5d;f1`apQGs+@NB>FhMb+gN@~?fYO7^iOz}% z3=bF;RyZ-<b(dy%z@W}DV_{~Mq<{p66zCj?18huJK)uZNKMZOd5140w%GHKgNbOZ7 zqmaZhqs{5_ZH0;hY+T?K&&7Y(9)Q#sz-u@Qw|Y4SjW2iDA25J*J3w_SBx$(i$}wns zz5_Bp_m4sa0~^y-Ch*w?j0(S>GcYh_ddV;)a#R$!Gi>eOz%-FTk#!=gC*$Nw7v_nA zZY&cr-2Q+6|A&7A!(Il4r~m)|=gJT$2$JCf-yrCm$)L>yuJ=GA=Kg;e6$JJQRWQ1# z7;+UbD0vkyD!q9wm;st8e({{c8#FIf?ehQo>HjR?@NfPJ8f9ZpIN)5cSHZ1dF9X9| zPEZ?&#ZAnO*UdeXp}K+H06eqw@*jhS_C+H%0W}6K)eDSTOc$86lrOS?=gLxkx=Knv z0L>Xa`S&ox(3wHwVFJr7P+1W212h|Ul9~HzQpUrqiB1eR1l<;8c1kE^c1krmG2G>F z)0JS*WxU0t@MG`8tb~k58I4YCmnJelNCKT*;mOkFY~;KwbDfjmorhVCPK-CX-54G) zyUmwW&ipU6-ihrlNQ~c&;Q_nbd?&Vxpz#LKnzW@!3JJUq)=EFiTqoV=v@C()CWqSr zDGe!wgb2xm1O<gAXJ!v32L^>l2@WO-ML+iLKY#uI!HfnaLFY-#6P*txFeo-AFeVhZ zf8Q$N#^+}2aWx}6lhujyf`!|{%>UoB{xN6>-q!Uv;LPgqGy&9Ruy6yNA?NweiD6-8 z5XUB|P6-B$g^4T|K=FO~KPYtnfopo!%mZ18868dxH#poLX0R$<VwvdtFySP#$W_o; zNJ?&w(x6t&k^hJ|d78wakeaX{;XwwYA}33S^8@hQAm~Ky0~rd6ApXKEP=AvTY}eWU z4>Fh)Ihi}01r#})A0#ZuT9Bxa`5-AFf!Xsx28Y9g1cAK*3``9B_y7OT_<-dAr#y@1 z?@Qh6j8~Z&8e~qgGhARQC~#-c<i2p2o#_HofrE^v9Fr!?<z{v!u!>H($Ils*-aThf zy8oQft$~9>iiuM|f{9B&f{8~!;s8ezgOEfJL#Gtu11?Jk87|G=mq0sH85kHm3fx12 z85ux45C+{q!sP~OZ7%)Apuu{9$xXqHVJ5=|kDs719)Ycl3Jf3Geu4IVGJNRx#p=eO zz~d&MaKMetZH43lC*GSzZkeDJ2_M>iu`__~=qv!=^~7+2)$NGn|L@2Df0Jc*V@&|n z?{1Qya)#r_7AKYqhHe%ai=;s{?2o++ii`=42@Rk;q)^~~AfrK%!vVC)lF{u@#sqfe z3lrGbuXbc{Jz)6o>j!8}Q-_?u-tJ6~i~|zfnFpjC47sa7`OdS-K}uk+AoHKA3>v@g zI>>=WT%0{Z6#swE{qF>(Gygk+>D2!Y9yXq~-VR=j33eXL3DzD02~jd!sg5#|sf>z@ zsWu*_URDb$T>gJQ_Zu{`W#Lib!ehbk;qOli4}C8)u*^ZQj0sfc!%rg*EiZkr%oebW z9#rP>PX}d1k2?$uib`$_A2$31?b=ZBsBnp~VEAzLr;LY^n}af_9kCcJqX3mT@l(u0 z$xQ$(GYu>w1(n(TQ(!N@N5NKxTo(>*j}(Ug-#`53^7#M#Etq`uoAZG}t}BOvTQ0-@ z?~i|jQoZ<J9XAG@&ld~!g4z<Ge#nm?Musa77#MCmU|_iOfPtZifq_9?gn<Dx#vmxd z!0=m`fx%#_iwyGv#tH`+22I`zjqE=!a(mxpWI1tBYNE5I!><br(p+rJ7Y@i7>}8NP z*vrMjc%ebgU@s@rp9>BkF$P9PMn+It^9g2TD0Tb){pbI6ZmbNMZozH`I1Vthx=CzM z*vjys<7dJi26a!4ZEg%|2acjb2U|~uUN`W)tsVvLAaew^GP^lsFg^g03>u6VI-Gu9 zmdH3P(e1=`;k3*Rjspw~vW`+gQjBgKvRn!Ozs~~Q)(T#^aUz3N@gz%!GoxZBD=0TM zutE09DEuq*e2~HDz@*6x&b5dB?s>opy4`@8(cu#FL?`}>2bkHeCT2Xyf|L{q32YA_ zxfnF+X8dPC;=&9DrFWYcH2H2l$Y^HezH-ueVFIV3Qi3OFy>dcAhNDbk0$ahF2cS{| zA_m?MoXMbJcoAd<^B+c4bvG6Bw@eHgViy*4g6_lPxBwb&<NCv(p^(UQ;X#Imv%~{N z1@*)SiQqDY3G5Fh-UlF6-+zNty$7p$kkH85$<KW8zak^(?9Bv)%!GtT8CL$PnHN~) zk$mAO&3ZuzJa-@R7j#$IgA7I{maDMxE%-sE%)_L_gol})au&`D6CNZOxak%A*ek%q zc-6{*^8w6H4>ByAx%3_+uw7tK0@W9dPRuvC-IyLQDt&*>?6$l!TDqQ<<;wHS`A&>? z8MNdsG&(WdV07b`Vo-RRl$yYxy&!?}u9BN1*c}3YVdd<Py$t#s7ZzlK)EtmrkoX`2 zQhq+jU|{0BnwSBS@sxIuNKF9sSq?b=*lXbSV=n_klK^NIijkpU>yeD5?7|mPnFOy~ zmH%nWb>Y7)qb>V||F-{anQYlFf@)(>AD7)PR&J{k<7Lp?3}fQqM8^bvMNXz3XAx(} znh#J@nZ*s%vQu#T@m=GOz*dG2fj<~Nc!F1XGki$>!SKQPhrnJ&rA#+LZz1L;i7qFp z1jZYJZUyd=2?g#2TX}qP-9WeDC}*sdo+Yu$iSNc*sR@$r_)8^o1y)H+aALSA;C4Wk zJK+$=C58)9N(lvfA3R`SVA$HaA=8a<6{iPR!s>mXou$kdSv}Yl9V9xOnP)Q1Vwuef zYJ2QA{q_I<nqWo-G0>fuTS2)2;vRvmjBZQ|Kx|O!gMlH~(>*98$koM4At*H^vnVyW z#7ZGKF;BfjA-^Cs&kEF)W)RrQsKI)HL6La@!w2;rAbp$*e>{ik^>y{NQt&S=0WE6I zNKGtI$W6`7F9Hn{F<2>hAOsYW^NWf~L8~1Y7(FEvR`2`wT#bQ=0kr#!$Bj#Y;X$qp z!v~=s47zL=G%6T0zF%Z|kRrqIffKaL<AMTMgiC?p1LKbZaI2Z4fEn7}?U7)uaFEGn zkLG8*$Xwwdqb108k*UH#hWP<og@X)Vfu~Hg+|P^J?5tN9l!N4WuW%Q5%KUl`Qh8cx zk___$*@|cx-U3gVAi1Ac7dqXz3~DPIY$<U|kyEWmky-7;a7orJIX0T%v4p`M33mev zE)B*@j0&JtxS%?yD}zCc>CXS}xBm+44U+3h@X9!_DOkokL7)IM+QYzbnU#Uz&kRu7 zV_@Kz1)*omVqlmupMjw~#6(DAJ_Ccu3<ief+#96M%z&^#s&33+V2GH*!0_P!zhl8% z28I{YL2P+2`vaJ*4`%<E&cN{T0E8_w1Ej_tEY2_kBo1M#%wS;nbO6GhGlPNQGnBmn ztll52o&)5@Lp+WeP`eBd={wrYWnciA335-uECz--b3iNxh6{6`?q^vLeP<>E!+{wL z4CN{dqQAh{A{)64W-u@afW%oAcqc&FAl(;cGB9+^VPIflD0lIg$-uB@2AFLEV();k zRY2?|5Vi=2tpj1RfY=~285zn;X3S(@I5C5Pfsvs+1;joAVTXX&Aonmbl)He~AoCd+ z%1uCQkok-Z<tiXH$b3eIauE<4WIiK9ISYslGM|N^+@xV90|Q7s3q!dIhz(ND!cZ;( zVuRGPFqE@^*dX-+3}q@dGZ`2_Y7`mDS=P*i_+5~pTqOZ24)VLfOa_J$Xc)5Xv}Ryo zfQ+MxfW}m3Ffgc0gV+gD+sVklFp&wwf?&3t-dNRx{0CBjuAUvqd_}1Ff2JbLzsk(O zaG8aH!JQwZn}LC2r!?GrA*lKjvl$pbeg&yG$icuM$jQJk8?Ig(t9novU{lYDWWEyA z{10;x<`;4?Fg)gBV3^7S(#^migydgAsQLxdA?XFA;x7*a!&+VjhM#;O9s`3glKIY1 z^%c_)=0j8=ND(CUF!NX7Rgds5vU-?*5hg=eOLlU@!^Z^bo-@-R=>nnxm1NuqRsVq% zv;z=aX7J3zryd$#XzF3{g=#tjgCmlAVD8^C8(}_rc$#d2nlFHG53H<0Hygy~-vf33 z1lEnBQ2)L`%J(2O$e4c*)O<AcxZKab2NC|r=Hm)~{ym8Ng{&Twr;*(OW3S%=H6O{p z26G^J8m0!BUcUuuKAL)5=Eoz2zc4g>1fb;vvbq2H85rV)85rV`(kHTd^zcEbhq`|P z>qcbt=;4D<4|PA9dUW^0)UQW!Kd4Oss?!2yA<_@BA3*H&NbW~gkM4euS`c2p6XAYj z_2}*gsRiNnNaY){dUW@L)PgX_259<xfJo00(;@Y(J}7~J6d_?QEb1em>XDSencP^^ zpTVP^0gHMIsQRf`+{1%K{f}u7_hVDfh()~*R6X3$3=9mXMHv__iZL)SZh)o-r0@Z$ zht>ZuJuvl5Sj?}1x*w(%M3;**Fvv<UFfd^;AEX}Le3*LX4bb#}<enQ-85mZ8+9@D| z(Qx4wX#67OM^IY^R6nCB1#=H!QU3s`JOnF3A`W9wA2Szs`ag<AJ;xl}>XnhwGpu}e zn1!gXO(hu^nk5++9!oMXcuO%bs356_<#z^Xn+3_)49+qP3@>FF7#z1_hR=mrko1GB z9>z{W%J0e0@=5`zyqYM-z_3`Jfq`F@fuT}^fguSgzay)M<#%-TiAd(d+9wT2<;g(} z28LQq1_ox(p(zXu49Mz@pzg`RqTUiT2?$n?s9%uHhq(vSe1v*r^U>AA%!h>&j0Uys zW9Bn3RLqBopwcI%GB9kI#lUa`3A@Zk<xiN(z;I#?14GVy28IK37#Kw6qRNBxHbB+< znZv;FWex+wmN}Se3ZQB%W-~B|%wk|zGM9mY2Wl73Y>4^=P&F;{85lmyVqj312N4I^ z0mC6sH5#CH;4B7)D{~nbROT}<7$Es!15{1NTvWSap!R^wN|}mjHb@*9zx)5;|A+qz z{(ty?;Qs;8L=+lcvy_2BVi^Mi!%7B*56c)BJeD&suq<U@U|GSyV6c>dL1ZZd!<8ir z3^~gg7&KNgFla1iU=Uc&z_4aH1H*?U3=A)pGcep)%D}K@83V(T6$}g&D;OABmNPKS zSjxcAu#|z}#Bv6PkQEFJ2bMB0d|ArCAhUvjAz>K<gT*oih6&3U7<`s8Fc=sb8Jn1z znOj&oIyt+zx;Yp`Ac-X=C8wmOIT%!c+I^s%Q=kOE#K6eFAYfHe!T`ez3=CG#i+MnY zpCb-0cMS1#fu16s23f?9<>Gbl+ExX`W$d8s!f^A_z!&w#Q^QEOnP_fw1K$bnOKo>j zWGvjRpv#evJWr9KusFg9Q|M|S1;>yeS4WpfGJ^u{X0-67$WWM@(ZYc$W}><i_0W3~ zlPgZYyEuk829uYx;AUdPmtzRo#=^oAi<#hTKm)frMTWSNWh~6C;F}_mGC>I0Cc^JK z!0>)J^hh{IH*gjp%e`2OK)At>BukdTNabs4NfJt72nh<@sTI9k4S}7f4nOQ1<vewy z{ZtCRr8y;;3NA(Y1)#$pphv8O4k?13Ym0GOoq`qUTqo#By6}U4britNAm}OQ3L1!` zEFi}dCFZ5TkM(s)Elw`VEC5}&uBoE{K4l1GBWRxjcsFgTLQ!f;Y8rUYSbiR8d=`Eb zJLpVaBV#8`$N?U(JrkhSfJv$FlQlB)z(>YI?sv~YJ-<9Bvlw#D2Rz-OT&#g|5eM!7 z$EeMa>c)c1Ql!Wu+d%B@L%sNe6bHi1Lv<hd2I5JtWENboy8_XQ0{97I<Y!w@ySgYh zKDi<uC2J{I!OXUzhS^1_ki+)#i;xlkdbMi+ttW_SBY_%X(DH^Tvkgf!8<r+e0>ug? z$r9yE?B<{*EusuUN>gZw0JSzG(lD62um+xE2ufW@q>=FY8pC~Lm<DrSa!DpqiUEbc ze`tuKv$tvrX%ULuJZBF_KR;I=Prnd1Pd`$Pgyl(8C%T5Y`h}nwn37nM2s(s7wL-zQ zq5!!{Vt|~_;FF)8nXChvn1h`r58{LrB_^jT1m~9)C8r|zpoQHa9s>hZJ~J;H!iSjU zoSz3es7ObFAt1E~d{${*a;k!FeqLrtei2xqZ)S0FYEDjKUTS`6v5o>mnYpimTTWte zMnGaoat8R|Ij5r3#BA^}Lkb#h0Zy6>@O=mhuDMC6;9EB!);pD^rKJ`rXt;(rf$rHu zsB<pLFD_OHDar&dbN2)tSOng+tl=EusR_0dbhc|zDd<pF$jOEf1AP;dLFd=y<S1x( zhWMhINt_OZ8<4AW|I(6z(h>!Y;BbFU1|<Ku7bWJE<|GzD7pe!P7M7+Km*f{IXt)Oi zfvg9))lC7q#{wF<3c=vx_B4W>gEbkzNASTeAOr_KiZn<+KJ8%rE}$e54hnxrsDl~> zknqrO2@ipU7a=tWe?xSF&dygr1bk>-W{HAENPuUkCIi?`q)3LyIR${E7>W!E3_#^; zks*{eg3`uN+5}1)FfbIQmO<1S6&Ql`7(;18@EwkzJt`%s6}r9#$XnGF$_y3s6+nB^ z6~Jcz7bRyXlo>LBnletQnJEg+!NG8i#zbgjU|=XRE&vVjFccYq-2`D97Z^j_#K2Hw z!oW~u%D_-$#=uZy4!XxDF}ENGl)Ic6py!#u?|}j7fnIIyXlM#L6btMZP?`bz#Taxe zF#|Xaf%qUb0U^F%KJ?tHU=SB>u44dLKiI>%jsakQI{G>}DmVr>8R;8?&OZhTgT=A< z-#0ZEEDe^2=!@_));B@X2NCyhf(e4c66yzE0|l6@Pi9FfOa%kneJ)V<A<_>hYIH%u zU^@}w;PYVM`n+5N7#xd>^OG|ZK?fd`XO?6rBr3QB2QV-&fJk5PssSAZC(qyzq%ie` z++z<8FL?O*h9cbK91sc$YiL-8_&O^@yN0;MVv0HGyM_eCGQivP-~$<8?Rf?U|B{T< zA{~X&JaCISH3j4bSosQKyH-HbfHU}1)6C-36!3v;;EhKhc}RKcSXz>inpcvU3@N01 z^HWN5QZf8poS#-wo>-I$(iZ?Kzlw|Vi$LomQW-GRCMv*B=!B}krUnvvpeA}^dMc=p zQ~+mE(4}+vMY+(!BS804fT9{&I{EsBDmWG;XJnS7f-fZkhcPT113W`@K?wjU934ZP z6x_fgOdxk7(hDNSLB&UU5yb00sb#4-h;;9349cWX)A6VS<qy0DqUS&OjZh4TG~pP4 z5QV9C!6F7aiWSz?0{O|!)6HK;!3WgqQ-D-e&~yR2J0%}>RvjqKgU=Cy7V$Z$pfz%C znK>mO2BbuVbenY)it<arq6`d9iN%@83MJs08YYSeM|etLz>=>Kk|<${5Qdrq3O|G( z1GcmRPfu9V8o1VQ%}WQJ$&_ED;GB<849cgVdIyIrBGN$VBnaMw2Zd`$W^QV5Nn&mR z?55xRA_mBrjPME+<Gw4%JskOI3dyizc_A0uWTrs!R}Sd7Uj-}Bp}`7Ppe`}^lobtw z3RTcq0^pN-K{xssRH#;H>L}#nzO{-0cGfQ9jxUJaxa~u=g`n|R!r7@5bjCc0gxw+R z7~lk{exXNRfx;~ebSD}lJHd~O1c}4aG-#{<#D}D}g8ZTqL>>rAEn|SSr+gDjiZUxe zDnWYz4dN3E3=9n74Jr(vAQei3RHPJOl{Wz?!6R>AXkY-Y+i~e9Aa7uVU%vs!jd<)& zOM~hU4)=8dsdEX4_w)C2WdP+=5I@8*fFU>>bcUF(OMFUdK~ZWls3Kwr4oBuOFnESI zhB!fbNnrngdJ&#}j?Uf&3=Ga5Fct#?NF36;FknFG85l4yAT{g3W+2J?hlUs+<iR`! z29Iz9uz6t94dM2H+eip|3{mYdM6m~~7S$d@6nj7lJi-kT_87tK0k?k;_86hsV}xQ4 zSS_kOMkw}x6oAYE`^6Y;2e@qo5{HDDF{&NLD0YC=qS|4MVh2b8$SklOCdhGNf>7t_ z2U>Q6;QNP$fCS+BOp*1OBGh^MnS#;_JP!RsLqGy>eP+n|%#ih&q3Z()!1b9U>oZ5z zXO6B9B!Fzc1*jYc4`VYhIEFYeI6`JN7@%Em2GGnA$PAEO{-Gfrt|6}Ac=its2@VKx zWpIrM33rPKaP@QX^m7N5<4*35&fXCBfWr_}2Ks~sLy|WG1Ly)?ka>`7;0v?Q7a|7k zphMV@@m~lVGGq&8gWTci$G`v`xd7P@;xa%l17?8E9x%9u__{c`2L*!61?h2j4Pt=U z84R;C7$gVvCzK5y#btmEG(qGc<Io_si<7&DqfZEfr(cL`P*7+9s4)q$#5Fj?F(`zA z!4YJ+e<(;8<SsA^WH%_RK<Cpy&1Ha2?||GG?iK+ypCL4_C^b1hJrCUa^GZ!gO$LwQ zdV&fZ6gg1C!qWv+Jvu)iwJ5V7Bef_oM*(C2s(#qjieM>*(Bjl0UC@DqIiRaN;Vsyd z{8Y%<z`3BVAn2$Wm=w4zgydEvanHOmP_2T}e+dQO@eCUMg&soY62JgDBoTh}FrspY zwDSWXrwSJ<<mcs7g7qo52Lv(r<s%MKE>=iHYwd$nFfcd<z)m_VN-ZwU0rdqk^Av(Z zJe|EGU4w!c{8B;pr@-$Z$WH?uv#$U?g;*go4|FJUNls=G0~U4gDiVuo#NDNsccU^e zz)uhW-{b;0JUK5vPZxY49;BgybQFFm==6K&0Dz|p=v?K@6ihR~gDE8nnULUSVDLpc zNjeo2S`b%)!puD&2z=r)<j^3<)iI#UWJ>c=Ky7l^U4uA}rAAF>E|7}{L3sfl*PsJ0 z^NLG~N|Qm81qwl_>6xJO929)OM_@959DoR6@X`MeSKvxVh~_n_-Jo=j)SyO5(@5fo z@CKci4LPPd2@<TJrdMWaF{JGUNvV(s@N_9giYL$|7m1m9pyUsU0C3D@rWS+!0?!zr zJd<BkqL7-GUz(nwfD*tApm`EdTJv-PAIg`ANV}lnH_&mSsYQ@eK|viSq|^*KdK+qX zYKj8%K8^e|g(Ap!5U6eE7@z<?M!E<V)}`Pp9&=LD6O$`J7Um{`&&Bcs9l!uT8y$4# z5Ms&)Jf#C3VuMYL1w$?e!FED6?4)cM&BeulFbNXQP(ML}0dz5=YN;kJAA&XkC_w$F zpyA>g=INs67wQW-WXo1T6>=mNWY!BbG6GMV#h}svkrQ<l0w5>5g65)<ON)v#%Tg6` z^79LH6pBmo3kovx()IMf_f-%RUU~}Pu1zZV9*xA};!<$WHZLC*`LLNQkbxwd2Og2g zOD%`S4XF5nm=8*lmB>ajARm7YN`9rOpkpjR{SIh}2~M~=3V!(|3dtB}rU!%1Ob2ye zknTPSK)L%Qz|#fk?vnsd7u35?6d>21Fu<ak5Y2_ia2l$mdZ1gdKvAdwyUq$y6j^bB z`x^>~R0BN%z1RwLTqUM3B$I*)4@ee;l#vkC;JgYxtzMzDIJFox>nW5LfRc%7DdePi zkO|<51e)B6q1R^>D;PkOfzB}jDF$KC5g_2R{vp@4A~7wXH@X^C=qM<u7At{C=!IT7 z@cUdr<szZ*g303wH^?0+s-+4jNB4sdiU&E*0@T%lnL&u=^2yK71`W`F4xR(u6@VkI zh_Ms<d7>@>3i*)jIZ2?i{EHPdAhmpI3MgK66u_kd=&o<@wLqyUu%xcw5&%-5$pz|1 zrlx?R0-_Og^r&NiLMG^jZcy=20xB;{64TQm*F1m*)}Z%8C}^Y<=p`0_575_x6dEXQ z1l?5t-7ln2l2HUMq!aT%C(?jU-GiGAGBH0*!PnJS*Aa4@Di;If%2!apflqY?ojVP0 zO(f>QFWdzc-7W!$_Ju-HW(l|n0K2!`1$>5JNRUr(h+~KXytD?pEU{R@pu!Cb6m%6r zT>P;fR}Bh5w4<k?XAa^q7u2B!VLawy9)k_)zpG;2WM`#Xigvya;+8w`Nk5201<ljQ zNB)5B$0N;5h3Mjv%A8c&l>CyC)D*}OM4(&@DF;B4P70vYhd^f)LC+h)?>tbf<|7q6 za1$69^72zs6`~cu_9@sZfDV}gUuU0J0=hySbO@DmerZu=YLS9pYPpg^aYkZ6DoE59 z)ch?<fu3ds2{)88o4_Rm{2V2P8U+VX3tF{Qp$2r*79<}l)F_02MgvPcT|gzgfkg$V z908Rnnh2@9;*vzrtQkm)At>u(4^MoSs}_S!!mI%q4!URxWVi#^LU8e>Py-(20-0}7 zp-`g`0CkO_K~4_3efVqvdC@UI0bKl}dJ^1H0htfBkKxTm28JgO7#Mh%7(m;|z-*A% z4?PBkj4B3(ADbcaCyE#twt)JkMvS7M{cB7LOqyDZ|EUa>m;@MIKJY&faoo;r#KI~7 zx+#k}J7R&<1186)4HLKo9!%iMvY6l{Fo8#$X@m6y=Ik5uy%Z+!h%;}{p1_<dvA}Wy zk2oVpB4@^YE0zh-$~z}~oxr+LkSl8kNY^Zt37>?y5`Ro!5@NsfLFxgMA(uSkg_{<P z7S<p53tl!bDYBemvA885py|b^t=zCcs)I#p3X9YV7O4srsTnL%6IhfZ7EA46Q5IPu z^@l@>g+poyhtviZsTn*{4IENyIHXEAr2ZHvr!15@!y;|4$U~9^B>RFzN<l$NMnbBE zMd}TURDcM`*aIw5KUky;6r>zjq<CbcN?4@sut+&bNWBS>3gMC3!y=_1AeEyc^@K$# zp+xEihm;S8)CUf!91f`?98wk>T4#JDIiw<3q;@z+$#6(L;E?igk=nqbB?7W?3D_(V z1E~`VQU)?0cmH9LN{|3CF0e>(C`esVkg}1GTEe2mu|YCKN`*!01dG%X7AYPUsU8if tIV@TgJd!L@4J=YR1|Z+>;E{@vkqTguI=~~9!ojE^{r^7;qc{Tt0{~|~ct8LE literal 0 HcmV?d00001 diff --git a/software/shared/tools/bin/memory_image_scripts/cg071_elf2hex b/software/shared/tools/bin/memory_image_scripts/cg071_elf2hex new file mode 100755 index 0000000000000000000000000000000000000000..06f0e85d46acffa4a2d6b5ea9dfb3c1e67754eb1 GIT binary patch literal 24144 zcmb<-^>JflWMqH=CI)5(5HF{lg~Nn_fnkjsM8<?cfq{cTgF%Ktl7WqZfdM3E(!jz2 z!e9-I3=FIc3=Av`3=GU5c}4~XlSUQ}5Ef=&U;tr|9LQ`C2AkTz!okqM!tutAfdPa; z`WXZmz{W8+FfcG2VBrAa6U+<@SC|>V_JZV@7#J8zoER8N4zX~6@B;=01`r0h3uFff zdoVIEcr>zbfUp7s0|N+y#6dXVX-Nu$1p@=a4i*j&wqayo0AY|E2nT}X!45<vVCL)R zWG3n7r0C{k=9O0H73b?2LHsSi0CBs!Unp23$ekbz@|Pe31A`=36heT+#TXbEIH6$! z66IoGVBleZ_)`=lz`(#D!N9;E!oa}5&cMJR4i&>kfx;FP{u~U5_&`?A3+3=JFfedK z*&w$GF)%RjL-`<oK$&oA2?GOzP8$n{G6MqxNbNBO1_qlp7LHwv5PlZ}1A|W+3r8iC z-_O9n5YxuOu^P(X#K6Fi)5gLf4&|?5U|^_eW8nZLJ&<`j7#J9O+E_Szpyn4cFfhz% zW8v7q1~DJxrZsIW93rd`{uc%YhCOX89QUB=mohLgoM~g>u!QoP85kJuw6SogL-}VI z7#QBPv2dJ)+JB3If#FXZ3kOIXWdB@H*tfHA+-HKA&&J5WAk)smu@kC)Kgj>>EF8{I z{(X@D+gUhFq5NqK3=Ag@vv7dYk066M!&3$Zh8bI6d_IQDpzvvD;V6XKuL=)YNZJIs z8zuxwt68A%YiHpwfSS*clbKXpl9H^g4N7pP4Ds>l6&3LXsYS*4d5JlhC6)1I1`P3D zW${6&>6yhPsYT8?iN(dK#UO>b`FZihC5c5P@$n#IazQ4TfmrFu$??S?o*{^r3}Trv z6qghw7gRFD#}}6rmE`BdXXcfp7Ud=8FyyA@f+Pw`ONtqC6LWI%lNs_0N)n6G8ImEq zw1T3{yplAAwBpp%Y=*R=)Wj5q^wg64f)bG4;?xp`w35`E9EP;yoc!WchP3jc%#u`w z)QZd!hP3>G)I5fGPyhJhl9bH6_|oFk6o&ZtoXn(TsMB*3GxI=ZrZPB3L^wKm#vAGx zF}VA9IyuK1=^4UVpzva1K!wauJ`0p)VgRui89;fEfq{vE5eykXMGLr`xU@c-6;z6G zgEA91PctzjFhJx%aU__T$qUNyVvG<m0WhD5K>@1%$YB-^HgQOLU=?OyC^^i+!OqCQ zPywQu7#M0mG&2K31Bhl}U}yo+tPBhtAexPVp$9~>GcZg5(Hsm6Q$RE)1H%ju&Beel z2Sjr-Ff0JkJPZs=Kr}A{!wL}1$H1@#MDsH+Yyi;$3=CU9v>*e+4iGKGz_15Ii!v}A z0MQZ*456J*o8NG}Xk=tycyR|rSnDw`oIK3%-!$hi3&(%eg2ODJ;)LP9D##}ppdfhp z;Q#;s|5ZUg$^gl|ya48de3k*qsxJ?K`5+%=fQqn}8^C;!PcuNt`{e>KALQc<4F(2= zmlMEzkk2zfQTVa}%m;-)2B@TdSpepPLLviHGrUXy^Fbk!;Q`Vg0Oo^2CL;jEcL4K2 zA(RmT;v0bZppeP{MdeEcFdr0R86Xe66ae!<A(v4AQqKV9gF-N)0>uCD59D7^NM<yE z_z%E*P>5!9fcO`{d{D?{OaSo@fcc;h&X@t>Z}|8Bzv0`s&WFuUJ_LjWWe99x;mA0# znS~=TEF-3qh2w?(|NsBLOqdYb`84<iD1@UM51+SYU<l}Lj$vS6XgyHE^#4M4?4Of| z!D^b{a5UJS0mVzH=0c7GEF9eet(Qu~JHIs_U|9^}|GxwmE`5EB6{M8$<l)Y5khor$ za+rmq^+1UjsMrM=&fENkCj%t-|3dQ-fs=<p?r46)vC!cVNL{JyLWP511`EiH<~KY6 zFV_G2|G)W&!0UIN-@yF&Q2tf09l?R!u^i36#mm|MtIS=norR<M4M)Ztke^MqvT(cz z`uG2TMAU!N3x`=aGBQ9S4*&lD2iw+sM4<IR2@lvzZ-|*t%b|j15W(g*Ji#xlK<qah z84d?oI5OsJW#M?e4P+6RdjP~;4(C1rac97}EZbN(UU!0gt+9=T<Avkj|Nmds{Qv(S zBoYIXdjn!k0I?pyH67T-!tpW?tO{!9iY+W0FYS><_JKrn|Ns9F4u|lt;N~|q-LX8~ z!ri4D%}+iYcU=HV|DB>BulJTQ1ZCXW!om?8_Tv8^aCqAMFG;b<E9E)P>T(E@>t5fn z$*Z%p$uE^?e#7zK6jaG%C>&tncro`cSjX!<HpQhovKX?svKS!JFAo3t|G(390VsOr z+oYDxMrZ_u%<H4QWeg3rASOczXM-)sFosf43dmx30g8v_BLW~VKLte>NYx8rh)t~r z7K73Qf6ISX1_qFd7a$cN?Jqt;T;F<NF({$%x9kV0s9m7I-?D&}fgu3wU`Q;z00nmt zSUFD?1IWoBGhcl8{r`W&e^W4f$#0Oyc(RyavYp3YTtJd<`ThTY=P}KHohB+TW`NZS zv>xbmox|Uv2(k>x)LFm(|A!bFmc{tLbk2)PuyUC!hA<HCvJ?YDd_-^TieQMM7i@wI z4B!OF-+G9Jfg!EAb_Y`lIAl0ps6hgOe|zYa<~KYCAF&3#kOrFuwtNbIOC1Y1RI)Vq zxBK1*e6bE8IfK8&pM?Qr=M0!lCxqZODX=gwbcfzZYrS;b6;yUG9Cz&j)g;GVL5(hk z5~uFc8OK{I{{R0EDi@lMiF|YW!c-#OT=#*4zhw#&14DD&2S)xDPz$6x^u~YJCH&iO zHG|b_G#}Hjj9pT5uK5km|I!&Rw15Bq9|!Wd((nKOqyLvqc_9gLWOMBThY~+fl)l=+ z!tvh}6p1f*e<5edQblMsEamD={SqB#cp(1dVQ?;8`U@0Y0<T|ydDEf1iy+g!Zeihg zy#bW`%(56?%mGXBbl1)ZVBQPzPdFslJ6#)I=m;|~bjS990{?a?N4M()YquXIi6D3J zx1=#LFno8N0BY9qPd#9Gvbna0fq%-umV^AQ`iu+=-C(l<x?^YjFR|vy;MfZHf%nh< z|Bt)&fP$?%>__tvfzHqg$6Z0?HN$b&7Lc&vZELw=)9zl7YdU*D1wd~ZLw9Hc*y3$A z!X=r_wF?wV)c(6paPDpe$#>Vzu#RCDDY^v?(}ow<e}aAgvhm;l|J|VznjbNjGI!Q? z^m_eo{>fC%)5~)eWY6X2oV6XzznDw6h5s+@$lyn~arqCh{?~KCn%R*x^FcLt{<!>r z)3u}d7fXq4uh(yoxu{Yt&A-_BTZ2GVR%yro(v}Qyg!%3e^Iw{Pilo|>*ZTs{9H0hO zu=U^n|KD9FfI1rdQx79T`DUp^_f$~$1a#NV_+KK<lcBPeh2!N+Pz8r!+s*F~-<<7s z?P)zw%F!J=!8-0oi6=NbTfQ+MMdU%l+mMJn+;W<~71X{C_+Rz|Ir@6OBciVk9DTJD zKoQ2@%=P#Ge@L{03@&j4N0JaYl6+@a$5@IKJ%9P^&;S43z7v`sGV@P4z`yN+mttr~ z^H1i|o9F?c3U%`VuxfVxDTnyC9r93g?Jzvh{F8-$$^j2W*Oum=?4>jRWBB*wH?V(S zR{#J1KL{;g&qC!?|04w~a`G+Z3k3NX9Gsk3Bd-f$#Ov$LZ+Myyhy=V?2#u^WpnUs= zCyVt(KU8EsT%;B%QUDPF>&t|SX+gxY*k6Q0Mb7^E{~x)0me|h1@iH2eQ{QkvifxbW zEF3QueEa|ZIExCXTzYW?<SJOLTe6*n<MjhjdtnDi652ii*LyzQr5vr_x@&p(TVfd* z7+U}Hw}gW7Yq(0|BT#A9c#466fvGcG<wc(osEO10yR^XYz@MF<K2+!Z&#R9y*B^6c zbUo(G<a5lK+3lD!3*#|oR>ot_Y>Y2rlo%L1N-P6Fg?-qIO<%#$(0TyW9c3tilu(@) z;!hq9%VNwj34W3I?f-wnOQ6!_0RNOjukTMd=FH0U`XIRN!oS^LAn=8=5(7h8r|S;> z?cqY5A}TM8A^crY|I0;Q{8D6K=ycr^`M+G^#XB(jK-B+onHP`1>?4u?%N1T+1G7&= z{V!K}aSF^n!@u2MqmxJF#dAfl*%$b?hiie%z7FAE;@|GC1Cl=u;a}n39<B$H-wxql z<KOOY0Fqx0;osoj9&QAZpRUNj&>Op=`G`PTr|YekC;t8a5BB&=7EmS!wei5=uhZ?z z(R#AGmZ!T^pxae~zeN}t3!sio>o@)uW)S~C=iyRuaAC&rLhB1O982YQgGP7)vKWH@ zmvX!af{F7_g=CH!(4a2m23H2)pq|j}E7IvMlXl!)OMxM+(_iPsb_Gzh^0$CG=Z3ef zV@3FTI~f=ldTUQi09k1EVvzzUTu(&)FJpNz4a`0h^}mecMHiTTA@YA2&x<-R`%2XR zGJzLGVD^p3|79XC(!lIHQUA*%UPOV}4<i4U$-MAW0N1SlOF6O_vlPNRU7x&2hB?1P z#JTyH0>~jfpd4#>>80&|SlAhZb0Ek6Qjsi$uongpE!M6g;E?5S68ZoC|Lb$0bPf(% z8}zW%28HE+{uX5r{f)mx8bl+9?YU3b!}c3YoN(AGq#bwH(*T97!HZ~lNZ9UWU|@iT z?Lu(a?tq4^n>;9NcYwpz3e4UE4qH7i`v5p>mB8#H;II_~vq5no@`4M@2E~QMi+^$; zb3kz+^Wqbj4T=ke7tg?KP+X|IxFv@a<{IIhu6JI%hj|Me<{IEIPyP?eg)cz`A~;lE zN+ZHtBkaW<h!#Yc|M`a!<~*?Sq}x@Zq^$LSNl|wwsN0j>3JPb@<~KZHFET#DLRl7^ zf&;S{g2P@+f{79iW0p>Tfv`YOYf<2Z_9u{gn~w-syNd8nI`sN-=QpssU!Q`f11xUx z6=*$Kg6yU%A5h%{%2i=6{=q~EyGfwaUj*i+=^sgPlSoNk>;IB0qyTb<Sz8JTAc$iM zVWQ}cDN*hYm1w<G!rJXC(a9M0zf>TLG5m!P!Vv=elMW%30g&){2M-?^cs_9z=`NMv zZ}|-B&Hm?ac@3gL@dhh<*1d;?5^C9V4JMB6H2#*^ATz#|Fm<wZg9Dwf`2jQ70sO6? zPWlUT8Au*!1Lcu#)};cSziZQbYj5<HF@VY+;}=RYptOA>@_#YQ3o$VJPSpQmju%{D z_Jgiqo{rEbUEaJMzEAnL2lIi-UTGPmq%IJi*6I4}1v4!4N+jHx4+~hA3e?Ouyfgt3 zEU<L*_J1h{C<q0@Uz~?{%i2`{?Bb$Jug`{dJ`E2Gh9vS(p6*zIZeNk^T8R?l)=MS& zt+z|GJ5L;Zz|!d?((5E}+(|`%0pxgz&RUU9UxCh8p3YK^=(zZk;Fjow=s0k791rTR zL(FY{gOpZ9_*<5N0`EV6%RCSbiU>#sQhx`F2ITY+2opt*gpyF?^zq`T6ez??Lb^i* z3~wKN#bkN8*a%!6naqE&RSFz(pc<K_*Y`tUr|X9oCt>E6NOgw_ID>T*oj1IM2)f=f z25<nqegjK<Qz3fbA$R%pc|>1Zr@NM;^?x@gvB~hafJSk<eR*0>^0)j(_Gb7ChqsvC z41bXY6UFeRdn-~p<ASBLQiB(lB|$zeweEJ6XgyG(6%LACp8ushFWy1Sf;*Gd@KSFX z1Hxlql^n0HbbjlOm1w<Pl41x-p|v*+Z-ZjWm*>BU<clUrP!!*Y>UQP%Un0Tsq6o~t z6WJZg^S?xj<3*ArI7q{@7_)eKeQyMIx;}VO2C=vGKnb^7^I;xPVqEvS84`w#U_1DG z3&HIKkUgP1|4lx<kOG@~0b<VwmKR(Ib2)lrF9dhGUU?x0H+Qoe$k2l?wg3PB4@p~L z-K8Aez7nn9I(<KMhw^mS3UtScbo<Jbq_kctNof6F64QFJ#HW`f`rremPA3t=1Fa|d zryl5KY2^T=VJ8)^z?1{ME)6W5PAt7n0^Ok>I$dQteI+_WMLJ^zI%~nH8=Sm_{(;6; zdRgv*`c<zt_Leb3A`&~i+~MgC<!HUsUCIMW3bhjaEz3di|Bb(8K8OY-cUZY&_8OKF zAmt9I#sIZ>;$Y$!X(6=re+g43`->ampr|hiH~ep1D^P0-PUmJX&WVHK>_rr)O>;;b z96yk@2uE-1i{MVzH!rThOfC_1Z$8FhT`N#?1Ubn=;_5xLtl$WHu^6HOo|LY<z5oe# zcv;~K4)9uu66@AWCFZRsON^lb1TQT>A${D51vH1%=?X41Vg)*V!NCeDG>-iH{~r;I z;QSQUUCPt?EqDiLn7lidqeL9s*baVimmTb>)&nJcV7cDZAJK83awZtm)((3S$^nyq z&}avmUo2tY0qVQIzSdC3!dSw-12iA@`lR8dhB{V8{;7wW-|z&zs1ajec+oBf)mh47 zc;NN&<~Kb2+x-LrUn~~`wV&Mt__v3F+vd~77#JecI^Bdo?Z7xO28O6kHxW=fFi4Dn zA-dB|0@Mz411aisllfn!@WKkBM&W;%$_qU)28K>I75?pU8l5sKFT5dUYw&OP(*m`% zts#6Z{_SBppz>ZD!q?&79;XLVFAd@A@o)D7x6QdBd;|XNVc@p;Z&9#Yjhc@Lyfpv+ z|NjJVScZef0eQM>d0PL2vP!H#iFoVn65+rshX3U<VK2JapdK&bhX&~vP+C9S`7Mlp zd$>+emO}80e{2j4AWriU0m}<THw?d7UaJ+G@FG|gln6_O-N15(6)dmSyf!@WdM~_= zvl9h{4>PEWGZtlFi0pJ`0abD8U}0yD|HV8nq#@!w|BD4)@I%A}{uhh9V1|f`{4bVx z@m&ODjI+f5Vwo4OMHm=5on=7diyeghrx5mH6=*mRJg|$+e+EHW8o@8*ApSEz^Ix$D z!hZ(Mhcz(#7YBA2*nhzy2>-c*g(3d4hKPgxrw<Va`%f7n4)&ioL>%ltZiu+d|6+v~ z|AoQ+R`_46^5U~F$lofUsLh3!eV|e_mZ$Z0cP&SEsX&Qq>$eieZfAkk1EuQS!6KH2 z%6SYAfJ=RFU95R1I4Fyum&YLR#oFiKg6H*vfGmdp#Vp}3T0jGc;80=*r-Iif!m}9v z7Yl^FV1kF=vu<aB*UOR96q4QIt=~$7!KMj>zc7QF#=i@cg~4tBchtb9iiEvb15sgk zsr5kV!`HWv%T69p*~!seE7Bb+0ZFPLV@n0XUfgGfB~<~iBf-YTpFG@K#t@dp7#{p0 z3}R~Qfs*^3|6XhnVqiG<j-~ZLiBs#h5<74iY5Zc95GbO+aW-EF9KPT*Iavr4z8tWo z)&?O^R&wS8jYl6A@GCuRc;NK}NO}tn=mz^c6x2p45pBIxBG`Jegtyy?rTGU_iBR(o z?h;<G^T1Okkh~E1zewbNkpQ?uD+ub%J%*SC%9;Y*p&&2Ea&(u9lz@W~lr>8Qf?vD` z4X}bEg$HaPw6p`2bu7K1UxHqw!2{$zM9}v`;EO=G;HeiI1tBT8JM;r{=$BrZsi2~` zQ_k?f>&>86Xz7<1vjrI#qW+hD=yd(^qF)eHeS84rt#c6fLCX%X152^Si8tJhIO60G zT*3VpN`edw2j4Tb9w<?0{oi@7M5^;QBz*pxy$}Lf&IStaV=UgKpmJmjPB+Lv(gh@( z!d@I_B#<ub5N>F$m0&3;eKA7-<PzrA10{N35uMimB`Tf&plPH{0F*GmX{1^JlrC66 z?mEojS9;&@!0QEu-z@*tf~KDS8^4G~C=Cz*r7TDh=OVzs;07A?b>?V3%wzel=Hlz4 zJ65k+wd(ux&g-umK<OP{I^qPSBNmKw#1Z(vhy^Jr-2-(}A3*A3P+5Q_o?v0Ln1MhT zNx=j0{);MpP_ik}?)-7^1#^i?=P_uw<nhD9C7B;1T-HG1tN9HFxZT_BD$pG&Qo`4I zpoFXSQVCmg1q)jVq;vs~-Ut0J5cyvq5D04USc3AzONjp9?pTi2+ugoA-Jt>{+}$!N zCtvzK0=2ukWjzkDaJ1eo$?BF30JG{6ntw5t_&5Jztg~tU#Z;o(EgEu&g`@L=<)Io* z%Ue4^qk?rzh9_H3?f@}MI66gr4zX|;9srqoxK~7SAxQb_i>;SRkAj)KB8;yOcY_r% zo_hVT^BY*->kFXxg5?DfNcj{CYHF77L(8C-vmgHd4;sH01-tPQKe%tR5bVqY{CyJ{ z7#JYNcJqR)v^-VAZF%Up>j#jt>e!FFegL&7yInuD9w^B;?)nDAv#fnn?B2^FY<Z%F z!<rRjQk|>efz|^hM$BMK0)m6WUQBuT|3Adw|E_NsZ#5qgV7zBp`v&6AVh)IHuXlF4 zzJWUQFw9TeCKw)g{R)<L1hN>qV>z-If?qs(@c%!ku`%lbsEPp3gavmVe{mWr+zb_F z$YKcYJoaMCga7|~Q@?2bi|+go7k~0_Xy?;zUykljfll6tLoA?#(8-$sijs>5U&?zb zUhL#e0r7Za4zX~6+HIkoPmi;LRDyhboE4-L!U8FSus}*dtdoavrVl-k<Gyvvf((MB z50F8vx3Q!Tkh%EM2go+0^Z`;1O&=g8G<|>+k(fTJ??clE*p0CC0d^)leSnPZ1_u!7 z=>udEB7J}?2?V8&lKY_a0WugZeSm~O=>y~|{OKbMk|Du05Pyp~69dBwC2j@=5B?TS z5L=8JR95sB3-Gu0Gchovbq5RZZ$EYLAxGN5R~($D(mKUneCC2E5#n!6fG80{S8@TW zM1;T9l!<|%+gYUZQ0Kvek2o41F);jRIQW9&;6n+{i=BrWA2IxAVBoxX@Pz>9!A`yx z)1ewA_*=h#2Kb#Nh}0Md)hNT?x*4odhDeR7P>l-wtwmss3Pfsr!wCrn75-L3utpUk zHExD#)ZlLgl?-X!!5Zk{Hvy_di@y~#Dx20Ftc9*57pg>uzqOA698o&xO1z*-^h(py zx`Xx5rM02b2Bi*Z-N6Rv(mYUUqf*JV?qDPS?Y9m-hNOa9X`Nz*2S7bFki_eco!>zE zU!MZgdaoCQX`Ppq|G{|#<oK6faJB|`s1{VVdaJyA2b${iR(QD<Ov}8?0n-vMwZXK= z%U6H@|4-`<7J9k-FU%}~mlc1}`a+-z2AZCqfEFDEae&kFHAV&oP=}g_zxgys*qQ?r zVf?MkpcHA``JwaU!3P|w;Pm(ooE{H$eozG`$Abso32=Vw<bJ^c*3w(d!ryun9LFp~ z#_@S}kX^mS9Q>^<V2vC^YMchu$iv_24A#g)q{djNMgjg-Ca^{UA~mW&HHz@J9t72E zMCaAlY!E+6K*mVBiO#E=pc-XLox7ch%%|?nhZU@AdH9=5LCc>GG#}vscWc4vNapo@ zFfH+V5ttTv8TbGH|88%Am$G1*=j9!6dg6FF8%(pji~`ZzFQxwd|BuubKuSkJpt6vQ z4V;c#nBeKi5+wYY736RJ)_UU8(E+d)a5{1X>2fAE9koL>a`3l;78!Os6Pu3wpc;Aj zTla&L1F`8y6sl2xzqJmmk=S%}hXoWYy~QH@t+rr|#FqJsp&BLlTmOI)AhBhB7F44Q zf9r0rMk3SF3qz<zh0?liXJX6yKg<ySsgyc)I}=&fyEh-!0H@mHfB*kCgs0jG-QFs% zAA-}W!s{hqTIOX6IIT*&R0h)`FCT%@sJFn&g<zWJWdfMycqtF2Szg`)tz6)KIS*7C z!rFa2;KnwzEPTem!0^J28Jvc1faWd!gPhWQ79^|>_6&dPV^FveR~G(Zg7}|>zZEnK z+f8&DJ`B~!QJO(~`t5^i;w#lo>kj5auAJOK6C<@eX`N#HO`xe(q;Lf(eSN?4TemmI z>s{b*WqDZz3Qz8rR-h3ytl<P&Q2j!V2^>yGLE!<K3gmCOg9x(q3=9ktUi^m4!`|a> z1uf<7W+t+HJp$I&Tg*~gOMIC1Lp5=fIuIRZ?w~PxP!S1QzJmx9P*^;IgvINnAe#GS z8YnElRb%n>2`|9&%m+$~yNOE&p!M>t2SEO@B-%gj&4)RxYk7($gHt&uepp_=1iR(+ zTGTk{u7wPalz6}BW&kbBa0GSFi>*7qy{HC{x0hNI7xHeM+|7qsEdLc9M+C)$ZtmC5 zLpz`H?_<pX_gFyvn^2ApQ3ww_7RJAiH4{Y+!UM}K%mK@Q+1;TWouWv5aMu#LW(6!) zfKa;-&hF*~F*~2Op6m|gC=u<vc<`Wr;l;*>|Nk@mKX^#u;7bm}Q=JzeeIu~0&Zn&h zKuY=ex1EEupF!<qxEk<0UqH97z`>VHpamKn-LX7%rrn}oTS7l{yME{vZa&P?dZ6=P zZ#_$iNauxv2Luc+sKV@f!C`pFv-M=BSpAh~aD@t96AbAa!xj%u;s^B+F8%-CJ9);R z|NnctL8RuXgTI(SBge)3o!2M)pRySw=w|t^=nJfB4()sz248^e$Irk3(b(GzQmJ|H z;7_L3lf8xiOSxZIF)%QIT9cjMpyqUb@@u_R`swvk&>H5Tg&~JoIGTU_-wmQmc$#0c z{J+r6-2CHz>6eITa32+J&2v5mhM*T}m;e6<kJf?r4}n(adnM*67#k`W85meFq!tzB z7g;G}<|%--6=de6E2tK8!9-Py6*7wz^72a*67v*NbJ7&jGILV781gbwt@5pktr&`u z7|I!p44{Au!Gww!a4{IF>RD89F&L;?=vhR-Xeh@JrVOHn!PUo2p(I}+Beg;yIX|x~ zwWtK-w4~C^oDv1qVjTsQpwzO=;>`R!D+MDH6JsL<6)uL-;>7e+D+SeJ1>IBy8<-pI z6m;_yY(NHqTx_SHTdZJXY*b@rVh7p_1og9Ou|h^7$a@L}MfvGPiMa|Hsfj76MIa@x z5J*Z)Q7BGL&rQuMQOHkAD^4u|1r;cW7#OgrDN4*sPlYLg+LN1EoS2iDo|lR+Dl-op z%m_1*^GkD5^3+Qdz&kz?OHvhbQ*-l+DizZ5i_n}`46_%np5oNxlFa-(g_OjSM9=^O z)Gc`RfI=^+G%YQ)2<|u4Vg*pFfI<<L6jCcvlS@kylRznffx#IPQJ{q53QZtZ>Z--+ zposL$O-xTUvjC?Wh#(hX^~Po>>Rln}6YlQ(g48^43dt`mDJU%gtJQ%9CRVi|Pi5wz zD`m*&VBt8_!NPH|gN5Tk2Mfph4i=7o9V{FIoh%$uoh%$Goh%$6HKby*P8JT2P8JSE zX=ZB+Mg|5o&|b3(|NloYGBAW(`2W9zk%1xR;{X3sK>Qp3|APw3D>wiD2kj3rxc&b> z2NMIsk~{zZn=mmjSls>pKZ1#Y;mqCt|7(~S7$WZd|G$8VfuZOA|Nm#07#N=1|Ns93 z69dDE2mk-eFf%YXJo^9NgPDQh!lVEHbC?+zc0B(7e+DxHgT#~n|MxI6Fr+;F|NjLu z1B1)6|Nli;7#M7x|Nrm6!oaZO`TzeZEDQ`hFaH1UU}0d`^5XyhEuh7MFaQ6)!ot9? z;N}1Se^?k8R9^l6uffW|@aNV4{~@dl3?8rl|1V)>U;xd#f|jGNdGr7O9##g1mbd@^ zKVW5GIP>oRf6&C}lK21rYp^je6ny&s--C^TVaJ#M|1;Pa7;3)%|KGvJz_8@U|Nkr4 z7#J*m{r`W04YD^6lx7*L0vH%;I9M4#8`OAMSUMOXatfd@?O@>mm6QyQd>pMzPQ0wK zdIB<_y<-dv3?UsX9H12na5=|j=2$%e@E#=4rVr4*5KwmwF7MXN+Q!n(oD5Y1TH_Kj zfrSIq;zw7*l%Xd8+E}xMfq~)5L>7){&>$zw4N!9m^aPmv^%xTM1Q-}VYA-M_Fc?f` z;V34c7UVBbn}5j^7LLiF06;T03F<!3ekhBn_|>>DGBBK(%EAF^HlX_<T2BD%t_(&7 zhKOk_941)Ym7yoV6b$tP$X%fH+B2PnBMjMH&X90pa?le2`4g0upG;@rc!aD58Yanl z0(E)}F`ys;+YK6;I5C5TqXLWFX?g-oo_Y+CAjKfN1(+BZ9A>d_fc8GZ{Q|Q)TTcRH zHz>bcn8m^YuBOq#EkjSBN{=B7WE?mRfX3-|%x2+;Lv|<3JWq&UT9_CZB<8Sicp|&c zv5ncSnI%9^fGJ9kAp&e3$nFhH3=AoASvbHeC(!JU&=aVJ1|!(tcbFI$T;{QG{6MxF z8b-)!LH_1oW?-<H&%#lKMQtfGE$D#q`Fs|RU@U4tE(EzRfSG}TX8{WbsG|Z8Kd7D2 zdII^dumR<t8fFHDEelvUJdw?FY-X<0Q(#a5?HvWJI9SNS0ovCIH_Nq|rHwg4Pk_lu zk0A+?KaManFf3Te!qJFq4#Lh{<h1aCnSnuN5evt4>}rek7~;TT4RW6h3j@QSMUefh zaQhvbnVB5*6hMBpVPRnKSj@r!S`Q1CN3j<aDv)v{gN1?N%3>A{TV#8S^%Ov151KPx zvxJ2M)Kx?`Cmb5~D?ob;m$GnxHpZc=@qns1!@|IDW*KgCKz{nb!oaX(ISa>0&~!2^ z{WyWblz{;xFTl#cP_T-H!yQ>3>L-xA0V@N;jx{VCpspplJ3#UQtPBh_>sdI|k<&7g z{sLA8h9w(VI6!$EoBj!`3=9^VSU5l%_|WA+K{twVhd=^oFClK}QJK*Yz!?Id?21zo zDgjah!XPe)hGEd=T@X76yw92eyw92kbdE$D3kT?|f(qy!`iw>v4$!)>70oOhpfzj~ zO)MOs4v-cj<QxOgVp!Oj3811s<1h=TiOR45dVT`vj04d52`Q~C93XZ`D+>o`-#<tn z=xhqmnv8${|L3!`vT*$W|34p8gnjt`KmSq-WEUpL-Na(hqIQs47!6{B&Zz*=AZ*me z!r|1$!V%QQ!jaU*!co-5!qL>m!ZE3hg=0}03&*B57LG%0EF72GSU4WFv2c89W8q+G zXW<ZPXW>w4XW=kvXW?*aXW<BHXW>X{hq}+*+1W}#!`&}bQ^8ozNY5D5Z8kPAH84`p z2ue*+@JK9C@By7y0g=@;HP&Qc7}YcU!a%RMGPfi#i9ru^d;^%yfU&?QITSGH<>i;8 z>Nz@j>Xsy?Gw5X`7H2T%rBvn>SLQ<Kk|GAZ^t@8NveY8bC<Y2AzKB7uC^ZM99>OTd zDPhpd%*!lc&?`x;C}Ge`%goDU&@0LZ_vrOfGvd>V5_41IGg68e;5-IBh-^w_USe)$ zGJ{@nNq$i=gI)@R%1Q>gAisn`FR8egK`%K!7c{T|$~r`0X=qsj%EO@ZXF&Z1P#%YA z29-A;Hs~x9&~`_VI?y>Wpfh7YZ7Pr)2!pzoAleXmmI_E6s2l?IM>c@UD3BzS2B|fN zo~Z&-2P&T=m>3u)K+nYi*$GkuDsSweXXJp?fy%QL%nS^matvx5<op{31_pNq2GB7C z;Iar*4qaklU@(H(2T}{t55ge~3=E*M3&aMMUo4<q&`53u*$Yyi3_WiKqz+X6f%?WE z_rT;qG^iZRK~e`QFH=|<z~v}N9&~05hz6-Eg@!YzJZ5QQ;Q;laL1iq+E)WK(1JR)N z7|8!1buw)%95QSS3;`ekkR;SUAhn>OVvsOMT~0d-M-Dp!1CK6L5mX(B2WqRs)Pd@U z3KIqfP@IG0Kp12eh;E0v59Hq|TUa=zm@qJa#v4F#APiFn>aT&!1F2&Goe5+CIS&Y= z283biKz#|AI-8v=95$8=3>!d|5=aq}`#?+0LFz#D5a|3O&{;+$V8bB_VQFkF^sFC{ zI#B%pI@1VrjuFgAkiDRA-UjstNF8W2HN=^L0VEDF4RjI-gaul30JAsdFbhWv4s}P6 z%mbbIkm8Im17s4&UJ!i}Ngb#@&2Wa)&mcJv2B`z#a|{sofZPW<p5TNt1Na;xkQxvM zsR7ZTDI1XcKx_~OsRLKiAP&?n5EE2CgUkZ4LHL3z0|RJY2gC+pm>D1%DOeeJycrl0 zxFBf(l*d5!g3>qWj3s3AazYsxo*)Gv$Q+Ql*f6O40Et6R#e$qE1>r+%29*a8KEwu4 zzK8HZwlgq*@;8JJiB(WOhVVhDoPhz9Um<)@NyNYa%9jv6#DSpv2jPQ!!N35@XAnN9 z^~1mb%1;nJC}%P-fbtE54{Aj*Fo5z0gb!*>F))Dg0fY}a0SbBs7Pvfuoc;t#*ARJ7 z$U)EG0?UJ91#;#VL>|<7VqgHJM+hHM!h+HrgbzBkih%)?z94)^>kO2RAbim2SCBJu zAbjMK19bWh=-3#L3<NWyoSp@emx4$@NoEFVaJe}F+8$zJ;9-Ec7@~lM;p2Z$9~0(Y zMh0O9EzlWD(0&gig9!LYFqr=t83Y)-84&JgWDsQl9VEj6I+F~XRs|VAV|3tyRlt04 z2GBt=TafIpV_;zTfW$|459rLS2)O;i4AU7H7-0P{kb6L5cQ5uq+IJxLfX>i@g(t{8 z`#@ueTOjfv|6YN36-<Ks3pyJM%mJ58AOAlAP0byGoJqyVAjt3vbS4!N|04qf189jO zDCL6We}T+Ll4oILU=Uft!U1w8$UY@T1_s#J1Bma0l#xL8gX{w>f`gd{vJbT64aNuA z2U;=*<Adx2Ero;eebLMdVPs$c&G~_ZLGDXqWMBZz^TGI_F*?xP9!!5bNCFxFAoomU zWMBYIvBBi$F(Sqjgcx`jK;we2aV!x31S12(kxeWdpqvEa-(y6KIf3|~wQjJnMi8Hy ziGcw&wg=)XGBGf~#_vFU&^i=QIS+D@AcGKt6KM8&0;C@Ul8<F#VED5M!7pZFVBpxy z!U4*uAo*s{_#!ku1Q`Swx|ksO8RQ>920n&~ObiU5lRF@ML53MjsAsmVW@2C{+04QL z>T7|_1FZ^&jq!o_cR@uOG<^#&ef<B9iGcw&z6_H82O7hNj)j8we9R0CuyIrnU!IwP zfd#5xkV%jMH0B37=g0+oh8Yio4KrdK7o^??GzWlmW*TVGJo1@xNzABc$`yg;4xr<! zApIR6``RGsUx<N=p^q6c{sWR<#*BIf9cUNQ3Z(c1ohkTc6C!+{GozkS2TJcI%OL3; zWZn;udy&-hgU02SvT*1@{ilpH&IVEsTCjqA#+?%jVtflEpUT3(5U`nrLlLUKf(222 zgXHT$=0nH*K>TSesAuGX#`R$1ks$f)EDQ`R%UC!-O(qckEDK^h4#fY*f_kQ&G-$jG zIzA7Q*I{K~*n;FgPgcZuEJ!{ARE0s~7nD9gr=)?7umbhrLGsn0b|Q2v6~ymmWdKi! zz|QPj!-^>H1sFd52W<p{&2fO#?*)zTLFW`e{Bx`f3=+`!6A=F<E9#klpz;ScW(ku2 z2AcDMj=6&P+@LBJ$-SU<Cu}YRByRzl!-0;SgZS=9>J=CS8G_hQ&lF4n%?UxrutDle z*bw!r5Cbnm6&vcAgFS2v3=fd<^L#ecGYB_=%!kf>fXq99WIrf;udpHJh(Pl9*-+0c ze9y+fz=L#VAtO6#_;Ro##%n?Pb=VmgVD+ycgBXJoJL(ySLF^0+SD^I~D1O4(85nes z&OFRyXJC-nj3}R~*%=sYkj_Bt0X1Qe!h1f{J&^K9oM9t7>Y0cK*b(zhAoty2XMm3n zgZR9l6`{~Eau8n$)FgtA>4W&D91ILTNM|a#b0Fq7K=Mf-^)UA^2r}e@_@KfUdLC#q z2Lpr3W)==jX#SfFl832h`uKk(2Ll7>6fTJS1sS$-Fff45!vZxlK;`cq4h9C$=~poR zVUT_#^Dl8QFvK9A;Rre>F+LrB93w+=QAu%0X<C|IGDCd4bBKRXypLyaNPIj)e7p+^ z&($N|Ey&T=HQve9-O~@Oz{?q~x<Jo>Avr&{ASbmXHAT;mAwE7OKR!JtKPfRM9^9jj zPb{rqfONxCN^^5ThdY98bM<opn+3KE#(`J_<AJP!u@R<%yX#<6Q*+XcGEyt_k{MES zK>kPrP0TZ-CB+xy7c+o4@x@gPkkcnYLh(_C#;G}J@t~Rdc+feK0T!TBAKg5CTyruR zP-H<1Jpz)mvJfg5KtiP@nK^pN3^1xVGcP?pH76~#q9i^yKP8m`v@)R_BoYrgUotZ< z9ptk3)V!3;#Js%J;$nuR%97M#u#;2r<BL+kqa1nZAiK>$E-OmS$xn{=@DC0NbMy&F z&dmku2iXi=U;*OiWG2OD7Gu}~Rs|k^fv#%+sRg?u6*4S?Aq*V>Nh~T#tVAe=io#UK zyF~gq`g%Gu#77w!X5<%_fb7o6EGfxJg?g-v0jn^~uTXEMf^A4EV2DpEh|efz$Vjb- zPb*-6p4;i*06R|80CZkwazSN0G^pZ1hL<JgfVG4D2wAlOS%(5%W5Ey~4;uK12YUqM zD6m>k45UI-A^6|`E=KS{15lt5tN8eo;{5oG#JrT8RM^>{$;G8$a}lZ`;m8153IjP# z6xrFJvq_Qpp!fv40b(b_)eQ0A;i~v3Lo={^eo+cMx^r`(N1LLV0*&udjMP?~S^`c5 zNa+iF@F^DkFr^>|!IC9N3>2X8plp*`1okE*Yh+fXLJm?zHYq;J&;sOUNFcynZRwhm zW^5E6T$!5-k8x;}#T%M2Ku%Bvn+>uHEpb6kXhpUKWCKVcB+#Jx&|MlIWo!Ua2#ScJ z#9T-ugOdW>S%wA>cLijm6y;(bD2r|-C|puuNj^0v4HV`eTQLJ2WG~2pkYGSfdf=i4 zIr)Gi8>R!1*3vPK&vkHcMw~1gAD>iQ3=Ozk(9yFX6QC{xFSBBZk1_+L_~MK*m~CLI z<3Xy?y#{hDBs5`35S#|F<rd8FghoJO3M7mfKtrccmq8BP#bz5U93i;}a&#}Ux^yh* zHW_p*FG7(a*kZ7s!Q}u$e0)JsDkwOS+#MffmXev8Tb!N=KFAlL+W>khFtSK|D#-1i zk`|nbA^yQgF0P=V;&^u-e<w$ucz-vyVAqiN5Jx8;*Ld*xzo-W0rGUz+;?xpkVX$*k zE1;zwD6fIf7e*)n)saXZ0Y@D4Fk^%w1N8HVk&*y-(Hg}4;55$w%MQ?RN0P$uUobd3 zfD8jA3utZxmz&@K2MI!xDX8j%oRW;}Dws|zDJ4E06u{8Lf$cPCSja$22aG6%ghXOa hdR}~TMrv|4q?k_2gH#oH4Dm?Km-u+-S<%Rj0|2@#&)xt4 literal 0 HcmV?d00001 diff --git a/software/shared/tools/bin/memory_image_scripts/cg071_elf2mem b/software/shared/tools/bin/memory_image_scripts/cg071_elf2mem new file mode 100755 index 0000000000000000000000000000000000000000..169d8b6ee389020f7a9fcd22245e1ff1de605184 GIT binary patch literal 3402460 zcmb<-^>JfjWMqH=CI&kO5U=36D_F<@OfoQ>00~0b4h$9y><kVJk_^lYYzzzxEDQ_` zFm(=47L4wI>Vwe?0uVz$dO&y%KZHMrA7TQGPJswBz-W+KkPyf?RuBV@A^Ko0usH-V z5Jto71L*^saRDOFaKRs90+cqi5eD;NG_pRB9~lIc!SW0O$td)Kl^~ND7+%a`hKfU| zz^5fCV0{oSL6QTiqCu1;l|c*2A%cSGBSI-&B_LmbFx<@o&~Syum(3<<xIs;U3PX7e z44}{i>1Tq8K*N;*8s3LxP+h73QwOD|GcqthLl7zgp&q;u2QwCe3<t9y1R9qioPhzH zZejK>cn1<;U|8@DqJIe_dLfz_7#Ps)Pejx2!4v^j>%k-erUPIGgLw=LsJ>xf$VSsY zg9W001`9+#R2!T^cYi6G{smC|3!wTZvU9`rf*9!TSBL6{hao(jLeed|di3~XN7JtW z)vo|e_cxHr2yFHXq3LH3g63Qy6_9d<3CqD0oIrOED3m~93UmL0oe=#Cc0%-jKrVo= z#Gfn!Bu?P^o6^8`Eof2$(;gaN3QnNgKM(4DxPlE3{R{^n_DiBg7|5+~wV*PP5t9C3 zVv-vn`XvuS^ndd}O+e`SccIzO|0@G*6h9XzW*HcC=770y0$sl%n*G+(GQn!CmqGL| zkO6by1iF4FH2t?XLG<4~1krC24A%-~pz9At)6dVA1y;u|1_^i_Fb_eX1t-H5H2+&e z^;<*r{|rSf_0jErgQnjkCLe5>Nsca<K9i3>{6X~-ikr?9g7q=n(FfC6*TEE=K)2tN zfq?;(hhVnA>M>aT21(6u?ch2S!DC=(U|?W?=|vW2IA8$bHb7hl$|p;pX&od1;)Cc8 z2JGc0gM$%Bn&HkWh<-2!Ev#T-qx5J9jE2By2#kinXb6mkz`%z9sJ{woc7bU3d<F*N zpsf7DlH$VhOiQ=4V2es0pU`;yV$VGDG&e`%@{&qjH=}^`q$sBh{QzB;D$_E@fRtjB zsFEy?G_MHreEljvSEI0kP`50XKxgwP!^o@<mlB2)k8U2-AOHXV_pnYBC^PkFek0-0 znWG}$(VL?p@WPIrfx)BOMMc4*lSKu@(D3MVQQ>$Y#sCrrDQi9=arnjY39JmSx1Iq3 zgn7L#Dmy%^9R>K?7PB!hcr?B_0n*gDM&$sA?uF9bEh;xa{O%r=3n04n0Dnse3j+hl z9u1F9AC(M`P97BykK>q&ih$0GY>;8yJ}MF(tta_=pEENs7#=V@>CqjcqT$i}i=}3- zM|X@$Mx%9dL8)Hp29U-8k4}(er;kd7N2iPmhzB;y_JusMSv?laAWwmmT3+ODzs<_P z;L-e(g}>=Ih}9eU$D{L}$M@SF2Y=gpG(TqX=&n)8@abid_vm$G^sv0Z-##6ruCqkN z!>7|lCBZ}UkZ0#bkMFlU4*s(DP`u#LE5h#C{F8~lm4}Ie!G(Xj2z#1i<FEWY{?^}& z3=BI!%`=bYpX~gtpq>){b`kls#$Sm!{H-$>7#R4sg~&5C{L0~P?E(c-x2Wz06$ZnT zuQ?q%N;o7vdc7GvEHBn3d34K)Y*1nF=r&~qQI<FPo4<p@^0ddnhpfk3_#_xx4wT65 z1KZVY`(nKcgHJc>&Gjk_9+tn0Hox2gQrvBN0VL3Edk{ofe&%mp!2)tY3BT0qQ=l{u z;L+`)Qt>kI-~ay|E-LCC-J<hBDmz0|91KssW`VkfzxN>6sj^KV$!^<X5Cw9P3&@gA zu#0@UefT*%x^4J9EkBkX2gSC9M`w(Rfk&r}3Mddcd^&wp1YXP#WMF8hQITLMmFC|D zwlPG-0Tj&!9^Ihe?sid0c-`UAEy@qFqnlR%Ou48Scvzm`Z$1x>))*CwV=ht>j2_** zAJ>6`Nc0hi0x4L@4ECOix<f~alq8Z5^Z)+;|04Ss6N5)Dt46RW12_{LcTwSxV_;x- zG3h)LIBz6?g}Yr;JV1$!<3$xnyqER;XAy)3P|N;B;&~>97jr<yb-SoQ1Ci(N|NkIQ zI)GATglF?l=28Vj+DI!EcH!R^!p`K_@GGs9-SEK6TOcRhI0|;s4p}58f%@|={-1+5 zDFWss2Z)oNgT#ATBO;MBfI7f0&VeLA!3Wa-^}{xhcrU9qh9BmhV`6v#wjbdKk3awa zzi@%LX=V(P6^fu>$_5$L?E=cjC`CvxNW7Qz#3%G%vI1FA3Nj91#na#a|3k7kC~NvM zF)+Y$odt-adB~&lGE!daE>X$w=(S<<=)B?4dCH^n=gS9R6IkD_0mVJ<I}p`+lE1GX zltw#!R00eyy=L)fKA^xaz`!rqqOt&#=>!}EK<o`*HdIWoMFrGV;}>XA0gDT^s7zpB zU})H*0?MZhC8nSdF95}+2miJ?Dl-`v7+P+Z2zzvIfvB@%;BVOm5mbW-cF1}BKjdL~ ziod4{R1kMVi^j$ypc3<N!yc7cpt`8!f=73Y3aFn4vckcmy9ewNP_C(Xar7`aNt|;; z3Vtb2@V_|&NfH&X7=|W^D<JV+*1J|n8bE!?7rW0eF}(N&GOpW2r2v%G13)3~@iGGJ zHk>YS25ISKonVV(pd`ozSs<IbT|k8|BmqEO5CjtMWi>HF(f}H(dtnK3K?%q>G#6a^ z_y7Nk(;HbCUL4!V%HYv?!lU!%i``)64Uf*BFE+rKA73m5Gr<aGfteRyeA>v$ume<; zzIXvLVlh<sHi$EABP+v%7Ytpj3@@#J{{IiE$e<Wh90>4l1JyU(Au1e(w_h`R{6Fkr zdAOFJf18PvgT=Yh_n<OM;jqVX7Zrv7|Ns9#4Alyz5cQ#Tq5yx}MNnbX{Kf)Q#~Og@ zSObq<))`rX;G*Ki|J9%xs78guqq9au!=u+UZjCqtNa+WV(ho0oZ((Kd=+0$mwspx> zV_@KKO#vnTUfvt|Y78KUYIt;d2zd1J9tZI|Jq$d0dG|q>0Uo`)TOiB=k6zwYU}gs> z<$Cn;&V}$m>Di-~cLIb5u8n(nn<2appnTHHTMpp~FoH55Zx)1S0O7?!cmWVz5QJ9% z;kiP16CgZO2yX*~rwZX+0P&8q2CP(J0F5+z9B1`~GMjC~a=^Y#1u5xd)m*8<;BlNq z1(YdX^e{0nbi1f<H2+}aZ?a-!VCV$3R*ti%fa<sxc@SBFZXXp9{#_5H_$RS$%Tr_E z-}Qije-i5&2$PY266-t&laqfE>vRZ{hkp`l8-yv$KZ&&o!W7}3#99Giit|rm&44f^ z`6sakLzvS1lMZ(9`hhr2%?u0-h9~)VJ&@s_bU^V$2diP88pyE<%|F<SxjedaR17?t zk60Y;@KKQmc?dLq^kO$7*h4)0yB;v}Phw>NnY8NxEB~Z}{M$lQ<P}eKh`!DRdx3+0 z66+%f6Xfn25GKg6CvrjQ0cL!si;BvN7Lc(lD*U@1Fcu5(?|Q(*Kj}c{!EP57m6y@~ z|Nl2U@cJXdK_0!fN0zHFym-HamEq;Yf1tA02b3Nw1w49LvvbuLUR+(m%HYvk2}-?D zApTJZKft4x)d$4i3gH)c^s?H5_)Ee3osa~_stppF1QCLyI#x-LP(4HllJr>FK|(nY zAxQdT{hFi3@FEf-1WAOfPe4L$5FtoPWW59uGKL62k|XOukdOjI2$CjQH-LnAAwrOZ z$vO`t^lLH5YdaySleH5h^c*4tPNKc6B_N?IAfe6^FFipO^6^$yhL=KrKm`XVEq42; z@bE9{bWsuLj8PHk^ih%M3{jDR6bm~+sg|Gd0;n0?8KS}i5d{|&U;>nl1waM71VrYT zi;4szgb9{MDNoAWJ(}NWfC>l&PywL;(rn<-e82%#*js>1;sBLQ0^q`ZUKXf;aZ!-~ zi93KIT*0IHh{oX;tgWmJuNR*=a|YFXFM{TSEpt&pvf~vys5#}LBGKUjYNh3<K&@ER z!piV^0jl}&*vtoaHGEVoKs6i33u}IelLbIkk%33^K>>J&0PHVrP#tqD6QT&iU%DVm zyTKs`AyDk+Zv$7S7-4AP(aiy>^b9<@r98TeI6N#1I7(~`Pqv&a6)-%2>MxI8FNlkp z4}jwh5)xonJz#@|#OpJVj)xDZWG_(>cySCY1FlqDR4l+&y<YU9p_!EdoEsrd1(PW5 zB_+PW4Z?L9IKoA=8EbqydNjXL0Hr4hP<oO8r6&#K^aM>@pf2EK5s2pmI>0{2QIYUy zKB92=#qK6nhSw{>ZU+&l?vFr?Z^HwiNVRya14@n(9tR(=LR$5VH^2$F(?^Bl#p%D0 z;zt1F7zvO62Rxd8@YkFJB@W~S>;kfO5_<a0L$~iWC&)$#aO<)82Y;;{lFcD11|GdK z0^q3Xj#07j067EX)f0dJ|8J;K5nw1ibj(G?fdP?kKwL%y_r<<OR)&|A|3L)-$n$5; zoB?@=1LSmY`jz<L!M~B4e~OEW0yIy*yp62-%$b+c3=9lVy&wv#zuQGcpq&}41I&k* z2NnS_IY53A096kXhPMsBy%yr%W+UO#>&EC{aov;O<pa3Ea=PZFM`sD#UXa-!++aH= zU5$aEgvaAJt4XpNgFOQS!(or(tQyH`4Dt*N46i?dR3R{^$Hf71gaD`mECEWo3O>C) zDjF|AeVj9AJS_k5w`hYZYRk)>ofmxgeGYpz|KQ+n+QY!W;Mx4czD%gWRx@3Vfswyu zEh7WNOL0c9xIkIlXa0P)&;0rPY5XN8()h0*^f3MZpMjxN%cJ?2fJgIj0ng?a0<W)l zG#@kYXg+T6TF9gMn1V<1afR0$FclvBdv16b9_Zqcv*MBGpMJojm&Fy-BJ=EI1iPY) z+oPM!qnqEu@<b`WSGNn>53g<?_8%UWCrYY4_)mE7pY$+1=)u4Dq{rnK9?cIJk@b7^ z@*D+~-5%d>miRd|{QFVP>d|fXn!~HRgzbmN<#!&JpE)%A2aDQ+L~Gc8cwBz(arwCi z|2Yr-^B#uZOT<0+_nrqSZGHwax)kO#55of<h9^BNFZ0hoz(3`nN3V<He~^>02MB+w z=>Px!KlA6i34G?y_ZL77F8<c{{~)poAXx=;+2c@I1CXo%y6k*VUEh4n!K3-OgJ<&# z2hZjo4*YGg|Nj4fng9R)|D*r%#g6{Vmp}S1KTYB2zx;fKqfbi?9sPU#fGNl?rOHRI zmV7z-_xdpiSH=U)s3M`)dyf7ne;M-c|9=o|1*QM|h3A+CTj5kS2F4QBmrCG_U!np@ zZCx%Z5<a~yDhe;TP%=oG2Y=pyH2wrAE;zv8(fnJWCeQQu5pZmEe)nkpt-#+7>PmU^ zy2yQaEsm7NE`H|UamkbM3MhGbSROBX;?ew=zeLyL_(4$d>7jYk^Y|f9BJ{lc%k%Q1 z&;0ou&=9-mqj(9b*SAyQut)3d5<`z(H-WU3ANWi3J3#tC4N%X^k35@SF@EOH=R?=n zDR9{HILL{h?1sxaAGr@$^flPNNCst~R_*`)|APa-r#D9K!I4L`6(^4V%NIZTw&do~ zzt=BA9SF)JK9=|Ep7>baFR}9JypOEr=#O%b=Ew3L#}9%A1UxivI_?5RCB(z1o;>=a z9OPF-SpZ@|%Yzyf0T0W6CH$b0LBazZPfiRB498ql6p&jlpz;tLqfqsH9^FwKAmtJ- zLF+Tn8#|!#v-vj%f754B_oMl@1Ao(YP-olrMG`2DWO#suK+~bHtn!&ZKMa&D;z2|j zC=29+h%!)euLmXfgK7LFm(%!5o~H4ad{5)QeJG9p_Omqp+egy)uU~+rSC8h`4voJ+ z5nnRr7^pmK{_Rj?d-PvE+tGjd{73)ghk;Umyu#7HB@d52EqQhH>TOW6zlN^=|NoLB zM}L%qi~xu8Z;mo)k8VDXZgG$1V;mmM$2mNjUvqd^UMzVGQsdF>Blp9jJ4F76hvh~7 zDGY}_ntwBtn0xf{+yx~RkMB2;${mmH5;;)0gH-5vbl1p(3mwhx9+#hk3;=~Us5{vm zq9OpQroc72f@kM1pWYZ1jhCQ~7AO*tFnA=h5Y(+~{w2WQTmokOU@BKm>&|8H=nWD7 zpVn=jX8Df4WhYqbIHQN<^`h4=?ZCVr%;k1z-LZ@?#s46ROZYsRAqwBW6lG#yNb4?V zOtZYl-%<+J1(CY)@)xMuX#T-ct^l>`Z?`$fCVwPVZ(m-4sA4a-1v!V&L-SB~4C|ln zTn3P0giRk`t_F2^N-X|>ECP+W!rXBE<wS@P9OcTO-ZaRVpB~-j9w56OgQXxYdi^p3 z%=^J#?hH}{vdg18hV=(n7gz(rzV|P!L5jOeEPi-^Y@7w+L4AGsr97DTL!jL7Gk?A> zI3PcM=Fb;T<1e|G#(({jN8>+Ga^r7F1}lWvfA8fBaJv5?Umg#$|1*ES3+o54Az1Vy zob%}A8U_Z2&;0o@7N8>JMjHS1&u~lrfsKV&vhyXVo9fa0L!n&r=s&329wHSHpFvLh z|Gy+17JiRk2f|!)^k2RU>jSVhEIPOmIzUxMjKzbaPfH#g{d@fj)JPGSf<s4tlzSd$ z29-RAkNzlsozP$_7!NMu>Or+Y^A8^W<`Pid)LSZ$_Wjrge*TuUzu;;P6qY68A3Y%@ zwx{O7G=8f@ejXpolVuV<jORTpzZbm)3Hkj8iIvat=&k$j!Fb+B^SWoJ^<hx{0GCHG zDgs?DDhi+)M&l)D#R@D-K+89XJhTP^t^I(>gNt9x`X|H#R`K+L!Wvxhh$2@!{Ch5y zszR%sqGukR$B`=+kR*raaRzXBcxZn2V7%_xX#uWfUcze`N#t6Ef6t|obC$P?PkD9< z9RAFok5qAV-n{(i;41-;iZvkF2HRD!;Gj*zU3(mPR4abFR1I2l)Vx5dG$2yk;0S{_ z5LDIh@3{na=P%Drfuldl!A(_g5P{mK;C>ObKa1KQa7JyPgA^NpoBrLPP6eos4DREB zs?ryaqH*+PJj+-aUM~mRhTi@s(tJ?U^+gjd^Iw)yYCfnj@j?lg`JKq-qXkH>6Ng7P z1E_s(0Pgm7!}`Ubev811b5YpC1R59^{dqSu<GVqVB%r>o0|z$a^Klse;#vtS!|Np= zTTtSYzwHkwwPW<B4L*a0)x%Hn>m4j*<L_Pp8c^3eP|8$YnBvjR0-1j7b};DfPyiL# z6F_5kofAOp&eI1U3L2j7yl8mq;A?in%blkVz7#OL+1UY7(#ygH3K$Cy!xJ7m6_<r& zEzB!r05#xu>`(6Bo&7$D!GnMO3ABzPmed6v6K;vb9+DnA;3k8^9%L7i@drWifZPw= zQ_RZn^7%h_dloHxASExxn9V0h_@qGtXe9#!LmL0!2_aB{_aZ5RIw3Txh?U{xQ&<S0 zgijf0d;op?p@jhwXFi~LLC}amC#Xv3b^*=z9$*2b00FQ9@Mu9UR1RF^Sa@{9hb2^s zSQ%bpj1LfHpCzc`f!YV@Y9s8Eh00;rx1|t^ef({H;OhO2189uJ0yM^A0V?x6K;uoV zC;3}I5%1CM$>Gr*DdEvA?9qA&BF4YXQ~cXOg%UT9<^vpH+fkBb04NK9OUrJi{}(_e z3V7^9N~PdT5CIAe3y<a_4u?IuLHV6U1vPOKG6kI0QA`0%V|KczAd7YKsJzJd$pW5^ z01tU^fJU~#&DUPh<%*!Wo)8tt7#V0p5|lf^gLVopgsdUDG(b@bsRh7M8U-E00!?i6 zF$*z(3o4LjUo^~MVwmv4tALf^<y5TciNDPYe|Rqi6<0$#tp9w6gc?RzTPxrR>t&V@ zUFc!`E)9~$L1C@LgdEl)(?MZ<FOQYs<xE&ugUWyOE*m)U@oxiHSsWIOprI~k%@0xy zYHzuy2=H%*$fsFiC;;n+)OZ}2vQYidDv`qjQw3N*C_8~>>pU#EN(DT+y*NB9JvjKM zfJSa54jUeL{T<>K5D8Mx0ji!r41v%50v!B$2l=NQ<ez;&@nDCK3X0=F{ZY^WKd86{ ztN+9=zyT_>accl61DWr@0x}!K16dLP;z{u9orY=T*E_^N<q*iyLy8xXtp(}e_{1;Z z03sj*iJ$ld0zf>8G$aGkaF~Evz6N2f|2-gWj1bUBw3LUX7e@)^C^u+OzB2|iehpfY z!S><*f6!74iPtw?oXTNkcs&CYmLN>3eNh}9mQ1+p1CN)-s0h4R#t0c~2H6)y2m3(l zn_&KXm5tMX{B2)B1xPbydER=UB*vrLL&Bpwki(<(Qb`>DHV5$)3MDe2_yn*0hyX24 znF3lU)SaUOE0)0pDrA;C0@SkL@BkI)AeVrK*%J^Y_%Roi1n|H!RA(oP%8QSmA(<Ll zM?q&uJ$gmeHIa(~&_)1|*%F|#SK-BMQ;04DP_e6lC|n;WA&LU=f1n9!=rXJqoRdLC z!R0JghL`V<ivm#eqs|}kxBbK&J_+Djh|Xbj_7lXn7-7V$fhUa0O(43^!)SjZB8)!& zK@Ov*6G36LK9iN<<tvmhLdhTeZ7q=SL2C0f*m4Ab2IPerY<a*8&IVf+5Tn$`qnCB9 zuNtH|1X_j!9<e*_q9Oodym(Z~#Ng4)+vTgqz=)GZG>Aakf<WmBI&H%Oa%w#4Tm&dW z(cHTp!@b8?3%t}A7@KW3`lvB5l!kfqvR)_yg(a(^t_o=7)F}v08NxdP;h92saeheN z0j;Y6clSVy7s4R#z@6*SYkNrtY+P}fD8q}eHqacc?Rkh$21v*mB6J5L6blkEXk%q~ zJ@bWT1}no$6R6iv7dWDHKRmh{Kr_!R3?AJb3c{e64N*}5&j6OFXn^|josu4%g&Z(h z@Ju8`p=F1LFatvgcuHTu1GFU4qf<l$w1|V_#qS6vhS$$PK?%mF_BYs8dxJtv98@fT zD`N$Zc5uFi8iQDS37UWhw}-6$|NsB`JlHT$eS|V$*I=s+HcuU7o&hMAgWCm2*}CT! zXbB0dSqxI90d7xr!<*N){{8>|dOwo+2B5~O2B@*B(O`Sp3*=sVkVf!29`KyA1;hx@ z$_r4#3?vC&NG9;&?@v|+Q1Jzt3=RM#Hw};GBL;^-DxjKtK<nl}&H5yez01+kTM(rE zRseEB2FM8+9?iDj>r@yR_*+hc(&BL!74QZb{_q3*f-Wi+Ai@JgM0oVF>MMel34w+Z zAnT976Vi|cT%fRlt*7dAQ3>d7QR!g-uO12MhAim<HEg?ERHi^gV?d+S;1Ep!vA~Xh zQJ(?|Oi&-%7PMcqSkB{k3uGM_$Z4IBC1W68XLvLpDLCxW36TY<0xd8Dw~D)SRG_jD zH-bukgcCWSOTIvh2@p;cK{!zY;Y67i{}({qqW~?-q1JWwfY-Aj9P&ae6}qqky}X8u z1-?Owa*t-){#q3Vh7wO`5DS3vD5%5%Wo};uNC<;xXThrtz(dT?q|xi5A^=JnFT#>R z4$A>`*-&F26eFM{^WqEG=`a;9N>f-FUM7H6oHf6R07ZTPDDne5nr(%^j^S*ueeVh? zCbdAT7eS2^$Pz=)N(At#F;IZLIA07}{Fb8<;L&^};_z`774Vv&2HRaAt^6%aj0~Xl z&(HygULTbN576@Cy`adm<R}XT84YqiD79vQ5)8Q3C;-))0xurVWd*I}kpQi(X|7RG z5i6YvQjg0iF2zg?FAG2(vh?66TM7z8&@dwdG*AsdN!9_hdPW`+pc<f5mH@KU0B*en zC|beRJ9zYlsDM{8dw^IPpyKAm$3#|!mxcfT{|C7Pr@OV0-2KuSy!7WKXdDlk;XRs> zBfr76#|5<Xs%0H0Ou&P3pb%<jKn}QW2XMYn@c7>VT7dJv!2*<NyE_~})N#<*9m9*M z63pN(p~A5S3D8bKkWVbYjg4;5THekO6^<8-mEaH+=yXw$0m&;IYXqrf_}>XK@P&~K zGbq4iIzcOKyJ0ECqn9=IkqDwr<OVGVNuK~-4Jq{xNdh##^ulif6T<|kPaza)c?=3_ z1#lwR4Dv9jRrUKDBWUq42LpdAc=b{@t6_}_gGcLuk~)uWc*|MA@b+sqkN<~#IuChR zo~{k?=r+0G(Y+6}yu`Eff`{caP@Pt8>0$ZHfq&9*hnD}PQXbv=KubnFET@6YEtmAL z{KY@%P|Kx~9miZ$G>|F*!%MGU8vcKM3M33(djP2$3{M&!c-;&VL{balBB?oZ1~ooG z{sS)#dcpD^yrzMJfxiX39v>XHApgGp2a{->iyF-y1|F7-rQk{qT8djb29$hg-U(Wq z#Za07G8|Ga9`9m+gc+ntgs6t5bd=)boD3wjgNqMvp#d&Fzy*l{C<j1_5Ak@^;v)xM zkYKVP(F!NAb$FU9I2cMwK+c7P#Sh5(4i1KrP>>+R50Bx3U>`#kF@dBZLlfPwk_#jR zsq8?k08oyVc<~LCdb(jtpFjmKOg~Hv=0FeB#h_qgLGI&k0gaM^j0CSQe-Q$64u4B4 z*l<uT0L7OzOrkW+5WJiw;k75o5elGUN&{qogGV<w7(oJ%kr!~q(;1>7@xm8smI7#D zO}7td!ImIs4p+m2@s>yDMbPq&3J=Q*HEThBFaWPsB*1Du(f}&2D?sISg-7=k$ckmq zG;jA7aNX!(`I*0s53~+e^Rq|y9!S+>dEbNI{Wt%%DDiKH9r#-gf@b`>Wsg^Ya@uYF zmgP(g3_jh?93I`$KHWXwmDGj@KpF0UZ|6%N%LDwqrx-vgL=O9Q&jMvN-|j`Ayyx3_ z*4Oehe>-T3*w^x*Bmbl$t}RbW<9xekfij(M_aaah^tC))?uL*qS>e-p!&mdPXLr{M zMg|7&UJ-3j`3ep`PtAj#y)1^H02TnX?<8JSgPoeAQsL43rs1%w;gi>U;M!*p)^3BY z9lQX{qg!_JZ54(VX5Y>e6FfnC!@)a*y+K3X2VY2e*n*C`;BS5nDq*{M4*PVT_B{BK z*R%2Ae|ZK5zwVxspaTwC7#$lblbQHiq(RC$At61%qw|)>!Pl%FjgSBTmuK)`JneDt z6|+b4BW91rgCG%C&>jxY=7StQy&~qmmKXV(FM)!#(?`X^g@2o{r{%{YHb?%&mx>aa ze=!%c{6F;il85E#5{?NT{|_5pdVLhMd<s;Yce<$XfPzfm#ml##wzQ9m257ZuH@Hn5 zqaxt}OAgQ^-~(;b_<)AlUsnJB|G(2k#iSFoD62CD(nfUf?q*>GRctTZe!&Z=a}411 zvL>J!!=W3byc?t(ujx*pdbk@FXP~+`0F))5B~%2cF3#}iW&I!lDV@L@h$286QW8K* zs4_qiUjQnd0>F-`jDnO-uoQ5>@b=3v@Hn)S0%(;!D5Zg`I#5FgWB@efID+#Y+!YA- z^s?$9FNy~>T3$@)WMbF}iuD(_nplzQFb|BuhX{|(gB}N8uz7SI@;LZ_ZNdZ(&4ZvU zodAmK&KQ*h(3EC?2jeFY?cu@r0hE{x48Ixv2kn43#^GUkuQ=P|`!Uc;u+ljGZB`RJ z{vYyaJz2))(R}d7>pT3ro--6*1NlRuvqnV(wABH;QA@$2n}-eL&lf9xKx3y=7gYX$ zd(8@<qC^AYj_wi_iS8N|k=GM0zJI+GRGvUbF?v}|O+j5p78OvOaDY8Ny91@lM(!U- z@V8lmvQP6H<o*G;84aqLK?CaFK}jE02w7g_Z@U8$(7fo;JqKKhcvznH;CH{tzs*DZ z+d&8ZmVQPChUSOz4L=3>TUUeQ2eQk;@Y`!nkN?L!G=GC@_yPz1wkEK2g@K2qc)5;8 zcZGpRx4nnuFHm*Q?|$90^QVXAbx+L;K8z<lEPt0D0(leK(C;h(O=UnG0NQ*44MQ6h zP=?_E@dQA73M5|effFid??kr`Xv+|2a~jO$-6bjpuiFvEHvE+2ZxsZ2uiL}Iquas3 zqxC@D15o5TfbtrsR5bkmn%ATGpai%xd(Efwlux%Kho_}Lxw&sQgO4R>!<r|*`vu?5 zL!O!!JT)(R^@@o3SRO9p^XM&S^wB)*VR@`(|LY!vognunfU<)H$k7TOoiI;<wq=1j zP(Gmca3^Sry%V$qr87oF;stCz#Q~IM6F})g0UjNo{am1hg%&>DE-Dc(Q^Eds0B0kR z2SCXS<Rj!T`t|4ke<T+n^{3!Ht6tXb+el489#9+6xeYWz(<{%y@NxxW`5AhDh!c{& zQ08kuJ=Shd1CMS-P&v%Vz~6k5k%6J1Qj~+g9W+o0@=<`t_ecB<HK5qowE#4Y|DS;Y zytF10B-9<E5&)Xp>4^sMIze4~P_r7;AMt3e1T{55eNm6*1K_$JoEJdl6KDe@<BP65 z25?haqJ_bu*CV0X*1{UpCHDmH{pbdn)h(FT86eSYD-V{C@#ypbcL)PKIz2c*p@%$Q z(_5hcDkwTnykIH?m39|iU-9Uz5P*ukD}jhD2We9PjX$wM!tq5&CBzkA1ED#*lSc&< zrQp&4yu*_5#ob(pGKpr}iB=$I3W8J^cyu}ncyyk4=>v{m(9lC~rND~@(5&(c(0ow> zC~tv=xDei+3^NJj?FxZrTSu^YoF1JO0xv<WSx~Xp`QwE(*vTheegw_T^|IEy0u9Tt zsCe|UZqybBZ!6_^;a&&sAN*!QDr~@8kMvr=61U8eBtYlKybx^xrI!1ltPC$fTkv45 zH*D=|aPtvV?t$C0kZ=HT!JQ<D7oZLbD7iu!#pvlx0JI~u`Ar3=2~_}ULKT1pDZoh| zltL6)LF3?%22jh%Qv2o#8HQ3zkM01_Aag07M{|V*1Aog$&<@MiOZ;sQK@3X){wbht zh0rm`cm%k6P~g#gq~fqgvu&|CsDIbKkcEN4@DeB!Yk2f}M1b;j1Sqq;s0jl_AY?!S zWE+1gFKC-<uLrpI_97QNP6q0Aw;tec{RL{(bwd<`J4cor{7psR?Uishg9nTvz!m3# za0UiUM<K@KI$cyOUPvzl_2EG^f&+M<2b6v^JX%kdaCjVdFaTw(W?M50kSAJCf-)<t zu<r~|@Hp<^0ZKriTF1hpQ{tEd2ZKj%MMSeLD?~#DSi=_RKDvU47G|H`W>9C-r?(r_ zd~CM80}h^69gxCKu$0H~W{?!f+zHLLdm!>}LHYQ&18AKGIEfj6%ESOri^0RE(?`X@ zr#CFXr#mjdxAiuEOA)A!v2B9rG+|_5@ac?@@a%LJ@aS|4nBdXNdLkK`{6J+ODCcpc zfkt`x#Xu#21n7jL7oqb&p#mCt0yURBEE_?t=5LK)W?*QxRfiaT2%L$)G9cG_H17mE zoWErf14tDkNL6X6$MHt6Fi3H?hk-}y$$E!o+b3qA%&P2h+yQ)y6*#&S7c;@43*6&` zrlIZ{l>m><gN6rQ94G*l7AIa`1SNM3P_qM6B7m|nc!AAD6{wqE&j%Ip4iHV<P)$v+ zgbq$+Es;zN3@>v*!QNd1YW*EDJn$j`tn|W5qyPW^`}8JAcy|8v>3r_ldCaHt#tUbV zWN(FlPv?gh<^`+_KAqQJ=!5C^FPH!O|G(K*0PH7GkK+!YnP&!%PB#xwh`;Ro2Qm&6 z1%G@x?|XJ0H9YX*Yd&azxz59<^Tmso`4H0{g6ZckBf;te1Ux%``*dFS>^x$4;Kfm} z+ykG^`!7L@nL#ToJCDCu4;DS|*?HLTz>7s-&JCZ=>n{(3T8Ev-U_O4)4zjKD1FV{U zSpt%Wmdwxrz!%9NHN90H9$>>lLA=iEpn$e`;Q{9S0JZEv`xah`fV6jeXn1r7DDZEO zknrGNf7*lDLjc~30kuj&kq*k{0xv4BA+op!NZbE|9-ST<pgGf0P$mP9Q+fOcS2~c4 z%N_#HxJW4h+I&v%=;amT1EmDefEv;+Rm4tykjEkRg9ZqDL6cyepiN1~T~rjn$y<yO zn*}f4r-NGBA}S#Lpfd5L_wWDz4KKZv`~Cm_F3>>JOOfB8iAl%+Zp#74c6rPq#-o?D zjv1QAK*>`Q)F3c|R0`ft;1x!I8mz()s{q#vqMS&YKpQn){H=wgX-K%d4+8CrWaU5@ zu~!vl#N~1($Y39f3OF~jfCoY(z&WFR7TEaZ7a)}@D4TPD>WLTgYC+XbhCeIA%gaB( zYl@KDzXJSi*w+(Tcr;fiFz~m6TM^wHplAl~)bQ}=mim7glxQSc7@BSW8-X&p52!@7 z@aXIS$4GOf0YfSLxQ77HumGsr@<L@c$fK~)ix;VBpqK!SRf89#If4f%C0-x*=-mM} zrt=`k7K;~ab3h5<1lWq#i$IitN4KW}sA>ThY#<Q_koyE)fYysQ+h&8^Bm~y&aohns z&iv8>+Dx$U=&e*RJn$kMV(LrKcH0+Tez?~Y6<{0w>UK~7*$r+JS$K2{A~^;;r~*op z;K7jvFF_dtJbeIOuK;m&H>mvwnu!4!BJd)+78DY&ai|x2d|4S@mP03-FxKA@?;da` z7Bb-ucaH#?dpy7{@Ad&5&jFq)cTo{|ak~b^Jv#W@!`~JSDq*n<L4g_@N+3CCV*osZ z^djF65+DxXGP?CTBLl;62N_Vt10`8-?e|(@0;v5f;L$k|G{rFi9Jip@bnt++87#RW zrf`4<TEQdB;7z;T9ts|<Crdp&nkx(#O0_+@Ia(N-ZKDi8iIf+qntDCgqZeG286J4y zo5jlTy7$F3@caTKIVyN`IvRL%o_M_vVr_ST0=(dR@%JjI>SF_&DFjM<3LeKD!HEo{ zqZJ|oNo1cgSs7lxoB%RJz@xJf(>LJYf050F@J&fkv+XK<kbA>mX&2Qqy<kZc&&)%3 zrU7JQA1GN#ykJ4{kp#>~J3YXa0;sMH$pmLCP*n<A2?rVpEc9bwcrEL3yaD7?aE%Y@ ze1Xb0aG9Dk9h8GXiK+EKiRgq0pdsu_9^D-v)!<lqeFW4lg$?q-OqB-3c`vKUeR#3> zQV~|B{VxKSTM`JlTDaWfB2dw_){B+lWd~CK3fp)r$eEDwXBQPnd-;VZxH$qVZV=6F zr1o;Ji^>nk`7%AAMswpENWrrP(wggq($G;Q4^V=B32i-teB5%9zhx;asL|VUiN9qE zD+5D!fK2Npa8sGTH3ihhZMF^50ktdJ9l+{P8pq(e>_xmcDDEM(4Jb>LaCtO?8sMN~ z20VH_APK~a1EdJnMAic}$zhHHDQ*K#sdsxA@NW+Q)zqiJHFftC$XIFXrP35oHI7KZ z)|WxXLG1JZHQ2zlwE?`ghBT7@gO>_rfZJ`6KH$m}G@i_OtVIR1#*opY8yY^1M?k@L z7?c1UJh~$kK*M(+pMon5ffupokZto(ad?^cA5_CaW)xdamg<4>2gII_-f(+B?L?40 z4CwZtH10iK*i8kcN&JobNN^;yo&%LeuwX%H+=r-mv@m(}?gBM|n{Blq${Rt-JDWga z9-RV^mVZQqNAD((cbjclAgZ*$<I0f0^yzen@HpNCk_Tm;3C*_mwL#I}`V6gcZvkrD zCx8ZMB0!^H0lvK+4!+$14!)q(n%UsS{ZfcdU2x+*K*F=rNx-wy!2#5`e;p2OL%=62 z!Oa0aaZnKoY1~�)+~=-T~D$u*Q8DxN+|VF?u&BgB(XR?z;mFJX$Z+*LieL1o;3I zg$5qYzZjZrB|#cWU6Be<lvcg>ba1O4oH{^pY2eZPgMoi4B%Po~AE@09ZR}qL&wbaZ zL>L};aU8_%Jn?!R$N&uw&}l3pkRd#9^X7&GvVUGif@|{{l?0DYP^-QRtog!AOK_{+ zK?2;YKJM9h#HaJZi(HT-xK;n)MPdr59e(~rB$&Scaviu;Z>0q)Z{e+a&rSymP*A^| z0BNN|jDxn)<x^N0JbNoFd^#Vz5C)ra{slLfzW*`-tlmQa+;oRF+21FFYy>ying9L& z@7ejo1Jpq{2x@<VMs;7D1C`R9-#x(|IS5vL!l(1NZ|8-Vdq4*RtOLvc_UJtB(Rty; z0x%C`#>;F_6CGTb9|9Q$nuU1L2$Jrt@-RH`q720Byzo-~@BjbMW;N*84t{1(q3;7a zmIczE24&j{a4P`RNQ0RKszC%m<I@EomINqaL7LV1kY+VFu5p$GkaWqS@<P!AlJ`N5 z^JqO#s&K4D1z9Ub<_2{uK*562!h6wmj)CFzkr&1>pmqtQ?G94(a?P**|Dh)0EG`T$ zz0Cau8s38paBz7vS9ma#NO`ngD&qsqYJm&vmsY>Py#V81uwH=XFHji;8SZE~P-;oY z8joI9tG`HXZ*bFIsR*^f&WzMxzt#t?_`V%M(gbd>zbypSdfS~@8D0i~8|-N9^+}+z z1Z9dH)a0;$j`}?ZWomHCuk|*6>kcr_N5uiu3<_2Umqnn}DDW0bHzO#1!6WMd@Rq#- zsAaDTQe6se**jow*+;-z_Fny<+zD^Mc1MBY3DT5u05?29Ymm@e_6{#DCxFr-xMlC~ zdJ(i?zAu55;bjUqe>=iE5s+~(P<biv;_a9J|6i&@6d3St4+PbChdh`a1wf5P50BPM zrQROhfeN4z8wZc>Vra_^RC#uS&P_OX9F!!%C8LLd2iBSoR3&zLXuLcG8vpAA^(wm~ zk!v+j8@U~7j08CFU-!OXb7ExxA83Zuz5tzv=YiROz%slC?ioXe_jKNXlAeo-LI<cX z0bi!@Vu>Rw!|O$m^~<1!ZwV)85i@9&3i#k4aN8NQYYJ3?;*&>9PxnD-r1=epN2iX8 zN3RZe{8a$drvcSP;G<Q#qXax!Z<kaz+X|?HN=hG(<Id3W4@r;XPGE~0syG-*v^=_{ z3=e?MhWo(3aWiP^Bmb1c&7T=tZkMhF)h7}j-8w2B%||#6gB2Oxe*M&=w^{;J{>OpR z!g-I*8!z^Nn7vT~9-a3M54>0x$I9?}VYBU26_C{&;G&H2^#qU3^M(grOav>9<nZXc z|DrXHmEmP6C|w+PQGqxQX4#8O2UZ5~2rsA^*v%TIq{g87!TvvggY8>I&=MeJ+XZY) z45czVKpl|=+tX?wL9XTxj2*0371bC(3%<YFUSMNlD7kC9fQ^}<<eKdRHf9F?DF<F( zM2&C$Hjo(}s7sD|StF`dzza-X<U2x=A84J>PEaGkgWu<%hpmGXKf_KC;lb~J5Y*D_ zGX_lv*s529)=k;I(145{Ty%lf{GcYe7ih@AzmbXIMHfW&a~0H*RZbvFV2hSKnr&ZH zsW32<?gZuagk!9is#F*lL1W|~--3#E4$#5TAcg?w>V+4(y&)@YK;!?);4)(>D2;To zE&^F_+(iXCBnlmL@p!>i0m|^K%^>M+&>CM*bIe5rwC9HbRKI|067UKKNKFE>R|CWV z$0%qR@;K;V43M8z`$6na0Ch>~#F#;=#Kb{ngZSsb0SNb7uPtwt3d4(z7*>XtiJ%23 zwqGhiL3ReZcKL;!7&F5$*83nq(7Mt}Xb^>i8h72S7hwG5LCg%Vr@wHpV`X@$jk4Sp zJ%0Hh<uB5bve&FHJZe}O3=eqp^8Qy)V*rmMec<0H$Uo(9%k7d!&9-k8K*87oS`7or zoe3=r9?d%<K!;=S_kjgLK05+37#_MH1}J!5tStitu8T?rXjLh%JjlV_A}Zh-n>7NY z1zc}~=f-+L1qQgl0u6(Kbb}%l9LgYT!F4%!n56=g5-dQKJ!lLJ#Bq3G>dOrAf{lV2 z17rm$WYL>P^Ns|tQ`<v9xvP0c288GS|NsA&-v9sqf5BzT%JA|kEYwgBfO*aOLc1Cg zIHHf_)fhmBQ!#wt-zeq4KjoN1%eRsT9^I@Hr-RPa109&sYr^c&TgK?o`0oX1(7$&w zDCOCL+0CFjAAB@quc-oPSw|<&F^}F3Nzmk7=XsCsH$5zGdhq+7_Bi<89#l0Qe8B9X z_yaUM4Vvflu>4S};?d2j2C}M`MZ%-kfzhLrReZV%gW-X8CQ!c>vW^+l;Q=+uIXn(N zWCo|+7pJm8L%E{L@}M)ZVpJ?%Z}<4m`gEEK!|NkEK~8&7nT#}{jwoMyT~t0m`j@Lf z$*u7X*aw|!APZW0q4aSV74X^t4-f+!Y29<cT{pu6FJaN+;n7_z;L&NK0?jQP9=#=? zG4m1?EIG=fcLyj?KyA%~|Ls9Xh#q{z?4kI}qgO=Oqc=zbR1zEbXr2Ul&cgHi4bUWm z!wXYruJ-`Vq=Fl4-9CpUJQz>3Fnd@wgA!|<i3c<$E`ZWqcgbN1k6s=&kH(*%K;UnO zoOcz#2s($b%?*?~rh(KQ2WtkGb<Mk>Dd{XITAO!+Q&PDAsE+{dax@+Rg%G$pgG?H9 zH-nUb5-4af?j#RU2GHCc>qH2no3+71l)<B$x6wlsGBOI9=l*{Hq`m@tKu;%ZCJ8h( z!lD9NmH|F20=zW>YtVsA=!6zWpo1Y9K+)m=?t}<?fyyQ5irC`~AeVuB_0krUEsndW zfTkQ7Ji41fegruo!K0V;x;xa#`yh;N)}!uVC$DpdJ2?ZCT024KTY}Dk?Q{V(&e7Zp zUdD;Tz2J4F1|Vmmxi{Gp<X%Wx@;DB57TCSb;C9W07s`pO47)&4_u^&(D+44YuTKCS zV`ym!TJH`Wb3vqMP<uwrqxp>hXl{`MG`Gm{;$1Mv5*G0Jx)PvV#Np9=MBwm?Zx*Z! zuaAK0TZDc@en<o*3>^8PmsP6-T1q=wfhsa69~3jaynJ9e9+ekItwC}iLD1k2s6qsJ z?!`V|X7F-x@X`Qqn-JU-lmIPNu>f^G4M6JxG(1{wm$I}RD0TPf>;Y#s(82@IsE$YH z6ctbx#itW;Jf}zJ9u;six^oNo*x`;A74ShY-O$_%O|l&>D*hm&AQ?6UbXF;7olIwm zN(88{51z;a9cAZV4E0)?C1@CNzci>-<K}Uk)u|Y?Rs}rxuMJc4$O<%93o;ot2ht5P zxf^71H^^kr#2Lst1usFz<#_bkG8BV~vlo@2pwpCJ6q&O!ysU+l%b>UbVMKg@&y$=F z&Wb2!cJ;DK#6g|8--3a`Bbk*)612+#H1gNW`zID8$D{JX85}#HQNGp#^&+4eMgWxT z4M3>?T9$VEfKGKeQS!*6mv#0gq^=I=2%Z;BOF;bw7Zr_8*4+|n3@?<HLip&$yjW?* z%J9+!IwX#|-Vrez1ZuWhHiDL-^0!U|d8!w*7}1iWm<=?tRV?q(%PRK+<OEPH2`Xzr z7rubp3K_42m8_sL0-VzzlUBW~I$u~AK>h+BN(1hRD1ds#;AIQoHP#ZKD&xgg6G%M` z-Yx+i`vSLkz*`m|HhA>1&i#(t0id`6VYK)G7sbtQ5<qz_0+i<>Kv^ALV}M)Vps)uQ z1K^exIQRmNxv20nfSSUfW|&7W>tAP3+YwZ<^}49=gFNH`@&?557J*C*tp`fwL4BwI z573S=kaoriFYF^hQIew);n92~;qZhP`Y8Mt0;a4C;5Gor;ZTg`e$WV(NAsHiko!GA z?)UKM7F`hrs_<o3f+$cw;~glzT5s1mg8FzGpuC!3c;Gd=NAn>8kIo++-Byq-m>>E3 zK&SV(@NZ)=cWn4!TN3VSc)+#gK&iAxZv~@=<^7to&}hs6MXZNM^O1nV9=&dipq+B< z;GI&M2SLaAe!uB)@Ta|p;t3DNAE2Pf0M&^FNP0j=QG+B5K)Dxuj-UeQNNG?&gX<0s zP!mDmg~Rs$|6RblQ$RA%MJ(X;=-n|Y5PyKm9E+FX|NsAYH9YXrAJkr26%A@nu)aZF zQ3TpA_~K(CsPDhqn3dt>Hh8v0glBJy3V8iL_(*g$&@gc08}J#HooiG$KqYD~l<sa( z0j+xnZDMUb$=`aLnSo&^Cn$(}MVG7wr9jZUYA0-AZ3Othx))z|L$;_v6L9wws2Ncl z9-uV`BA}HSouJAIUSi6qyx5OjQ*#w)@=yiT>H$}5ozTIi-aX*L)uY$+m=|aYAV&py zE^RS*eReJbe7SGu6iBh#9ReEVn*uI-K?N-MsQ1nml?Nd2zz1`usQdu)V^j)21v%&* zFHi>uR3rHGLdKjxtsKbOWzYp>pgbf2V!ZhK6I6kLN-^*>7_5XX@UXm9q5!fHbczIM z-wmi84Qi=?L%Q(@DBur+l1Kzd5xCrk4a$Qmq;6<C0p2=)A+i;`rcr{Slpi$A1<~Q6 z67hN#s38uCFVN~L9+ek2p`pqFvKL${feX@3(E0Q5cnJc}#=lq#Ra@a<>7pV~5(x7* z$WX8yU>CsFfq;%*z5EB11VG~&pl$+a>gvUf-$;RA0Lmeq2R%A3y!-=dDs=ZiR#=0U zVt|GlUU+SR`lMtMVcT|oMYc^2)WOR_vF-H)4@9!y_e4n+F|nXRHJ1St#E@FJ1(Fir z!P}w&P7#nDJFsNoB;nERr2q;%aI)y^Q9(`-9?%p4iacy7;`Mi=z#yCipgm2GUeVYa zAg{s>CjjMWL~;%Rtyc!8Wzh2FUeSA7AZj&0DbauzlzKr6q(N?Sc%camAn-O{50K~a zCqf>T7iK#^xff(NIH^EOfR}=xlhw2ISs7k#{SBV|2d_6nx}X6xex>2j8~7hIV5R^n zc`aTG`t-UPxOA{sd;CA|(Rt0I^Or~SKdvHGj~!>BWxPk{b&v1QK<mDJdRZoUUVPxA zdCbG|e9^Jj9v+sbimg3*-3&Tdgdv5Z<^_+=V;<jccpUrzzQzD@D5isl=0#8s!~;~4 zf@?|0rWDXHw`Le<H0Z|*#V}Te35fC!)c#CDTdoWbeO8CPpb!NO^nsT;fkG5^q&|2{ z2zX<K!i%>*kYX1+<OW`=mH;aJA&ZD#Sn07cyzYQ{^$f@p;L09s3b=BG9lZ%wg5o~@ zHV#mshqC^Te;aHZnZM-$sF;Q&-qr*By_>)SJ<u*;AS0;v1?mKOSl;4qcLYm9R+k-P z;nM{LVkd+PD(1lIV8!@x7nKlDc?YckBRqP2R6@YR1faID2q-u};R0rW#t2?~0Zkx) z4<+e_Suer}ss}xwNda=+yocqd@>I|+3rJzI2QoR@36*603AO^h%;3d5@E|?3+tmpv zdO;!R0m_Y#;Y7$}8Hf+g(%m^K&~;#);2kkBpo8~W)qV(oN6b)*G0<fWp!5Rn!z#R( zxC<1QHISMXd=3iuP<wD+5_E?LWOZmS>&@>l!(f32UQY~aoPyR9gFLP9!Ub#?XrnIV zOe64cL;xem0pK<mG?ZQzgUdvyLGY#2kjnHW=%7oOj@LI|e9&fPczGMzkpia{<nyI^ zT~ro8#s{u|5>4Y9aHZC{22!N;LTFIxf$V|*!NK3W0HpBX0~U{7QI^-r3?AJv0vsOQ zA_Dx|wt%ZZhn8>rEpgB}NzmDEzMw>A57N~u8U)rM1L_qCaDWmJXtOfN^8uhjC<l~! zJbG(X3i!hhc=Xmk0JYel)pKPCq#X9#SyY-=l9`*T09uro<GE8IwWuh+$O_CY291FE zsO0Pi9SZ0OzI_qA!N#ZaK4{@`Z!zcyhUROGpu0=9i7-1h{8Hs_0X52edgB>EJ80Nk zIz%jAp9PhH9xfdrD(;}h7pUVe`3)L>kVP{!Dgq$uC44$#R1{wH>;NSK@Tz4O6$9|* z51-x$Mo6LquaoYc1HKss7Dk{JSp;aa2q=6&w_~Wdzi##E4Pf-Nyu{xOI(gQoGejl9 zg@0R&1fyfa?~0O4$A;gPCEPxp7d$(EztjZv_raB6jSA#`5m1bSwy=R*E&)EV^2M{? z|Nn#C0<sO<Fw}rHu2CHWbB7P8q4Rn!Bsam#1+`fu96Cx=6kbdt$Gn$bAg98LNYuc4 zapWr~+~5r#SS8un0%=QjLz16I;}KA*JPf`E<FZHRA@I^en5$pE@aeqZ(RuMjc>t)y zzW5?PfR$k<sK@alH2^e{@Lq$J;UyPJ20)aTy)G&jAnE@y=$4?yH{kN2a}BhO2&KDQ zAT2@A`io|E28M1AfySS?AyS+9+XYz|7#e@}FsWAZw`Vbd*uU!+>G8MMF@o4f+5QXj zx5k6Y&|cALcLW$bED!Ow+JgAqkOn3HHYxrVez0PY#!@MdUf$gMAcY6{Ti-J<Fnr<{ zVB&89Z|8;WyzZO=X$^J5#tnE>UhLces(e9JPbc(t3veY2s?cBLCV(gU!h1lq6Ler4 zG|Km4N+zgl1yv7jGJ<BMK&`bGl_05J)+l5>;3234kOVA1z<QwVA+WYZSxgKsYC-mO zL&L7|2q;Joe*#@X0xG{>+>d7hc?wcKf8rNpQF$Sg%*61*J%Neg^$Gs)121|1|Nq|+ zqayoaOFR>JBt^D^Mdd|%5);D<C6J=Upf(MtmknA!!0>X<zyJRme}X~}CjN3OSSa4f zE{(qxe1lJ~Xv8N_pdR9H1&=l$Lcb&r8hx#EK&5Igul`+-!h@xLpZNuxKu6qg@wbAG zdi)IPmTP?G7xYn4c_9_g#PFG4z(qynGk??purI(|4Ja3M;`Xx?CWaS<@k|Ua-~WTm zfQO%ay}2VsMefDzIEcsO__rMZcip{GnHXNUg47-cxdQ4ui2tJggZu|dVsQU~`f!au z|IfCc#@`Ow<lFdDuh+1RzZE>Q+AFH_5#)tKrIj!Z9^FzUUQi9KTR^pLFK_!ZkfMX7 z+8*6p{H^mrf}oqKUf9Qh5(Q{1u#-jQ#YT|B*2FO}ywv*l|37%X==DWV7rEet7*t^a z*asaT-!_93GNSw7bu+|jm}_2EfPHk??$8GQR&dJh6}5zA4)A0I)c>FP1(-_GpjoZ; z4``UZm)HL`#NBS7obicYz>9;w_2HlY|386ZCg2k&mK<JG#ex%=i;4p{Za#sc3L?kx z!Vi?%ZpJb(ybK4gOgPCOe&F?PaP;cDaEygUtPV6LSyaI3`Nay51~pJ9K^+H5si3n) zAkKsPEd=a0+ZSFJ_}f8?<QsoZy6&|X7EMf!Yj?w=>F5)XPmrPs5=&t9t$YyQK70>S z1B<1fpbLONeXtiZV<52vz7ppJH^@tDAQ!g$fyWZ)5~>%4F-)Kh=LR0hkc9RSr1DM- z6T{0WkOfe`fD_v5CWu?%UIDEDZTzWzfb9(2$&=8X%)d>l#J%w+*uSk&Am{b+=Dvft zSp$@?+<yQ6-|3@b^5S_k*d-?5)Di{C%%LD>T>cGn@a2ve75Ntjq9IvB-h+Q1#L0Rf zCu@S#E(e*9;^bzC)o>?6Qr4E3{}1@vLA|oZpF-t3uJX5n60S$DXz*K*%MS6kP6stc zp(cScM@HjMu%_1Opp_cEyszGX6do*f0gw80OYyhXfh0OXOPQei!(NC*gQ5V|lmsm{ z01fMbi$QBpW+;nhVtD!G7u0)@Al?qjItedsM}f40XD?Y)Uic<5F}&~qsXhj>1nMlX zhL=g;<OFgX+>fAvoW`H$8m_+KZw0j<JbFc~AAy{Eh`;q1lE3)3OYygW?uKgoxq$D} z`%*!VUfD@te;qC@;olA}5q=d0f9G#q^z;9Jk6zxb4?(8D(poP_u+v8+;)O2MwUG7U z^Fgki6~)BxQUd0;*B8?|V^ox0utYI2@NaifQR3eYiMrwhCWaSzAhmCP{{Ij5(d!P7 zT7?%kA|YxOJoxuR6uW^8b_6Nj53(917>q%!2&l+Q9nc8Y4A3xOFYCz;q)H9EM07tW zY5j_1Vt85c^Z)-B9~4*_UUL6{_B<gCV5IuLMI``aG<ZDg5NLd<@eSzw2anD*D&WzU zUMSt&q5=_TQF$RN0;y}E!&KmQ7^uK^m4=CTLQ@6!ia*fa8Bn4Jbv3~&Lp5GxuK=wF z0*!yP9^mi!4sIKJfG-Dg0G*oM{s1fz!2~)^zZZ0THGlh2kdWm~{`QR^M&}I=&C^JC z`6-_EX#U5{-vSz`0ncx?+~#iu?<)nh0Kkjcz(-Ud-{sdm1+sgT@dBtL1n#kb>T^&V z4YWy5^TpBS$gZ3XYPIxwFoD{TAXnD#f;x&IZ7+>L&Fc12kOIpS{O#!=M)w?Whth?A zJBvh`W8)7^J^ofMMh1r08lW8_pnfC&b{o00#{YU6{H>ng^_VqsOb!kIwfLtVhD>Pn zLhd8;=r#RqjWQ-P&l}Pg0JQ-@CV^&3z%wSG1-Kv%sL289U4Z9FI$OYPZx78=plz+N zy9_USFx~_WYk+bPNDHL#JO|S9>}*jH0C@t|ZtX=LN(1#_!9$s#p=}W3h0QZ&@bVe( zYJA91M4(W7ks`(b76+X~xMLG&?J*B{mWty=mm3rO`X`TG)+PbS$T(yyNDq{W5xv5F zFCeBvoAB`D=H|o1@ZzB>E5nO9uAtFeaOnfyBxti6lqo=sL6BQPhlYT<2cW0|cMm}Q z638sVizFOozw$<LpOP4|`wT(ugO4<VQgLU9ioy#qs9E46pbX$C{>40yS)Jf(%#1+} z?Y#NowF@i5i)StnSA!Scdi1gu@51J4Q2e61dd+j}A!6bU8od4|!^-dyH2n<8>?r#e zdR<fwK-&A@MKX<VK+Q-{W(SW0^sa%l^LtsdUO>BhppgquTN%_n1tkfF7xPy_EC-+X znc&gg1DTBjt>c2mE?g~$0UA|hc#$=kg~6k_Mn!><zlRx=vU*w7SAveFWKnr>&JL7H zKquTEcL$B^GI$(!2V2!_tHc0Wwb2UR1lJ87G3WO<?hYC+1u4=1HOM`B-3^*;fBshm zU8M%z&fV!Q0h%ay;RYIJ>a9`90L|2MAa$judqA=eC{cie7doy0s$n4G44?s!PDn2i zlt(}{DX5G9b;Cfjlb}2U8j+vcq5{q~-OzArJOT>N!ydi<5}*;g&hsydEJ2;J>o2oG zg)HbupBD+BiCuFL_c$b9g8Ka65hLh0>hTs8koh3tV-WMfYc#<T1hwFWv@|QjOEoO> z6QJ?AG|csG9-yV4!l3AYPU`2t4_^Uq{ekTH1@GYjkF$Y~asiLlNxWD;5mKsy_uGJX z9Yc1#zL+M(%J8}cl*qu%OAc_;QvkI74N<><=Qq!QN^F$#q#JA*{(vJ4a_|Q0?0>4@ z%{dMp{Ob>b3Rnvd#tWb^FoTz%3f!lg+sE*P=T1k_50w+%SiJHCsXBN--gDPX;ak&q z7b~lK@~=PS(;dy>V|dS#fBh$qgU94OcVE9$_G4||`DRc4^`CvZlLdSXpL_DJ|Lt+` zxV-0{$=_^Kst=vN?a9CXk56~Dgs<TXU;gz+JP#g__vK%IaDqqk!3Q4AhZQ`Ue=6`d zgYR@S{NQ2u!^iM|2k1}&aGC^PEt?5S&&@wM_}dgAECK$utDqvH`KLmevPZY+(Z8yo zQ>;IEblV<+Fn{@Uv+n<^%HYGl{t#%y;g(0QiKItw*$0na9!Za0&mW)@>kMyr?1uT+ zgMa-EkAsKhJ$Ar+?7_eOu!pe|hsQ1?HHSP79+3CgjjD#tgMU3l0<47*h1&@_W<nNx z;5IC{AO~)r@HqHV0VED?^C6DG>AZz-qmYN;1;X~DSopdZw8IhN%Rk*91G+gq4n9-> z9exatUvMe_`D7=OPY!}-?!dZbBt3eg|MPD%@-Y11aq%VpHXbgIgAX}83{UWH<MufC zP=FZI7(sauJkAKJ^+7eK2dM1|uN(wkr0Rpp32^xis$1Y;@M4ZQE5l21#E^<dH|x#c zstglABl#TNoS+0O;L*#{1ab!0ZwEbgAjQ%_a4aGF?F8r^%!4mwK^k^qjfWE;$HC6A z_2_2J{0+%593BY!4uPVJsuqDVH%k2h&d<r9c4G4z4^WZn0GdyB00mlr2k6L(<_ZS} z{uXtRitZ4V2>xwRBHs=(^0(ds9T&eXMkN7!k?L_5l?+e;2O9Br@Mu2bak!fkRAGW! z4S5S81rGSUqZbCN;XKgMgx%mha-c%1S9Iw+Pz@rY@}dW{L;`fCZ?o-(pQ;QDp!LT- zpk*JRqrE_9Zh&so1kWvYdMGs8o&l=?A7WtP(di-K(RuJ?0;r7YtpKq>p0Rkb12hHf z1rg-{9ZLY3zk9LB7*uChLdwS%Q$W1V6R#J0bn{MVQ%0O>4I0rEc;O1t-3>}Vpn)@R z<<VRr!2s!{fUYA=XgOH|E|t*&0~8c5#6h#mpi9F*F%NE8ae!LT79PE#Yu-XVKG_^p zb%JirwEg~ru*WZh)u4JDd^jVr$M+ktGQ6|_m1MmY2#>EcLiYG<5D)Be@Z55DjtV3e zJ$hLkzN>;~4PLN=8-J`7t)Os$^bWx_3i$jz@Pv=R3uTZs&=4w-2YFNjv`*q1)QA*u zi1##JLPmu^C+l{5IC%U&30@i4d=Rpk6Vyflr>0(BA$HIz8PMs*9^j=hH7XJ<?9H~f zzJmhC9Tc+x9-Zu<d>Y}==?}i5)d1XBSP6|QaJ%irYf$ms%US|+$ZfD+TvQBRf^NG4 z8}nkiAt*#ZX8iK#JP#6!c+m_J>%9JYxkoQ+`*u)+7ql!3bTH;jYw#Fk5sF|7L{RuH zsNn}4m;|lte39)4YRH)ivogGF_yg&LaDbK){0HBWE&y5%4my9wI8MMr@$`OB`|LHZ zPp><p2jg!K#nV2W$0zvovfS|PbrA6FX7Fu2P$KAQDNuUX)3Si0^g3ur8+y|%DAW!k z&xe5Xw>~IVQ1Um@5+4VH<{h9$4+DSeTTm!<vrcOU&4O@%rmPRXWb)|c@dU5-kp-n< zP_RH^{RL!S1U#jHcZ>G22zm56FnNF$ih@dESWJTYUyLtOH6hUtZfSyB)e4|h@fRO? zbP9l5OyH$7qUXS8o`4RG0-ZGhI!e(1wA1dzHUWrs1;`o2py>%qM~+fOk6zZtP0&OM zE^u^Lfr6OzT$3`xOVE;9k6u<6@RT_;O4LC!<~QuY5qNDrQe5$aB=*~b;;K`SmEq+U zXp0QwYXMMvfzI^k1|7KFZS3*?T(_ryv6p~{;>TT(81v|@V)S6V=%M)0qx07UkM5e& z0zSPgr$N!?VR^iS*Q51+>21$;29N*F9EU;eDrj+xv>qBUeqRqNE(na@Lw1oDfR7sK z3;`98A}TNJXF&>BaK0??=niz?-yXrzUBKbNc+8{mHv<DB1An_P*z{iz&L2?e4m%jN z6M7&Vs0#!d+zBuQt+H`7Joz$;5p<fHi;96~Zv`W0ja&ri*f&rE8#L1r01BiGP)@Y) z={)4qdD^G*nuq0g{@&kA3=I6uO<+4}R17>0K4$?<LV0xaSc9S!9An<IA#U~nbw5BR zc84&sfZB@!pk6PyqX%A{*J+{xI>zjU^gM|A1n>$C{uU1=(51eh?W57)RsdoO;KhWc z5T)SY5CAvz9)j`%^hgr^Z9?A;G4i)eWn^Fgb)gtq4uDQmbWuroDF8MK+){u{Omw?2 zf^Q&=0J|GBLh^V4#BlJ)3FrV6{#GfF;k}iNpfFMJ>5fq;@aaxbG4ScU>C<@$<fQ=6 zcmc?dzMT&|L0j@6Q`sJt*ZF%PTOR{0e7YkYd@S$tH-~|WG0?r!KAn)!4Nzt`@acpc zfaTcj@{7fzlSc*A(0Rc&7vdNVP#}W(LESvywzmMN_DTR*T>#oh3J&mFGa+gPK;0m4 z_<{z<r!0nxg6553bu;3`z)n#5S^`mo6rkWb2RtwXsXjnS5xU|UwEQ6eJYo0p9dxi6 z-1F(RIpxt?FVSqv{|Qu-3wd<9oRR=_;6QUKFL#436=&07WqADt)cCUSusl`5Zg}8j zJZLB$RIoty!+}NwK=aoxxF<q3_<#>ouy|<!Qq~O#*KVXJ0~Op4W<Z<|X^kve1mb~a ztwGI=m(RdXL`pqPV0n-|;By!)eN+TW_zVw#q79Tpx<Klo?g8%r;CRt60qztZ6^GYX zL4E@J7<R%5_$aha=p+;PXvt2*0$9+fj|X&$36!A<z`Kb-_jmjNx1!)K?S*;~WV8>c z&C~6p64C7`(CGmwN@3=s&RT(1{&jwQAp=@i+4;k#^X3ad6IO=Zpkm-fJ6P}*gi~b# znrdv}VP$w34_oB|E3Gi<mouPx1NF$eURI9x&`SS2FR0jNouCFfHu3;}3wY;CH>f2C zUIS_XY6Ni@UV3c<Dis7gx>^2#Dw-D-;4xG1`q&Ea%rkhn2ppLTptKBLeyjmnpm-c~ z6rSa&T2)XwSMcbTx!}>g88q+!TGpW9(LEb9_y8)(Bn<z*KIhTPn)?pwv@3idr@>D8 zH#}f?@?|DyEVhRm)E|H@j>T**gD$Z|JEFFi^~GaQ8;(Wg#d%$jp*1QT&9=txL226$ zR45vFbn=5}1#mM3d?Hw<zW{9TquCY|TnweW9>?7w8?8Ejz4n5PJNy8(M!<VnziO~D zyfy(P3xgLgHDIk3s60qm;l(Aea22>ctMTFph}U`j^$gJYMeAVA7tpc3w~RoQ+!8KU zhL`dPf5KuIt-t>W62D02;e#yG@Bp=fK^w}!^)YA}n@2D2!$+WC;8A&TLI)lUI`81Y zU;zyV0a!2?c%TFWBUmX)Fa)9p!#j0WhS%00Cs@3Aq>d#R1YVp53qyjz;Kg1L4-^cB z2VR1vvpjlPcdbPZjWdRz(3r-_%J7mA6dEZ0F9Y3IjC}SsxN8ZjdZEK(kj71i0?5Ch z&H=b31U>-@Hna&U!yG`VQ{ctIK8P|456F?ppgs`jsB=)y2|5nL2XY6>eZ{Em2}eDy z0o3JfJy0qJYUP02>YzDqc;6PZnh<oCmPa?MpE78bIrKCKh8Nf6Auf^V_E9nD<amAT z#Z(SfhS!tP(|cJos(qk<Hvo-4fo!}4Zc$^{2wJY-(ariq3B|@#n2n%}VBpco@%qRM zMUb8Su!IMtQT<zkX}<-`{vfFRNVk1~d<<H`?a|HZf^0wIi>GoRAJ>4E4T4<U>CVw? zJK;4bZSq1q)yeMB`Q!C^Fy}?PDl5b5_b(dQSsB2?eNeZ7C=~bcw>3iY2lAco%{3|p z4B$A~@D)_pfLjr;lQTdr1m$v%Zq`|fNFl`d!Wr3x;Qbbmd&t4LrPE!Y+4jLJkb5~i zI>kXwUFOkw;l(`_R)*IfUtDKnWdKjFp_R{Ng_z@q;JegesS}ib8D5-_1qBXj`UM@s z1M2C;s5pSiPsjm}FZj$L!zg%?us6spWuUAC3NEDfEoeNm9Cf<^D6}0sKotV`%ter$ zB9JpTK@MYlp^xD(@VPgj`~z-+cz`MpffpX#5ch*80>F(?!~iE`v%rh3tgMjZ-qGR@ zJj#qRo(XajD2<gwK$5B@N2w&pLJyDx%m#RLf-mkuDhwE2%zg*zfj|Qjt0riF#{-n_ zA;(a^5Cb_6wBr&ry!hLo<u7vj0+&Ip2gr5b@3(~9=h4e5b{ABXv#7lIs|E>L1JHg+ zA5g^>^#YU%b-*V^LXYZcwsi#ya(Ntg1SM{U*AgDRm7tBtc1o-auem)yhe;ZPm}fyd z`&YoqUr?LOM+a2?im_lVfBD-$L&n(4fRY4w{K%oj&oLJj(26yjk;M4o+Z$LQv>qru z2#XMqKJaObkXXSX{34T?l>uCtfD$-bdIC)v;Bc=Q#J#9lFBk3*Se<~8#ClmfZbM@u zUllzz&OE~s8{5Hxn6a@z0UjGmK}=9=tXYN}8~e0CvC+ZA%J5Pdl7-Ry*M?c%!O~+m zrvDgU^u9&}bP0iY!V%s$gkL;jWMz063vneVCLkEay=5iX%0FnM9URc$V|l<^iv(U6 zg4@+KDgiC*pu7$qr;}*5&3p<9VnI;rrPG}QlxZQAHS|c<Xn9r!@XgVk7hd?wvogHC z`@#d{vSNtKP~8)Wx}Ddfn^mO<G<@NrB4Bv(H8bc01xt=HO^@!F6W~(<-hm1@4p7$( z)Q->q?<E4c4czJEczqt^8p!6b7nd1W8D39BFF(NR^Dxf0Z~$L}_5YAZXCTJ}kIvKJ zarVw@9*vLw|7U0L;JgSP0QxBm9-6bfS*iw#S__YELyt~lkIoMtJoq;X!gd_L1i1kg zBA^|#;LX#WJ}L??4p@N4S=N3)8fVb~jk7#=gsd5X9QQvH#Oq~s&qPwj3Q~3eBmo{$ z1ocpp9Kfc%DnwESYHYj!>xYc4vZ%bUhA7)pf}{*Qvt0x=4SWv93l&Euh8OFtm>6En zg1B;OGm-}IiNqUqL7AcYKMTXlWzf+e(0LJ{QR{9&&?RDu9-S9F4*nAMIQWQpf=9O$ zC|o2V+tlxZn|$ECx1a_I!(mA3M5~|q+onPC6Gr<QG?(*0z=IbY9|wO3gNM~DPk_cJ zV0Q(*NHm9pqJ{^k0sbNg#O-A@+K=Q9(A{D$DnTIv4<BiJCWaT=ESVTyEQDz2nuer7 z2o&x+z-4mNKNg0UzK~Q5b3KOp>p?>vDE&V~g75Y;=$;7bjJ00k?*WZM|3B0T=I;cJ znSm0tM>`j2{ta}xWV7wh`>G6#;B__oz^zt(|9ha8hr&y69oYH7quGj~RK}z8nBjpB z{2RF(_@{vG?I?K)N`H{82B2^-IL@L1-WGFM7}8WR0PP0`oe|g_0=mW|MnwT~je>`V z<&B!vh6i5zco1v0#*1~<5JNSP4TYK49iyV)(fot4X5$XfY<4?S>wyvz(0CDOC=?_D znzRLtEd75G#sG@C5EaPg0MO0t44pnIGKL3Uf-ZqZ@)6=Lx?WbZO-K<1J|dG}8<awC z{$XKwnF39rh~Nj0|AH=BK<%$WE~QXtXKX!ClHdG;sl*OxMA*aNe<vu$Ktm7RU{>qN z8iP{7h8-J0<ICVPeg*D<S9ay7z;>AMsDL~OiqjW<3``8K*L(D`hU`FcEBN@HWG!&^ z4Fm6(gO0|6&v^C%*Eu2}PuQcUHjMPP2qV9MNA5u7lLp93rP81=F}Qp7{bvMM=#Vn9 z(?vz(g|-RU9m(KzB~W*Ovq_LGcu}87Cu9j%vlUpKMgo#YK#}-D%a)1ZMJmLUlFdl6 zQlPw3qX~)<x8E!bFC9U?L5q*e5cebXDN#Znbb)3oc$5UvljQxIt<2!jY{}qp{2=IP z<y{TaW-xg0yPg8o3lgtIL3M$GM|Xh1u_jSa1c1T;G7#1+)q1<s#iKjI;8-(AiV>C& zLGcLEE%4&vUr^w%&H`D{%>X)na06)g1(Zrb<toDq20>Pa*K<Ir5n8_YvRY3^@-S#M z&WmmhkcUHmu`obJO;IWvgy$gs0qr4fJ;~qO2MUI6QF)Nn%~lL3-g3b1EeBA6VL^nq ze*FP^>u?rmDg&FhGzCE3I_9F{0X{4j>bLF?6|m|2tye(t*aDgs>jw3JKyGG)%-O!? z1XYZnO|KU`x<wN~HZ)r?l<;{p{scu#9qUdIg%&A{V25J{e-Ol-(jZg7!C&eH)#+hy ztPvDv;B^!h-GZ%`O2uD%2F*u79V7wTf!hP#y$Wi5bu+Xc;GYWZXF|OLYUF^j0Vuj( znDc`UJ3{j@q)bOipJn-|?Gr@wfwzM*g3eRi3EKPzJ`NPL*#Tq?XcPie^m4rT4_bu0 z%|%6^1Jubzx-5|O^-QF61KKt9B3KQSZe)M3Fo4%kLtKNF-^)N(cp&wCFx+GJB4<6Q zBn8bLL7f8bnsU4V9oY=pN(^#}{EN1Y(3Q9y;K6Np&!Cr8su#&&f}oh+r3!Lb%Xb!r zm-(O^409QTMhP$ewws_qdX)ZSTC?r$c}ffd{H=?b7#Lox5oTgAJn&k^qgTYiqgQtB zJS7JH?IzA1y|!7uR2e!=u7hfl<{!>A_dL2;|KC&vC0PCze~_`@JM8$IKn0vfZ@_<# z)(iZtZlL<MoAn$>AxsQ>{7377Qr_3PkYhSnmxH9cc^81F=ARB8k_W)kR34Wfc_<$8 zuoUgTsmf4#*`t@WuMji_!J_hFX%8dP)ob7#ZAI%Loec>O(1l{)lZZMJKvO043=9mQ zS`$1#%la8~1PrKsHt7OHJ-BBs0Lmx_A8L4jD|B$Li1VgLCx=HjZx;B>3DD`+tnnab zuhV~z)&sTcLFEjj<MpCl4_x;CS%MTd;JRWRsL9gJdj1AzV1-5H#XU$dJZk~E!d7!8 zh8G7Q#&E4fk_FeB*Oi$VUMN9i#ru(D!Ob5}h$TfZODZ9jm||F>0J0<+VocRkBxAq{ zya;5;UR|(HHuWLNf-QMs21>WAyf8~dAeN|IMpCE>N{+ih3PFoE1sE7!yo0Ek9Eqd~ zTq#X8V`6x50b<$vDM+$lr#x2zyMhV1&I31+&OsztJCSsNGr?9RP$sDV!h(0d(F4f( z!z+;eMxX_|p!J8K2`-P`HIRcqx*?kuTNoyI^mc%b!w1#Rpjj&Ly4FTet;X!p_>h5t z;SZ=*0*~lGmX0zoFn~7ZEq(@yYtY?Tj^Gh8(5NZsZUZLJA+y~*kljt)Au1lPO(Dnn zYz$y#0IlEIcmp(cp}lbhi0Xv!x;GvG^Nc+@XM$Khod-O+XM!|<)&RCFWMyFRfUb%6 z=w{~MR?Go9DpK=;N9#8ae%Bu!y)0H9mOuELpMb7s_~zO9!Nc-<i5qBEz8SRe7Brg% zxnTvgbr`f@6<qwD*aONLNKOT<HU`boffoIO^t=RJfCRP;Y|n9zPG(ToqV*DgOB*xj zK7s>Yy)sNbmWTP9xtSRl_JP_f9=*2Opw>t0H~!Y?tPBjE-w*u%|DTz^wGiwH*hCh1 zlQd}M0?0+ZyrEA)q3NR{@S+*)D5x^Xf=no<6TCX}5G3m|G%zrDFdp_e_*x1yc?p`N zdTjuj)Qj-wwD|<`0BF_@JhOcqG%=h2nivN8$*1$6Pv<Gny6(>3pbgH9r#yFnnlS(C z85n#R4|yDXB?Vd%-Yx|y>N;aUm)1`+0L>JGe7%&BfuV&7JZ0^`z~3AXnzHU@x#iI- zatk!|&>9UA=$r!CJ=@!60n!I5|G_mLha&ixApuY$#-o?@&=ZiCT~s7qFvx)70h)kX zc)&&J9B2aC+yFAea&rYU14D_qM>m+^(LEU~-0Q~(Udz4!q^7eQq{gFrash~Ed5*v7 z?Z5y3U)F$l;5pEP&saP_v9cK4$l=wx0<s@;rwVB32joJi!!)4|6L^shT^u6;@?48O z$fRz#!@$;b?*>^43Sa}zgD;qUI-9``>ud(e`gAvgWIZi^`0)E5_ptl`>L$9VWI)cb z0fh_b#vjjv512ty$O11sK$nC<EqHy^qx0j-7|?t-sE~n%9S78jZI3~22OZIP1iEYn z?8Me1ARli4#T-Zz0|OJd(FoQAPxX_Kb+jA;Dem3^3GL299_?-5$Ob38ZgA}H0WY#g zB$AiE!85F|1A}^?M-@QJmBu5W;^wf&K2Qz=B~s5`8v~Dn@1;B%|AVgODiZbRwRJck z!r-`55qw|TxtACJ{QvK{3zi0bdu60N55AW21g(p3U~t?CGRSc^XhE_szw_z+Ag)iZ ztjQh`22XzH->>(AhVDUv;HD19zo3pi%Zt}wkAcQ}!K>0h6Jzs05#NcNo1mqjM{ftD zGy)wx0h-y3I|)gV9H0^rlxsXXIgUASFnV<JI_H9_W^grW0b)Ytj#*S*Xu<S=G9OqE zXtOJ*fbi&)@aUH10;%o<9YezUKSzZDWHKn%!c@Op2ikdbyal{G9PFRtkO^ZjyBj@t zVZr6o%fjY)@P(9T;}3A~a=RKH@aa7E@&~9h*jb|jZgwSr)?a}}J~%wU!Knle2p<)J zogiaC<-5d-)$NcGK?RTI8WjzO5++cG6ieCYu@9sNR9b*a8w*cRN$sMN@VX1c>bzih z>E#`e)u5jJOGl8GIzPOy7iDF5Q6&nR7i8r>j8vzA9P;9!G`JSbLtdf<9*#NzlIXkv zxz3FnqJ1%PZ4YiVECQ+OWzD*XWG;9)Q71&=_C6#DP?~#DAkD-8%5mTs6!2Ab0icQQ zf)~qG!7ed9fUe^ls4)Rq7{H?Pq5x8#FAqdg2CnUwLzRKAqk7>9QT7ac1_rc83toEG z096Lw)d=caK$mZ2=rJ+8*sRXP@M0dsAq(yy*#O$I@nWkKxc+|ws{c_o9HF&;T|k8> z+Id7Spw&pAo)c(OSho*o(LUrT1n@j42c%vB#RzD4M_@N7nn5gy7ZX}hk_I>ldGNa) z1TEPF<ttEI64aT>@aT>D@6mdLzXeo@g7Txq1aPyWmq);(*Ym%}_sbrNr$J^KcxWC5 z(eM@p!wc)JkSqsYQ;^`%E5Zm`QeXkPjIX;yCBuX9vxnwokJj7eA|QjoxBFIrnx-C* z<B!1ih=Z1a!OOW<TaXQW4L%6402JEb0cK>q$6Ztkz`5+Wi%JEU(Ve3LG53YYYZiu= z^Pz({kgf)pL<?_zP-%nG#Rdh4MGF(CujAlh3mTv2Z@veLm2RIy;G4g|3oBn7DTTNa z+&WkA=nk|1ogx6<Wy`<aF#*g`2Wta$-8xxRK#N)(IzUr2ol77Gr@<yAT@Hdr93kVV zIVk2rx*3*^7W{4Cy~^E@8Q{h3%#ITL+anYHA5QCxOyJ-C*`vGC!o%`kxeO?b!EL^P z4)Dq$*k%U9ORsmNb;hWOfijH5i!w+%HKY_No$`TN$8)tITkphR+w{(8fV0+$bR?DB zAeF`1pawUnoCbAPmTE9Dyx5?^#PDJ^#Aw+WNE*O>jZNZUlj<fSNq|nFc`*|t0lJVR zt<yzC?8Plbu)3qjBO_n~7-4P$jjFsbhB%BL!(q2T17q+}ZXS@s#6b>Yf;jBTLnQk_ z+m&8OiZe0n1V!`<e*w@^otrOM7+zk1E@4NcmtGf@7m)V(JWd7%kH$CPc6sL-$WFOl z2;FR3bxM_iiN7TQq^29X8z0mJyUYPPQg6mt(B6&~P#X`lnh8{qfCh?kJiZ@dXJB9` zwb=o#^uf6Tv{b5>HTpKJ$uJST#0DJVklF@x-V->-HXZ@F<*>(h&^ASeQg+b&3=FS# zdVD_w8pHy1cp1QBq8|J%2VXDR0jg+U&u+Fod=l&!BM$Iw*D|U<K&_VUVgrxvZQvee z>o@)uUhwS>^9n#oS@W_-Cxq2{o4@BaJ6M|tR9lq6g#XQuvCb%i7N+Li(3P-u5YwT` zEQ161TZA|m7>+lC<U!6$@#uz1b_W@Nj&cDtL?(E2PXcw0LA5L>kU$58w8XJ9FnBgs za4<OXPdNxGhQOy6g0E&waOv<-(Fd(k$^bQ38W<S(+l$y37+gUM8CyWD+vfiQ{LNJ$ zR=1Oc$M@r);O1|&WdZeVnh&shG(Y&^(<@@(+5AhOJk_T=O2D`Ec1es6;|-tAAPJBE zP6`kggNhl%p+DV|K|by+`rip&CDr_ku~e{OCwy$z5i+*hi6gqYodSG7_bTx3x#hUW zfq{X+m*3@%XY+3d{@!_@Zc2B8fq$=#j8Augg|FpT{^m|*1_u9L6&c^&6ct9#??*s4 znDVz;GBYsvT7Kbg0W|<YJ8%y0w>$$c;snq1G5B-`N%&YoQX+pdC>?lq9`fjP5&$JY zP*{6(I!SmOhb-{}B@NK|BA}8=4;*+bAfpa|iVbj+#NfrL-z*Hxwv&&8(oX9hP`Usu z|3BUUichrAnE(!*sQ;ZaKn(1m(`*}Y3Tza(>g#6B4pjn;+0<!*hW`0mz};Ht`a{rY zY=TF(AgFL{25-{_-NYaWsv9yuxkwz;HUs+zH24TQ!AY|NlyE_1xn9eG5{U*|8_>jh z31_qI>tm`63?-I^2U-r4%7RRA04?7HEdfN&Rp3x$0gcIn0*B#6)l~+D*Jqn;CxT2U z-RIHGn*dq_XUUuRPL+Xw@`2ZDQHIqf{BHz#9z5s+UY!K$KY&+2f>w`$_SJ!144UP8 zah`(#e4-Q!G*9`c1VCnddZYe#&IB3L{ELyll^b-FG-L*WzvUljmi4#;IHkP=UkV9p z@4!O?ViB}W2wvnl?F1vk%YKkfgknen097F1Nn8UEOW?&HJ4S|=QlPsdELku7Rc7!3 z6;1Fn*L+ksc7iTs@!)s)2~8s4<8MH{!=g4&4G9^p05A9sQ2{MJEz|Spj^F?t+@*QS zqnAg@qxJSKP_pshcfAOSV8%cWP;b5S`^z>^j|IG(<(fz5sn-uYnyUmD_*-oLg6^s~ z^wJ$9-6^7S%teKR^@Y+8NNYy`RMUbl4FE6HjQ}m1%>cJ~VTFkcNQM5(yP*C{uQ#Jd z^G^p~$=jZnU->HD^63n4@aar2aN*w`kl^_LP?}3;K!Q(qK!z{>`l~+70TMo)7hcZz z^Z&o$ftM3O`wzY!X9gAAydKR5m_3>w{CT+zbVb{)=M4N!Ye3ED{|7vpAN+f{0K{(m z{{wVxH1sTDcXp41FPJ@SL2I7)n?Hk&Md}sp=}=;D<KHGC;Mx4knZNlMSX6dfrxJrZ z|8|*RpUyep172E~COBSv<jTM8rYq+yNB(VxU`>^7P=lu1<&cI?cZ7p4|DMN=d!Z%E zQ_to<4*b2K6EA(bGYtHDOH>(rx+^SvE&uU1i!*|XmK;?E-`-qC&+kVeMaxN0nPmBw zzXens7@h>(N?GD+c*%u-+Z+{8#&m7DUBcE-k-|{Q=h1n>rSqa^=b@K-K|Td-YzG~Z zqz7(C!tyV8ZFLvu?mTb?0QIv#jYXVM1U~*q@AYEPU_Zz-ju*DyK#>exx+?*eCnl;v zCk=V_`lvwT`ibY|*S?C6eL5o?d^$5gaUGH1`2TR4OJ_uaN4H0YC;$3$9?TvRFS-8z z|8IEmB{QgE>}{_H#rMG%>>jp1L0h((%|NU8du3-%heVmgOAk<v0-cQxO3>hP!$qY4 zcDjv6FKaw#y*K!j%3efns{oy$1q#iM7V!9sOGl3iC`o|MKLwvl2JVygg3q>OQF-zD z9%z|0XmaAX1L)WR22kPUk#^i60W?kHqT=Dx9RM0)&H$BX;G%_KFoWlhU$XxC|KAb9 zTmZ^SouJlxr-{mom4YCXOH?vm@`A<hy?p)s|9``8FaLc9oejbI^AM<og1wG|w5z_e zu`;-HUfAgjYB;`PV`bP03cwd1KrE0~Up!+2_c7l#AhpfFBdxW(;KpEeE|LUzYe@=3 zLhmM$gb~PiZ(dMOOY}Yq!%K&6|NkS}Z{YdcLQs8$<vzIH4h99#4aNugn?N^~f_g{? zU+{YL1~7VjKM1;X-h<!u5U4~1-zI$U0WYWx3htS|u!>;>-2pH;5;VR5>862~%W;6J z9nTII6@g<eDuRq4o=1m^iqMOjjiB%Zwc|jYur;7QJvhohO9L5id2|L8cyx=F#HfHq z?7AZ)CLqRSJQ(kJ9DFC`(fAKEW>B2&(arM-lqo|%TR-t#dJl5BhDWCic*{p;3H0WA zkf_89uNqJ}4W4`gZz9W4fvoW}JZbpN@RH%}*M~ehKS28O_N?G&N=ZcubkO0DFRpQe zqsbdXVlOu+Hrns9FuW`Rcg9fSqs$g_ZI=P4t^keffeH)Avc-xopiB=6Fz_xW_=4OQ z`gd6vUatlB>tF;q=1br*|NI@Qn7`vQ4)^C1FrU8-R4!nKkp-x2RN@V)E)78Kq0Yk| z-yaoZd4MZY&<+F-%M0bv9<8@)!XW9?2X^nAF0xaQSNeh{9Whp7|NDgGa%APbtjq<_ zg>Jp99vj8L`wuu?oMQ$>_l(;t3@<^)vS6%#4nbWz;nB@n<E;eQ6A3CCKt-8?M|T6L z<?oRUS{MYH;Q}X3NX7y;N;qD)uL9*D*oui48n;;(UQdIh0CWpL=b1MCVqjok=kEjU zCjzzb*ipJ1-LpW)mv(PbVPs(F+yr8G-aq(Q(C~NXcf)%JU$Yy2?)-P~y@28U&RrlS zy&~qIWnKT7{~zKHKk&(eU+d6>G!Oo|Lmr)%Jr2Io_h^13@6lVt=+XR7k-r&y=uYQN zkAtsGJQ^SW2T$xAW(3zGFQq*iAO8Q(&*0H(S~f!obnqF=wNLy4KG!(-!!LmhzWj+l z;=(8X7|_XQApXHm{E;U<@e8niUnIuxi9hlnL|%Y(|6&k7<^q5Cfi!--3uXGCx+nZ3 zNDoN8=y$Mu<i$_?k%vC<>m2xhpc53R-LMek7dZ6#JZJ?LsNwbU-oO9<kGrTqP8f%) z0Tlw^Q8+6`R)z_Xz($W>{<daNY1aG(`968j2tBAigbne+?n00N9mfUQ)4CJ1*$TAe z3Kad|wS(ZZOu>U|u-mjj&g}(th{3lX^n#{JLBkIBu0twA@W~9whop+$WMO!j@E^7O zm*8(>0L=wp8D9gH#HYYbC(vXKXc1L9h}RqV-=p;+f9o_5r|~DKj^J-E0C8+V*^Iwg z6vXcIQISAOQb;}FAOlbx0lK-4zXh}x)uVeB_*6^Kk=5%#b27{xjfV|{K(l1v6S<2y zJbFzGJ$lRjd-PWR_xOGt)TmbQQ2gi7Sq#~9_>X~sg@L~foI}8K1uUS-$Luty3I=VX z<CzC3&A}(Zf=8G%JUSUcOI}J@UxIoNpz@-dHTDx|nIsd413pZ=SJwNJG6QJAoiO-{ z$dVhN$dK>=^^Chgc}VesN2eo)M>ivQsUvuXq1Qy%qqC3$)EhQ|c35gu3|zX~LDsnT zdi;0k><2MD7%w<B{{Z!j_rZI{Zs7h3r~(3Q+OGep%-~^pvBV!#qGfpWil&`X1qqab zPl7K%9xeo}4UbXbcu{f;T<h9`6oPwH;89Xg2uK|V6%H;c7OxL^^s>r;j0PnY<6DrT z%mUovd_C2pmz4t~XL*pnwH1_Vds#pH00jz*$_w^e|Np-(_Gq?d;BT1*YSVSze8~uI z1G1ihsd2smF%P_~9lS=!z@t}o>knmym-=8WkP-`g@IA+i(m5#60&WAs2P?rxQodXX z>do}B{z4uo2M;?}vVbesnd^~iU1d;HCjlaneh5iI3nbwVk%&T`C6@w8=&^vx=Zd+^ z3@;!5`Tze6X#FK?;0Msk9v>Bs*UX?hyIK#Fh<jKbEc)!xI{}o~JS;C3KL=ODhe0G_ z=_R-fK&fx}+a7~L5^X#cGyn?OI_Tli%fkg8QT~6*<NGBK#fzZPRtFEpQy!K90{l&r zK)O2xJi29FcYw}tDB$qu6_N7j4f^Nt|1@|M`WEQGUw+Wc2hwpXAg%%^qe+049(J0j zKo7M7_hZ4uh`@^{(0pOx0q#$pg7iy#R3t#d1fUM`i%)YQQ3Sa(4U*b@R1{uM0u@|n zXT!YMdWD7IB?Bl)fHphwZwugT_$5%3k>b$}8%B#Z=-v#fOuBc2YK_j_Ahu)Yhl9@q z9SuKp{&O)r?s)J8yQATc&hH1`3Ah*@>)Z@d*z5JN;irP+rQM*RvCEGYFZTxj@0GD@ zy;aJ^zuklD|G~6Q53a*VOmHk92(<VBp9qF}-xp+xFi^t-G%A@4D!;nHSE%u~fOp7( z2JKz=x4U_HcHZ>twUO}Xt^d)&=Fx1=z~3Us#K172*>?GM@PN&CP+J;2j>h289jMX5 z)NI=ekvR*Nf!w|31L_KbLi@!<P__W?HU%A2&|JyEz~4HP5j6e=c2aYt1_OVKJ4kDH zpay7lDt`-TOv$6$Q^TWMu!Yg1o6Dm+io>I~n9--(Nx;YQSa}YJ<I!2f;n8bzv)Psp zWPPa<BvEyW+~nWx;RVX>1s=UN++dHePiVG%u?@5UT?oAICqxB2p#Z&0s`J8&J0DmW zUOxx5|3M=oFV22oVSp@5f4vEm!aO{BSvyxkTRxy<0~&@D08L6sfCe8GUd%~=903L1 zlWzcW3HV+Y50B1pfo9uWu+?Iqa~3*(ffkBGcE4u4Gy(0EOaR&a%%hiec>?5!sTb#< zEz<;#?g|aiGJ5cq5p}RB0^k+&;Csk3US0=<EofNp#0x2~lP|n}47ySz9lFz@6>1Pi zv+c{RAnyx<irNH^PDc$;L7(xm17rX=9(pS^UR-<6!tnYT$n_2{w}WJQs{{-Wyx9Mq z1#$tI;ei*ML2Q<P|NndUvM@H=P6nIG2^v>?$qCi*q93FNJnamRc8onqvtK}tA_ix> zgqLSQ*NTQ;WMOz|1RjA$NzdT@2gt|6_p;te7KXGR7k>s<_OPKd2k?9pNB0C!zU!U= z%37T>K<v(I2OkL<e(Jnuc=_NfcEekppAWthFuc~e0i>jt#kkXv2U4EETRtyNTwq~% ziEaM@f7^CYaz$<LfY#1<bVoUObTfm}UVz8<$NY^Yj0~Xr%RuM8fb*RLBY5zfaADzM zc+9c$hvD~w@7N9hxpaaG4FN~P4=$a}Aon`;%CL7v@fe<b&Gi4ENAnL3{yxx+iQvM+ z<MLyVi?2O;O`<(|Wj1?Q-r%2pxaD@~^$su2mXn~G6||HEu^t+H=mF$NdKMMXJQb+e zgN%5B&fEf}5^xkLG}|uU3`#+npyUw&if~XDbOR{R3_N;SSEoReoDj6{z|m}52G$@2 zN^%j9BnLi{A^^0i4IHo#8BofLc?)XLRDgHg8ocm(%fj&b7Q~|7DuEYvApQiy+u+`X z2e=X2%X%{rngkYn1Xal)D&TTo<0bgeUgRzje|se;Wp>^GpOXIK<2e?Fmpb5HDbDg! z5mY{+)MucvLkGyb+~r3NKUw&jAPqavf$ZJI4$TMufcOj^m!EquUiauW16_2+zy2R= zR-!o*lwx25fodR5FX}+xV(_REZ*z$fgA@NY77mZ*AI|*E&0v+hYfC}v9eEr*EPwL1 z+yzyaph+5EkR<3By^DsIJidPeMFn^W0^BSC-8u@}Dqig1(QW6!zy60ua~%gmNik?( z4b;Tscu^7osr@`aQ32Mc0BVu>f|9TW|MqAv(8)6V>rZ<y9`xw7k%Sbc9{lTXP4MXD zk!ZG^yb+Wp#K9#SXc(c>2ebkSHvRab^)(B_>!*e%Uq3`x+4zfv;dQr1x3>eRdH}^H z$BVxoKvC$TBJi>VRJnFu^yxeRKGf3#efX_7%cqxDt_3NOiv4_gd3#Ef7##Vx^Eke| z35x69eo&j;!}2F+<=M}dNB{l*Kf$B7A3UPd_>kej|Nk#Hg4BWb9Dri5^Tf-2|Nj4f zVfvhr0Ww@={e=ZOT;&L2fx4+L)Ilupz?JS77KRBgmY-o^czFo3yy9;Qhom3m{!lk4 zctHJ)o1j>0wqW3IInM~15%%Etc94a?l?$W=v<$<eJJP_TJ6gh{6Wlit?k?Z~4P%2A zim8Aq8xD_dqyN`H856vHDS&@lBxpT#=S}eSr5=}`dGz}I2URkP2SH=t9{k(uygc~V zpZ5VxfH8XsfR@>rx$tlE;&A+Skb}R)5#%1l!yf$W?}I9SC;n|R%niR8`P)Eew>A7` z<)3u8<u-o{H%QsR7Zx_G#mvnISUX%)<RM#1{vYya{>1_5^YU+#;j*|<BGq!c^tneb z>$jbd{s~eS4OE*d@NbLr03{-iULJ0bUQdqhI3NC9j~R-Y!AEz2I(^V%Vj@6;SD<vw z;nB_Y|6)UpiU32YBxp=c9+Y6g6)pIF5D!Sg0TpEY+dMq@w*`2DlCXjY|N6@wy*#Ht zWr+c_8OqV^;KRS`0b{Wcs9)0wUS0t^!N8;Q#OpWw+vB`EdcjAk{qX4K2A_DM2Tqqh zDh7s^Uh;t1KVO0ttQp=0cbq*gzJ}D8AQyCd`0(#~$WjE_-u)TWqD8X<yb#zy0(8?e zXbufLRs-)YcQgIJ@cNiXFRSisr1m|y3!eUikzv9M!&58_FMGhL4rlq521-n5^%E@p zJqAT2IQ@ZABL6mM`jZ4{p=J8>VD=IKr9t%c=M8cXw)6+O^Z=3mKr8t@(9+)<P)P_& zf1n;VH2uj#(_h(kX!<(_I$aIapM>pj3Q?g+A_T2;2jxr;;uE1bED?g-2;NBnbK{BE z&#|RM6>#c<q(mk#`{&EY|Nj3sy#4YDs7$-~`sG>>jhqstJ$hL)x8Vp3!pZTVM=$HV z8R*IJ##c~sTy&g;;bkpaazx)h2~J!n=VyUJDFVDs6MU8sI6YnmrG#$K>2yd%pn*p> ztO$gzivwMgf>H!V5GVozU_~IVBp3#=7+VqqP4ys>AgCRQo&>)mC&AmG`5{OVr~poa z@KgQZYnBiP!-0;6!dnnRHuHn_WI#*91f<f?=>IkJ(hz*m0k|{_03X5v3gQ5q3DLr% zmq!p>_(DrV8Bjum9IFCaYz7;vfF5JldE)gWY^hNaoC1AREDSHb{0>TXoj+gRfTYHg zAnwK2FBgGmJR$KS{wNE>%Tp*x2&Mhc-*y(X7`ypM1L%Ck3efqA6`)lN;6*T?Ds>_& zQbn2#Qriu^JqRfwCBPCA^bF}v8;t4{Jj&P&xfYIpTO>#GXO@mg4v*$a(Dd^>@FEh( z8P+dB2iSQ2KjaCn`4m_f7+Toe__xW3`Sq%ZxmeubZ{c8OU~p{s%~;L}u0HlLffl9Q zE<NqhTqVK4-?|Jm!P_n5`Tvj$|F$SjusMCrObiU3oo8G+Z#wP;jRSaIe(HJgt$%Mx zE`xt>&Q=Cr%U6#4(+|0}T;gvrU}9hZ&)R`kx_}a4r;G}ya^-mOfd|y4gPk`H3kY!2 z`vPcj065s7hjH_7D+D(lKEhkCz2*Ns6puljSqz%D-d+uAKYaIKE)oDO<3}lj)eTRA zZaXwQ`O+A)9;NjOe~TZeAq#2;`gEqK1ay0Y>Ouzorb`SA3=j@uQKd(>Gx%6RP!E~| z6qTTbOrWNqz>7m3pty8VQ3qA<0UhA0j=(Pd58lTW!M`nl<J%z?{+29e28QNGzdHiJ z27qQuVfDHwC?&&AW9!aQ0d0S3I1H-VUxF<3@aT5NIKG4N1t)l<utp^U)Zunfk!ZHv zwhYv`6$LeJp}_@OIqvb&4%BO3^#C+t05cEE^wrLrpf+udiU-`hG_ZMEVDqM^fIS5_ z*GDA+bjn$PXXgP>^G5yU1yFm`6=caJNZT2-TC%f5Mc{?NJ5bj-MMc7~g+*loXcGj; z^ZeVKJ$yl9<-WZ<M|^ud!Sj&npoJzNi(Y2^16>NaJ&3E}Cx031PS9j(^Fw||aF@~X z^5ZmD%Uk@D558OnUSn|vG~3^K^W`K6@1+V@^R{dc-_C2k{Ohl}@NcX3^yOdw&%ama zy=Uil-(D6Y-(Cj}-(DY629Vu8o&S70KlyY%e98Xz|9{ZRA`Q@hPy{HrGC=LQ02lsk z(H_41>u-7XnizZZmT|arNBcO!T7hPuhA#LF)dcX-d`S1&fMhs4x~2YKhLnF6;NXJ! z6BZhvmTv*5*=zthMGibF699_54AAvQ5icD<Q!(9;rR9*;8gr38|F!@R{%sMSAiWwM z{Oj*|^om>twIT~VdU=FFMI|Vuf<v<$G-wOj%m<pW1TSg;4+_DZ3ECO*(-o2pL6P%% zu?zq9U@y<k&tAPIVjjI^KRmk4JX*h%@PfQk;A(j3<;LHjfV%hc_V54yL4p>L!XDJE z1;@G-H^?|}ZGf6~K+d&z8HVH|570mx#)ymNbx?W)C+xe6K?z$D6j&hdcyu~LI^G_g zH(yGE?1$YT)>|#`;vi_Y^?^q(tA7eo3qTY!u6*q+cr@M&Lt-yP;#x3t$gG!Ddn#<Q zaN%=Mvmtvw3&TsXU*N%L%=x6rpvGwP8{{#c?hqBw*kuVDXowKBGXpk@1&Seq7UpJK zc95_5Ta7?^yGv9QJUT(wlLv#Ai#Tz*bU1r?bUO(&+dfzX9>)9&s`ERY6+F7j9KhY; zQtt^K%~hbm$b}%O?jjA3W;+J{7B3jDo2}V)F+?-?B8X0B0}qg@Za0o*+b)O%BS>+l zvjx&xFb9JP-5WqnhwdGqv762vAU0%37(B}J!SEksNcaPI!qLU>cqdq4FN<A66{k#D zP>M%4Y@(&ipnD%kyGwT)$Sjx6HW1IT^XI|mf{uniT{_RZ8Xi3Oo*m2v4;r}|o^a^| zD|YHNVfQ%rOx}a>1OGNJPIzze|2a^3-&yPc5^Vr&bNuFU`Gtq#2ao0l>>bXa($c}B z(>Z{Dn-fR#17;iM5+09UCyt$<^-mu-z^7`wfSh{@kCEPLix*v>+2F6Bs=v3|;6)9H zcMi;BJ>U%;=6rr1k|H%whB+M;f)XcWnDY=ga$kb3i-ruxzA(NG8kxNS9_BO;f{r=O zgBrxqY|8}JEez`KX?S!xX+R9n1KA8tLA_NPFW%n*4|DcbE4+Aei-qCkPf%{|tp?`- zg%=lZfrg^0!RH=#UU+c`#O*xyavNxba_b%zhL-_<!9$KbkjXFb`jT`|n**(V0@;Y; z(H$?rzpa?V;oC75{#I3xI>;`qasf~-_wea<Gw|v55Af*@*KpzA7VquTogU%a?H~az zDqo%iH6#8Xc5L{~!QZ?B#A#u2;op|;;mUZ|wey{0^HX+r{%tl|jt&1A%dR;#{AVe- z;Mn|(-GzT!orh272Veg6-+ekS`uCcM`SzA^_;j9f>GlJavkw`HnSHy>TsmS@^nE%@ zR6qrCnWqo``VaoSCjb0<MZ|o2gE&AXcY<g0pa1+V3e4au@`^beza8V?ZxsZsRPD@B zad70{^^l3bc@-1<^iTd)HYTuIe=o=XCmkC=%j~{^7mA(q?R@Te`HipQ7dQTGJQ|M8 z4;g(e&y?_af|ro_cK!kHeRl%MHT-1aZ;51NU}*Ts%-<5gh-mG!g2%r=(>>q|9>L=( zplRe69q>gC&@DuzhM?F7FEjH1tuO|a#PCYM0#q>+fbP>%(FfJM1}~@l`~Sb$_TW5F z@{0gfC?NG7olG8`Uf|{a79O2m;A620JS?3wN_d-Xr-Icgg3=kN7SjKJpu@@A1H7i9 z(+NCN1#NnOQeEW@7KYb1VD5O4bpw>l;yJ(vO5TU2?og1L$<4MlV1vOcJpw#B`Mdo< zjVQ)qQ_pU$W?Ol%BoE|7vtKVk=UsX9f(-iS(Rm-dt>?rGo*OI-KA<BQzQ4Zh(|HlJ zodP^%5b)v?Xzsl8#Ou`%Lz#+s!Oh6#kBs1b6Q1C{2577RG`$L1i~}Bd0S$kG^G5+F zXF(=YK@|^rxI(We1O;i0ioxrZp4|f7&OG3z9DKA7di)L~fADYf_X4j}_qhDP<NpZ{ zWzZadH)xxm<%tq?MEqfmH_y&9FYkdXKF~5b@akXi6m@qAXg!>ZN(Ly7!FMKt9F(Kt z;MsZp<y=ss2A40PwiEA5(808zKHW<eP$ANJ!ngDJOY6VjwpN~(f3J>~Z*T4o-|kQb zSHs)Bt(Qtfd^&wpEI>_T15oBFc&Yg3|9>A#e}_`8hI&s1{?_ZDZFb$k6272$x-AUg zj1<l3ViE7f-?|hm7cb$;zb%>5m490_hvT=y9Q-YyiENk7hmh8s=jF$q|4;iWo_68i zp62Dtzy8hy|6Uzocm8c6!j28U7|TK(8-B4m@=pSFBuX57`PV=6VNO@@I1bwQ`rq^a zK~R)9f`__2FF*3NyjUuJ%-xd_)X=}}*?H2p^O0xg5%8W!pU#u7U-^Li{O0v>SHnxM z*E#+_10L9iS&eM+>m|*$DYHSD3v#|HJ7_!xl0OU&yokOEx(?+y$BUq=EDW!oc=WPP zK^|~W12s3&pMqKrhTB;fUe>@{4v;Z0wEiM9s11N+yrEmb<NqQ4Z62K9A<I5c>lcI> z&?il9@lQVl>Sc8^GB9+6sOWoigLV-5s2K2Xi{J#M`v8wl6BS0#{bWdcqP9PW)Mt<h z6HrD0o%YYsd9V5LABS(p7)zL&Pc!jvE8=tkmliIJ#~eC}I6I;^nr*#jfg2^D^^u@7 zZ{X1xB>|peIqn47O39FR+=&a+{)^J^XuVxx2byGRJy2ri(OeChP6aJ=YPMyEn6Vt( z8Fc3G=&sTLo%H@;CfI1uou(epY1`J5B~swFPKmZhcMy0A_Y_DKXg#l&04QFdz5d=R z0guiTFZNw#VR-!l)NZ%%=w&_N1+8|~p=%*Hnr*wm+QGAnkg1-|Q=m+5@p?Xpitqr< z@l=3Jd1iRvMgC<LhL@nL<UD$-IbI}!c%bVGJ$kDoJUS19%g-0ymqEoN$od;E>_I9% zfo@FP=E2c?lB2_e<7L{v|Njjyy$lCc?7ghdVv(Ar;N3;mk3ngLbt?<Q%LkbCbvr1G zn%|(E7i9pN(C6P4!SU@dM@jMoP(*-NPl7t89Gw^8EvDYE|DZHr;h}g9REG<^@B<kK zF7`pU89*ARkh5|;x;?-Pl{FxJIPg*?Q0D-&_5AgX|EFHhe37_?g#o;C7?P49BqBW{ z&NF8LZPmwhp7|W`MpXXo#a^K0?x0()Jo(pum;l;F4qC<S$y^93H3C7UMkK7%U<DU0 za-d-f{<eHp@PGxVU^wj3dC?KH#e>1~@)OsV+x)GISr}mJw=zKMw<<uZn?cnhhz9lD zT|je1zWnQN_%cTdfUdyJ@a@g!@afD^sc_-nmhIu+EA!g7H<|;qkR7xO$`v%c0x7(< zvoJ7p_^7CZ%0!2kOrTMg{|8+7w-tLpx|xh$eHnj)CpmksLfRlEY>o~87|Yp?v9Phc zzU0{OkCngaEDHmJOLsM>Y4e<czj-leG@`o}ygpF_<Q@;7&Ki{nkb?qzIzcPw6FD5e z9pT__Nd#?l`R~%3&g9ae!sf!i?YU?3A140hd=>@<&x21`LC&)9>kd&V01wi%fR-+R zxEYQuOg^2*{kmO1G7s3@J4~2dx}8A|c)-Bl3_6SpBv;|s!q9A+GYy;vpMn<FcUr)d zF&0<&bwgHvJGL<Rb_+M#I)fCJdiZt<_;r`46nOqW=GR%GQUKzB0<zk}m+>D+q{6Qo za@jL9jQ;sSui*CV{NdPn%Cqyz%WeNa+a><_bRPHYJnqwZ;l+>hpf<q|pU&^E7lDRA zyt*N0po8ZhK>b%xI0?LPgx;W`0jl%WL8X`jsG|s4K?zFr37{4XD1E__7U+a_4^Rur z!lye&CE%s=e~`Vu!1f;V>HP7c0c`IFpU&$q!Q<84&IVrH;H}#%kW)%Qu_Ex|q#3Ay zb5SAJUeMukE*&K*YF?mK2R@*lIk<rcZX4dY11*}t4)p>ZFyI9rlkN53@ahCPs2iG# zwt0Ja^s=1r=yl-m>V!lPs8m96S&2#lET^G`L=LzyU817q(_Nzy@$x*VHPBI_q6!}L z>ITpIICeYo_<#o>K_voc$_He=0BCd$lv_cW<J)0I{uc0=G*DM{7yIz<dd5^-W_YRP zQb{y)Alk3DfE8Sws5&-30H5-W<Vu*s96L`zV<|@^0PHHzl7h}};P{03;^hxeORDqO z>kZ(IGU`73i%&T6PddQAtwcrj^-@qFXn^nnXw(h7_6`(>U{7%UzsSEWL`9(a6WAFB zFweje&r3JZP%+dqhL>J~Zv67?eE%}?54g1y?d8`iBj?*2{ll}{7&J5@2P#_=CV&Tu ze0uXZ{CZ{Xfr=2r125nG{{P>Rf14x63Z_zSk8WoN5773&Qf*&LM}-mr&`vJU=0Vsg z@bIJn%E|&SE`rY8xy`@b*~_EzpeO(O0~0)%9YISTIlvjA*YO9)s~j&PEkWrKk|JPX z1Pf45Dsp%|!M|6A#f5)+HmH?#7gF*;#)lz=-x~1QEg0n=Xm`d-uHXOvyBgkpIT5t| z;{U0a#lJwqKMz3r^cY_312u+vS;I|{YBlhJ`_wy(3=>}HuVZ0&dFv-^>;zO}AnFTn ze-xZ<n%{7Ebn2*h^y;X9Dq#VS?mz{P-Y7<o&PWB1#zTytk`{c}p5-kMe)r3u#31pS z-ShtepH4>wpKeD5Ps<A>DlYun{XqUZ;lu0+szNv&8-6mDWjQwd1XUFWU0Y6;p7FH2 zQEJ+3+cpu@x^sdZUkh3t23lP#*le2vmXY>2?gZNB$^eSG<_F(9Kr2giR6LrGa2)pN zt>Q2|@FL_i3&ZON9*|>i-9en4u7)RH&wOFM7BpT2KS&D2zh$8FKs7*f0}7zI0R@j< z)<3P##Uah-AsHXMR2bYaGXQr)Ag7Ci*P4UQ<)}eE&KGIL`-{VCSTN25EmQMoej@;~ zj{{^Mc-@HvDDk{_`W|FkjtYlI^AUl=FWNwwkAUI_l)X7X%Pc{q(P7Z~OK_fX06R<I z@EM4F>wywZxFYyMoWo~e>T%1Xga?1yB+xJvX8Q&_-{uCE1Fb&*9VQ8%|8)iRwJSIo z_*=n;Id?k<yp8}}3($O!1=LtBHtmj4v9OdZea*j3hN<B<W7#86qSD~s=IQCdzy6{} zFAI}LuLF2XJ2?Dc?H?Z%4gT$cULL(70v^3VKS1mGm_WNWIVX7ZdVp>%Sm@EqdUhsc zZW3K5d@V$`aCZa`=+;6DP{SLvGy*aP3<@{!G6(|#bATGKIY7`9G-w_WG!3o6zb%O4 z+aZn;0}t5jAB%(&|27e3P(Mk$;TJ3aq(d#2N;o_^PeAU$={)%Qv*D%JuRSiletp`b zmsJFd!+Tkas*y4-c<O%54N%4{TgAfgG7z-%2ra(ABaS%Y3pDoO0iGWP#T-1oLg4Y$ z18#jf2)vF(jxQ?`;tPJH9nq0C$D@~3Vg@wQK-!VchXkjK!4z|#W1&3IV=ihs4%hdx zZmvX+zPHyv(YJjC3&Tq%Q1qeCZ-Y<d!q{I1x?_^RMIJPVwhg+<;wPwM3Z5{4%}l~m zHn>ZPp0Z)*J$ite1Sr#y&R~;5R2*J{u6y_G4N+nA=)CFMdBUgjwr}S}Ptf&?&4<C8 z4L}=9xj|ij{`Lk?=5Iae*?HT8-}R<%=NVsq*AuQSmrD0}G}nP9Cg+0_lby%^<NVwF zI6a#GbMW_pwrD$o2WdPnKk&Tx!oOF<!M~Sff{*2K2ma}x<74?-KwVJqKvK)ek~m0b zr$mM0MGACb7kEp82dFWr;L&*jL_0t-?77aHh^fc;|Db#baR~SZ;q6tRsmJ>s%y9ys z@rMI1*}(3<T@nv%{(zPuwJ`g1p7d;f$nMm^!tK#{!=qQG+oLy{V?uY0N<gQz$A32d zZ9$+y>J<OBFb<Di7DiA(1)f6;WQ5EihJnjr@Q58`7aXLN2~pvIw29|l0+s7Akb4in z9Xbb4b?E`R)Tsz`Q}OmXw23uva5-Lnkmh1}95k`^6x3h+51!TY?7Za#bD~Rkj7oru zrF4m)Z|7y-&NCjJr+qsQzSR8(Y7JcQ={)(;0o<Iv>Cr1=?a>?kV}eJwG-wEb17x-M zzyJSzJ5NJ3fiGeMFB$`F)&`wb1xif<FF*@pK;<ON-QZ<qB`O{-=Yl%Vp!Lq!CyajV z0eKocVYH?bRI`hL;>`m*EYS@*{;Tui%c{R1HJ}rCLF+W99cEzwkIbuhA>|<ORMG0o z;He^R42ek)iPe_ysUm@D*i=#3Sx~lfSjxiivKz8*0aTZQFk1OJnELY*mk{dDL(ltr z3)+;__y*J*#60g0a&8%@KM(3}fjeLP>%UI`HAF$113j4wK#egEP=7vv!|~f84*r%r zNbgt-oYUJtMG|bF&!zJud~6W3dH}q!3A9;E&_yKz)SpM~)Pv_LefZa3_hk+c0F6>c z`1U4ofLhNPp3R3eKq3XMpm}(Ry`VNLqyrzLV)2p(R4#!#@Y$Z8&4*Zg8Q(xU@1S*O zF8tec*c==F!aDEQ92@?!@;99X=>aY4b?L}aQ3F}z0kXuwm490SWWJ%r9JG@9l1pz9 zlS_vQ8~?UT9?id)_?w$RIuAZ#1+9EA@aZlQN$_m`$HCtMxm3qRBm#Wlf=Gf#^Fwx* z4joWaO(esyn+vqT5VQ!uM+9=J6Qui_q9X9ZNdwgE2hX$muq1$vlJ5l3-99WC9-y{R zCy3GQ!%_h1*MPbi{M&*(L3h@5g2fR1*AkTgSk%E{&9U<&Xhhlp)ETn?^&vc7LU%`q zL_iKa1W)pV8l>9iKp6|P`L!Foa>GR=!sED$NCJ3EU9Sg+N2iZShDY-OP=iYZ?iQ%& zDJr0`lN=Sbmp{N+95hi0?RPrxfRA#34D2)gzW^#21P~oQ{z->GA{s88Ct>~r6?7Or zfm#%!0`Z0m|2B|qvEX$A+k?IQAoE8*JiCoRT{$sOS1w?}1fSk84xipM4Z{O3U;G7k z;T+JraGsVG&@LQkSjhu=J{>%8AjL7?=l}m-!@6)D{OgZT@MLzt(uJF*4T?)hRzi;p za2&jz;M*%=?ZUr38Pvsj<jb5O07`uopz4$ZbYUE5j0?ok0C7Nb<u8T)f;w)OUat7_ z|G(q^lP|l#z2*y`Ou+Dh^#o|tl69UsQt=1wxUD${D#^+gurR#*2)Ydnt^Aq-s@8C{ z|2)8Qp!T{Q@_J#=j+q8<dmTKo3u>=NLE3*D;P$#XX!z2?!&0*JB|o&q{s7!EgSOaD zK`TP=&P()mH~tp;e2-pM={{)l2{c-a)NG`4yYIJWFN=jE|27c|Vq5G<y_gQ~Wj&CO z6l35P`>!*g7&|hLh2f<?xXA~qcHkH-{y>ZOQO|q^<s#4>Py8+5tNp<3cTh~i+wY(? zhmiP#oZ{^u@H!qj{%lB!KTs1Kym%BTD)2|*ERSB+upVewfV2;A3m$JwVr{|C=*Hpt zUe=Gu2S<RTQ~NY1I={{Voe%L3WBxu0R2AcBzbhiQ-+zPZ8>+P5Ay*+2XulstZ@)Kz z3IkHx@1TAoq4xV92KWp+a{GPB6G$~gdi&i3R9IoO-@zM$TW^;n;%~p72Djfq>$d_t zaJAq)NNT~~J_hm#_7*(o1bBXeEqL%6>;Er7i&!8nc!(oG^+<pZMhjk>xE8!9N(&x* z>n4^Kd>nYF4%FoC4pDIc6$~1nTG`{}Y;g4sK8)SN0lceQ1Tv%!+Oo^|!fYeR)8H2T zt6Cf__zIL3{FUv{7JQN&Qh^9=!M_A;u<m8`#E`fEk$9;KZ^6gq!&>lL4uY~>-AopS zmz~Hhc(n2pw9eE6NB*-y&VM4Hj7pXK7YEMC==sm5^E`6@8FT_<3o}yxc{Y0f^Wk?r zkKBI-wdQ@g**yOr0QH|e!F%)K7#SGg6Y8E9U-|c{1o`)hEb_Iy;K)DyfNRSE{uW`x z3YU_2ME_akAtVOz<v&E$?u|g|K>IL)ruVixd3o}$zX9n%8~%R@S}z9bKbAu?U{<dO zYYVe)=Ltv$nupt?^QKp?&0&w;dX5(M37~2$pxJg!H7NC%gI2DBdecszg+d4Tx4Ch6 z_VRFe^m=-%VBv3x2X&?&{O)iAHBKShz#yIJ7j;`%7+xO*4Z%!7>sY%S2Kf(rwv74@ zo)~aL>sf<WCOBSxl;&!A0o1cT{SVY2JMeNVc)knNwZ@q-Jv)EC6ar_=i@u#Fz`F@O zLEe>N#^_rA`3vh>gEYy5@)?$lc@#Waf+b@%gEZjoTF+ez@-{eQ23CQB7E9MU9wlR1 zZ-HjalUC>%GvElgYrO$O!W<$IpasvESF>RmQ+gjLV?LeA!tk=-4@P}BnEKO>AUA?e z)`mB}P}Ym~x~M?=(?y_Cl5l?-)ENWyr{VMCkp490{P<@`e;PVJeiyX(uHiRm(gSmT zTozPDg&zQQrLp&?qe1-(_~dv5WPT_|CBw7%um`A51L{x1=H(rj5fkDTFTqP;!TsrC zPtWGV9KMWSA^qtr$RazG$?$8A;K^`5P$>!NPlKi*J9AXPmVo-xl^l-Wj&tz0fEF_t zUUKQJVsh!QLG-8DK{^rr=^9Xf`acJM3nyq%e|L>YgkuZ4Pv>)=?i7&B6VR-;x=(kq zfoF4#3I_v!3uvsV!M3&>G~L~D7`$95MI-~XP~ErNxY;%ZEYS+Oj?fphg0CC151ykU z@M50?sNaUG4-P6`SU~rr6V(TYMK@^b4iwYSxljjC_ZvK84H*aX?EDY%SLcTp7dJo- zm(lR){QnYE27x@A;oEHta`8(~@Fb=SsJ{+9lLRzdDDa|sA2dgU#>hbD72)WwgSuOw z{yJiu0M<P%0Zq+9j#I<!Ru|B0tlG;$aPflFtp`mfgZ6)bXO)n;^&u*tdE5(#Zv91+ zZasS7fEqJMAp^DT8fXR^w7>&xh8EGSkK^#^&GRrk@G=q7t;aJni`uP+h9RiaE%3tp zF;cf4&&=$8X;AWjgd3(0QdBHZXJ%jfGG_{a))-Xy_Qrx&pg?-{u^yn+DBw<g?;lVd za{Hw+xKn@XB`b2L-e3o~Q-4w%so({7>aT;#(1jCN7+&UpI`v2e6k7WRbl$8-^P3FN zoM8fJ&M*N~u~vZR<KBU?9Jqh@1XPN^W(qzqGcZ8<hd)7W4(I|j@KOwr^F5k>EAaP% zPHLRs(d!7BM`H)~9uEkBd;b!kXa=jZjNm9yZeaxNjBL4GdfTIyHK7jFZ-VU)%27%1 zXg-p07^K96%c0>PW7$QIZt#h`poKafmj6nP!E5ANZkNmjxw?RVn*(Sx{enj?i=anu z1K5^MbmKq+a^RC=q0<^QDjbl}=tV-H+udUzL+Jsa5edkZRIlex@aQe%Fub%MG)4Rx z;+ta---vlw#&JM4v$rt1@NZ+`a&0+KdKWZ1Q7Q?#f2S6kk3c)zH9QVJXYuIe;fHMB z-~?U10rnPnc?f7HVP}krzzbfX|Nk3mR3sQmHX^(T-V4XS{sc(G!K0Uj1E*)9j&f}H z!N}hRDKgkVc^G7qujL8;mIsUs46fY*u9kxQt)Lr0eS3K%eS1Cs`1Z0$`1U&daqVVs zwPfUPWe1fm&Hp({IXpVAzx)Z#<vuC}=z}Vdr9Y6S6llZ{v>OKGO7Ki*1!Q976s`^7 z1=wanO`tQO{4Jn^LP4`I{Oj*~^@=bfwuAG6CLH10!NL0;QMZD<1g%!}_<z`=`H+A| zGibs36mathve4JVvWlbhAt>^=T`UfiUiax{LJFc%eef)hL(Bh?z5LtUK<Vp-M=y&c z&L9URCh#d+9-y&7(4txnP<#u#a1sEeuNoBz&>BndCb9@nkqo{f&;ZnHDtJAUe|s2c zLRt_sAq_fSruAfrAZT@C!~~DtKn}y(FKt2b(93F8jXhmme98D9yx|tKC=s;v8`K5` zMF1>s9KxGiJbGF0RADu_m(?73B_nv`qHq_erDoj&x<3<M%Al1mwV<XCj`BqfEC(7t zlmV^cgOx7^EU@wgyg3(Iz68L_4RHB#lL2&255e+98&r76a6!u#1|+{7YXPr;0go+V zlrtyM${A}|IWrYGEU}d{P-DR(UJzs9<&6U*Tfoa3BR)`ifR;DlZd3p$Jy^V+PO!WI zEw_edZA5va2s&S<5)pe)ry%(dlnynBD|e>y!pfbM2rna-I{{b{64XW{&qEyvDStqR z-uiT-mOmem${*qX|NrAEf51C<;pNZEfhd2#SM5SpW1yBlvMA*bJE&4bD}VNYiW}te zXAVf9o2c@~oT%~#Gw5-aL%X;^=?-r>G?8FAWC|&V)|KH;Zx_KQfE(U^`3Mwa7hk{J z4WdCSQ=xS=w(<yzUwT<x@Y~eOIz0lZ6$CDyE^Y;tPqW)u7+$8~DxVa=Wzd@hQ2QqW z)c%P8CwFlB=LK@B2XvDGs7;W8UgedjR6sH|YJCUUvF)Q$fU!P;2h^<U4pGT?37!l5 ze;m|W;BTJ5#K6$P?83iI#M;&3B7Y0$%mL7ZDQKVe0sa=yzH88M&Uxr^2+$2$KHXxF zecEx*ecIqb*v|8yecG^9(w-OJ`1k6h`1h);@wI#e-=%GcG^$gM7}fEEE=mJ+VnDS! zc!m$WDk1|?d7taNiDOg;bS}ROKhmfUXu}UUAMiJWS{W|A9;~2C5!4M27@m9y8Y=-U zwyJGm@aX*M(fpj<rGv);bU4hxhb$nSy*3=6kseTNRDc$2f?6z~jYZA2J2OG!61IpT z9}oWZH$X!^UcEfb9=)BQW*=zO2Xq`<Gj!Akw%0sICBmcmNW$S4poQbF_d_BMypt8w z*b0D+N`lJa3~-eUnSeC>555oHg@1b(+L#ZxVsJ!kQwAS!0ov?%$))q=%ZZ@W-g*9| z5Gc2F-tg%B`4Tje>e=}VR0@OEy7bD(gGQ8nx|e{@+V-(b<|yIz>AVeEll%}o&LRz3 zyj<a9`MOlb@OH~>xa?u@IM5BB&dcEA4ZzdVpr!(7-c`i|v_}`zv*3VqV2-ha@_dYn zMDu6x8d&hDN*V}nf;KT3K>P}yKn-|3(S?6|ke6rYZLeM#VbDMnbcqKKsB8%^{QuGk zyodVs%M8%YrOtydWx)OgpIZbP?uEBv(?PimybS@A?m@%E7U0l*c^d3R7nKYTtUH7G zXG8n0&9;ZrL9q@VjVgdG^f2(~y!dh>s7C}^1@8e`=&^PobTrCZ1F1Lw4-+5S2p)}6 z!jM=AkvJp{AB_r+gpEe^t_GDM;mx4)l)z;OTKlF1l$o2cmmiE^IZ*rNI}_;W0O(39 zb&xRl+9ZsXR#;1tZj?d<k`2+@(2574=OTd5cj}H&$pA0V;9vg{d^iF$8-g|<_j<5` z=e$AdB0M@jykr24Hvd2F*$nER{pa9sz7HNyfh@rHwe)~AjYZ5|EH0LUPk+_$?G^y_ z#w&a+Z}GRLgX(X?lc2@6JDY9g(m=y2t)L|lp2tB80vJ5Hy)9h$w>g8xWsiIInizQW zZUbdd5Abn|E#Ss_HzOn;f(}cq1s@Rs+ELvdqvCOlMVQf}`4>BX>vnL3SpxD`otGzg zDHSN={D7uN)&^^a(o|5w3V3bn(RmqMe|6r6p4R!pqg%++@<QpeouErrTG&0nOVC<D z^FZ4~EE|3?mTGx)9tItZ)_KaYg#px0<!>$qjg22;5n%9Wy;Q32X?dfB)uZ#q>!qL) zsleku2W-By1+?F}`Qh&l4-U|bGo*a+==J*V(RstC^Z4rt{M$;T7#&)^l^zA9`3%p_ z8!v-F<pHv{*+2`YSwNzlKf(JG4jLYK@o*k!Tf_;E&hy|QWYE4_2hbV`(6Af_sAD1U z;vF++Bzl{ViiBhHM{v##fNzc{00jrQ6!QShS80IqxWmgJ$Vv!jFTY+EHs4-{AD-O? zpp_7Upj6>8!Kc@a!|?yhkDz?ndEuov*hvRpo&@tj<6fXmf}kMC04*y9wQWIzUMd1F zAang772pNTpbYu47^JHkR_1#2vMy6W&y>3B7#Tb|Pk>LHeNnI+yoDOVNd|FxS&K2W z{8|gjl1J)6_xB>t+&~67(DLVC8ZQ<EIhmO8VmB7>eNLbm8+_}pU?WTf$BRKXg1GQ+ zgN_$(#5Z324pdT+HD26!7?iI;X%l(Km=9&h7(BIw7%~=s4;h={9x?{4QuV+;WZVf| zf`v9@d;?UpVHq+89r)q{8!|>6FHRB3@a-<<@a?wt1uau-w)IH_muQf2VH*|D?j(<H z)U{clabeJ@IiOqtI^+{`ZI*xsDQmM}(Fz)bz%eeo13Xpq9JGgN&ny;(m#Ls^+KoB( zTfYKYe}ZayoMXSNposJ6bfJ9o7qrs}u@grCvYCixqrcpcq6}kyjwGlk125_VjsC6! z`Ofgb%gK}s0Gpj9ZUA^6=op1=iU)w_g4_%r1KtB21NMiG0ULr^Je|;mT{?@vW57ol zkxEwZ81Us4pki`v6$``58Q_)+q<r*1IXo1UX2C-b9-tfNTOh+?pnH*;t2h`+<2<^h zAjLd*I|`_Y{B|V^LxZh#9H=qI1*-3$*U@)F57h?k%?HmeLe}wtu7v?@ECFp9kpMLa zKrK{-7uO~K|9^eZquU6{bkG4p`MjX<bMUGG9~JPTY>Sr_;Iy5i0<j2neS4V|=GrC= zu$kb-Cd>`s;a-6kj8KyxE0JC_RkAR=-hfhHkY?USP;0k4M+Iu05vF<kZGxcD0F)qa zu>BJQDpOjXgPSy<a0BfTgKen;9aGx;lcUHDlw|`vzTbl0#K+$PzIC?w5&MJ*pd-S& zYg8P3dReSM#|C-$FrESpHbSc7=7&E(p)Bx15!{vng(f&wKp_fRO$stN0;E*nh5QOo zZ03U81KAr431m>Juz&?4_?Ao1@>Ec#!bJsq)&lqpbMU1I5gwq8058}i{{Mfy58T24 z8Sn8j7aZ0;DiJTMz$}nAyFuJ+&=FPa6)X%dFM$`Yp+;bX?fq!bJ!qvMCuo2=h$-M5 zbR}UR0SD0hVljB=6zg8808o<w9wDIKI#y+z+|X3^dMnt}*XtnEatO5ml2M?f2ijrf z;PV`y-AT(6pwlt<{SShN3%-Hkv(rVzqrp}h<OzQ8Y$C`N8K5&ez#Ul2izV5hW?2HL z-O9iIMuYA9C{R|?0&o55g!e?-mO-Za!1pbIC#FC<SUsALM1Ze5g&t4~S8fj8g6{+B zMnUh)?v7D$c)bdA<r13i?idxwX?@)#DjA@Hk^`I+K(ST<VoAW=3OWW5l#nz)-EYuj z6o><=L{uzZY!ic2EFLdqK+$^~I+zLy0k}gU0f-vEpb*vo$7rbnXg^su=sXSZj0dRk z&#)NeYgmQ?g`9y$w*)w7;HQv+XGPq>msz@~XuRHqntu(@uUi4FfB>g{(Alk^^56w1 z0fGuH0gvV*5{F;Zl(I0q-UV?hh{WbzkO6Q9z)BW@7YD&tQGyMI+^ql(9F7;3pduNb z3_*q>VNeKwXG1tVm>oSpVetLJ1dmQfhr<v>DE{GZOT;KYKn7TNbO#uCbVpc#Zdh*- z0jaUP#orFPPX^RA7x3sVaPZ*Y^KC!qsx=ROm+!BgK#>RD3g~h0B{PV_@uCnEb&!JF z1El;UO!;|GY7hXWQwh)|GM(o@d<l={e-5Pz9=%=;9?cIAcv#*nTJ6ypVF0oayh;~Z zcJ$g9d05^r&i4Rast&%%?to9XhlA(km%fSzeL6iHJbFcpJbHr|J-#0T-Rf4#>S1}S zRM?|4z`&!k2DFF_R@Q^Kuxk`pR6Gv8Vg@Bpju$(@<u15H2?5R4)u<@EK7h?Bo!~WT zaN~Me6J(KUN$_b5YZroQ$?{?rhL=s?@@Rs`4p2P>x^W!jYYq>_3mykwNO{;YFgP&q zH+3>V&P|Vy@X)*k>KW=7o}A#(c?uM&6Fitb0zg44;L&*zw5}h#)#mbp4$xwGP;NS$ z)?5+CP$J5|%_Fq|be`31kISz-6mNNSUVOa^A;`b2AkCrSpM!_we~3K)c8?ej{`IFk zI!|?e1PlEFX=(T9mc9EynW2T*qnqV0sIZg+Mc2VsydI5@z$Z#~gO)ugFnDy{0xu6R zJn#~<UKNtipd?y)TLc=MKslcTveF8Al~V%)g9qawkAttIJV2KifkFf{oM{NYiVw7p zR|0$&rUR(u-FmWwt)ViRsZ<eE`)7bc6%;rHARhd7WXN$I&9)rjpkk1)N{|T_;QN+d zIDsx*>ScY*jufYYpwn0~H$yI52>?}LFSs``GQ5}uQVUAz4He0Z{4EuX3=A)rKw`bD zssc#*!FRlAZf0b7kphwh>G0_G0ACUfI)ft_lmIn6KwIU()!zP<;31{PZ;(B`AfIu7 z8(+^hL7V`&L%9Poz;yo;k}@8UOZP*SfsdSgkqA+C1Vh;js4{TV=EbS?j0`WXu4ZI- zaR6jJ_@HLcS)-s$ZZC8}LcOeP7D%3w16dUUH6sEXDBm`K9sc<Wl8ZnGd%n<xDudiS zejcKX<tmag@CD^;pg?tm*j0QNNtO*<1%UV8L)!`opcaC}izUYx7+!+To_Nu<0&I7W z5|R_a7qD;K$jI>G%?d__m*7QemW~DG93HJFUvB;T|9?YeGGhstxBTz_|NPq{<I-Ah zmss*|D@=uD70nBv+2LLmVQ4ma?V<P^WOoKA(h5Mu6!>ZlNQ(2&yx;-4yxQ_ckqeR` zF8tdZ(;ORqIQUAQ^n~QL7aoc~9Qn5wfpXvnm(GJ8m*4p)p7Q{o!pI`*(|O6G^YiQJ zo}H%*zj<_Cc>T_!Th`+(BvXo92JJGJ1sxp&y1Y*nk|%o(F))Dg<c*id|A23EJOEkd zhPWx>Sc4`b0|S#sFYC_&q(HI*#p9njpaSby9t#8btjIIy6`ttnH_D(PtCFbh7!{pf zXGTcLRh;J0&2tEJF@icc3mtb+0o4r*oh~Xa9=(nK|I33<B=PWo-!cJ8%od<b3*C+d zF5@AUCLSrsy?o23vcRt7LU&(?ibXeQpC98bQ2nCd(Rt6K^SZ~uS4<v^mpz)FGlSZY z2ELsKeJl@`Wq9@mFoJGt@4V%4@U>`niHc4yi(Ib*qer*wlh+_`f=(O)m(0Zp9^E{L zLH^SP6@DP^FrM;gJP7evh>AmJjS46+_<(#LfbgZ^NyAI8?|JmHh=F9ms=8fNbUIyB ze0(~=2R_5j4utsZ>=d}qAO$E|`3b%S7~_2N2=M(apv{P#mq8=*puLr#BS5-iR6;sq zV7DLsKLARV2OltjT0RLLmIpof-A{OQf-V39-RSiK(%*1VQ2@=uc893wfI<-5=E&&u zQ7PyQQ7M6@a?r`~@Z&*XC&<5;n8U*G(g%K00mS_tXomx$9pTw|&4cq6XonKG8Oiwz z)*ETH1I^Og8U}#7GP2-Xt07lgfU1aI7AfRP6Eyw=t|Itb&N4ADfbuebD`;Pmhh?M$ zfBSk+ZDAQ%z~8o%3499)^7Y%3KnwR;n0&ffKfP3D0FBOq<D0*EGcyB&XE)CQkJgj? zEz#fuif?$J+&SsndC>FV3ue#e2h2z*p}?c{8-FXPUuO92HH$~{F%A#Vg|m>>dx?sJ z3;#A@SN_F^icS1_MfiNWXDJ9XF!**0`F09>9(M*U0b=m!o&*x~Y(6C5(+Ot!SWeOq zW?(2ua%}#=T+HhD|G?{|9+sy|I45}gKkRCF;PrG+w7Y;Bpq(zz_<jL7>(xg^quWJA z#Ra@^wHv$~AOtk^Rsw1Y?Ex=R0F4BJMg(5^fm&>!6O2LkPJ&DWx4tsKIg~}^1w#xY z1Ek6V^&&w-u%HwI9s+iN#UaQZ1Gs^{AOqnC5ra-jWASW0#NlcAtM~<IMhUcAPui7# z@!?`i|6Um`-(D3XpYBy4&-->u`*y3S_;$uJ_;#jpK*Pzi`LKXbCpgG_EN6j(BnuHF zOHhJj8kQi@1E2AVD@e9Lk0wS970`s0$4gg`Pe3^q6f7p7e2~!TqGAIJnx|3Vps@jE zE$}i6@aTAgM>ndOpwKaag$~4E(25(+&YOlO4G*{)9x%N9ayxWX8*)ONM>p%lW>8Ud zfWPG$xbg$d127m~dd&=4_GWpi*2|}x<$`Z_2dD$+X?e5!g{S2VP;8dn_v~(%0cyL0 z56A{jmVqv-);tYzy#%N{Qh4zflsDiJ<k8Kl0<o+l9ei(CH#UnveKnXxpll1aXaxa_ zrl45_JDeKi@7J?H<Vy`u5O<4aJyQnX1S@g~RJ+N6CSwl1<^|m*DG%yb_uK+iZ$6!e zUvfjLHx|%v72FGuVzjLvJZiPx7pZy!-S7B9b{ZqB=75z?sFQ2pyb+_40lKgoR5I15 zRCpj2OUpoOF>GgfgNr553=h&hh(QJ(-H763@l$YdGQo2vsFDM<_dP+&x)5#sX3+T- z@Dc`e=L5v6tRSy~E11(*ikAS;sW2>_%?CL=Er0SizXGi^2F;luiWT2p5kqKp@$DA! z1Qm`948EPg9Jq^>7(^Z-T&%qM{{KHH9V>t`Klor7Z~+7A%>;mEpune3SAfQiEWoqc zpc=-Z(?!JulykrZiU%y$tPcn08WT{i0WXFO0Ga85Fa>0f0mP_CaO!|f_J9%$B)dEZ z_ts$Ikmzsk1xNn|Z}jMwn}Qbop!SUgXmN%CXmN%C%IU=(-3_410hCcRz`LncBA`7n zkAtt+Kpimf?EK}&AonJCFn$8j0id}s4^S(^!SLj3c8}(RptEN^EI&f-90#2##|oMO z?e$`8sA6O&W%Fo0Xz}_6XuSf1BmXwZmdhpXpb(P)H4hXzLR7@PdSw_rTK|`3`E*YJ zm5d(U(w?1Cp3oD8Ji2Fq1)C2GcyvOTmNUQwBj?3OotIx$gAQo`O}MbAyl@DE^cujY zf=lpk3sDhAb22EX6<#0n=@qf`ff@`dhlM;lr9mkOr)8y+VNrS~lqfr1#)D6HxY&8? zB^xwiAzNlJ$HNl9qhH0~@&j~MnI#8*D`?v=|27ZtZwDRtTmLdJFf`b*d4TieGX`)K z4?Ux}+ta|KyAu+NJ}MrdGk5~P>9zpm@eITNpt_UAqxm?8hh+r^e;arV^!stpxB-7F zs4woqzl~{v$Nxi){JS176kqe{<uUi^6_NMpo(T$d-)>HD&CLx89G~t^keFxlK>?pm zFw@7f6BHAr^T8txpyV$D>MK~l!fHbZB&-rZ=^rv24NCtIw}H};f=joHiVXOSM8}IS zASXw{$G<?0OxQhsEGjP!zlL1I2fhduG*1LtckH9$@S<uqxIYw)d;kTwEL^w*V!Z>X z%LW?u0k`!yUUY)Qds%<JL+W>dj?I5ju!ND}#cGIg2KGpKO9j+(I5Yt?)ykss!V>Bd z@X;dR(SOhcl?wRIq6Cj_Ay61-ym&kd?5rc6Nalc@#Rak+GRBWG?Dc6eSVP=>BxiAh zEWQbn=!TAyz2II5R`%luk}@HXvQ1EB6(H}vc(Q<z;l=woj0`VsK^zi~yuA<Xp^yDw zlWrlGBAOtRZuBEc5w!OCD^NAq{H6j_q!fUPlmgJ`Ca9M5=yp`_0AHjI>b-Ucg1e32 zyUN<CL7F-*gYGi>>~Y-D090Xk9CrjAfb!p?(-D$h96iA9)=_~(8VB6mPR9U`Zb$HV z`U^fRvL2wQjqo`5g4tsw=;(6(R#067y(gsgB!4S2BLhSC6cxy6N^`*LgkbHK*D8+3 z82@|zKQzJf|3Od7PemU<B}9Oy=1-5#V?LcHI<I%0>ih}Hpl#rL-8;eOCqvBuUBB+5 z!qFK5YLJ$wfD@*~3vK9O)dt-@Dmvhk$-zglb(g4EcyxaEIQWjq2XuiCXaiv>FQ};m zYS(~Tjt!uK7}OJS0gt<Nf(M#wK-=x02Ni;bQ9-k+FC;+4Kj<vPZXXpF*v8QWP=<;C zn+-mJ50rfhJerSG95y`gvJF&;^s>H39;*S(C%t&v3r+)G$Xg)5H_Kk>1@)8X#Y0a~ zhcqTo_Gf|5qXQ3_V%)!60GenhEi^m;%J>=GAu1`Ld>_$WqLT319pp_1{%y?74?c7- zgW9klKS2V~M@0bCH<n-lr9vj~?SG)@m*xX3ApHv6B`O+_ej8}myBpMWvHVfA9^_LG zkLDu*hYe45gD*jhQ32VN0t%6g*J+^Y#-i7YvH7Qht0d?EQf|jxpo<V4FF$fsJmmQO zlB?n=mkwdDQ$kcYI!i$DS)(EVPEwsNDiSZsp@$t=c=UQOLYxw!q5<kpgRC$BCBOnu z$XEDS9xR%GaL<dlI2MMNpzCsQg<lt_$%`^x2r?`K9DeDL@Jr|pQHg<_ejNY_KMVeC zY|SV5JJ>)ge?UE9Ea7(ud}JMHm=_#=B`OM_fdiEAEAW7YA7oVL#kyD)hL@n02;99O z=Wuv*dssl528Oo{zrB|C=&fe->2?Tk<KM=@?9<DeCZ@#T&cBU?(TDMvN9S)3%hN@7 zpk3V(Bah}s>>k|?0U&vg&Wq4SMQ`wb#S8q~4tVs6YKSQ@@NZ)g@L>D^N*n@*Jvu+U z{t9sdqWtM~QQ6>O?I^(CW(b<DX?z2z_SUF?&Mfulh0@(qR33oC^cM$ziywHNL-xll z(0I}WP^P~DlG_g|fIux)k6spYq>gs;S+IJU!ycgfy#IlwC%`$D)uZtlcp!xF9%zx% z!S~Fdkrt1}!(hSAgP>dty4ltjbPi+lF9H6RU=9Wbzg`(8$Ic_3d;fz#Jp+R;<7>}@ zucdq~@9?+Cb1*RYbRIVR=F_<Z(nsx_1L>W0LMF#t`L|_?JAOOrz~9=*4(h2Jg6=2* z-`r_=jK4XY9n`7L<nTQBmf5rUuO)x`e>PAn_?j!I&cEc-8KM&4+xh8rfM@f62M@{H zp1uCyg+>Xk{M)2_I)8dze(b4u8<af*d^m4T@ca+HkRbuINdOc?KHU)4fQ}SR0Og+m zP;m_Eb@^JpFIw%{`N`wpJ7%9=9W#jK`D_dfpmml3o}J%c>w*?e26#x`_UQcRdHJcQ z;$2Xd3Gn2+>(Oh%2{Q<06=+(d?5u0cfBqIv4hB#g@%wH|@O4|aK`TBl8(vEL{*8az z1yBC<*FBnlIe18dt{m{_yyysC*zI}wxu@cH&))L?o{C=$FByLG>;&C>&);%}6%@iZ zUHG?2ff_iVgjKKuH2eT!K~^b)R*ix})YtL~e`^LSC`1D}JP*EN_5~f$ZUqYV=3h*X zppg~^Uw)^Ht^fH!_g*sibl&%De$4OLcp8)hJ)3{A@VA#UGcfD|m0LcRm-yS_m_b*- zU-Qwt<k5WS4`>z|?DGGfohLyq2e*bjFF*28yzbE({vSMo_lU)V^OvXMWslCA9tU4D zdsyD%Z|7uYU~uh_nBdVH#^Tvq!1$W`7#o8}^C6ZA9{-PdSl%l8VEEso`7je`8msjT zXz9^5vF1l-IIlZ&*n#FDLG`ka<thFaP`A#bTlD>Pq^>7_ODnj|IY$K)<Dh{=<k6qY zkjjeLqxl)LN8=%IN<a9L*|YOU^FJp3Ru=Fc^ljXZ%@2)T__v9>a$a=l;CAWtV{~l( z$;{t;1hi%R7?Vfy!G9kAkH5SM%66TQbseDOlmRYmOH?=@qd6g<!uBPT$H9k8AX$kQ z&t603M-4zTu%Lr;K`GS&BnRq->2!vufX-Lb=!^jk@|1vXgxLZ<CL1(L13J3N19Sv$ z_Z}6<5-`w~k%KRpJUSs4fPst=c#+%znk)s+`GQtiXdavZ%3xp(-Oxe2UK=LRVj^(x zbWc%%xC3%-Y`2SwOE+kUsS|2R^G_E3W=T*}zxgK<e=BIm0{=Gd=7(oo__y)9aGvbo zp3wY{xj44hkFohDbFqd;uREhp=RxqY1I-hlG|BP8p%vsLXhGI^1eCZAdstpB1&?`x zmL9-E>xJt}Pyj*fhPm<eY7fiHB^;n3GtkMZ7(x6(0IUgAbGfLfbo;1)dkPYuFavoT zW(>GF?$P<<Wh}@ymX}Mo!6trtsSj#IbV63PdUWnl0WGcqS>l4~v{f%aP6OEjs&ZUZ zR6x030+j7REgg_;AdPU_Zi0t{_J9+i;U&WZhTlMk=Yj>NKuSi-Q!lMR{LW84phnsK zmz-eUHP6nIFVBJ2_JDH8!S^hnrdxz(^T9u!{|`9s2IWN%=e3G!M*`ynkAtsRJev<N z`F5TLXTqPLOxJk`6z8B~&9n25NAq)jkH+($g50y$nZ?KQ0)I2;9!kd!iwT|w->`UC zUMq<OoxWJ?!M}~k19YCmNsr49JUNd{@aT17^yz%$+5Cjxv+)eb1m9k74qwZQMa`a| z;r7m-KA@w!5BPRIhFSRX%J2XGJ(~~y@aR16(Rl%M<HBChc#yAWGiYY>0RJ{2m=%va z6fbyk9`WjBu>`dXie(-7w~2v9#4kVc<h(M$vp0+p#Ou89613I_tgP4Jhfn9H*Dt`% zRq_Cx9eL8H^S$Tgr@owT{CasTK{k7K{`CMIAbtWg`+LHt^Zo0Wo}d(Y02COV=U;+` z1e^ae@wbXIfr?U4naAvT@G+BT^J&nAa}LMmhnrnFZ@PAHOz`}Fz_a-mQ#tQ0&;%Cf z_~Wm?{{Qzl_?`*08#}_c*GJ`_<8IK@*UJph!6=~e6?8kjPv-;A&MStOJimYP>3rnV zoABS4fBh9-W=Js%533xIVW4qaAJ9RVuU|TY`6oO&FM}%`kIs)T8GnI-^C&y0LiFt| z{XfC8^SkH4_spILpE3Jte)sLX=HDA4%HZ1@^xp$?v+`x1&QG9|C*S&ZUh@Q{tZ$y3 z*Ipj_3A&>TWUz1NHJA!l!~dS0pA5gfT=Mh(f6s1D4$p2$P`>S~ft6q2#=nmW_-0=P zkgGwp3Mfx&fck<4p1n>iAfCmGK2T4n8?xF1lp{bxjUJXKKw3bl5F`X@y}a}S`KI#& zs8H^Pl+Q0Oe+PNclA~0;`M-kX0sd`boj-RAGcYh*eyn(y^Hzr#=yYswQwUmefR#yJ za^&A8)%=*b`84P-IJVB8jysJR7#JKcKXg<)==lAjtKz}Sj}<SwaGvgvLpK3*jCSV- z!`p@j4F4N`dnx)Il(Er@r(V{B$SaJ&8;52zGBSY9=6=C{2hwNfKrY6?o#l!bhr7W& zk4)r+cjBOS|EnpW9$*WkxCQljx?Mn9gg^^i&QD=vcp=rt$nb)-2drDw1*vzf3(_sq z$jI=*J(rQ;g;Opg17x@?q6&1W^Q9mbhL>x<{{IhYSVBoe`x4xrsRC8pX!{W$#gNDM zL-L?zNvkbL3G{kDP{cvv@GyVNYf$8ZT8=Y7^=c>h?(WZ^{tURo3mz14QLz9WCE?)# zo{?h)wMfB*BDk5!3>qKR{0wS*wt>dAkGZHAFnTnDZfve+WMDYvqGHtO@&EKbP$&1b zm}lor5B^=R**juP3_K6MWcT=g%%}6TN8@jhfkoUN&HprtZbP;wH2>4!Z+;27@g&B? zz@s+~WT*yz^C_^QG6oGE{|~<w_vt+5$-nCbyGw_QjDg3&ckCYj4|{fg@NE16Gw`=Y z(XE#qAWt^`*5Ge01T_J`ivJ(>=q>mUYP5@cc7E{S-}R2&p`%2`!1Le>kSRW$$2=PU zp_l@`W({l#Xd(%$*rT_Y5#(t8=8xdUf{TjAF&7y_22c=#swdFsfJZNAfjnpy2-Gdf z0Ocu2hY?h=ym$x-cIe%Ip!Ndjs=tGvECsUKgYg8oKi7PK+2h~~@DyPNNDQ37pfP;# z6)WhxN6mwv76QkMjkTca7&h_>DZLJXPJ6u#-G<i!ZC|jcfMOZcwq(HOE>Ez#z$>W^ zdK`Sf3~HHyon(2Tv=npzbvme`?fkG4JUleJ9JGl4287dx%xOgClpu4`kvUOd4%9SX zWR62Q3&RWTEYL8PkBY_%#}05Fsze?b(gx+BjESHe2pe#k)dyDg;yTiR6CX&KBUl+? zaN<P)C}&o8F*3YJgBX3x1}S@jjjpW)8@AF2NkScDSV}Euyg|>Oh2do;@~#B5{P`J_ zHZc0jkkOy!1MJ`^25%h%b=1N4?1EOuffk>EmS=eMik@)<?YIn45qKd~3M$TAR3uu! z_m66LG=eVaao}%<Y{dX=-LpJZTm(vF7ROka7J`yg0NP?Y&>D^7E-Dfr!(SwV+y<G3 z^icud*=xYRO@yoA*TPa^@I~RB;MQi23Z%2`(aT!Ki4+XdpkRot0maUR7gI}F7(nyE z4lj0>f(kEDKNg0UA3zN-q{IIq;{yVqCb0ylk)>ex?ImQx#(^K8mO_!MNArKiQeKA+ z;|U%I-*JHQ`D0KHZGOh@(RdEjPI2fk24(z%FIYUAAMkrNo&X8DbU1?=Oz${AeT&x{ zUHG>#P5?~?H$SNN=?!EAT@1(0-xSHnz~K4)U_H1{1nsB>HD5#xPkMr?*NZ-&wpERa z2Kd$}urnM$J)7<j6$Q|WgkF&wpk*E3Z-DM1^kBU4n%|+r(4+al52!;yOG3-vfNM{W zZrDu%oi{;Vh3cOH*Ut>nui(-7*#p^MAk+R#@HqII1$@-&J&)D{<+oq21x+~_o_x*i z+Z&_8==uMUPv=b^%Y(&XpnkC@=$Ph%o|hl{aNe5$b~8%Qo$*Ao!q}(tp69{WEYQv% zB<P}%f^L@Q_k*A<Q)L>UL%3YQ&BlYCmmm0W9-H9X%fjf}>%|DZ%<ZC2=c$*6|AFpT zIpEuQ@%1Zsz<RSVFhD0-*nK-sc{GDA-8%_#?F8S>JD$yt>pd?&_EkLX%XtH2nj`<N zC+tO+KrNd~ui1Ti1KE8#KYBL*a`2VB?aIGRae{B>Q_ton^`4#Qd^#_BUVh@Mc*mFX zndkq5j{LixvKO88<zN57v-yW5fBQ#pN&labzZJAHYJyL1@PBZF;PY!?kAu%RT=};t z`EWj);L&`5!}I?sAIk$pFMKQy@V9|ZBKOfe;L!|P1#}4#rr<?C*F2klF!Hy8+eXd* z82MW-GcYjh0QL1fc7ldT{{R2a_*%}TLwbVe!Pguf&BuO#&z$(jQT*Cd^BBmvonJgH zj}`fPHva()19*a(wGVwe4|!gG;Hmh*m-DbkuL%bz-bzlsR`Bh-ff6quQ(u7AEBx{J zf7rM4ut)Phmf~Blm-@DTEA#Md1}zu-1~S-J@&LHK{1I`mo8l+W-lG2=iXVJBA9`GV z;G=lJlk>1wuZf`tsEKybr}G{t`at)@`E)*e{mKU<`tfDp|NsB}dqo&Ln}1oBr}=aq zcrE5DdC8~qpl9b-kIT<|6tDSkzVqp2;q+|&;ZXJjG|1eN3aTnP4}t^5v-1LI#QdWN z=%f;Gr{EHjlP-CJTC$4QK>4im1gHi45WK17pl9cW*RMP~&v<qoc-j5$|9|9&6Zbgy zj0F^Npq>ge@>rme_vED=DE)yV4ix7PK{p!p2C##MLp(cg`)FSF?7Zdq{QxLA^S6N3 zczQNJVE63x_~G&WCL~op;om0harw26;$u*E<nm(=&U+I)du14WHIMm%J4hcr558l5 zt?$wN+rd}zk}Ll<1#naRCO8>h0lCMq!`SoxIdB;O>Qeka?9qA9xAj~384qYiGK6Xd z%}%=VZ)0$T@6-N&##iwOnt2|*G8~|D<2x^cw*;ShnE>i^frgb1gIC!5_69I}9(=`w z>_JfLioXSP{tjs2i)XLLA5;%EKjtUsNv78>P<Mhn2?}g9hfM&Dpdm^tsJA^qeboO4 zJi*@f>AVK=`F4-*2f<EiGX}L1AVKHR{E(SA-@p6|id2Y?6F}!fbY6UU|M&m@FI18s zb3ADE>m*PehH_rx1dm?U_Cv}H;Pw}I+N$}0y~n{9Y#yxO^?0C_dEgdVFKdMrsBQ%v za=q;}Xek4D-7csZ(d{7tD!DyCLsuT1B1p}pP9NxyKez^!2X((7GhLvGmhK!C$fW5D zF?Z1Y8la_DXzrZ`ajyYrK1u^LAEg0miV1)Qc%cn$36EaZ>D-_;705Zgpc)&r_7v2V z1h40Qk>$$5V0hrQ7^r?1Xkql|6}^!I@@~jM4Uhi^JZ#$-7#M0Ed33Wb+@=T)g%=sw z|Nny;dIBEF;Eg@t-5ucR9}CdfKV%{IizGJ|hL@lPLeQQDghFwDnG5Q2d8m^)JbGDE zpiTyxtpRF;2!NanzRKvub{9y?6uNWfhbs%i>t$HX=Wm0~&!FA6tkA*)Iz&eU;yM22 zm!MGW_Bo`%zdbU+!!pu>zjZH2s8{xEwlaf<<;@b&ZrND}lo@)9nJo(=_*+3?(jFP% zaqt0~N3W>D5oHFCUKS=$5_thyN(KrX4$y*X5B}{Q2_BXn7A30Pypac#8JZ6=cX~vC z_Sk}V&ntLzx}4Gg1sZf^WG}1Td!%+Ic#XMdF}TgR?+lUzc$KgLL}J$)Bnhw%afn2O zHd6Bn+_?T%#K^D{<oFjRIiP0MJ{JP>GmW5Nz&O8K!|)_%dD20T#-AX=YJEXX$dD5n z9=)=2vOocLtK=c54<X=j@FAN=uk3$N{^<oB!O5cnYSVDMm<rzL21@+BvY8+)kW?RY zLgV#va4K*R0EG%Pg2h43Oadi;)csrhZI3bB*UkEIpE9IH+dc6B8v}#op%Tm9h<}#1 zN^L-`*B4Ttas*->i^_|fdrS=7tovYE+8aSy5LP2tplxWKAu1v-xIj(RUe>l#NTCjn z4#QUPY8Mf3GDv9xN18haQWSs}QgcI834m4EH8C>0@T_BGcwquDHUAirso*u<-i4r! zfv7VJ!%K$$|No=pAO1Eo76u0NB^4nm3H;llI4->Q;NN%Hqxpw3e=`e6Sud~s6-CfQ z6C-S%8oX{CRA7MnZZ8}TKuRsh;%y_B|Nk3oqm@+{82DQkFf%Z8^G@HZ%+TqhqVuv4 zJO%)|uY<pZor!^=*YTgFa|D0u7e)q#-opPM_%{|uIPgz723m>c;L!4ozZKMj?=Adq zSsYPv%%j%{?0t|d$BU{TFk5>)6hJWqDu^6F$%o?w-*1>)Cun_(>;M0dHa}R}p}}^q zk_rPu2?yw$S$<G+t=rLpe|um8sA;Tu0o<ba2x?JSMjG(9JO{Hb^S9gsF+dZgA3XRE zIvMb9cTDgA&DZm<KLjeJ4LmdtdGxX-d#f^l6bLlfhJ$P=O>VYLQU>iZaQ5hSglr6J zesBQR<KlRcbOur?IzY~%0BuJ(4cf?Y`L#!91b7!*1}HT_mvr>9UeW;77Az_+Rw{u! z>7(NCdf5a}WPn#qdvvot^a3exQL#A2qM`_r-~hD}L8TyMLn25B-17o4K*!%Qyf~K% z8gTMaad-*3cl3pQGmHy9KL;h)nZJW<1+DbO4EE_iVRE2gKj{qfSSO3hF&7mM#usH< z7#N^20Y0+P@Y_p4Q0J^WO5rs#Xm48U?GjB`qKHLH6yWr*iUFKbK<VK;|2EdI3Mvd8 zE-KnB2l!jTV*{NcDlY<{7DLiw5-1IKgU*3$Jy7BUsu3JO<t#+9)e+*x1P@H#oP;MZ zkZ*oFfsQh9QPBn`vzMDeW9i3TR3Q6H;EQiwurz^!E<`2aC3u??c&4S-2~=K#_A#=k zK+^8MuaJNOuiXH-1{}{EFTzl)>~MlO4!jTt5`d<gAsWF#FWgT-6o7BzcJQ!tv;b{y z1H}!<#VZ~D{|7Cm042@@kM1aemo1<rk)4hPh6i4L1}&2O_HxC)|NmbYG%z!~3<Jsa zvL5+>RFHs|IxvC81D!#gY>PBd*?-6mG#-ewwHI80qLnY8!_Kj6`AuuCNMPV^0iCVh z&D*$BnV~n3u{$Ed@-lxbX#Dp7$##zj(4;y4_JRb^0Keu(kJgj*a+a4%kT?B8lM}-W z^-T;6ub*{>sK|7J7BFl618po+0EMRoC<_*V%c&O&?IEEAT7`gKP?&y!geOQ&0Id*N z`IP~*tXZPlM@7c+M5z+kTyXLG9u%@JDo`1axC8iLR8UAecyvdBmp&_a@UQ>u(JLF| z4k-|fU+-)8h<GUrsz!QQeWZ~Jc<{2KS=pchJH?iT;pKYpmN0O*!U(kREdiBUDD`VM ztKkkv{bkS{7||QSXnC2x#TYc5c!1gR0)Go==<t|h3L~fiW6^S;MA@UcQh<RUd=yGI z>(}kd4DF5)$3WXNK&1_&0tNXDw8RmVnxgF>>E9BR)j;=ace5VY4z;k|F(R$GGJ&B) z7OVptMyKun|Ho#WM{j@txGf8s{d%$W6D0g0Ta2cIVyc%l0AwzBfDtxq2|B%<;l+v< z44~0m2k=2}NG?Rqy9SU7AQo<JFX*Cb9`J>aP`Qhr85myLL89TtPo(4yx`X(IFld~w zm(}Jok_32V&#z2S{2Z|co&P~>{2(nM1*Z+rp^}}KFTC>L-**U7!#aQ>vX}Sn8Bh(& z!`R`YqUzBrdhU@T1OIjwr?gHN6*Z4uQM+xRmNn>XBOeuxju;hzwBs%+f((o=rrv{u zp9Hwl0u2nn_w!xi-xiV9@Eg?Q=igSNqUv$*Ij_g%_a2JZ`L_qec<`^k-gy(esqYj2 z_81j45B~MHJeVUSJUSx^Ji0~y?0`&pM@UTY*azwsfY*I6-t##4PRgV4A81!%ag|3m z&nM8{mk`LfJkknf@UF?`N6hdI5TNc1XyF%pp%ADI2VNv}33_QZxUml22n6Z87@joz zW_Zc)_Ul8SdddUj22jd`NP2XBfXq>BNn~Nz2`-&xC4x#P6)WuV<AFN(d7K55=fK0x z;7#Qm9y>w3MI}(P5Y)E<53GQalEjOk%m2W=76nkjui*jO_5r@2r1>XriI+$7Pwo<7 zkOLtX5rJ;L_h>%iarlLF5x61OVuh5?twE)zQ#B*Qi`pVahT|+Mkn#6?7KYb*K$aQ2 zcv=Y7_4*G|>XQZO;sNP$N7A)AAJmueQ856|UV^GM3sB|*@3R9jAl`Zrq5&C`23P$F zpa6u7qN0^2??K5BZT}GK0clkRaJpInlI;d><Ui#BI#a*%p2zpgpaNR)rbp{{N6@ea zgAc#!K~N9{`1JBv`m~<oZ^;5_>ou|T=q>y2p?D8;!6d&A;{gxL5Bx3ij0_CEtq1s9 z!J8Lazm;%$T7KYfodb@53m)CF-XP2WpY~|I#ScE%$)oiqf2$s-JhKg#1?|<(0xuis z3{g=5l{cW^11%{Oc)?W40xq_je=v0WsHimm<S1or{=on_Xu!gw`G~{e9iT~Wk6vEx z<%$gL;Ny$n6)_~(j=QJ`fYiL$TEfEc`dGK@!)1yLowr_31g#tM=w@9e1!-b&fE0q) z!1k0tq656i!{em|sCeltQPBXMo@4-;_4MfGEdcBCQ9<YmL(v6Vdkj7<$>8NH@SqfE zohz#qNY}*&pcqDIlts}9UMgq!?d2?RTME2=EJVfQ<z^7Kmo*Q08zpGw^M!sYs3`ks z#=`LO%U{UE9!h#B%kXG^qX8NlfZiY5&ALSroEA#dKv8Vq(JR8}0UAjAf5PMY1rNnT zpkZ_i55}7wiU&O`PZfb{YXy(yBN~T6w;O_nE}*kRpa28Uf<zayFucC;qQs1a;dRrQ zGak)vP&(V-k{{%MaKQnZ#Pm{wq-5~kR!|BD7Z@BblrDnuCv3YMj`|XGC8P(+_zcJd z@J?M219S+v3daj|RfrYf))mO39^hmJDI1KyNz+F~U?;da4c;1Ob{=FmXo?E7H_oH^ zCr^nHxS;p2yim&T(Rvb+>Y!y-FKeX^QfUC1-h8nn8Jyvt-9yUo;J$cwGANEhO<5RT z8esO{bU}R)lsIa(<q!w0bZ`9u&ULJZ#X(7wMdigJGf?w~)!84sTd0Jqxk4b7zx6iA zH_f&({>q?k8u&y=P?;#ezyK=D!2LRpUe<f+AVWYSQ!>#YQ$RyOovbhXK=nTFL=XkK zH;(l>n8n)Qr_9h`dr=b9*@-ed@LI~FS9H%}P{n4#<kQQ#Q&N?|vBN~d1GGD|`G-TT z8ECw7$608Ud3=BA!FbB!;4dc7px?ot_8yw2JbFbYK|5v5KUj;_zXVNtdUT7Xfh_Ef z;_&E{jRrGiQ$SQFNJKOe#OxLg@>6E$b`tPt{*lVxd>(e2&j<d4UIHGyJPjVbHm5v# z>m@vTWlnkYMjLqanw;|JEwk|HWjW>B>!8qVdjb-kptcTZiHwC$r_U(~5X%9?(rC6_ z4pLBR4@*F&zzbSI1;{CIQ4Cr(e@fvPtFEXj1EWVTtDzVuFj-VyYyihdjtVS;+y|TR zA~O&)+V&m933w3`2s(uAIEZ8L!atCO;q^8U+v0_DAPd9Gvj6}8?@R^N3rnI{7<RIP zShJ$Qn_Ct?K}t#B2E1b;C?#<lvoO5e2F>kfP{%{ciqNuOFKde^)UnAXpzeHz2&l+) zZ?H`OGwhDBhJhHzSOY+mM>p#oA5aSg)XVDS^#JSTQF(FP6q0DbEB+Ngl@DaN%J9JJ z%P*>oSQuWGf(K{N*GHE{pt`5oRsv*AsjcAwk6vD05mg58O27~N8wL5N9BhH+GKkrr zR18Yny{rqJK$#6xbku)lWO#kY@Z{?&FIbFN7+z08^FMzZE2xu*vLzLCTqr2_gHM{V z@aPpS5&?yXh{}sVW00>{KY1%NcpPVa?yU@Jnt&?G(k%Esp=R4IQBXGU^5|qe=&j6f zj8#oom4Oj7D16Bg<SZ5yk6vDRu#rA09BH6pQ9uNg^}s#z7vT9!_?}A0WMHptxwkUI z3nzaThS%#s)j@(sFY6s)kgHf!UPPOKTm{}k0G&lmczJ<|fdRA~yYpUqqyT84bp$B+ zT7WjHfA;A->C<_j^)`Q7AQJ<_|I;4Lzf<{}KQJ*cbjGOYc=Vdg26d)DJC;6afKF+I zRAiP1`I|s{8GO2ZJ{kCe+CrecvmnPrfC3A$C4m7f>&m|^P~5Tkk-THWFA@IMM$qo2 z?vPIwjx9{hwmG2q<Zq1x33dv2^j2{AbjN&BXtoW8NPrGq_vwt_@NBMhVBl{BUBY{e z^^qVbBX#?HlJJ1Vawm8o*+oUb1=N-V5i&0f4OkeCv2GPqg*1)9XO1R#^x7PRWOtE+ z9=$;d9=$RLJ$j=pnr$BngCa`7<G9N~P<8>8e+LynQ3?_PkEy%_oqJ|@;Kd1FPzJqj zc;Ll8FzdeIffrkRK?hxdD%#E(l>*S}9nczL=q<VCI*>R4HytIKZOgz`>40j82#-!K zk4`5JQ1#dii!e}Jff@mzP**W{$pvaebc41sbRP2PJn_N<Z0iru+W7)d(b;+7g(+C* z)axCdokx5+Z@f_T1r-|)ULFCv=(tbkg%?6#B|lzH|M&mDW5X{o{#MW-=AbB2XtsSK z1oAwGPbbIAIWR3JUflNqdGyE2PFO1hv=9IkB%pp6<BJcj5N}C<Jd%LwXrIo5FYklK zMmk+Un<aff3r#`31kFqI^6CkKQY(+j3tj_|5v=n(Ks5<?r@14CM<;I|h~LY~4pzpZ z@}kWUqzq&d<cg~hl>m>{OC`LZ!QceYh=vW=Dp2Tv&Sdq0jA6_;iBtrF8$5O~;98dR zHj)H*(UfWoBSYs6NKqOY3hF>S)@5OMc@KSf1F5|1bx~OWSx^6noq@rl@eOF?0(4#? zc)e@y8Wj-TY`YB<viz+fpn|2lMFl*23py@iGCTN8R`KSC@(n-5_*=z6hBQ|gFz~m0 zW&^E$hFu)dq5_&22eoa%1KXf-?D#H7aDaPzty@6FTsKr%FK?BADuajRLH-u-hMivC ztUrnjuem(BI}d;w1f3VaJ(qH@6^A@Phg`6ESUOgeyLwnQf=15DLp&@SL5u15ryp*) zU8?6{*$7&pRc`5F*$7$>4G|XiuxtdaDk_)ruxtda80MdTpyfcxOwbZIkiR_|kAQ;X zu;GE%FAQ(LK5KaU^(J&V&<b{l*Y0^(9_DXR0S^j87S4NgR~vY={^xJm3L5BduIFIj zZ(Rju+6(YEF95N+_o(~;`5dHb9_0K+cX7w&2l9>$KZN;P1wd*#AxE6M@NaiFN^|^v zfT4lE6?EkY|8{?awC10T{H<M(yNujL9KRi4<Ztn2Vqn+->SKaevj{Nox5tBA)q0Y@ z%^$?*7P0W?oC4VcWqAwI5#irfF5>X*7$biR=zI~dZvO4%Mvc$@*E2AHtTu3AJm$f8 zoPYZ{Ps<bh?UA76pqd9=IuCe)Rx`0OFnsv$aqx$|r{V#xUKV!4Z-)P0EBJJ?eDL^x z%$0wehp1Pt4ZlZk{eRE@Cq2Jk^ie$T$!y_ad9LO*Xy0JQi<3Y8{|6_H78P(z2)2ON za`OweK(}_Vx_@M504*T|Nqh7{6JciyWCe0>3nUynA=*H#iwqCYTA=0!KR}uw%eG<5 zl%QsILsMsGiwbC&HONq~;hiihFCsNr7|`Q-2dDsj`4oI&;x;E(G>Mg@IyU?eDGm2% zu5w`DZ`lMYdSICZ)L8&ejCO*K=DVT}z7RkIJhlXl0O%$Q(2{6Sf_+&G)(%;G{_-~{ zZFJsx310EkDWdYCX)`l}2lzIoQXlZiNG%6S%s^|&K*`&qJIVmQn$R-NfWLJ&SpO$b zB84b`B&C;p$d-VoPvK#~qVhr(ZVCUC1Fz>oyuINsJc2+u2()nNJtRUPTttL`vJ@yR z!Q!B><ki3oOPG^h{sa|s-H?I?mf>7F54`vh04lacR9>9l2pWWjPT3ZKIx^r*EEOPM zgF*~6{`O)OTv0cu5{1>My`s|pL8T<<khkM5Dgxjkk?s)CQd01#SD=mXphKlRdPQ9~ zLKK4MjtxM)I&d2R)EaPj!Tp5^I=liIH~`Jyfy%JWVT=rh2VT7KX8|qL5@2}21a`HI z$_q)jgF3;d-GN-y3EJ7)Jw*lX>Mt8$UPE(r>OY8MI6#dke6H@_08xn5)uErUyZSF^ z`*Np@%8L@X{dgSd4_Acd$OC^Nb`x~utMw3t*c|E6%j&WZBn{esaoj~E0KAP=8tU>0 zP;@7Nstr(NaJ+B^yWB?Q#jf=@LT(y(cOxvmK|5JNIwe5SsNm7d8o3Q(7sM$7psvRL zkC0FTZ{oE851WH>?2GH5jmy2P-!-8vTu`&Y5u{-sL_!w%>?ISB#8QYvAvh&L*Mou* z(u*FD1SlskL!Awt;}C#1{CY)$ctN(noDG_$2Dw-Q<aUs=Z>~mmHh7|y1BbH}Kz8-A z28n<SggOGe>XJPK)N{J1%EIvS&v(c;3AD3{)E=--6yR^O0oAb>;|mTR-Jr9h_*+1A zqDOax1n8nk2aj%Uk516a4h|1S4}*pZ2ZmC9(6o>TsDlI@Dga-t*v$aiCIa#m$BURC zP=OGmqVf7lcZ3FLqhPQ{w}*m9cL0Y+>!ng<k8S}EM$jI10nGpn2nRgejbbQx>q>+G z#8goB>X!O{8C39tBU0h@F3{WyIEWpP#{obCqA#SqVQmj^Q>@cb;kcs)14v!x3GiIk z3(Z(?BP&M%DHy?#9FWGy@S+<cJL?iCTA+apZh|ce0tIr83Jb%_<%n^0l<_G3woRZ? z8D)s*IIH7)Wd_jlmrwixtfg;789wm~vR>6yW$@@`wVR>{>X6kW^M@b!<iW3X;1j<9 zZzEVu+(8ig=vz^SG=9AkWrm+T_;n6=bh7T&Rb_Y)21=9Q1$^L|0%W>JCu;zBKmj(w z^oc*_AVd@Yt{3*NkAPa@%?}toF23~OJP5V#;3xiw1FySYOjBlIcxedg<D&Toyza9Z zWsI$tby+u3*no#0!`?y0m%ztqfQB-dOL@~8E%Vb#*%~UD(n|ahd2jU}NS+k%NIv0V zc@TWM2*1k-{wW9ew}q%kC|+neP{P*<-T(|6c{uK(Vvqs~*luJI@aPs?<i%wr7RYP~ zEagLJH2;96WzohAds#cK^Me=ufJ$Tz@KAT#DTsf-i+&`Iv#5Xunml@W_ZfiFjE@S( ziz|=81LF{J)_GuY(5ON;c<2c<61C|uWRMQL3JGj9sAuw``#QvEG~tr#|Nb9i^;HG; z9AVyk@lTP3;iV-gULd|f8xJU}M)k{a7Zp%Dh5_8#0=WjXb{S-=M=xvf3s98~66|#W zZB+-=y0GQ;5}-+U$kHPl1r~-E%bOV(nrl=H7;2CPNV{1Be3ThLMO}s<Xgc#nBFJ$$ z$c{rFAK`CX2pRwL0FBW)fYxt0@P{7&<>UZ<K?Z(72LXOT2L+FA-m6-w3?7XK85jf@ zJS@TE4aMvpoxEZqstnz{cbHTe_`^?r;@3L)iC;kU<x5Z=iaZ5k{{^!nE`k#JDUVJM z3;ys+pp0~pU*Og!{<w>u_ytr2UxC!eTmiACz5?f`NBnIs7#To0>t-3d$N!TcouD!W zru!3r%&BhP6tKOQKJjZ^{KOx3>Jz`9M?kY}DXS_2L!GckGOr60sFw(`^CVc#qnr1x zBdDV&de2dr!SMEL0grBzn=Neof^8l`3=E*vu9lZ;UW4kq7oZbBnr#(9X4QiO88Y1g z4w_H=0uBzJKpROcJi2)gX@YD4X9&@GUZBw{@bwR}zl1>IF`!+31s0$&5Q$Ix0v-XM z_yv7b1YWpAfD5_V2a&QW`1Ho?L`H^B`~og27N7V9SyWzRM}rl*^&%+*EdqVvln6<` z1|A1rh=AQ(5C>MOiJ?>+s#F86)D@!iLo<@8;GFd)0b;5GT&Wa9>E^{qO2O6OL8wx2 zPI>VeqAUT~L{Pc+VpamwLjn*F&58n@{}>56|1q4A;pKvV|Nnzx{_t~f%wOSeXZr_g z?n0vMr4DFe!E`wmhL@nrTF#v5W=&%N70tZP8mbJf2X-xJV`Sj>IponT+6C(DTVCXE zp9?OEWVJx+TUu||6hq6>!=Uo|?Q>9Ci#*YMAO$20F45yofKul{(9{Emhc>f^E$HH; zeIUYv-{%-;^tkmBXbCoh2fyD%kS-5?twW&n?!m8hf?oiX_D+1_7xZ@c#2<I)6ThId z$6?UMv5T)gnx8RxaNdJzIR+}rA!n?#UaC=riXH;FN*wAckUK7VbhGBGt1`R<<y_FH zPV*y1kBcunI1eFoL>zd10$Sjpm7fnl!vrYfj}5jz+`(h>t3XoStjVD6eK)TNXhdG~ z1ZY~W2XwzL)Nt@|bUolMZum)1Mm_n7KkguZ_(^aj#|$nUSWl>d=WzIYzJP9+f~Y&; z(F%$&7ZnL`0x#h20ZoJ=@~dEoO2&)xp)8QJUGRxtz(*wmWVS~)Xm$p&>E1)z(E#M4 zz5;MxIZyx^%BMV%Ma%!GFnI8<KLj=M0wl)^h*m!Z#b^YmB;h>{X2*bPW?5l1RghgN zAP2=f{=_d}n)p<dfnVU)C;qr=F8l%qKJmvKcHtK|@rgh3kPE-Sl~4RJ4?s--FR&qz zM?UdK90q4{fo5AtM$nW<-y$XkhEFb_j9>7HUob``1H=hYN%+JsSfdj0iC-{8B>*G@ zn&Iqb-KYvG06_Cj-J-o<b_i%&ifkvC9isyFYEL?7+j#gBP*^|s#4nfv8t7sKwbc|} zK--fS)*|IRaJ$(Z)CPhtA*_r7XZvj}NXoz?`3kYnoR<N~c?#iRg$Wo6zk`Ogz@x;V z>O&J^UJQn^3o%ghK)z>Dd2s=B-cv8DD2Br2Q1c+`W^P7;trf&j)&MgPlxA2|UNk_= zJB3_?fISipQONrIF(|GDd_Zv;0g7cG6^Bp!k<exo$nCihx1Yc;LIh%j3pkosR9=Wc z>{^1M@O3oUldQ&IyFexIOHj|Jm(><S=>bsD4Bl`AvicLmo12guU!dm4i<uA;UBKyt zMdigzh<W!XBgGdNsKHnOQ|JMSuL6id{(dBdoFIknFomGnghl0rdnhBri>44ph8MX( zVAs2-fC^ja7z_ODI*-m{9^e+{I}gqe{O~jv@#JOmUvMETs`p5g;pHaKut4VtkLHJv zg6AMK%U%4$A93j=<o1R`5QAQMa9)7s(G#EeBS6O}zx)O|0}-md^ANb`0u>;j1bz~% zrt{*<<zO`^hQQj_5eHsY{06&*H9!fJg$2>Q@uEY5h2bSLWM~z2f(JB^tl-gHWx>GT z7tP4P&}?h}OND`vzt4pcd~6r=WKPibXV5w(kM1A?4-iKh)a`;Ct_S9GgN`f`GCc5_ z8MFkw`3Eb1?>orBUoxscz@zEW2HmDleyT8Z?*^@Wbm?veU8CgE*$m=2cK$s0T+qev zyldw{N5dZn-?O_I9(V0L;dt<+fTQ70m(FgG;@-Ib&Y=A=pw(Rm-zivL<L_w#ElP}I z^hmz#(amdR32LgCYJ(`t)BMd-!PT0~z2<5bM*h|mh$}2WJwg5yk8Tr)yDZ}jN<|D$ zww&Z|0UebM>Ip)ovpl*tg9qYTzm>3hSQ?kI7@mB6^H|$P1_lO3kK=9v_kR8V53b~1 zANJ_{{IU{kJglpNYz!8$&KoaM?V#t%qSZ&%koKhlsC_8`YF|n;+s^r+!oa}a!UmE8 zokrin;L*Gz0yOx*-*OKe5gi4f<``&J2y~7GWPw@hCWur8ND92<3^ZfM-!c&_%&X!6 znwCDv-&zieI^Km2$_$pg(;bu<_?zPx85qD`1BHqmSh)}AI*v*U2L3*E5dZigP-g|S z)GyG&<Nqm866gV)S_PgRY`IjD>T$dw0pvh%6f}U`3M!!?i->oEdRU;A4rs)H<Art& zBSVAjl5ffk45cEV<|4R{0^XQ_SZW5*2^!V_wf<kI$1pRzzWj+_z%c+))gCNmsxEr5 zzz@_{XHoIcW)1zT0_up?g@Hz83_Q9gf(C1#bvC>$@`*q0Ajn1ya6>bVU+-YKu*dO6 zkjo+NI{{g)2J41^q7~*Q9~FbwmqEQCa0dk;0}cX6$QWLN?1047Zg>*hi~|Sq%W40h zDd}YoXtVCgmtk-LpMU@VW3j`dmvx^YsN)9h&YOYCxXVtU?)+R47KWEA;IqwW{VV=9 z@IYtt8v#)I;sB*Dju%;=c`9(Df>-{J3Iphh3vfH9p8ptVrzps493IU_1P&vTD&iPF z&<TDsGeAj9^OgrQIFvzcg1Wn)iYJow-ES3ePsf8_>lCz91UE!Ltt0;F2f!!gcju@; z%zyDfn1$h`H);nAVJUdLXCtVggR-Qoo456=3PbBj{vJzk1`*AYRb}u<z6oAeck#8y z4({uV3v9WrF9w|;`u(v7c+>PJP*MOjsRBT;&fj*Jkpb*ZenDPtSyhH+TZ6BlJl8WH zl-j#l#X&~49^mf*?IB0_LqN9e4#=MoH$U-5-U4;sp4|aA$}jV`ffnL|nx}_7x_LF4 z6&adsdHX=k$`(eDk(MVs_?-@dnr=P)VEzRUe)kK|Ji<Cb2GkG|eaWiI&|us38KkWh zwA8EFcJ3FDvsyvx;F@js^eQtjl!$-g7i9J8Q)YPau@E%z3g52V%^LtRr(0CAPnp3( z^MnUv;=`6ffq`K+i16U|IqcCb`>7Wsec7Y+c0Cu!ET%qXhS!@w0p!82br?}wfd+5{ zS)YJZck*5ZQN66kKvcWH>t>H$)=fV_iHAkyMJMz`IRXCg1202C_rJ79Lx(^?B4*&C zQg$<Fomt~yNDuk62fzDi@N~r|{+L^ka089<yxa%M0i6dSwdYF@&J&2xkGS-*5+r;4 z0H`o}x&Pn)|E(u$j6e#&9b5s~2e&}66A3CPWL0j1*m0NB`1MYeaqtVAddUy!HVIsO z{h43j;_G)FkTUJ%V{pwb2wKYpT506b&6>mliVe^hLpLuU$kC9&9MMmp^<kZmzUwt> zP(L<CMd8I9PjKT+XE{<E1bj=u;UGvy5ppo#SAVdwJ5!OAfjfzFpvnxuJ=Aj$Wj1|C z%D`tVmO_<5*6%KdC~HUVa)K@OgDL~B-FndgQPw*H$uzK~>abQ2w0)%R19si1iAV~; zn_K<`LOcQK!W#H9GQ6<%W@LDw2J!V%@DM+=R08+TZS6p%61xBk!%HLZHhHxCybx4F zpp3`8_JJ?v@aX1UY6S||4$wT!1dmSEX;#V%ptT%qu(8fw-fFN4*jf&85m0#vS<5jI z(zcBPD_~K1At?C&e>ZEil`=!G2V=8s;73qP$Ms;hkBWiiiLy?QURHgW(l#N`peuaX z%AFt7p9U99XztGj&FiAvcaG$G4}%H+8#zGvyW7K{g#jUB$;jUl%*w#fJrN|^{ELyl z-vu;%+Po7yu*%<R#>&7jp*QM(=S--AQo)8Dptc7?35UmV2XO1d19Z+vH}rTT(Ba>& z+5WRWG*o72u)PLagHgiUY}@()R9>`%fi`8|2Ay@Q31yXD0R`iG3sC4nHi^In*#url z#xgN9*iM3(;RrQ@8MK82v~x!pR0f0YboOZe!Nfm>^`wO|LpQ7bXB7tUereE^g5XhH zP;q84!K0T~qZYIgkq5jN1!2vm7?3rq-+_y_OmNxFnx_wH!|~?pD<dCtE$h)ObHSs# z*r9tHXt!6l?fwpB2FrgX4_<;!rGLRG%)sE$%lb(MQYF6d<Y8gxW-WiO!qCZDXrau| z$(j#xA#dh;6$Z-_b!?ry(I9_!vl@tlayDxxh<BVd&jPxj2-2}*ePyo9@WR&(+N9_N zFN|c>1*z?1^|Js4^ZU18x2J<*xR>=4^s<>3CqY{wds(w!?%B!B!tmmY0t3T;)_dll zorkRLU!X<hJn*?;tpERm_^c8j!@;(L7U=#3U3m{~B6#$QE`6uM(9YG(JNKOm19%?& z3T$Cr2k+buDh!~e+E#>6+Xoef3CCGan<<0#p#4A2dJ)QcVPnj|@WMQUfdLXly}b4y zlfi3o4LmwoWxs$b>;s@Kdw@qT>%Ys8LDm4!fGTKRok|3V1zx}T;vp9(Y(NEL>w!`Y zk6zXVW=P2iln+6jZ-y5uV?iV2IVuSr%||j0gVJ3-bQ8u4IW884*GKuc@!oo`!tm1N z|NsA>)5xYAe5v;T|NmnwDhx0FaDp_us91p8QsDhopydLf)j}#BpjO&378OpAUGU9T z;5DU?V^%=&AURMcgW*M@0W-tPV9*wGkQl_2Zq{hf{TZNZypFM`u!AHxm_cPyFKCjl z6Evs{8goW9NWy@b!Q(%x;u~d#m+pW6|8M@m1gf4Hzz6Sn@Vgv*$@usGe~?G}OtFT@ z^caw*U?I|Md+ZG;o3_pcbtJ(VtMx#sghw}TJ7}GnC2xm_Dg*!IgPkW{)`C<t|6nY& zY_{zMX{u#6Jn%9dB-+hu2vXg8vP2TptE&Kw!&!<htx{y*p99kB1lo9X^7U6xrY)83 z<_$Jg2AwhsT7sf^s`V28e2^m9KmY%O6UIy7KmY%~@UH~zR<u$1&p-7rMsf3U+wcGX zn{By3&fssI3+kb^UMdyy=r+9oS{r9+dQlkU?87fxKvK=W7)x!NZEw6*VPL3bH@y8a z4<y<xTLV(tdb>ml)Y;a&;bF=8sS@Pm1D&8#HD7vwRCOLRJn;IxNAoYHQn7B+StiO1 zo#!<_ww~mlf4KAKOV!{1|AWuyd@23=|NjY)GL>N22@8DCTJg>r6&;UcW>9(M@Zz>3 zxbD;ILaO_~RW6e^r0xSRQhs3qsqsRP2RXol61Tm;yGk`W4}fcM9uKf_-N<9i;5v6R zM4b*;)iZZSh8G{585v&OfmlC(6_WMfn)I_7s3zUZ!NTye;wNHG6s<m*11d*R&$sPm z<uOJ|h0wVaffq*MObo9DJbGCtLJ!k@A;8YU&}?h@3REw)f>!2qv-TP(Ggz`tH&SNc zZv|Z^?a|Hp$`I6ZJiy;70b1qAnhsVG3sTYipAlNFG=E?O)fC4V85oYU-hBz)^8qTO z<zQAVWdm7t3?x(9^WwP!s3c)g@#ti|V+3+5>supbr24Yk!NB8q1E_8U4R1BuE(4_^ z{#Ji*!OJUB1PZGT(Bh;9+vpeIG8r`b;L*z(CjqS!OxajKTbT4=)sKZoFYCe!kiyNv zqt{18AJiLl0I|UH>MvN>SQr{=RP-52To6GF>h~OP1i6EO0W?@52XaTLfXDGhkmVq; zmuo;x(%zjQzTtrve4r`pnV@bRXaVh&7b*<xj4xi=F@PH}rJ#U>?WqHmJdonDDuRjO z7>f$y3r1EZP?$x%162+zDlZ&gf`@rrR5&2RT?awsRCkUF<Sb!u(Fj`F4lWwO42+@? zT!LdO8r`&+8D5?NJADVp>7X8FfiS}hKiKRrs4zQf0E!xDYXRZjQ{j-p4B`yK126yo zg%u)S|AICEgKw8MJn-_>-~az#{9$E*6q*?0@x3i7CqOO$ADOEJy0fwI4X7*vE%Dm` zj_EZjAR4?Dx$`+FC@1Uy&B1u|^0tAw-K=%bp^cEeT+o!*!CLoHg#nZp=OKjBU#fs+ zs}1<U_5VZzWri0ib}YyV&cnc?8?@~!M8yNC4F@`r6Lg?h3xfwF8Gw?b2PC#ZCrpC& z>onVPfKoMot1K6|SyGk{N}b>Yf@lDCwy1zkO!MgWFgVr-x%{acWMwCK%n5YvC&<H~ zqo8(yd<Ysb@c`Wx`Qk5Vmt1#?$^_6^Xbqr)DcQgo8hZR(FYlXkphVpRIgJjo0;mVN zEDb8y$)oZ@jTyXVeZDX>dx(MfpmY8})^<A>KyIW0pJfKJ2~<XTfH&`eTmvd6IbIZJ zfyQm#JOO7n4)8(5Ju0BUfd&mIH#FP+c@D1Gu7J)aM&y!a+bd7O;)-B>tpC4(k~nJv zFKDKUbs~gU#S0qE6lhTat)qmb`1kMs|9^2Sm4V^KRvTD};i8i9V!Jq~f61Z(Ip3mN zbiE#^8412M<R0ksCPvw*AdybfHV~D0fZ^0EYtUh8y}Z3(yAFA@gEk6$-~pwFj~?v? z{M%TY*+7nwjREQCH7y0JlR3t}jWr7-(JPw_nqTP@IqcER8UT`HW;FyU?PPTV@se4; z>w?@M%A%*t;Gr%06~yCTe*qMW4j$dIb6=@2creTML8$2<s@wDhNRhVbO%TPu{un5n z3qaRoF&_3{mdyr<cFLxJsBY7}TA)t*M~`IF{wLsho{t{PrW>@BLFF50<QUd(Klh0r z(r@3xstQ^)zgHE~$ZfC{(glqku>EJ92a@S!H3k_B8kc{?{Qv)d))t7UDooU68Mq$f zh4E8Z|NnoHe;%B~6QF}pFU~WtFub^Ro`K=T(>|~aA53N|131CC!T3uVK;{3xXP{Kj zr@_VmntEbwI1TEfN3sgAf?^)JtkUB+WXU5aJ@JR1<QMEw0WElaVQdAej#=mEfWnt` zD<~9tdmxJ=LHPzWRtQ>F4lbv_jLt(IJGN!!tY_V8-sbWBk;lPT!l2lY0I5&_wfSCb z5MyBIX6*v^_o0h5L7hWTb4mj=+iBs^yF~@OakSF}axMm_(hvZxA(D78`7Edy1kGM` zLe_<XMjjPFCB_2K?Sn0r;P6|J0V)lkJ!G(@tDQjpfLhndqVnRFJy>OaDw0Z2wtLYC zRS7Z=oFluT7J=7*C4*#pS(l`vYx8mfPjR$>7pa1VRv-<NVh6A_Q;^kz9VF$%$nYW* z<lJsnJ8jTj3&?s&NCX@JEpr90BJSqZ0LgatsDRg4ck?PjxlmatC>K<p7@mB&7F1i| zk0H%N;0SraAqE=A0tF>3_!T^Q=cs^M@sK4{pv7n4wmE2s=7r=LNXRRIR?mV12fQh) zvj@C9_XU>)B!Iy(;N8v0!KUNL$nYW^tORsyXRik%c+M8Im%sTZb8QePhC%B$&wxGI z2|BJHG|p}W8pHzKuJB^DIXE0=BqN18sJrmuk^>{d3tp(n;O&VCFMoq(=PMyP=G7qS z0Jks~fOOmj$sTV}NdOrFI;#$JSxk#c255v*utf!Ql_jVt?f~*ENR3A~tH(1?@d;7m z(aq`!;xe;ZfvC<Fl>%^?&T0+i!VD+?82~!_%<#ZV@HVtwNInCnEX>@~4Z30s9NbwV zkk~N*C2;7eIK6XJK&>LA*nw{|u092c9Sx9u;5EzOlbkzyR6r5(BF7A0?DW|)GQ2nm zRRZd&z^9kM=LW*>yz4mv4hqnbyWswf#tRXUre0RoB&0wFh3E@wkdb9(j0})%9?d_P zOD=&1Vq3s*bJr9co2L@dwXuM-*@F!2hAzzpg~-cepcYFvtD6R>X#~y0-Msc-ZjTCR z*vg|@)B?<%0zMtuqg&Px%$=hGUgn%^dPyCmcMEu_?Tc85{k-5NEwq>bPhqy$LBa`C z<-?bnthWZcHVWCb;G!Z7sv7J7*m9Rfh-w`S)f!OM;K>1)>R^cKBIIHS)ZBUT%NF7i zu=8Q6RUxVk%aFni+#NatRSj84%%bw*H$>&61SFMUH!refWO#Ac1k|c1Qv=NgRlV>x z0o?~<#>nvED2R2ygc00uzL5nQPr_)3I{Gp(OhB};KsTy@=C;6NYy|s*khY~q;}KAk z^YDwS#^8{(EkJT5I3E7kFfzO_H(_LW83!r?y7#Dn8hwTbUMNelFuYX${{R1kV=OA* zb;ghp3D8<@c+u&iBJtvuJTt?~^WXme2d(i<6=rzx5;Q&g;4A2u2i8mXR2W`He+7>z z@J~7L()KG@-GV(x?f~ygf1&~E4zByf%<yvOm;Z<}f)Sk+@O;S<P^pWyK9-e329)B! z<tr}>nC+vYfOLah257vkh1sKdH)!ULq4Wi~Scb0}Wc2_a0}5Jt2fm$q=5BCUtx^G* z3Qe=1A^8^!O#lB+@Ho!eqXKDkfXXfKT~`XADple&_~sGF!rK>5eljz>v<DBdLVE@f z3e7(gK#Ovk-xPr6uQEXMR~ZNeo#0JRfd(GOn?QvTXgPDUZS`GH6QlJvs9)U6Y6$MG zH-V}ok6u;=OF{5D$rsP1LBqYEt6RXWa8UIEY2Sk)uG!WcV#X4X8Hh$KC{R3*k{f)q zcY+6~zuL((0p#Bb@Mg1K)*fX@=)Cy-=N~BM|G=&>hP2UP<JS_9@oSL1FD^QRGMbA@ z1?aS{4p3Bpg3ZCh@*;mLALQmt*tJ@b1{3}_gNBMHc!2t--7%m;Z@8On6K{ch-{Qc` z!0@8U5ZYw!_5p1wXavpL?E=M<N3ZNQC1r*W{0D{qpXxT9rKHSY`H8=!ALL!ouqU{K z0oq4)+(iX)+CncY>rqfQ10)E(&!;m)1-uV2!J{`uB>+?nyg2-gnc)Q=lAQ*iIViB* z-4j7mte{!rR*;)LdPV#0tAG!0Vgltp29I9Rx#$8S5EnB2KhQ1uLs6N*@&bQ5Xl|On z6?965M>lJ*B6L^+l;pcvFM!mr9#aHOXm^9o?F1G6&|!&M&={cM0gui`NT7m-`nm;D zJh~x^6}mTpT-96mzjGIe(fp6GRIp(;eDvKJJo^5k`5pLhFW4=<Xt588RYq76IONd@ z+Glt1B@=io;AQcDSQk0-Ke&sW3Mx!MV`Q=(y`mlWK=t`y&@3_&h?ohQyngu!RMj;9 zV&ZRo0b=!vX5NDa+RN)8f$kiY43Fj`1&5&>Qo{o;`a!e7A)u{#y}O`kUcW(@;YB$} z{P&;#|2th&R64;o%YtXUK|KzO7dQ35`T5UI^fHG5+L(b%VHtrc!(P_e)kw;~BU?Ak z!6RVc;~`$~Kq|Bh3{{&Us-WXvpyK_b0ciXSV!7B-BvZl5)jumUGJs~=I!jb^UYJ2t zHO@v-B?_7akA;{DnHHO10`|z8DkN247n(y<LF~=~*<A{<I{{*<>Lw)h;8TvvmB9vG zzlJ2C2C^<r8B_{ud<6|GL)O<Iilbf^l@E~pie8|(oyIrd3bS(!xS|K${{yNLn{991 z1ZB~FduGsp(%x$-3{3p3|3Rf3s5=fSy*pV2<Uw6Y)<QW@5dzwx1DYO)1fTHsQVz6k z<G!3S1Amh{*oavW^PYloZ?mn99Jqfj44$%K6&Hh;km1qI%M4~irjHCSy;k*L1ur52 z^*|!3AT7KE572$gpo_cUr;=M9;_v+mYEXh(!rd|#L7kt9W?L1ot$o|TQZgzpLZ3m5 zfRtTelR?eq9*{hEF-mI{h}qqNwmhX3v@!ifxDK@B^GF77po-w%HerGg14BoMiv9~L zkYq1wQwdUJaDyTv5tP)x*Q0|xF&R>WCsZIQ14q7<DI){uFed#D7L^xg^%xmmWPnTr z&8B&DBUaLYcRqAGf>)ztyf~^2P62z7yKmt3z#C8+0|ybvQaNKrh8L0u;~K!;Yduh6 z@6qi5HL40?R5gZC3sH=^Y{ba$;+!@k!%NWND=%Cix^^S`8aySN4NAVtK<d~){Y)8% zx^@h8&LDMlAa$T~RSi$R?1L{eY54~_YJmL;C?U4m{`>#Gh1sLGSphVy2J#f=V+IEB zWh%#5A755sV0ck+9~8N)iQuLK>#yJ7!L(oq?+%2w^d_hXW4-oUg`vUr_$5$tP5`t= z5tQaV8jpao!eOKl%Wl>sAbp_P2IKHNoP(AV#h4jhuX?dcl7Zo+AZTn+;T8kK%k7|v z3o$K5h8GKU7#UvlXn`Zn;Tcjg&<CZK#qwZ@skKNFpeDnME_p_VZq^=YNLC5x=4}Nt z!E01S=YrWGDj6Q#vNOSK(6x8nrc=Re&;>i)wiChZ8WoEd{hDAq;*ooLU^})MK@xZY zsJHbZ!Vs+NM>LXCK=+co=!YtU%(orX2P@l;p)3Qc41Ac*i&+q5lE_^Ma7uB6D$4*{ zT5JF|O*s$AQgAksf+_>=WqaWRQFgr$Ng3F*&mc#G&({T|5mksXhf*YE;M{fwsti(R z{L^P-c)_X7$nfF|=zOGJR@q(9tt!2&kB*9h@1*B=!65;5nlbXps-R_7FW$?7irby< znHgSA`2}h8BFbCqL<#=3v!GH1<$Shg+l-6ggbf~W?Pbjdon8uZGSkO@|GQaBvXmK` z4={Ct#gDV5W<i>&7hixDe)h67c=YmCW+^jtyBt&imAY0S^N+J0f-3Xq=AE4jDx5`o zz!YmOi0Txb2BvtY=PENa+y1x&wjVqt(aBnz3m&B77i2vNa!D`itxQl#1FcW^1ldWW z0q(+Pc=WRFhe?Hf_y^i@3|gL(4AHd&CUyJczyF|_|JQ=b3@_%zLMQA>-@QnxW&q72 zFueF#%>bIp;9w~I@M7<728I_FdqDX&M+Lf;t(SGWAk+!(-v9gGU<=|hluCK@vev;Q zN<V_!2GeqkwM0-EWCm+0Q~_uZ4YYU{G|3{s_+pzkBg5<c9=*1$Im!$#ICxkXUV?f< z9=)vdkQe8IC$^+yz_Gm>xpD<<hJ3*&1Bz|$txOCr#o!y%(BfMQ6d%oRkk3=@W&Lm- zRQRx{ypVqnay2UhKPZZLKk|WB6-aw@%Un!5-VEBt587?l3>qK-HMqg|cOR;G;L*#v z4rW5_2XJfMECU)qXWxNMoCi8)7(4>i&D#ZLgSPnfit>TgiKx7A1?lSsO&^0sI}9(q zHuLBNZ{ub8+`{0&%D@8Y!9s$Kg@NJ47fDd-9JJXATIULYhF26kEDzO6cy!A?%>rEs z=+SL*5qbz*(fZfQ9>-a4ib2vVXq^&h+_{t0TO8!s9MFQzBL<*3)SaL+<6f|cfDT#s zx0#9I<xY5DqVI1iv-Lo_uA$l1?t%&fL#ZgJA0yz=$-03JWHjt{gI?POU_NMXm>Osv z|H%vaH_QyL*FrjOP!c)51o+#WAn}E?{?MaaGy-H!>m~kH@afOJtP#S{(2jo#4($M8 zWro+xpwo$350t2QbbAOi+b%v2avB$CF$^f~UO)8ctq?Fg@L~=p3&ZOx&9==TdHz<= znh1~MtX#s-VCrT4Ed(`u5hyB=_@CbV`)_#QwHxS6z1B-5S{~g|8qK!WAahE&JdQho zcDgcv?5Wc5=saY2;DsS4XxsON*XNpTMZv1%JdU$~Cgm8Qqg1sD9=*JaLH2cv9DKbB zd=yIOLBj(tzH@+do_KxTqnEWBBo8W&gh1|rFNXB!wT%=~2JP5B1)B182K5iRnf_mR zc?UFvxa}1)!^?%Bctl^%4O*$&8~7hIT<ri_@u+zV#8d#CU1IT?&7<===m7ra|6E0$ z9y?$sKzVjv@c8~5babFkFV7^;iw}J?&wE&&E3WWpK5pR9VPovk>-OKr@<6G8hvkXl z*kdko|MfhYkNtbS!K3pS=oYtYwVOcdtv!0(4LVqiLFvW7L-PY@De3ncpraN%6hC+{ z-T-wkJUlc%g2q$>K=~oUqZ__Fy7R+}06`Xp7v6%Pu=()9SrEKJ8ZG^R*V>@0{{;1k zGdvo9HB6hqz~2lyiP7WYD~}yE_x*dP=Lt1KM*2BGr<c_40F7_ImiFj82-+vK8|Hlv z@TyQ|k6y?B9?ic}itmGRUI2K9Cuoch<XR4p5cpzA0g$^TKt54;(E#ptfyYt6+u1<d zRlvK`JHb8dDUf3;;71dJ%!mM;2GTYY)UNM#Q3*K40B+qBfXV^Tz$|#@egbHu6g=38 zq7}D`a8GN1vc?O@jdjqoZVW)lK?BrkF97+Z0yIH^YM0@G7svTQg+<^CW`>tFphO1E z%ODCZK0t*i>YhzV<U_VdgRc{l=#DVyj8PHs==N0b==9J4Z=VKDLV}KZ2A>ZM8s+bF zH1X&T1jmz9caRC^q0T@Pk8Vc}k8TGI4@*alViu21M-7i|M$j?s;@u7=oFEO1=+dA9 z)Y4I-L>N4f&;eSU1m9BI9ik#)8EC>k^}y?AFG`*>GrZI%INk)hP!BzefsUPPJy25A z?O_7CFS+$pDeLQ0Q0W9dr@!?;i3?==c?o-Wq)F?o(kE~qgVslYd<>eOXgyde0}3kz zk8TI>+4H8|0Vb^%OY}NVd2}9py&fV5Y7rx4641_JP@E!!UT8zwv5&HlT3+A=T95{~ z8xH9Tx2iHSyqKWK$nc^XqQ3Pql6vr_`$;08>Ne&XGsDa8h)73^@9&_Li?Uu5<W2*R zZV$+Y`*REo4Bdey-7zW_t(W;*!S}a!dkBEe!wD1shtWmQ!KGy$mW-uuKsieSd=EFM z{Cfn_>Y~B{I+dyQAmn&A3Ftoi687#Wlh&K1oF1JAL1u$W9uXDLAyhBCp_vfeyK(>p z4D@(6*v@5SvE!g~c0pc%CkjwD2VF?~f*FT7AnU-#O1^M>%FOWc4I*ej+2IVRC&>xA zAAkdVW~xZ%ImL^e_xV>}wm8V|^85dx&X1kH8-B4AJqHby9zJsh6kHtq+XB)(x@B)1 z2W?WgT`FOCz@zm*8FTX~rp}AtgnRh`sBv-Fqq{)Cqw~JU!PmSVmiLNoOz=4PjMt;v z0d#mCn@8h+1_cI&GAoZ>77LGFhyNbE<^MgtUjvo3iuXJ$KNQP&bo1tb>;T`!a@#}m zn8)RJ9*UnmI&Zx0Gd%FR%cJw&>uX?dzzLN2E^|P=?*Zg^@WN!!UOMos<6Y3;5~!U6 zO46O6GNuzej0$ThzTkNR>ZHMqM?JrxEE2Ws00pK+2a5`bw(M|GVSF+7-~a!h9MkEd zA_FQv4LrIzK~eT11ia?WMMVH~N;G)n7qo^C5&bVFKW1ilJrUJD{<fKr_6N%Oz~E6# z2L+FAS@&b$2;pz#Vg%h{1S;h<z=@Q9TSR(CjEZ=}Z}5OIsLqM-=r;O)4dhaB8wUQC z<KR@~qN34Ik<L)!4svS%IHo!sB|yOz@R|iY1kie*Q~<Q!sPrSqt&qWQkUfwU+Vg&a zW&yw_M7XFZyuRVlExP$A$W50@xeZTxF!Jt=Rc3g-$fG+#0aUpke8uZwdAaE61doG{ zc|E!VBtWYL8vlWlg@{M5h!Nzh&~xBa@d*_3mbbvEA`E0GI8}TDt&h0;8YI_w^YvWA zldorjoGam>$r>1|%<xhJ-0(n7hWt&S!|9<(F+#$F@ty}XC5m|T%2<2!M*sKtf8OK! zbq~eg9*X}wEI${^dvx<YKBB_l0k-nLhvq$SLi`811_*RKn&HWp6F|+H`wy8JUV5SA zFqHJj-xdlQ$U<G;*6pH_019;emg$V3g;n4>yIT-^>__K0!~d^&e7Zr`pL%qHFZpV{ zRHER~?Iq!1d9#?+qx0hHhx~2e!Lr^e4$$%coxfh62gSJt=&&aPk6u=$CTN4PaW`a^ z0DP(<_~ecRkM2MTkIn#z6pwD`R=MsVgYH?Nnd<IMpiOI?n?UT&`v)Hj8s6{xZ20fs zdv?R`o%asD7BKwXISZtuS46H8bol`2P?10dkIn!Ma00*l8<O%tDaip`JG!VCcyu}n zfTBmkqm#p<^8_eOc?x)R2MTz!UMj6Lywq~3^esq31Zb;%2xx0;3}|5f0{AMk0FbH@ z&+Z5V%P4~~M{pwQE|BoBESBJJ1s~gF>BLbY>0!yl-+CI9oI&d-z^jhjSs55!uLC&? z)Mns#A<o9Y@OmC70Yesjfx^oIe7Mow@1O(>nm_>$4S>q>m*DFHyG36ef+S=C!;_Hw z!|28F(idFnqNL^r*iy44mehRD!}1e2HFtna1}ClC9-7y{N$Z|R=f~GG3{SqE0xsh` zdRY%{Myl`>K_fs5c|mvAm8eL(crFg^f(jvz1%c16<5Pr;(?HgI9#8-)iwHzA3DoI* z@f;)psc%?RUP#I@GQ3ccVq|#14;sjT1cZYEB$tD(76PB^QVg-vzXQoI@By@-)B}oR zNQvMBGP;-bPcD)&&^o~v#UKe#$qOFMSt`rO@M41`Bg2c?5OZf-LDB#o@Y=)!cD>RH zBni+Bp)Y3gfGYmvd&~?k`@nlN5T!N7{;|D~@)K!)BFL!*9^C;74OQt3{E+QUkk+4s zhh?Aye=Dea4N8+5kTeNvfI`wFC`p!bc{EpWFqGJX8tGyl-4Pt1O`5vhK?at=2K<u_ zwOlHJToC}Sab`0!FudLdNsFL!tyVKJFuY!7cnMTkLQ;5zhouuoX{N_<Cs5=tfHFxC z*dB98!8^gDn>V%&v}}l14McVF`h%!$UIP#X%2=#m@orcp21@kcv-4m4`vOYd(DK;; zl#B&lukknzn!jdvJ;&oXXp#w>Gi3kl2c`DgrO?!_;i2ip@$xY^wHG1R>7djOs?&o& zb-Ea=B(?JB6|n*r-mp6TlLx3yhotuzAhWTg_i2VFUr&Oi_vy$7{(uv}MlMi1SKnb~ zc&P?2W6{c2&{P?=_HH-ih8|Ea{0686ZVHNVP<%PV;)~70(p#hWfrq7+Lb13<H)!`| z3Acx(hei>rN9W<!#|;m>{tjxsf@d5%p$&8BUfW*Q+sIp&!1wMjgI#;}HZ#M^2&85@ zihIj~vAUH56fqhe-BF+vs8Ay2(OoFvVR^5Z)uWs9^_#L+9^IZCub+VO4XEJacp(99 z#K3x@FCuR<GrXP-%>=0S8DO&yyru|TID^vTX>h{?ZWs^9uw78Y(8pW&+d#(!VQXV` zb9i(I2!O-3yO6`9+tLF(fe5-&!P2Ake+i36r@w$lCnT$|cyu}ocyt<gw0;9gxPfmh z7Vzi<R|_Sk;OwC4(dh*_5y$Eiq#6V7sePdX=D}0CB@^gOEUwpAAwk&5_4>3&FYB@? zNM#0iMl6*Bl$P{wF*Cf>LW&)X@&Y{mf-)WpEiXKv9+dEa`VZoEKLL+UMvqRAKPxz( z9(5P+=mekO1@$L*X%Tk6#eGEfTL73xz;9m2yWzk$QFO9{{1$wZnc<}#ir+x72%ZdQ z?PCV5i({S43>{YLX07?G1WNM!Ekd9Y5)^$79PlL-hidgfgN`2Eo5AxR+MB^W?%sL- z|1&dq9DFV0VJYjvtjth*$)i)&npv6Q^+}IzRxOx`+d*|PsDsswyeSG~;suZH&EQ2l z+M7W$Djp~%{$hff_>l=@VmE7NurdSkxc0(e&}Jl-gC4yO3f&B>pw8*R7n~lQtS6X2 z<9w_OcY%gcSr0KOGaP5FV1j#fB1oE<brOV{456k#sHq?dJf7~)q|9*G@TB2^m+s&x zZo`w{z7tA*;&0OdPgA}@YL9ob3Nk4(bo25vDKl7};BT4)3eR3v8L(qmR9<-B1P{|O zgT~k_Syh>o8S2zLx_M=ODlvF;i}Hdf%M<*~VIXbYB8M7wfrcm;N-uy;MQ6RX6XYcp zl^08IfkqZ#_w|7ac#R3&O`t&RbO8-jbb*7l(?>;tf7>jO2^}#i!u;Ddfzq=>M~RBi zi_QGt>hzKwQq};CFTJ=0t@I%s?;a4ZmzBi{Nf~%<XER6wei+76F|cW0J&=@vYOfa{ z{oO7qBGBz5dqu(OBqEU1fqVNY5|CL<VbHARcR{eKLgbku@NA47RFx1&)kQ%@h8Hy; zA|2wF9Xp_*(|!{?>aB&m_6vO5LLLhv!;6)FnHfO+G4N`@HGJSv1{qLk`a&M!IzHqu z0j*tsaZDVn3bJkVrwG_}9mrFZ;F*90;*1P0Zu5a<S2Q8{5WE236Ei4V?zzg$@Nzq1 zgF9M!0v{UN{H6l5KBxe+J_vND%7gz(482iI9^Iz*Kr9=v-g+j+PafT-*Z(Uqxb(6Z zx%N6h1kQm4c#K?oJs|=|K^jDidV`pt7j-xPU@GFUyushJm5G7D@-Tn<dIkmt%OCvB z%fY#nx8yyj95~6}0@|bP0qTZ$a)1vN1n(N|3{eR%{PsExbi9=V_!gJ$ouIP7ryG0( zut#?%c$TWuM#WR}2k7K)@btF;eEJ;}<eK1dRD~BspsWLKT=o_)LR|-HXMvj~0o^ew z8lW?4r-EAP1s=^uDnOa>(#wMX|NmPa=Wk!lz`)>Qd4Rup5yahl-a*~n3FZfKcyx1u z_eFJrM>Ty^0t|11+#LhzREXU4=-vd%EI!>$;Ed8e3A73hbV-M&<_D;|LsSGn=bnPR z2g+X(nm@oT;1{415<r!JgGX-!vbzI7RgOhBXfKxK<(jE4K||h#mkdw7EdBrgf9rwL zM2~LPX>XMnUi*Q}0nGw<^ae<PdL5l7J$iXMJq|u(2G{2vy}ZZ3DH&8zz&6N&LJl;_ z3O-@{MVlOG_R2-Y1JY0_UHkItKhT;xM*fx)3=9m8mIul?T|lJ|=w1{@%Y*#wcR<m6 zfxj8F@6w~2ckLH&aWn6W5`&B82@g<-11cIkx>*iQ=<WaoP4iEd(kPGS9iSzz45fS? z-MpTEl^8raJ3s>9S_E`vJp3lJUf!gIpe0q{WwxNz$FOCl;PbqDL25yRWVsia8D46F z-1?KbWZ~=Wh?K#j;-Yx~6fztyJeU|5Ub2ANn!T(xk0ANzg)vCyd(dvh1OLF8)#v~N z!^?Z1dGi}TnL!(kIKewrpbPlG-Jf~}P?qz&1e$M!_6*SS+hkB`L7jhttiS->94`cp zQIB330np*<4jzoxJS;y$?kIuWISN`R2EK^1w}An)L7?*!XlTIl!VWNx-yOUKg2UtB zLmp6q@c?bv0F8@*IH2nuR3K~jK?9;Mw#a~DGzU8E^<pZ>w*i><cZh?k1=RV2Ue*&o zKoP^D^5Xp^P_4jv0L%wX-W_M%@Iwh?J}7(^f`wUBUSwSP_aAh!u>b>q%O2PgZSXVz zNKYp+3w$+&z-v=b#8`kwNxKC=Nfw+?U(C;E0&Um;`Nx7^kYNINW&+fb>;@n9?a_Lm z^q5C4s|L(sf3W+&3PAHb;4}>xbUq25uKoWV>XBpMyOWTPg7^xS0q>&+*(Tx9$@=KK z62t3LpyB~?RNsrl3(!qqpmq)nqs2ETsL(;#@7~R7=&cN@4>XY47NV}8OB#Av4uMh{ z$ifOx0C2oG7XXSS&>%fzU>lS}L4$XoadgAmubII|3LfU~1uc02oi*4{m&{oDs=+pI zBWMb}<r6ry?E@7l3?-LAlNc7DlGMYa*9~j|_!6cUnioN_2+rIcAf=!i4mm;dF5nZ| z8!EXNOW*Nt2bFLU86Kbkkk;G$EyqAcclv-9FM_YJ{_MfO{;)?c4;#3r+3llJ0Ex0Q z(jXsz)b)Y}w4rwcgQ{weUQvBJ(6lbN;Da95jFdu!q(C~s&hk+Sc$onnScBzjQRJ9) z00jqVp2p(kYtZ;-U4-TJQbETZpx&h`=o+{m|Nj4fE#uPb#q4PL(-Cy?^$SouLN-{x z`1yi?0aSFq5MT#ar2;mPA^=qUae&(%<^tgA7`pzAmyzLxDkoS3(q@SOi6nC}GQ9AE zm@qFM$prA|L+T#}h6yk9&oMK+yoIQYG5a@bA?YOoR38U`>f-=VYDfTWd_#<xSqk#E zRDl$Bdl@wE1g*Jb;O~zI3BV6T0Ns^gc=9zrs6<KN-xdSfuX&;KrbqV#@bJR-ThQ}L z4t?T}WX;&B!T{Rna>JwXHv<DBL#-U>OqwW=D8B%&)^zYLBk&=H7k7i?J-SW*ZUJ@I zzt#DASl;9By#m^70Xf0;7|1|*kX8?VoeLh!5c~cg^5}%HIzM@Qzvt0wyJrhzF{U|a zJQb9nVi*}18f?$3RR&*pdXm3|kCB0)!$(CQTJ~Fjq64xCy;n4B4yf!0*R-JF^G?wE z-QE}#3s3?1qVhCocN@4)=m7U0ntw7h+b-Gw$`Y-!LFx8*14tZHPI)x{WGJonXx;$| z7KU02*r^c;pbeZqpw}?GSbhp>B52}5|K+xS|Np<Z%)-FXV57po-!cPqFv>O;75$b2 zkZY76SD=E{wd;T!4O+<oK9&fS5<uk_=(GTd7fc_S7+zm^k$o7_1xo;5mgCX81LQQw zggL`2(24Lr89X{KybK2=|IQc{1CX)WU}Hha8^l00cJ&9y{*eSwhA;r1hwySMXpP!Q zQXH510pvIjMrfqnd+7|S9^u!Af^rYUmoK7EGc&w2gQsca@=t=lO%XEwjCB4hsL2%o zx`ulv$fM1+T<gK{@)=ao90#}RK=IPedVP%wL+gQ3QIF$|;J5+B8)AO96SQ3H0eBZC ziwfkTt6ousuS%d**<AlmcFVGSRbsHb$={+3$`+8q6EtrD8hh9Y8h!#bvmqtaEznXd z@Z>Uhe<&lu2jHdQouK;zS{OYV4}w=^g2s5kBj(`AG&^BP83mq9125Hw%sPXwd;?hn zYRPfDD2H010nK#>K$+y%Mo6wZ01gokX0SIwy|hj+3tW16^on|a0ecF(kX!$Y5_lo^ zB~T^W3LXpT7VQG}doMvQpXnB52dNSL`&o&BzZrCSHQ1bH(Ejogfo9t|YeCCEk~}&) zL81R*9us604QT4cz@xhX>{kYlZqPlHAu0wQnioBoJHThxc0#DepB=&s40Yljy`oGT zAvu`K<9Gu|2`Jh?=>k$af)`MLE`zat&dl(7n&E+$74S9OdEgH2%PcVULJBmq%<~Vt zxLO8uP2P(RMsPWN8F{H4c(w9+E^w&}={!Axl(lw#NM$X!)7A-51zAtMhn<n(#c^gv zh8LS4roJghQV;GZo&3fCy7gJ1Ge$+_1rJ1(7V>I9@G!G6#8k*Aa~j0%L%v96f$ipn zsDjw-0J7T~WVac_Q~~4;BDlrq`wdhtOz&r6czFoiVnn1q>qLRF7_{-TUe+a_piP$I zlb~9gb<QUxNRh%i2`mHJWzq{GK&{{X+2DXI0L!qbytofO)*3$6w%|B3!|Og+%LPKC z*w5dl2FjMG^Vhws3NZ8Dp8zch)LjY6sr)UVtJ;wEckpt8ly!^#`l!S(!Q*%XXm1rH zjmW<L2<klD=5GOYh9*n^9c9tk43hWgG`$Rx>t>y^4phM$sI&Fx6x{(5>g3%CqFPUu za8H;3-i!d&(91drBn<K`<Eek3n_LYTK&cQisSPT{xvoPash2e!tkea1j}^BTsPqJ# z%E0hqsvsk1DV_oN%2t@(&SM^(tkxfu7+!);L+oW$2kU21c`@xI#404^FM^ISGrZgj zA1H<dC;EJ9A|yPK*WWeUUjLv3I<Qa+B-ibt0=k?Ev?m!{N?cj10y;1rw1%RWb@>Nq z<d+@)2RhW)MMVKrZy$KA18OcxAQzROatgF75ftK}+mIMu1c4UigC||OdDB1!wVo`= z^=Lg%moZ@iXpMoKNB2Z<kami?gQYK(a(f(SwFfy4T=-4|mBSv4pnJz_`#m6~)C7;? z;HEdo8jo&PF|fu1C83~5J>}5}X+U?1{(G+kx=*|HK%F9T5%_)$tO)GoUA+cUoPai# z?*|PEfTC3I1SpZidMOh;Kx;jCSH4$bc)beLF0%0G<t>Km>xQX&ar_7~!^<XcR3OrG zZ;J|O($vG+QG&lM5_FqU<C_nlAn#nG0y+#Fw8a2Scekj3?j~&50qUVL@V6diVPJst zQTca0<l%2lWkc{k@_-KDX|H8rfE+WF$pStHlm~iR<_Z3m4WPXVAio$jfR3lw1Kwl{ z+B4gkqw)dN!u#+-^DU@(kqbUP0Aw?0ISlB&1kjFLumh)n4|4Emt_Wb@Z`}nt6d87C z>G2lurb%${_wx2n1~rR%R6zY{k6y@rUeJOiP&NaNEiiy9!zo}b9>-Z!{(~A7pi}~5 zfSVE?pexEiZ4}U5V=ACa9qU2ciNS_;#;90;q#Ryc<_9?%5>((`OE1)3P{jz!SYWeW z)bJuH>-Nw9IR{krycPj<#X&5OZcn%<Tnx1NfgR-c=1K_${#Nj{n4k@cpoG|5sliYh z4O7}s$;wc&8FVQP*f3B95AqSDhrxQ*5Y)o}bu+tLR3P35^`_uq<D;VRVkIxA5{LNY zWf~|*dL6+Hdyp?Upa!)NVNe3HK`{`6z(aV*23ZqfkT|kIEFgnGGlZ=t`CAu3rtDi( zPJjZZyGP{)h=zF@oD9ITrLMXlKY_g3*`o3Qq^1|Pi<?E|#d0msvgaBV4$v{F=#o`> z5Um2Bd8-rPK6vZNlKm45FL`tZaCmeFD)4WM6#sVEp|sraHmFZo(aqq&+ydU$37RPa zCkAjL=mhudaE7}f55%Gh@OI+p|Der}y}ZA{M+5SxfKnlcM=vWgsHXuM#dvWsmx1A> z2`DsR`<OdH-AvG;H&6h8XWn@$L9OW+&`?^iga>R)2-F>Y83@t|o=)z8gx+xna1sT% z_eH5U$Su%dZ9PzW&7*mb3b^US-+C3C==P|9&edSxZw2>!p=HFe22dHn2->LuE;<^I zfJ&6ZpwtK&wgMRqX=I9OFfc&c(7hgzCL$t{@@nXV5-F%}-`xXEq&_MV9=%h*Wd*1S zsqlh@8{$Av`2ac<@)zhZsh>>zt)TT1uhV-2|ALOiYij@tv+=ibf}*t>TC%~Mh0gC~ zO+_A5mITf6?|utDiQ)|M;1=jSh!>0A!iv34AC(x7?g`*hr4w}S`t|Q%J+qvlH7&?* z;8FH}450M@kgNp?j2CM`0==xNx=1R)w+&nYNx=67vHb@d7!`@64BTD<>F;(?iGdF9 z-}}qJ@Z#l928I_`AWm>aJ~s+9cKPD<8zg5Zpg3CrqUSO4Tm#tI?qJ6tIr|&LJ$X7v z?g2Yn7OWC<@gJy&_x}fW_G0AO2C%b1`a$b%pw3nYIok;2Y*~mC0)mkY2A@P}g6!-R z6lZ6C1KTne`6LQ(6LkW}mC%$44sCmoKricdZ6wpd&Q1eKz(ae+U$B91!jY7Loek38 z?V^$bb@l_0v#WnFFuX{EIKd6W3AL|5?b+ikObjoZet>qIAl1JvDnB6m`?i46X5$-3 zdA$a*>bDn4LwE6kw(!F06KGigX~mqWhIDToK;;AY4k-&z4$A-ybQpjK@w-b@6uN6v zBwGLT_djD`U}(L~-`@|)!rhRY*TBbjml%OsKDvgtU$b~L9~6L2s`_+0S$MSmuVL}& zc5?7xJnmsxrBNmU8Dy)u4$9@A@iC9aBOs?A2H9HyDgYBe1q~!Ou&98RL2-aa*#uq$ zsDbh<Xvhk(jTdTvcZ^B`sQm&vcih9l1JqheK8+ZWhm@Sq0};T(mfbxn5F0=>)_2e; zn&5HcZXcD5mr9_LtusWWpfg4##3T8*2k6?qZfNjz2U>W54hjLC&IIlklz<9HNV5SH zh7zEL0mSGK(0QyVMuS4NpgTq-<Ry5_BY!tD!%Ho2y9(O8MQ`6qgIYT%>&+T$b>@S5 z_$|+v!A&E?x-XCJN#Ns~x<PBMx{D=1UB(2D@5ez|kiTUnsF}0tITL?#F=z~-mo@0L zFoRET8|Xp~P>~3}DBi)Pn^)|q5(EFP=OE1JV#&)4;x?Os^nfnr15K_-fTUlmfz1ao zL6^%$_;iDZk1qIhUh?TY4H|F<-#jZ(%-gUFymy(u^#v0HgClqZ#iv(v^%EtA53c+N zxm>zs7e7&AaJ9V2-`ogFSDi8{E};7E#eyfG$_KQJto2f9q#@|S$!<_H6}&Yi0=)LE z^-`U@N3ZO*g^<RsFerc%_;)>GEE4iK?g%Pp!MzvgGBMC<IY`{S*aAKz*heMe^>q)> zz6SnnpldBcR2*K<1bOMTG{{pH9-S9_I!}Q{ej>oW_3ZrM(RmKZOYGnlV2O$WI9xoM zZM`3Z`yQYJoqf8w!8hfC4h{3|4ixYOZ82$qtn37}$K*lT7Q_IJID(Tbcp>mBR(LA+ zknm{yc>#2BXb*UOXRqwp1rU#NgWQzBzw0q0e-mg_0yO4f03J*}?f~_5jf#Ya=68?Q z|MlUZP;me)(UUj)=Fsx3RKTNmJ1E}ycRgl!DGW~SCtiXs;R0ng@QPCfXrP#=fC3y` zE`SeBZ~)s23JZ<bTS3|(MtA;$oZbd%4s=5YIzUV8{lKd`z&SQXCBg9I%Se!ApbU%9 z+g+jpzUImReC@19=M9g}-=Kw=-~npT)q9b)kO1KTHK5c$7FvK@5dlhL1|X*-_<*}% zo#4aN9bT>gjUR$etzl7l5xWwi7cw%>4>RfYPT$_B|Gu4(0-lY(K?j}kH+L~GFnD+S zToia2585Mt@?`{Qgbrl?PCJMh4mhoTDF{{zbNM=u19m-UczGFg5hRFl3bexK`*Bd) z7kpBuPcQ4~<H8J{{H_OHR{Z(@-*X43$MFCE|9Zm%FZ2HV|L@azV<*U6FZSF6&yDRx zKHdjB%98vPR5R#qXJ&YL0<!fRT!bL%2k?GGeo*>E8E<H?eKQMOe%wO2Vxc<-yfDtd z15|K)zXvNzAe+W&R4hOl!bJsq4Y~n1tNU~w^65P7(|O&a^B6pbgHFZq>2{XzWc=W1 z`J=WPTyA?b+nPQA=L&1kwa?wmzM%VXLH9ZMc6$hbvPdf<C|7_Mq4T$Z&jM;aP#W6J z+Ven(!IHJ<ff7TpA-L`6(aT%%06cuh*v*><l08u@4jQ8dugoia04gqAR0N<+Q)dYe z#t)z(8F>XhxMdro!ttUR6f&Ue3_L)I7Lv_sG(37mv*tpw7#Da!Gdw$i+Q5vrKtso$ zL6O}Zq9XA6BgpO-JFOt;1Drl3L5V~Hls*kW=~DodJ}q8q{|8->bO3xo)Tw0<<=}<v zFKS?#Umx@Ab^Pzy=^)@?3yS$-WAAR469O-vgHq#xmk&Uef>NWl4M;n9FCXYinr?9Q zQ=?+>axrK>HAv@+jyvEq!<dHD{s0vUFZ3US(oAIy6T{0Z&=F?j^di9D76K}hn%|(! zmw>Ml1vd#23~zgYF1Jtusq4Jwaqu-8|27$k*4w3Q9=&l6uOAuyf6eLf|2XI}rDGf( z&Hp(dH*t7ap5t$R3L0kJ^_Zdfo=-1}m~S_OZ|i}QOQ15x0aScK=F&Q2R7`xpu>@Mh z3#xKnoN$ChEV!5e7egALsmVA{GXdOyXgyFO4k`gHJS;mvN3WD~dRTUVO4V{Dk8aR` z1)ypj6fvMnt4%=h;sJ^mhnGR1;s|UR=nlFA;JG!(@k+0cfm(bZ-3g#1!~u#?4^ZO- zytobQk_u3RMFCWIYP@I#)zi@K(d%x|jU1o}ebB%ebXdP-Gc&`>ew3;nEx-1{+jE_u z$`)69PBh9;nV|)AxC`_IC(!vL3=9pRCMS!W$H5m;9=4zzm;BA<AY-~k4uK9jY55FF z?%)Vx^=N$j|Gzu~Xsv$dcaMYbm_3@GG5hp3fdoA}e|j8z&+F0n{J%UXo$dL>z@PxJ zE<6Kl&<T)1jlUQem>BrmCV;Lsw)B_aZ>?kk-F>_*JjwCfAx8dIKai@<8kGo8#3ppQ zsF-w?fR>NPsAPEdP6TQ8>4qFg^_tzI@eo8HKEsDOUc$%nR&kkcx0G+|?UF3t?qmVa z#y_BBUFr^AK6?=q;0`|E+k!(>I9^1XFfeqxsF;AF7hF4Kbc1XHU7rrB77~1*4L7)b z6Zo6IGcquEcZNKc@ci#A0B(@HUTS#q^+J#C@C*;;a0wsHQyvg6?gzQdgWvr+$W{-- zldsu5d#gD-I)8dtUgU312Im6MWGrYHwfP@2fAdcU28RE~__tYmcAoD1=-Bz^@_Wzb z-y9`e{JWmB@HZa<t?uAoe5QDZcdre%N4Gs_jo}*4&OhKSkJpP9g2Jl+v^=%bN5uw| z-YR@LRaAUH?I9n~7M>To?XYGBW6(fnH%L!+2xwVJj7ml4IZyx;pa+0YcZ^EFOH)|N z2OZ`KZgqhgvmhyurLaVf)JO&efX0itj0_B~r+6|33HUNbNuamjeJl@`a8K~~f7tNb z%b(B!tFuIfqtiu&2jnq<7keH5{|C8BquWJA1=O<u<tuP*0x!SuKzHm*&=t|1ou^;- zfHFI{S<MJqmkRCOKzk0bxP562y0{*iuwHtCU2xyk@POd~!*7PSU&{XlRjdyn6>IW! za3SgLhEzy`dx~857#JXXmIJOcGfa4KYCSW<%TVxS0_OZOxWU?tynYZ=WPq3JL+4pJ zUU1rCjWO^og^&$6@S}e`x}yy|x@Uu@jhI0N5X=xzQ6u5e+YFgA1C3sT&Z2n%I?Unq z_800PCnkZ{ilUY0t)RvvO8pPYCk7th4}tov{H@-s;4Lel`QGm008kkk;n8{C<KRnX z&(0qn&Cl7vd**&ZTJ4UPA5QS-wP9<pjhg^&LVy;F@ozIRaA`Tm-*OII+?ejxQD$gi zo8Yk@G<XIrmc)^Zr8!`ACdWKlzwx(tfpgGx574bBjgLSjkO$)@kIsJ{2j4S$G(Ttd z>2}WWZ2rN)-}(=9;_-hDSILtu{M&>&e>z@z<*IlJRN6T5Pd(_`a+1IGA_D^hL@{Vl zS@TZ?SIGl#m%Az+{NTdD<<VT7!BLvq{F6iSHvcxEORp4ffeNgthgvT2w}3h*pzsOs z=#~QQ0dVr@c7|5Rr3&Ew2RPCo^BIt3G$kq=FK+0A?(gJp23=+3(OGg>!sEZQ0Jy>O z`i$X$*C+lTgj#3iaOu6`eTQ$y1^A~Pdfn^N>&WQX{6hicr_O_pyFkNdj+Y;~DxUh_ z!Xec8^QAv1lft(O_WCn6|KxC$yyU{aP0I1oYgfg~j^7RofV|)N^W`saYQJXq-|!On zRKR9ifk`S140R$tmd*zJZJ<#$Q2EK<3a*8rk=6>jk9NWb7Y=@pZc|2WaLi5c==PRC zjXz7|_yf=Qcbgn*VS}U;0guLq|Czz1e+wV@V1Zr}K9AmQU?!+80jDBF5^`-hSjzIj zg@ccOTXB-Zw_}W@93Gw5UV;vR0p*<l@X9)7?+oxNY`Et^RVgIgeY%}J-1xV#Fu1my z;Gc4E!b|o4|NlesbpK^$Xx5#2nVI3mgeRa%k+l+e=nZ^$-FDEJ9JuWV8fA)n16F2% zJcb79GryPsRR%db#0a8HUjV7V04*7KkqK1>&h;<2A<7((m4RliUpT#GV0cjranc)M zBtyVc=b+*n)X)HLuL2LoNW6fIGqbWFD+Vp`1qG7<L^0@c08rQA1!xQiX|m7(dW13) z=*SCqB+J0#Q0h0qKDI=@{RMpF1>a3r*%xw&nc;>1V+Mv7UXQ_wmB2TXLs#dyfJQb8 zK|Y0tqVQ`5h8OFeGBCWD3DPA3(G?nlWH-1CY7Nx|3Dmo<z=q%ALsA9~R1v5$NT6<m zD0_{p3>>I$LAGi@?3ylwWC+ec1@AEFWnC|bq!?GA#zXFqgPpO49H{@0C$z!am@Zuh zdqn|x;V@{;`iq^{L9OoQmCOt;<DjR;fSZ0`0<F9*1+^nl*0)UnRea!9E@*uMq@l-n z(Bt4sDUZgV;D%n40O(Sclb|Xh;KiJWpk^DW%?oPQg2%}~ZHE^}AR$=8i{x!^K(7W# zKwGY$8s~-AO9qA)SE0rPfaemLz(uwPcnO*Z|F(c6P-DQsqdOu4)L5_pk2`dNHnPcq zwDq$7QbMu?d<>^6*cR~o35&{$=P$rPbx0OT87C-7$w8GtP7*o*QPzr_F~O?>zQ2Tc z5|TJHpMy=ip^0P~IR9RNDuX07CWx{y3`<vnJpAMV#K-{tZ63)TJ}TlZCyPWpx<&t} zD1mNJ{;Q(Iz~67q#K7>v3Z$}^b(Rd0b)b&@i^P`<;Df!y!NE8gbOKo~s|62|GH{Z$ z0$EoIG6b|p#-rOKqoE?1iN6(mbP#Ax3VeqiY(aIe2Y3m#0(f^fi%0Vz4iC#y{LQ(b zdb9Bt0}BH~k-A53L4$|oHU8!RkZA7)aB8vq<iJ1a7-+PI9eg_l=$^n36%EiOJbys# zJy?|Y@-Tt-H2bJ{yod)Ktkui<5jl~7^2UocP)vY(QmCma4<z2pD$R}LNpPwP1xbK* zX@kR}{V6zx`jE3PD1*MxgDQi>_l0K+3@@TVZUF0q%}-jMDA9Ov<Q@aV3;&0p@CPes zfgEE8I^70TV`~`Ret93XjBL(5uvPDoy#TiA@N))+7ys@tFudOUq8y@#i66<?;In1t zffU^Y$%2+Zg3mQH{PuD?Xt~#I$a1d)@Fg-YOhIaTSq~ul2y8+O$b{)2Yc7H^E@)sI z+$!}r_>je;+l33fdDp<Bn}-XOUn5?;xeG~m-~lRl!OrnQ1Y}P915o*UkAdOk1<*R_ z10c1%tjfss0jL@G;yEbblt51EhTSXT(JgAI4C*L)bn~17Ek^*g!FWAXA>F#3gP?BR z%agzV|2I7O^3d=9|6e>_!ps1^6a`!?!3oq2@(s2rZJ-5D!BCg7sJyT?1Z_zK&j|ad zaD3nZt@lC{m`H1&K%4U!I~qWD^>u?zN@eWm0JCAYTzT}eexHmKAv&M}q4p93!vwfv zFx%fJK}os!O#*0rX9Q^WKf<G%b;D86vJUVTKi)ZDHfa06CD8KTLmr?L1R;A2WG;g4 zbOLQ!d+yO)Cjjb+-2)YD2B1RC0W@^w;n8WM0&9Z5a5RLp<H76Fz{3z89^FCU<<u}W zpg0BhW*p$_+dv01ftF;yNcsZNZUJg#gL^v$FSDTyya<oxBMFCJ#4l!Mcqs*L$HV-L zRzDbmayZI-z%kZ~Eh-F*9^Imj;PV+lqww9bx?pyUiUaIURLcwey`Vh=9^EE~A*-4_ zy6Y4?4nF7g>2}KijmWiuk9G!~P4mOE^P=G;&+i{Rx<%h8KoU`z#01Y>uqM4<uZ)=I z!Piorp!KZ|4E)VKplAT?F!AaZxdqym8SUBm#pB>RR*%MKkVgGA&x3E6J)55}do&&b zi*%Z(xOAqdaJY2FsPKS-T>#WWgq&;x8WPfYanK18VBifU;2~d!mxiD<ZkJpQZ@U^k zG5q#=r$^^OkYf)(hx}ldk${FzL3QJc-jkp%9Qz_>25?UjV}BsF@N8^W0p&^2kKhvp zA>nx!%%(zk&XFIm@Z3m+@U%Sv3eW8eaE0dz(6|p;e^^vw6=?0*CH|ItkZiZ?gvlWO zZT=oR@Lk#mK#Q{ug9ev+K*QDikPFIBfo_iQ=obCF5~TPNf6rC$qG<3X=chjLN1gz! zss5G;zVGrNf7=p92Jo8eiyrV}r9h`CfbUsg_Fz2a(JlM2Nrl0qS47IAH|W1dZ!zSo zl4}z@x<&gZsemrYSOB6dKk>JL#;H9zuX|{I@@Tf**aYtD%7AiSH}4#fA<aJ>d?gR~ zbn~v0QfBbH{LokNpl9bLkIS!o6c2e^e&nNg$k+0s55My%pUz9Znx{N3zw}i+=$m=a z!HJ*21GG518e|w~WCWbjL8)H>l==mHIxiSr^7#H4)VP;;5pV;v)(5h7l*6M_lmm3I zBdB8X=oEb;1v(Xi_b!O)2CZ($JP9@(G%oGIco9@5DtNSBs_})c4?E=1dJ=SrJvjWp zm&Ah(^8>lx<3H<muqlY$h$lQQz64)+$$0`A8{pfbU!MS-TZR38`vsnzms|}Gyp#o% zXq~39Qs7etL3Vhd82*Vr2D0+qgMZg6`<H&;Uh8EKu%WL#IB!AiJN1b_;^a$Fyt21J zlPaC}JenUfdR%<x!TA~L)x)3oBMx}9UV6C(H2o5O0OW|HlAtZ6Fdx1M+W~5lf0)b6 z@X{JO5DqPHQOeu0VvO~oXAXku78aEk&htS>6@s{sqY5{}Bu*`Wtg(acE$?RC2$OMG z&ctwxb>=}OQ1Qar1r-J_W&)M0FBZIHV0gXdMI^{sCD2*`#XbCOpj(bT(Dx5nKuvnF zeja!kr8;B<D`=liFROMgXbl^f?V_R%N>~=4EA$|<5A$X-Gc?qws56wvd-Sq?H~{q< z`vR~z5#YH~$ok_Khp&PXBkL288qj*?PS6gZ?hus#k6sx;kIo1Ik8alEAW2Y{dfH-; zPuCw%VqgR<8NI#+TCexA_JEbZmW&DugM1HJGMWTx@x+2{0Zqk%jMqE?sVgl{@XrNL zBEohQy)d1_%mAJfL4*(DyyNSj?n)!p^N!(N<YwE4^`Ly+3Yr)9=w$t~UkS9w>Me)@ z`5<NPzyHTrL0r%R%5Tr0C$-z|14XWj3P-c;LWq6~kY$~$XFwWzdGD?S`4n`NN(*#- z0gDRgGOJYB*`jM)|ABAjJF*(25G2W43DOGcq@{t*>gN>!2MM?p?f;B{VFzfU78Gp; zAaT$!q@abh>I@(r_((u#+arTt5PX3M@2zZ5g!`yuc=U#VA{?|6s25a=b%v-^fW$OB zI-y6y^@0wP?G#aYF>NL^9q_kGF@X+(eggJfANaBv@X$o-0si*opi--wwXheoO&7c$ zgf|w<25lPb7WD+PK`EkJHWbW`QSo@eeHq*!4@d42f;(|WcR<+yWDM*QhXly5L<e%0 z3EZ<{hboPL-#lRoQEJTr4MorjDR5Kx&TWXP0dS>U5T&Zf{WWm+a3fTy2VCj>8(_D- zlSVQXth5cP6g)!e0Xj$?=H@jJEmbT?TEKgpf^Rc0yy&|GSr}-+P~re;GK1!=>Nq@X zL2+6y4ayo%pvR%Sm^_^s64&61fx+D-2GDJYAf+$=fQmZ!P|J(okR~_yR6qmJBHoJ+ zJz5WV@Vj0F%}<^ODFz*b^`ieG*gx59=#JZV3ld5WaIZgsj9wHV_m08Q)P0MA;l;6w z3=A(BL8Wmo>#04^Qo?Z-D2sr&;7tAr>JyJ%-sNB=usa2iQ*<q8s0QSbmzp3~_S#O_ zqr~tceH&;jzCyvHmvzoEVTKps+d$V!fDW?gwM_;oa03a%f=*VpnZeBPk{vc0f9A|# zXt@oe5aj{*{)sQ3hH3K~2~ht)0MtJa0M(4(+msYMEGL5|3;EkYYYsguCxa#o`P;zj z47)cAfYN&Fw~~C1#ySB|hq=_yqZ_nmo&nThaPc_a48G(SWc+bA(7-K&NB3^9rp`JE zk4}jGZZ`oBTae~rZ;#H9V+tPs-2^}bnUJdCg@OVo7C=iZz_&QZs3^SN^nx2I2+r0Z z<>0~o4Pc`|b^q&?J3%JCSiBDuW~`e7km446G=|qvP~9dnotfd~dwlba;P3$r2BV+< z0d~wRu!W$u3g|uqkLGFt2L9G5An$f>0{Psd^;>DSN9Qas8?<Jia}z|zw-ODH?l1w5 zUZ?*aopAq`dUU&7lYkUs-S87JK=aw&il9IM?O8qE05S|z6N1V%@C|~Xz=EC<44V7` zZSZ~}1=av|WQ>XccpD7p%$Y7Mnjj%nxfj%CWuC^&@bVu<fS{!pKTx!zofiVOY8Gg{ z0=Vr4*@0aw0Ghw(+Y1hnU7&OXn$|M#?L6qI`2%!bsYmk<d;Vtdu~r8kuy)rlE4Xxr zFsryQUU#(o!QTWrr`4nRpM8lBc#Z+AcrnPZUJpi(PHzE^gAZ6ey1PIIdupC^>GWY% z0M&&S9^HnWCCn-lJUV@tH9Y<|8Gsy&6rwRI0xwR;f`U{=#pAd$Xvh_Os3+*K770k& z?*#h=w7$vUbrYC+S@i$^{}&T6w1Iry2|5po!2_b{CFpLpo%Re23@?=TfYaZ9<TI<l zW5}ltF)%=sFzf*ZeAg6ahL=-e0go2mpeuAeu&g%#tq}PL3XX0cl?3qGDDV_MsFw8T zJm_)og*|99_C@fPe(=gQfftKFEl<#a7NE6`pw>e-XpuzoPkZR5`A*P6vQAKa(+OG` z$O`JK8+i1ZaCr2VDL{Jrz155!&A;tROF@UX8U6>Y?f^B=IY31>cnyRFsObNH0+fyg zUV!?dAQ^DPfG?s#tov{PtuILM=q!;p@aPPYw*ZB_2dJH2;n4|MU;taV4@%;YH3Fbx zih4k)xB{F9K<iuKiXm%DVS`>dDli=<AR#?%7bv6^CNnd<oDB<UNQnq?Ab7nThle)! z=1`CBP76?)X+2q@*ez&zp_t91+f(56`|d~<##@#bia=b6*AGCUBXJny8gLSV7qckw zS7zwZ{08YPWzad`kGCo@yqE^Eq1i^|f9bmyw<18PF-L{Nqxp!y;TP4Dm>FL0gg6d! zJjV^J@r?$kyjB2}*9u52HvKYii%k%urjs>mE4alL4Wd9TwoQ{k)e(pbyG<I@x?=T? zfi=AhZ6HlA&qdH8vzJ#6tQl5O@rZ!^3vPN{xx>KlTKqq&z*f+1t6o-{g%C|IUiULI zyx0axnqdFkeJu#8#${pBXZo2zr=ByuV3voSdVb%dmv!qFXr;+B`QQI$+eRMHwp_Dg ztZTMFo5)+B26*)Hc7oMnbCf;EQB5AbtZ85+p!IE2{{4TM3rhLDwvk(u7+%PLrh=b> zMnZ)qGBdmconHwLYY+n^{`lLDf?BUA<7X*|qXeT2Kz-~Y9%Tl|<v!iAr?{0t>wjDK zF)=WJN0mK#O?PoCgLa9^b<3{cR%Uq3)cL#f{>9hLj~RQN|9`&$I!m6vIT&P3uS|na zFY9V<Wd`3~o*TZso(hiO`+q>IKm{HDpK@)z#NTTLGx>uf|3OJZ$eJ+lu)wy6<c8mj zCE_mK4iS!)KT4*%^!hWqS{^Lvd+Ec(z|b52&oUx{zx5^~1A|Mi`+twlgPk`%xbSau zjNqSgxaBr~OEM^!T2F#<VZbL)YgvQ8H5!zbjvoL`q3r|_ANUXQ{XYpBg$JFq-_3iI zOPQhdB>&WdEhkGjn{5x4s4y_pOL}yB8T{`AwHH7oK?W!bLq_mGTmz48*07n7CbEY| zH?I~qsHYAZ@$44m1G7U^EM9y$4sM!FKwgm#o<@=ewb{Bs+w@^gOwV&*rOc9$6bMSA z9H5E+7auNwau!G_ctBP21iUpT2GJ5@g`@?%YH%-93uH><{aLWd%A82bz|%F;E`V>u zbWzcOTzoq16j)&_@}wrXVVrw`f#JmpkY7NJI#4MW@bVsL#oEc2r$EicZb$IBg9e}? z{FsXh2g8eOCUCwGU?|Z8l}!d7y}TY=pb$C)x@w5gqt`T(QyFv}C#Xr#c>!W%0?5cq zuOE4I9yGiSz6%7J`fM#Yl^NRQJvtA*RQdP+zia13$AhmpJ-cIWaCmjgTy9}@Y<vhh zSjwxL<+Rsv7SOSh3@=xJnx5TG2LHip#6aN*T5I|r5sjTSDk>c@D)KI%!!2HT9s_&6 z1bL;16sQU;I1icdkq5Wd7o7nsi$$JP0Edz1dGHD)$WGT9h$;r;jte-*l_9DiJ6*%h zFfhDGJi);5!UtlxC-Pnba5_oe%>cRZ{l&~Jpu%6dmzm+E9HL<i%Iuis>lJXpg;u^o zch_|X8Z?7%oMI>yYTgC9MU$bF)5DUBzqJK)G&1i=cF-6~h>AgXRD|W}l8oL$X3Nhd ziM@{hdL#dT;NKVp$!MkKpau4wB`PwnC0?98#SB`VB>_4m7ZjBSod>&1RAjoHA}mjo zuvmU5y$cF;1&`(<8izp(Dj;dZ9pp+ZQ~#inFA*uNf{sRc5w#1{-c{*gW_ZaD9W;UZ z9Yi6@yIvQS6&~QroeM#?{x-e=UE>P6+!?gm2DDibM5B~`&AUNa926S6;h`bS-zve$ zz|bwbn@yRa6MP!c7L^B}g^k<lk{vo~RID8u{xkBo`g4GaA?N=tmTnRJt&SjGr;Cb9 zgRNB#_(r5y@V<aL1)pBtpKQtuA3XUFT6%N`8SrnbO9B~V=F{z$0b2GI;L$xP0aQwL zLbf~j^iBiK@A-7k15vLzeLHV?c3$@BeB}#jtb^K9j{Mu5IY7&5TaJQuWkSaCKYDZ? z^5kECaKZ%7&MO`VUxCKr**!bY`gA^Y+y|=h94|kc;K3Xg;KIMn%C+Tt39Dzf@asi> zy(Sz!oi|={`*utFbgu#Lgalgz3OrZ-?b#f@mS6ds4}+GqHB@skmR_IW$iMo$ONSMB zS)EU3iAq9;kBY@>HqXvOpwUB6!>$PwT-yUUd@Mf|SNe3vN%%0{YN+61Ea7Z9S;Fek zE%5r14{V5{n*-KR^6caS<ug$6%>fD+ffo<FLHW-|#iAP}9%@v~T)JIUTtH3R0H5v> zl?2e1j1F*`g0#I}=KlvRLxXt7qw|n&=jE4MKt;^SmtLR=hLhmKpPFs=<$)R;E%QN> z^4)F$pw<{@NAEGn);~tj)xe-h6G(H-%>yJ4THbvOavL^S9(0@&NZ#<kYi^JKEs(3Q z{~z$MbhF@Z3kSt(H)LCi3;#CA{xtq=oGvXFOJXMQZ-eYOZTQ(x>I~A0V)uW@ZJ%IO zplu%@RYD+D$S!!@bMavZ<lgPqjbJ9Id;9v3N4KAWhb81<ZvJMFAHdPoa<ZfoRE0%& zbo*s^@UK7N!R#l|Y`Z-dH0S5hY`YD_;BNt~Gy@grpjhB|F$<K)Ae(0(DHKm^fY<7P znwB02{ayKxS`56&zylOjpq;V!3<2F<2U_w6@~I2|wmIO-#$EZh3Awi1;-7jDy0+0o z<wd?0WCn=?bk2xb=cx-XLCeGrGBGfuwI1Ma-2tACm;=tf$DC6b!TErHdv$_i=MT-} zX`MAHHff+U%G&2MgO5(J0-d~Pnbzr?-~sBn9uxwZ-U8O{(d}UY@>d0@kmCTQ(E<<4 zQy#}1z}?seTbB$_gHIRxLO=fPB`P-j+yD7=J7oCquRrd?*sKAb8U<}_^zeX~&7<<d z!3z>n9H70rpfbm!(-E=x5tPba@CreOhAcrh&V%9<6rLcZ{M(|EJ7QF<8-6qLw`wyn zFm#uwxL6iN@VCl=I58>?o%h<KBKWt*sMw^n-sW#B1x>eXt5LCXX!+0I0;)HBpi4y^ zTNpg}_Z|0W{^88ue2tNT!LxhMffXza4E)<~docd??3@GPZF5mE^RNZ&PT_A}3QFk* zAFz4!@+z@{k9}l>XJ-CwkPDtWz>~<Jr7PfNE0!ntr?{vHzWC(<@sdQ#ffDhK78OuY z3|e>!Dy}^mkAMoI!yPUv=AfhKkdA=jbz%hDa}aD#H#C~S8wEI6LFI;viuvmepkM;k zZq2s$vOufl;ysQ#6@Wr=f=9QL!~}?5@Ifb_<*_?KGDe_+1hgp_++YMny2J}LdC;&h zbOYM|h6UgP1GFxfv10}c0|R(1;7c1&`?HrdiviTy0c~sxQQ-h>!ee}K+>n9c^?t(x zFD3u~|KD-|d^QvR_7G4^T;gxB1UICnfYVubiHgI^aIgR*-5P#-=><Ae%~lHJe*V^w z-~a!={0|yB>x8VY_ULv~@HqH@7vz-}`;LKj`#~g-a~Qb1e3|p}|Not!_<G^ChM8ff zGpLPYvxb?W+bIH6Dipl1-wSTy6e}Xt!QcgDX~!TTY7Mq!#$m8Bng2qN)9OKkv*0$4 zJ*Y7QTSoGt5TdLRc{u}UB<+R7F>r$kvbx9nFayJju>A}SFB~DZzee69sSa{u#3lyt z&0QI3paz?rN4GP0NTA|HKj@;VUe<mwB=>@C*me|>lPbXOeQ^lv-V<Mu+zTFe?uRPN z;NK3pw0s{#rG`3^f#8!=Qjda?zl(|;*ud!!W$hRS+Ci1szzobi1iH^|9|OaSWQbc! zf{=^`Z@8`6$iVPo>0AbeZZ~K&?AQ%<?;Cj}rQm3|e*`%i1R<`N`WMMt;Aq%!1mb(h z?QgFRf=&B{ydVx7NE44BMZ=MU3=A*M?`2?ku?u2*oh_2>;DN`B8^8vgbwrW?A85N{ z1E_`8)Wpp2GWQ#36Dz1;2E~Z>8F)Mr)aybWj|6o=-~R<2%5pM|U+-Wk8-F)9_-Lz- zN#KUmM^JWztQYc8F?byX>QsPNXn>aufcI?(@Q0uD=r*1BSBU|1KD*^-{yuOj|M21e ze-BVEz9iqHo3#og-3>Zh+ww%oPEa`x9ws&bwOTrFf{hRj1FMlO?*?5tXc_?GX@2%# zJPdNA7l_w-yT*e*{1RwJ`!c8&;P}KJc?fi-$PEw8)1Y-F0^qSW&`c%2AglOaC58rD z4Uk75tBW9GoiDZ@W@32#-UDfF^B&aDYoGWdF1=p*qVy2x<i3+HnLuR<eE9E$Tq85X z%azC@K%n4&jQ<#3dd+>DMezN;wf8)l53zVy9xD297=6DfXnjBGcpSLw0u4PTeBeLm zr~taL6?EW#=V1@<VZAOY7Ox@a2VH6gm4V<@H$Ez$jW#NvFf{P6yujaN1S<YP8v=Qo zAc_*et9(H#MnH-zJS<NY?E($Dd05^osRJFQ!5{!iqpYSM6u?;<baH`bF{D#1@S=Sg z#1siovE%`AoCSEVZ+D1Ff(Nq~hetbf2<ZO-k9H^U3Y{0mQ0IBHFm(H<sDKtNgB;Zz zqLSg!DRKhT4S^1-U3~1(dV2?G<kf@U<pwCyG&~MIW(L*y9^Jf)--BEPN+!L$+lxRh z@=+0Z@pUQ8Mc@HT&_>hNOQk{{?VuZkz&%+<iI<@BK|n5c@aT>NpM?!Fo5SPaBW6%i z5qQC{9yG-YI)Dvi2&k3j$uYsBm**gOf!>LiPM}d*>w0E}mu~PVM$12-qk_@qtigGs z^+0VQs5!vUa<a4%6krOV+m0r9^oktv=oaesROmeE0U8#4$qBk*%c6y82k3AQ(2A)O zppD&J9^F2Net?#dy#qNFy!aS=fSdzJ87S-^QyMKypv^^~aP7SD`WPr`6+F751UxKn z@J~MYy4j<bb&VcU=>Qs9d@*YcsB}oFW5#+P5`5qhTX|9DjXM7L;vBeF1(`mU@aSZG zu@=<T>t;~_Z5<T``C9^fkU9LCvlnxqI$l3|@vxSe;q`o|JunI_e8Hs+w)p|jegXa# z&|$nDjnx8wK|P$->ENQNh{L1P$fGlg!=pP+zyq?~w8V>l${`Q%^blBSGvu^h&@En_ zKF1_L^F%F|Km$%X@hS|ACE#5Lkg~y}m-S={DAlp3yx5TP|NrYJphP49o*7Bt0Oc7M z6^&yK3XCrffwq2hgJze&=?`)eC}eNp>qd{xdxn=@R{j6~AJpr9u?*az0IBOXFue2< z)WC<VsJC4L8e2GC!^{A_{~2Tb6lia=NAnv8(0Hu{XuK9Y(j5U>%+pc`N(bHGttG~w z$&waVut1GU0BEWt!SKLKP-g_}@@3FIga_E=p!OMPUyz4KcQJ<tDE2yyJvyDi$LfRk zVH<!A0GCWY-F^a|jXy!5Sh9t`9d!D+Z|_9VG=*>HWzX-oJS{Kz@cUo#Jou2=^WblL zU&YgYy*zThy`B=DmOo1!d>F$7JS{Krw}UQ@^3lBHt9ik<^PuPV8=eOrF?$~TVehMW z!H4m<Z|4b*)=PD9phY?fpeX^+sJ==BC>%>vG<-TkR1`W~ROEd?=MHq%sBnOEgG2>h z=&WW2FAA0iWrhf-uS!%jK=T6-Z-92wDu8d~ZaDyoa?4nFlv{W-A8|PB(aU-*2^!^l zlORzpqw?YtsF4Q?-tG{Uh?fh%v6!O*(dN^6!K3ryi`$Es8GJfVK%(>9B2aYxsA6V# zX$&bs(9-uAP?E*6UkJP(hQ9^8&JQ#f2)dLJl%kD1I;A{1i#Wg&grJ$fVCc*rY~M_A zkw>@7W$<}^7NBxM0XozZqayJ_0GjtaS`U;cfeJ?O<_GWzKQBzcsTZ{A7Cf-nDe-zS zXrK<f{sGw>5tSD&e}npAAu0wQ-BK^(;G0337J~fB1M;glVq6H#zn}p@)G`EAVM>5b zjA}hu;^+anD;k_TLDknhaKj$7j2*NeAC%iXIKXO3qdY+JbqIL}Pz#a)T+OwfED`bO z72UX434F;s{L)D9&SR+Lmju|ZWB86^*pvy_<QAC8pd9jgHdw3xSqyxp+6&oAW`>s% z2=AiT@3$cFi!vV$nqck*U2wqP0y=Kmqq~5^quWmaRN9AmK(ZEp`!tZoP7{?Ezd=L! zplLRcLtey!voxf@RR9&O&9;?M@bn9*6g+xa#S)<D_isEn{dRi`cr^cHsZ)iTUv2=Z zU0>uwJp^9Zpy1KTc+63O@%0)|d_#?b-`BVcl;dCQo6pPuVQrZYify?HW`>uXNZv>F zf0-R--KgNvTp_>!DPp0P^s=tyLaL>}jo~j#LABJra%P6tYhZN)h(<|IxXp(&@8IU= zNFkXIK6`!&*!(#B=JU64fQBqk=S!Py?=JxDu5X>g$N)JMj=yylIJQ9L`EeH&P%nbP zquG{YAy^ssB%|gU6$J+V7SQ%Z!;`OBAvI&~L<Ud|()bIsZLd5M)U_1=Rrla#mIWwd zD1i6GGoAv88niHY9Crc*4g<IjDJq9Ffk5Y6m9m3W8@yf)roeq7@Lt(&P;+q-D61!c zA{El$0<UxcwR(D4-+6%6E`iygR0+OPtrx@vP4Imz0Uh58aX9E88joI9y#>%|wXGn_ zds)q(e2}#YAZs{Y+y<T8)yv8Pm3g6E32~+dcrM$cm-W?rs2PPIGrCzHf%zcoTMvLw zwLS?JU{QJTp#r9L52&Q=<=qaJfu5)H;-NOU|Fa1!2+9&5Gr$85;kpbAueW;ivYJ8V zUa*!yi~^k*z~ABlG7fyGD#)KJ!P65iDh4kNK;pfuU;ZHV;J}M=(iVeKqd_S%!%NUA zNKitAV$}5Lge|>;>~MwJq0qwM(aSr39w-Q4{@^SDxeb1*<*5>8hSw{g#-rsYoaVQJ zD<>Bfi23Fy=C3S9F+U5B`JnsxkneixWqmUj<Wv@w7l*;F1dYldY7dalxS=+Ks~inb zDuXthK#TAZ+!vpVvE9!%7nE^O>c1CNU;{z%=>w`_K&NhB_Bi;;-h=U$$HiZu<CZ%k z1w1-IyOJYe?Ym+xP~wyD=q-={wQoFnWo~-(MoWO2YDn#UfyI#aK4dNCB2Z*Q4l)6E zqTu@?U`K&$oCPZ4tcyYIe|Qmx65sr7%@Fq^m+!r-9I!C!2ZdR)?eaNF3=E}?9>-Z1 zfmk3dy{xb2Kmr!D4iwA;T_$u-2b`pjg9TVrUc4(sjyjKC)>h=Bxj@SiUz}b5N}5xP zm>FIsV4i;u+CqT3rNpC`H3Me+`(n`KxJ8Hx17ty}KTM*m6ucnS0=ys<+JtDfH3zxA z<T|Kb04|1(xu{5h7I8|rLP`+<(Cvr@9iZyE7c{mAN*-$pm>DK`bhG}M4e~B1`859k zWq~JPK99-^Zm<`j68uw9qT<EALeTXG@W98MU(*8BU?}_dprxA!$dwVG@k5VZ)^w-? zJwW9Y_~iHiP|L6Yls+OrGt(9xy{1Lrvaken2se0oLsl~cv=aU{e`^pk_;$$d0?;;H z(5&_UdIkm$#_t{n-%EjR@M3Ua;BWfD#J~VLV$erL1Kb*a2%2}TN@nJ7y$)J+zpWtM z!J;UgzZJY<zx6hMs|iR0_y9r$P<akYz~F&w@aTaDXyK^G|BE08D}dZu;n7{J-~qZ0 zl(`t>43N)2)dspV)_^LdlOV$kJV0k1O$CkJRDzt*4IW@FPIs^<Oy_R}9arwrZR#2f z^5ZxD)_V*P=Y!VpbjqlJ>LTz)IB*jY>Y586*MJu_Re&ykgVf=W4O$-EvcG~rM%^wo z2Hp1ux{U;UE(GIe&_&Re2g@#l26#QXy};A59tR)tf~|P*#^V2f(6tsQm!$25-ZmK} zfp*)ZJg(a&OF@={uSi3^Z4$K5%J3v~-%q6i=sc-|?|3~dzw<Zo{RbV`c<?zd__{F0 z@1Bi6AQx$R^_sAG^p^ei{C~pp`vo7xV?K(<JuLqftNL`a@&>7Zw!AxdNL~UR_SAXK zr}Lo4<@X+nzd%PQflkjgyaYaL%mX73EkIFf@&AJ1ftNc$b6*F*J7j#iS%1D!VsLC> z@a(qv0ooHK;Q?}C<1^^avG3rWW7;4cC&6>>9^J@c#ox5!FW4WTOXV=a3+wHiN*>*| zu7RN2Isb#URd{IL1D&sN`JIpAG0;IOS%3fkH@y8a8B}9|PyGPpO~`?6pe<#frOp2@ z8XkC=22yw6WjyGRhi+CAR8L5I{6B}{k0}s;-1+k#v|;`#xQs`>Wwe;Xqx1L6X@B5; zLAhyE)T37h9QQuG?*GA&av0=V%g@D19^JeZ0U*zS_lSTz1N8~`P%C$kMV-G5PrkGT zt!B;3WoCG}6Y2OS)cTbla#J_Tc*6uxqK8~!4NA1&OII&?bju2ZEN%P^PBMw`Z7%;$ zdwjp_p?J$f@wA8K<#O<Hd+?MLq9z2_V4#i`qwM^66^3ID>5P#44>~33tTCu>1ui^Y zK(!xY>E`Lz>t3wS0o|91TpXgizYWwsKpP(bj|dc7cvu!2)LMFU2U~b_I~#a_);(HY z<Zm|x>FD$}@aPWK0N-l^J|?XjG?~)La|q;YNcR?0o`PGp&;nM&qnD)&b#0(6C@^+{ z2oHY$6QKMp0Ujm<_0oHJnc_ix641FnH=rB)z)c1P@Q!EjI2xpLU2Fha90=~POF+8* z-PHy@-Od^wt^ex;JuLru@cVxUb>|g)ERUCld2|<Bcyw25fQBdxd_enCK^=SzkLGFv z2A|Gg1D{T33!hGJ4gMBJ@aT(;ipRlc%pfa3W1P8hAV;~V2)uY~0t)#U6_1xUK@EdW z8x_#t0|!j8z>7<>K&^)u6_3|FFQ!dmW_WRN5_m=CPE(}51$e25#Y|9@%#_W{@G=(C z>xZ@G&YYRx0h&dHY%2#3elvnr5kb!oD$)Zlz$#($u=J29V>{-eBEWE%e_KHE|5FXW z7|XdlEIkVNr@N>K9EM1Fbc^nJp~TR_1iFU^)T@^UElxl9k{2}E3O-K=biEO1{Pg1M z<%S1dFD7KaCbIoppeP4d^oKzfmwjlc0Nu{w0Xod~FlhfHu~x4!JOFRdqP3q)AoVwg zN2iX8N3V_wsADA1!sv0l@q{V^18DT2*>;65XjMS#3($dzpkwA5FQ_s=cHA^RP-S2^ z-uOWkTpKcY9B=#qWj5OuL3B+Ab)S1#TVp`++{mEDz;LXQLyZBnPv&@|g(?FBXq`f{ ztqVjocymdw==MrblumR|1<iAHdVs7GYzzR~l>oKC<9K5Rl-X>{2GM;3)LHBm{TL0h zYhr;a$gT=is9g=J3=AjOKzluI_<;RW4{8<&Hg-Tvp8+;~1=L87<Bc1j%x2pa5cRqc z(-%Wb-vKuL0L*j&H3kMyIn!)g1yK#Y(nPRPLXCleU$9X_4HQlmYVdG!fHIqHy&&o* zfQx}f4{!j14*p~W9gQBL#=rm?G;X$4hA6WGH6jEX6ClPGfQ@Z{n&ol4u>;C%w*Bl4 z_T(>6W4E($0@&CYuy9`iH}(uf8E9aVU$Ail#MlF1V=q9>@;Kgj1Ilc+odZ%|n&;8k z_yBC=3y_h=8bP7VV0hrQi$~`nkAn}`I;JolU<RGEbG(J|1ZYP+$Qj357%xEC6FiQ$ zFy4T2K<o!FcCQm7WDdP%i$}MPibwMij>8_kJ3+R+Flc3Fc-`&MyA$M2!vilAT0sTC z&K+u?aex;>U>>V<lnN+uz62li+`ALx^B3P+n89M;Gn#sLf<n*mz>9}1VC@+Y?U%tk z);p09?ejo|Q14Dqe7x8J)t(Fy1I4M~fftLw8g?o`v`+!^Sm#2ub3l}WQi(?|Yks5( z!;2z_ifX8e{h<22cPA+M86J2M3YOeCK^5#3cQB7N0ID<=q7;;%J$hM%K!&M8ROmrf zh(J_;GfXdQMVT<e3uds2ouJGEx<=zmGsv^7e<L8?TLY?>dv}8Jqen06^9U7&7nhnL zffNIg0%dZ;126V~_3gX?aoD<MW`>uHpqhM1Dl@~&^~g0jq>M!?U#vlyruj_)XuLeb zqc=w-160CPcyv#E0ZJ0PAsK?9!Nc+ve=`dc$_cMU1{40bfmWn})`@{eU?Li9-?)M& zLHB@iOZUbZpu(lI1a$t_&Iw?4jfw;4Za-!Q22kw*J`4@CY|QY$Yc}7`hc2Bjd|OZQ zw@HJ~Wt{kdfq}ud^-`IcujMJ<&da|1?iYPK@Azt7cI-Ur)A`_o5C6uQAT^HsQx3cG zZ@cZ=dB#`sqHpVMUw+qzuh%>70!;>e@ZmoQ_6%tJ{opHJPs?BY&7kw<L92x<S`L)d zfyORDuHgXHrUIavPXctbnF6SI*8myuLLKZKaDxNX#Irn6ZsMVN5Hf;}2%>JVN5MXH zQAzOZJmUzu9U6R5aK%eGP~{5RKNzA?0a{qv1ai3H$=AC;R)H7Iyl6}Xb!L53Di8+( zf-3C<$N_0#AOqTGf}*A6K&fAYZKI1a14F6h1o(pV?k-Sd^hW;goCRVu|70u`gxz<< z;c?tS;NCA#6%LBy<|74%Up!n2T6__r67hOBC<tCGOas{u;xBr!bO}ff?3NIf2oJa? z!8av?8a){vU^8r!nIY>}A*l;_KBm`2<ppGYqbDfp8{dFWOY2+%Ub6>U-w0Y(<<UI{ zd|N?xu>@#^rbqW470~K0(9#}InFl(44YXnnw7LqksLZGHgyG4TpuN?e|Bu1+qHFTC zJjdT##K^$l&cBVtj=#B&iGjhHf18Zl_sbnID$*{BhxxbFs7SlC952}kS`cVq`2V${ zM{gw~=zt7}Z80hpKHVuQ5kB2}z{gjEdNIxaIf^?yJ5P9Y{&WPTZwAN9PaIqBlpOW% zP5H{;$#}-I@einN$KNc##K7R+z2p(7XW|Mv{n(|q?w_mWZ~j)$vL%<^xc@GlKR&qf zZ;Vli0H<BhYVc}M{DLlA^XW`cDFCHM4^PmrHmEtF09s%LT5Si8r=KaHcml0U>SR%Y zEKpr`6|yD?++uV<QLUW}QLO+<OCCOq;OmGSJX%ls@Vg!W`52V{K&uih9Qn7&uz|C` zBmXuLzVDY5FN1jCqai?t7|M8bo(DA`4M4F0Y8Lo_PWO0u2(-@G@b*iy|NsB{_lleW zO>OyTp7QLx2+qr(gT9cn9%zG*PxoR2W(Eew78Vu%ZjlR~ptB7@$2Nd&gz)bcx#HNu z<lA}2v+*&gkLTNY*Yn_OX3*IVpfz3XpyT>Idnbc7BYJjT^Emj+-c#|if3J;{Z|{Bv z21N$X@Av$BWu$z2XM;}s^65O~dGIB(ujRQiD__fx{O#cLmO!W4gO+rHebJetlHk(` zi)c{PgVq6nq9MZ7@Y~C^fB*mY?fm%qRD&&_BPh#Bcy#utfLD7#7od7H9s#9o@PXkP zpdC4|<xTe&GJ&(d#_Kaaoe#h*{}<iup!u&4KAk6D6o8p0eL6qA*a~Le*a<p7=fw#y z=K|!=zi0@@qx0vBfOgP;+o^ca{w3JLYUJ`<g1_w>q&!Euj|*NrfD#hqtdHhO83z8A z$snbmNg~cfND2Yn$7tZu-I)L`?L0X=7<tt)K?_Sf;G)Mt3x~neOmLxYPX!M~-m@7X zg$@QD-3?&v#~r|ZAyD5i05seVN=Ol)9uJ2{ujm0#=Ni;we(?{~yoHT18i0B_;5~iK zwmTd^OFRo3Y-ihpf*gEoD%hYGzoD)*0Qm>J)xp7|`H08i36SC%RBLs!rh%LT8el!f zqM`_rfZWm6>!P9o(k1{B0&ngEF+evgFuVwEW@30f!=sn=mn*1w%A)e(qCKd%1dV%_ zg7PM)%hw$!(H;=d9Vl_}Rr4c8k6w{U9=#$LJ$i#2AYD|@7^T%+sJ3Gc$&6{o9TGrm zpnOykIy{mf>pj6|#B>KJcpQAh>(LpIam*o=A?>(B3<JY4hcpl?4iv(oOm{#%mkAR< z%TOkGAWl_fyytQ7os>u8KhS|9{LL@HKIZw<!syX?%j4i{0nmyEP*-5v7D-Tc@HqI2 z*Q5CnJOAoy4joV-@C9e!z4DCUi=9Ci2quCGp=MCC=s&AIsP7IsO^Z?1<)#vY$A4B= z5C!Vr_sVjmLuLlKUxtEI^Y6Rp(frG~xX`0lc0P+D1OIlJV2{p-43A!sAdk+=9v2^Z z^qL-1Rb=4bW+Ud%QIX2Oy(W$+t+OJAe_MzcV@E(5|MrkLmbA`*xR-38a0c~;!OM5S zQx!hnL7@q{ATj{dhU)wP$rY}k)>G#N$eKWn7Er!0Qek3v`4*NhFzX}mdM<F-qn=j) z+T;#u=75p{s4Wj_iGs#F6+nc6M=z_UDP;Io0-BmZ(<m?pYVdD!QAy}<Q85595<6T} z3_+(EfXiX<xdxEg<6}{vzA<RnqV+(D1ZeedQp?E_wuXunhEiFOu>!{&QW(GtQ2Inl z*5EnWZU+TW$q!jJ2})zvI+z(=AAhkw8t?f$68vqDjbU$)@1p|Ed9{ESLcZXSh2?zc zF<K6gEZXg(;sIg_K<86IBi5j;C!j90>oJF9CXeF|puu%eVod-g+av~$;|`$SD2UDg zhi12j0(caY*+ask)1$xx#@FQC=nE<<Km*yJ0dVa&h#SDuV*#M11>`Q=7fDJ?3@>&6 zqpi<FpFj#xQSj)V1*%P2m?wZ5P8ujDeVQYm6bV{b3mf6=mN^Wq%R*Ev3=g~(Yhi}g zH-4Q{Zi8xW&t4Z5Mjy))#Wp^@GHjmT4}&VBQZ2Y`o}EWP5#raY!{F7+qK~ksSk$9i z=J4xvo}EWtuLOArGR+D}xIK-qBO#j_nHeUak5BP}no4N*bwl<DfF{N~z(dX!;Iz;^ z1#;pT_*xvd+W-H%LCFhr^a^OJJt+1(zysWm!KFEPQ<jH93&Vs4@L)ISvh0S+bkK#p zkQ;{_A&YWB3&j{Ocy!B#*r+got_xLQ03E9gG6Qx}4PprIFleYwQ}(AfC_Wt(Kufzp z6)I?jT?^>OTK=|AAdT>o-8w+y2_VP6aDxuxK~5ioj+h02GF*a3FRz9XD8qqA*ubZ3 z^gw+I+Irg!Jt_iJgM-HXEx-fGpph}qQsmAO6%Np(g@8wI4Cv}w(5eouQcwv3YP^Gz za6?5pLun2qSHX+|U7Z11KnFYE4YZgVlno_b^nuD8*wJ^OS{-_Lvj-!ihbHR>FObJU z#Q|u_p1<`qs3`7)9<<S&qXM~R9AZ}hGzWu<e9$q`B8m`KDI9Z1XLy+dF8F*v%W$Ci z0#p`&DoqE-7Gux|Tw@JHi^fYMu=2w%Ye1vkw_jF(%3)Y2gL5(>#5i7@Vt{UND-{E6 z5COGRAQ=?lK)9XA`aV@btOM<p5&&IF3<?qta2*Xg$mX@TM=z_zee{{&m{#x%9k&Z; zga~>%r#5I&v@=9v5%Og`S|AB6h{R?L3Bgv-FyZYmW`>v7;Ke#}dq;r3?Jg*Rp_b?1 zq8S`ly#1D-2m+q~GHU@SXE7f3=#`O#Op=`R_<qqt@ur7m*9v9^h9WbM?k2FB&MpWA zN)?Ade&zsOlL<-_FX|Z?K!=?qfUgCFG&?{F3=oz7UTEtCJV_-13aplO;8bx4lvo2i zx;KL?^RWC->JHj4-q{T1GV*TqP+{<3<edkix>>JVfZV+q?2hgl6$1~(e;&O&1|Geh z|2_Vn@c4egL-C+T=dG8XpmfmP3|6NJI>5M847@scGFXK1ga^d@*YiNVbMSr;1#or& z)vTa?uE2}6Ajg3Qg+ZNJ@R?=-ulIO#v+{x*0%}0LxZMkm&?(55CWFfU7fjPZ%@lCH zVo`ZvG6k&c$1U^(aeEp>8TiJv7rYQ<lBbZAflu1pGL3=Z#rs}J_=2ZZA>sStb_g@W zOE&m0F<ShyK;j?0euFhR!L=C2i*zoC8!SMDD54ZPpa)6e;8F;@Yu*7|6oD!+@VKr= zrw91-JP!}hm~p3v0;D;2%mG~Af$O<r4#}V?3I={bhYD~V4&7qIFX#Z;C<9rb(ha@3 z7!(cQA{^X4ka(g06XF1cV-Cs8;E9fI(D(wV1q6zs7y6GN@)jP=2OtY{VLd{Q7oQ73 za_}n=w*)gYfKQx+HGH5nN_dr}dZ64*5B4o+`sz4nrkVj9_zIvI>oPr12@H|}wH82W z96ZknIR_Zj((&j36?^cDNS_6Q+Ba~=s0TAMylw@%0NH+tvRc%&^q@k{;Fv=SBdDa) z0JUIwR9;ktKpF%F@FoNJCLc{MIG>R>57b8Q29=kvumYb|#K8ChbV?)0SV(d^7YZ^9 zHc9k?Uy6wVd@U{5X<!1`eFFS#ph0I3wDaOZR6IbtmB2fdeuEa$ZjVYx>x@wm1MN#{ z1@Ha`)ji#H5|&jF{H@@H+THU&<*bKxl!8YmgxM`?ctMGwg&EYKuacPH37_Wh>SbX^ zG#>by!A-VqmcyVn8tC!^0np_K;0FC13rK_hC9g;GLw5enr#m1bpa=<QIZ(py(d`7O z#x+@ETvQl9;(;jQjI1^;Dh$V*l9|EFK)`K>Q};m$$wkGXg#kI4d4OV_<3&$CNEUWb zS;KE8{#Hju28Oiesssl9R#{N?19={N><OfWv#J8VLkGO?!J*qz0@NG<t-J=WIFWeK z-U%)&ej{&92Jg&RHwltaA?L3qfOx&E>bsB%3-F<)?H~zAw~<BVh2})CX@4#vDFbhG z2I=SD?xG?FDh^&u>IW<1IgO+Yy#2%yY8oWTWJ8qQ*@C2u5mZkJL6w0g=wG<>gU)~L z2A%&3@r)w!alhbmmILd+7P?p>RfXUaNh}}|Oc)aKb)XvWr9U&n%M|D_aA@gM5LAm{ zv=1R8X$}gYwB?}yp5uDR3+gv|Fy8d&HtjG1wdMYS2dCPmfTFC|#@M5`{=ZMJ8)UZl zxR2sN56hqB;1&XCpb52w02=ku{0SP21Ep#o%adi-eKk*b^!okxXgmpO7JGcZ=&5+Z z)A9#@n;gjeZeAX+oj)OVGJ(YYgDPBzJ^bL&PY=tV{4Jm}@<FLXgTD!^3Ut*3Y*Y%h zv=-6?r9@Df1a9DhOUmv*NH3Z>Py*C_179BxE!5zx$x~XOvJBj#0d1Uvlp3HD!Zg9l z1`|M|WuP%IkSj7gnh$b-TBzO7mJsw#IY=W6blqhy*dQMji5Hu)AntJhZL<Z18K|ib zZSoz5+`onziawnOUxLOVK&>#4dQ@4D&igMbK@GXi7!~j(E(R|W+Q11&=_XQA1Ru1} z4oaioeH5S`)XpBTvNOmDn;Vp36QIf<>$xUDl+D6WW(idWN!ZyCWm&tCECrurCInUn zZQX+Gb?gD12hhR5@WQ-}f#Jm_NIP!VHzbW>AZOg{17&JfCIjfW3Ma&dg6BvI!P~_) zKonlohbnvk%3iPA85mw%gP7g$0$t17Do~Eu?!(OR@)Ed>h{!L!E-KLb2m?VQUD)rh z;or{6Co0O2*6E_6Wq82w<jeJ-qzb*U12lWzdBMZ-Ab)c&SQvC*UF#+O7En9TqdN+m z-=M3Gx~nBT82|Znvr6l$FhG~e@V9}EZ}RH3VFzV4*m9ZUo{AqpY0bgM@_3OhX!jwg z$qp`x`_oaoao_{aD?rtm!^?C~Tdy-lB>+W7EL2CQi;51Y5(SsE;Qi&`G6A$cr8`EY zqPs+;pcCFV>Yf8R3lLOrduV<Jb(kbxO#Tf?&Y<-MCHbJjAAAJ#i{H@UEN~;q0+d-G zCPR(^jQ}@kUbNi=J6xv|G%5`_D98mgj{&_n6XY_;=-&&QOo%lOATbLM#uG35K#3l5 zo)BbFV?{UUs>2XaPY$$|sS8{Kxu^tygTS-%!^?eO9iVGv{)6}MH~(O&1#d+JH}?!+ zZfs%X-xk86<<Se;+!O=aRwV--E@$otQQ>^ioCa|Stb0=c@^S^J=mC{+AlDf{PMGV2 z?1u+!@dXzX&^umUIC(KMyzGS*)8MuQh(M%2>qLPv^!1|MtXn|+YHik|AgUX*fRphA zsOhcY(LD>abJ)Z3Mmh5C8c+mk-te$I;lb|&UNOS)LhA4T|F7@7IP8gSy=WdNouahy zz^Mnk>>u2Wvb<R8<zdNGY6;p>(8=Xt>BLd0;L!~lS@7Zj7oCXKFZ{x7kXrDC)qxzZ zZ-W(gOL%ljzCH*_#~|GbAclYkSWSqE#EWH(;3DZP@_8@1pt9g>7pN=%jZ}b2kpJyq zWs1mkE%>m)Wl&|1Ew>RMUN7sH%}BW)tgId+0dEHwf_S~Gi;p2G1DAtf{g9S3i^>Zw zh_XHm)4=*6?Ohg?7mqs`7+&NxGcde}gm^|1xoZRJ9=s?h0~J129?T3cOJN-pl=$aw z`wr?~VT%uNH$cIo^<=4yM{@-SL#Ziv-G8YbDCJprbPIZP3V_JgOC_QnolYDcos6&x zNFd{5pv?`hUxFh8biRp4cK~>8yub@B@YP$aWynkV6+vMi2x_Q+cBO-xL(Cxm*)>6; z15)&V0F~w1P$9^`<z0}F1XM@>tnm~`D71-z;e`XlCI;mC2^=utrJ#UObZ2IG`34p+ zpv-}}KJ_Qz@Uer0k2xfK44~l?$N{~rngevax2Q)a6DUB?gNPAp2yuXxr}nZ|t06Va zK&we!=yyPZ1Cs0>f@&TaP~^kY&N+|}CsYU$Lc2ji-#{S&9)JTS>lGj&<3<LC7jh7r zYLTlt@b(JR5>N>JcV%XH`3fb3K-pCQl;2uUmUw|m29DS49-V;#pe>iJCriJ0baR0= z!pM7cM{sy_a)Y>FRwM^VQzsWB3m*oj3s5GN^62#9c>NN-Z4oVf&VrN|DEs9=V}&4Z ze7prIAt8GL!ayoPBWMT{x&sX+{BHuyHG6b>8i0xbNE2FO2Sg)yC#-^pwj+4M26$m? z0ROfqu8tTLagW}@3eXUQ0jMMLqRkD|PXl!+n_o15hR4N0UYB^y?9q9{1H2cgM3H}c zF^7lcr&10JZ?2Lm56e$Qe5Lso-dqm+(?Qotlw1L&jDWPxKn{;?0gp~Wk52G8d634> zQTKoU5i{i=l^Wd>K`!r&`rkPd#AyD7ZMyuJi%JA|v<I@t&+yypDWDjF7+OGqq2M77 zh@l?6td*=tNl_M*Sw)IK3FMwLGXwbEFlg>Uo?i#gXH<Y<1#LYeEdC5{LZSf@e<mQ6 z#Km94Hi$;l_!IEx4XglF_u%2K7nfa-q6`$E0{q)TR05ErEFThOA4}g@1ap->2e&gk zy17vzM#qi3hyld{I9Wx2n%&TVglx@YQF(C<bgD68<`dNBCn~x@oe0RH_-@#?MUP(A z3&{Oc(7fi0vI0=-I5;sgyv#<)zUbrm9-yWt>i(!+))|jMYyDYNUi3Tv`|r`q`r{Fl zuP*}$cyL?G!=sls53G_$<prxNB(yz_IdCz0^i~Ko+ip|^uOPb!iq~$?@yeYZ0-zNv z;FeVZXy~fhwilwN0jj3LqtgStmH^z4>GS~i&mqTIg2$Wg|Nj5Kp+-f3fxqQF=z23- zTV>ERtkAI*@CZCOBS7{@fr38)R2_iJ8_<;0=5zo4BZW9<&NxIxV#5DMQ0DUJ_5ha; z1|HorK{Mwq%%IT?5f!8x<San#FOC;Ay^tUP7r~(D-~jDg^yp=MydJbp6V!5mRMDV0 zDcEMR7stB)qh)Uc%=qSS1+6)Qu884p0bSDtTNU#XbjgKBFYCXD(3tq@2s)dwo3$4t zpv@WvqB>dYK~y(u0+<4K1Q|U#c>_V*Ue-e(3RG@JI{*6*4KZ*ohlW@$sAqoOqw_E* z>?&R`$AK1nRcnA+oS^7<@hKM6PrdOn1Jt^O+#Q_p;x1V5#7kw+35pl&LFZ|rwm1=` zF}OScx6M)Z3xR9{7gwN_B8)HgK%4oXU2!GRpfH#4=w)Stx&5yL#NSgNC^2|wv&Mm_ zPS$o1)y<j#ra*`LgBl~eksxj_>nRWg@^_*WD2>9m33bC*FC;+j4u;I+p}7~_)<$)2 zFKY$N#C!*E{!oDN`6VHV1Dro3JbHQkz%o24FP=Jr>~>L+IOf2`1S*XzAWZ>K3mY~> z_=4dlG<idIW<dAt^s-KuLn?j24S{Jnp!}U+$IS538{%$Q0gUE;eNfKAXx~}zZ}Z@4 z_{msO*6@>wzZJC93)}(+xdb-1%JJeMAGr0~bsw7M8toxrp9m7rX4L{wovgtis+-jW zOo2y-L1|7E#O-DE15u!`e+mjIr1rW8s4*_#(H)`S(Om#uEA9ZgI|fuoLpRZM#;8bu zDqw{dnjHT@r4SR$te<uecTBtoP6JUOs*|-DM0K;KfGM~;LP6YK)?*+F<c?T}fB(UI z$31#kHO?V5#KG-C`)p9Ka@sO8fR8r=g(+Hi8bZdmP}(D+I}(%_K+`849?ggCL09~O zHxO+E6|LPy9=#^_JR1Lf_|L!qY6*CB-Ul6y;n4Dpza<Q`g9v<LXR8fJF~rL?Dgqw8 ztRWyXK`!RD1Em}YA9NTTGe~8(0LVT8k6w{m9*w_1X7f)6-^d6$avr<}oWHf0k%8fb zFUY;169Kw;g+L~PwiJ1T(nPl)4ii8HtKmt|)NCvCYH9u!@Mh+24C_ifAqrbAfK2QJ z-Q3g7yE-0}Ts$~DdU+RsnC+nZ0NOw-kZ<mSeFJJpb%F=G;I$a|@ZrvDprwb%_LQ+0 zo_swUbg>B3o6WT#yLx#9JbFC^UN`On4Sl{W1dly{hTFS&mBChl`ira*AZ9NsCx`-B zo&dERyv7DRl@F_z!MmowmJ1?<X&JlWN$@ca$o6wV?B@d6{}OU(ag2%p#2tIUMI&g+ zsh4$f94H)ER9?KY1w|l8kVr4H8y<LR1u{wV6D)F@7lQgBy(~-~y$%8|WkHhNa9deG zTr{&g?}0*6%A=R%w}&k#eUx!|bbdBG@De;@toZ>VSRCa6H50UPsQB;y|KKCtUWS9F zm3vve&m(0J@LjKR8K4#Q7j}Y7e36(4st}-@5M+*fA~OR-jcp=m>C1LY(ET@P<6q$V z0PHW6Gm$-dStDYhLC0?m3Od#d5Wn?6iH=7%uM3!cvXu380%$4`)T3{`RQkoE(}TmK zo7D~^+sP^qqPkf%KvXBICWz{06#`M6tirKMpk3d+yi6cIICZ{=f!b$p1F|m%di1hK zC+oEsC5G2qUwpS<W&ocigVDYPEyqNiv-0R>T>vt#^+1U$sQLm8KX7<-@^*s+K_h9P z)4doN7#Ut{Oa)C!f%3v}=-~&@LlPik%&^%ik6u=HsNNUzKml@`MFpfEv@cQ{G!hAF z-MIb(xg6B`XgyFO1F{!XZSuqP9kYZ;OT3=!(aU<A38_8-?|5-b0~LG%Aa6?JtxuMN z(ji8D;^1-c0W+vaWZ?norbK(Nf)>ewTmkO5gPTd<(d1s<HCiAigT~E1K^OOcXD~r) z^cbZ;v&!J28&o}jP5=fq0l}Nv5miTT)IX2r-;CvK9?b_FUeEUEWo`P1luW@VYn7*h zyyIxj%m6+_4pffAFiLq|=8wKy2NWC46#|T<(jMI&pe<4-JbE3#OZmWCqaiD0JbGD; zuOrzB?lCii?L2G7%<y_P%rf-xgt7>1_H}!J4tHQGVe@GI$xO)NW!KOx?n(i9HUwnx zB#iW_04o1c+xN}3@&TZO4O$w&HA#s|0cbN;1!#2nwY*O^%Q27t2Rs>1fR1%J_<+m1 zmxmEFy>jp+n~&i^56d4lcYL~8@A-qbJc3pzW%%`qaDo@mKH~Cayai^xV)He;?B6SL z8^YuEHN5PxW0I6)@E-ZBU7p_`dmMZv=#zO#em@g~Pv;FE%?lp3AU1!qFX(>sPVj8B zi;9D1H_rjks^Emz%#h&)$bmsVmW~4at@9Wd7<?=vCHPx=!7NXO5(&dgEtg8JfR?|4 z+nL?4bKfU;bO&m9H2+fIZ+{3XzB@r}<6cK_vlG-~gf%%zKuwMs(26a{nkG;R)_Cy} zv}a};_`DR*(F))O5@^609Bg3sfx`i`4Bp};XqmL(rI(=Vhzu`*PZ|duOA1<c)N+!) z1vK2|11bbShu*wc0NN=58kL#g(H#JFpE<~Vkn<c*dGPyR^ymfkRAN*LJS=bWw@w6Q zKk&>Fbmkv)>#u@GH?Oas5<?3kC<{7xbn{#UcVT%gAcCDN7eOt~1P{=Pdlk@rOFvL~ z2d*M>!A$5ujPURRCqxmCZr){JX|T%Keo73luXuFx-tvX(KJTl<@cIBKl)&ecf;!Mh z+w~KZA?2F~WF5$Hh%Ml90AbT{7L^}AL_u@<lhi;Z1E?^o_z5Yk1e$Gg#6cZAagR>c zY>>6hw#mMrwA<<e8f4b-=(P>;RbqG%9>~n_`q6H1*Vj9mnE}FbjAmxo2})NlmV=fz z_OhNwKG_dk?)fEx@{WWtGsDZn;OglNs5=5)90S@+S*i~TIPlel9EP_+r+XdtusmEV z?9pv<%cI-oribOflGn#vR4f=k8~Q95!6XBGEDKZ&pq4jDXlLj2vMvz?`I<%Lg|f-N z|J|&iqACpk5A0mMYSk+6d9nvzTJ`dH9(*XNd7_imLR5v}7^|r$=(@dL)-0ILPE$~D z!D_7+UPjCeuRB0?gD|T7pz;ps{sE6(Ruz~ji;Y3|bKMXDoh2aU(aXvWlh8sn9#p^{ zW8EO4!oc|Y#*4d#_{ux}HqZ&6sOJ}Svlfb|Fm$@8fYR4HZzYD8pyQ1}4SxyH#O8}= z@Fox!6%KG9bcd*jc<`@3<#F((VlR(p=Vi@P9tR(>PVngV5m4}8<N(nc9*m&M-$%f} zgArVD2v~S9dPsO2cM$-k2+++>0-zN^U<PQD9hd=G4GJEd@Aly6ya8_UmVz3Rh>qcZ z17?PoS|}AFB0PIrR0KfEtsMpU+ZKbeZR48)5Y@RxB?CnF!sr?m5B~52pe;-vKwFqT zyf_^R${D!~po9BBm#u=9<U-anfT~Kct3eD2kn>+uEe9>q2USeqdF5W-LSayn;Zb?< z!4MSjpn9_TrviVw2*}doEGnQTF}T+b;)BLbKpWS=muUz<f*CX{0W$iSi;4#0i&M}= zJ_ew9V$gZm-Mr_8R2VvaRBS*4C@)U^fOcxZ2LakNA7t7EO`aD$4!%<C6$#S3=yC8N zt4DVThk^%a#tTGuhj18xHo$;~b{KDYbb|Q3tXqXZZf8+>amDE0fAH8$iwgJ_-s3GQ zpoRJ1(xI2tj2G0jgi3X{sDOtNJ6lvDKwj!@Q2{v<<Xn&zk6x%cP!s>JE+}N70pEB8 z6d#9of&BX7a2Tj1s;ke;@X`WlNDL95;P&VwPyvgwf83*&l>z4HdIL}%-6#l4zn~rm zXotdb@FH**6%KF^iTpo^JA^c#Aq2Yrgaf>w%>Z;TN@oa%g-0*zG(l+aYz0Rxd|^<T z9y0^@%1+eryRsUz@&ufmTMyKW86NQH<y|45!T>(n5mq*MH2+{MW#0ixAg}MgVDw~U z02Ox<pwl%y__Ypzj*f%gCwSr$f8@bW`~tjNzKbw`Zk7a{$qDMJf)7RX;CDIrdftl% zx}fnzl<a}#z7)*$RG=%ppoaJz1XUf7F?rAp=?yh191M_?pP*;Zz}66eoN3_kA6(UN zfU<@F_-g3+3=9mfFT9A*WoCHY1}g2&obl-9-N+9*$l_$ZDA=Pd2TGtDv5&c^2rwQ7 z)sY+?mKW<HQ4W{x-VEAi(Y+g#dmKAI9DF9|VtCB4^M~R0gYVc4|G9J?cRct)z|ru7 z6KFY9=QWR+9^Zd<?gnXc>1+n+^055GKmTCoiPyb`Ctv@7xCXgB*4v_D052~(Knc0= z4LIp{u7RZIUI>jCr0lIx0WJ7LDmW&Ef|7SG=$JIn1{ctlGtg`cCuj{o>swIT?S|wd zP|gLFD&Q4ykPrjq0#MLFN)?sGNTrH}M=!55DAYiSd6q7uRI%^?mnxT`rh<z!P}2p{ ze*p~*fm%bL&E(ihm6ETJQUhG7fUm^^WzToeJAFAk{xg7zD7eH`xI}jkB$tEA^j_9h zK4^wmq6cynB!oN~kAMR1FsQx&nF1~aAO#)VNuU*jFdu{N4P$sA1og4TOVEL5kV0Wy z5U5a)&}L?M84M{D(8>eo`8&vGDs}Vj;8kG&B_q&5G2oqt9{fI+LG?!;XazsDlU3^_ z{wW7rPNL+CPRKEps96HE1^Pt~s9|)~qw|{K$=9b|*lFQDf2X%ar2?KFL96{d8sC7& z!aLWffY%82!e|>6kM1oh;Oojdb5x*p7;_jTDH<LCwTljTH2+Yjwe{%cIRct9eg|6P z0MXE+0@}y~Dy%_y1+=yZbTb$@YPz8oG#&xjdl(dz5IrprJ;z&AKzhJxkGH7c()S`l zlbPYAGNc?r#9wcVN&(z|pk00*jc*{vuTcTn2ioEZrn@25fmU;YPBhs9^}US>QpOX7 zW<1=!1qWGY57@;Z--28V@-1jTA=n4qP-`2HfLsCgEkqB*mmswuJz%xRTU2oAdy%EV z%<xha;#=hM1zdlDh7>(e?z{HrWp&_&W}^L?|Ng&-`Uc9Gti?`B4B&Fu@X~8O&{&{@ zM|U&_=sN2gplvi^prY9U)H%}V@PV#SJODcXx!Xkrd_6!x%gGXO%NSgvHCr&0uoxbA zy$v!M{uQ*eQ|$E$@T|XOfJEsvaDI7x9n=Y02rB!!SwA@{F&LhFT>)7R$m-D@!=d28 z2)YuCL&JkH0(25Jhk*wp=%UmZ4hs*)2vCcT0~9=<_8SMRMaKba(Q!apbiH1Tpzce} z3eYqy$dS;4`2HUNIl;oCJBS0iZmRV_i7v>Ou!ZL=DlhK62ZfD`3Wwpz*E`{k-v_FG z6khKKm2(;(b^@rp?FVi2^yn7V0{P?iYcbH~9s`f=Fi@Kmw2coukCFhI{VxLrBlwnd z3D8RX7ZosDpiV3mgj)qZ2T<bmW{@r53F!n6%L~O-9=$vVplvLb2+(!K(2;uZq!q`D za&Q?9nsNGn0Bk1s!VYlt*Wm)1%jUJ^1SJ6;l@~FZpmG$t&#(d%O`tmhKodNmc}fqD z<|6@z4G(~BF24AZ2b6s}FTTj|1(lMYR0*yp;%@^T3yWFjgFD(U8s9+!7d+R{4ZbW7 zv}jrav;+XWI>1Z7quYVQqZ4$K0C=txGzQcBQ=vQu+@tg0_qplOTcqI8TVdc~`L9&X zqdP+5HIK(}ms^lz@&B+#^KXS(mZCSWbwS>Nwjw~KC8)y<8h8iwQ3YNc3jq~gpfOpH zGhT1^=)AELWW<YFA5g>d6xg~K3}Ao%Qo)noR)W$p>i&*i)(h;Qz-Cc-;jab?Y}OTa zpdRx<!%MH(!T$Sy$fNZDXv`861mNu;mWTNJ!Ix-v$AE5MDNzY{?d5UY<vwUug24mo zE%u@}FQvdI54drFMjR46dn5mQcHZ>(e%s^VZ+nl%$Do46qt`^<qu232D79<&bRP8S zJPoRvT9$#*NGEu74P-|rXy;OAi3<3psTW~y;ZXxxc?vq?05lfq(QN=4<S_8)GzXt@ z+F8y4-os|$(H#aIuK-OAfJ4iJ-{+P`Z@7R@ubY97<stqS4{+)MFBTP10qqy(K!m?r zFev;%R&|3Ebc0T918pREDg6Kce^|Pe_vqzqWdj8zkIIWB;Gl%2+YC^;mG1yA=7U{v zY<S@HX?Qel^MVZm*myHD7#?`B)C-h^^_7_!z(eb>))_|mi8VeMz>Z*1c~P$dj?W3U zgFQamUeh-|%fS09h=|XvEYSG80uD-I<MWvZEIxBQ!SQ+30~DX}iWu>UKENs}Z4HX7 z3x+3Ob9r=60<{}G{vY&cy;S-UoI^Y;FV@F`sy_w8Z?6r&yDy({c!1ZRZvgckk=g)) zzMw=4YJ4|<jPdL(U<B2a>>ifaijKXO0Vi8f6=Bf<YK6j2-Zeb%`lUzb2hiEl@*r0u z(jJI~)LwB>dEfyaOwa=j+BUuct-%J}w*{Vq?p>n-q7j_~@UVC<um42QcrcF&sAbOq zUI+aPv>~dSb+;qP-=Ljy-Mq`eY|w_q?hq9Z!`t9lp4UP?y>5&S{M-I}wEp+xcl`h= zXTT+b<>A^`(D2p-56kN%elFeZpwU#v<{xa1{8JCOwj3yBG5r7f5U3>808QG0Chi$t zEMCOK06Moqp!qu^sP=+v<p+ha2Pk+oz}4gH9#_KypbcD*%a}YYkMZ}bfQO+WS2uxz z54=(~0n``-H%dD}YjlshsDN*o0go8Cs8sL^LRNfu^s+|(Wdh%LPyo^a2{O>q-d@O^ zQ=pa@xN_@+Zb$6}mwS+*NKgj}a;L{JSy0r1q`)gx!1p3F|6=5CnhGl4|DOb%_5b~* z$HAZW9*qydtv1l+HIRDnwY}g=Qb8+tyIEZv5W#K$W+Q?f)KCTuAoBNuhsC;0ZhBZ= z<8P5+WMJst2MXin|7;HYQ;&l~7IckJD`<Mj@V`UL|B|Dif;hsXxke>{p`;cxa0EKY z5*+JbMrVl%cy*1$3-FOm;PuL&TM<AJ5CIzb<!{;#ssVZn{(E$O_xOI#<KRDgkH%*Z z&s+n|v`2XK7XAk@z$dn6V2i|;)=1^E`U`u|N_lWa-3htE3sf$vcR+9L>V_m)kH#aQ zXgLfr*#Weg4w7Dq7Z6S_4lfx&*NgoJuR%0C@VX0}$6i>tGDEHi0_7=fS5Qe1D$C69 za>Jkhpd~)g>-oU#;kh6`qukdCN+zHb0lD=y1JZ}|Q2{Syhjf&|V^H8Bc<{ni&}bQ0 z2(;Z06yOG+mI5>-f-iLdZ|ivBlnLok2Y|amLd8;`J`l(zkK-($+l#?#Z6McPLfRUF zE+CiBmce&EEgvZTp`MSr4U+U*4)C{vw$6fF1ZgIKo!ok|Ua93ksW>S11VE`Dl&(N+ zA;>wOFM7Hd7+ya@T-yigCxd(k^$+Aw0nkQg2M`h9(JPzv3zWe?t1-Gk(-4sDAE1%1 z?jG>jGoU;RKHeXk<1;{92ajH8h=TUv1%P<qp~nai3o^_bA_Y3<ALbSCDi)7k)+uv9 zr5SWvE_iLUPABMi3W&Owpz+ohS<=i5;E8uo@rgR##NQSNDojx0yO*`%ALIt97yD(9 z*MNcJv)jYqSfeH*0|R(fP6fEB1Rl%<4TY?S4jBk|fEFf#<^sUWq77c81%t*vSbxt( zcW!SCWQA@9XaMGgJ&4!KnpTgb3_Ng^29f}6lmIK^hz6TxkD&~#ACkX7TMwXPz#K2s zLE3v+c`!8a#V|0u@B}#yJaPtF)z;mKG)i=WzqK8dBS2#@MN>gn;v4`)vjvFo@aSc| z_Y)M?;7S8rrhzK5UQhuHu5G|(f)^U}g4>ZiDld8^m>FI~1TiqYcpSpO@Zww$#QPc^ z-3|uF8bIL+n%epP2O0tQWIzq592H150Oh?Guctt=4d{qY_?Xsl7SP=<|3PURv?B@3 zfFyEImVEKNjfvrP$BPGn;BYIQg%rHtM8ggWP;e0l^61Veu(AiWNXo#m@BkzMkA<d4 zu(ItK%0T*Gd<kS=cnP}p<HaipW`>uouvKn|`Wsx{fLF0Lzd>Ff)XVzgH`M#~QfTQ< z0JQKBn*Lr0LcBA7Dv~?F8vre!?u6_*d<Ai*Aci{yp~@iV&};@>Bi+mTq6Wz{u&+V- zU#NoY0!=-F6JYvecv6r6B?S%84EGO6QUISS0v<+G0M(b^X$o*B7@R2#5IMR@jG5tu zZvX?siyNTya5w;x6hK`%q@?iX7c?v`OF@zXWC_lTDdM2>;2>jg;EW9=(8Bi!DD|R` z&(DCFd0zrw_-^zEN3iD<q!0mz?~Mosh8Mzc_kz-l=~O0$7Yz^%n~~EOc;n`BkcLP8 z3=A(~LBxwd28I`xL5jg4ijwsI{Dk`Vu_VO5kUr^)C1T7BFXdsQI8e`GtQROiYtA;> zu4q$Wm>|Xg9VUmYM|g4F4;0p{6WTyaP+3%7xQ8+@ykG)F@o^Rvkm?tvAQ1<UJGDXX z>}6#@UNoTsTG8#~0-6iu0lBLj;VzW$F9XeAYJi%=kh{h`dRfI6B84V+^Iugn^mI?q zYLs49?{AQF1m2(xUP&PVN=J~r8h#?o3@?5*gIo_^joBrNd;T&6b!_2<A1FCMiY3tH z<-GgAJ#X+?8N5xcpwIxVG`ZmdY2AT}m<$hQj|7iS5AYE-(72EQ**Fan2PppKZ_|U+ zC&=f+ce8eYZ$W3h2(ql3)d<4d4B;&SQOvBl5Na8OS`VQ%K&XunY7>a+WR(U{-MlFv z3e>1%<zfdPvB)pT`hiV_fnSi7lU)ULHl~6{FOP#qujnRm70>|KDbTuOFauIB{s#@g zI@jC+oqC}x3^_mNy%00Qiz?8G;s*>*zIO2FwPE(@WeM`><(<l=!r<RM<=_JW1_mGI za0CBN2-^d6Y+a0mfk&@x(QX9>{_P^+9{lSsgNK|xKn~Wd)%SoL(&^DF+cpWb^07q1 zz=P2=iA{xJf=B1I3$Hx*_g(U6{^eZM_Y!oKrr`m@OOV-fuoG*`K%*Z<9^IxB`jr?w z7)>=n-Ro}CDiE*Rwz*%4!Ka(G1w=8jih|@AKYB3!dVRp7*R%|*#^#s@qwQ~26$X!9 z8wb#e6`x+-IbtddzMU>V1w6V<j(POjZrP>4;KIM1#odR0{V^ZL!>=1Xx>=9QfKIVs zJpj_$&AJoBV`g0i;&rkv22;E(Ad2x8_(%=jd=RITcLs>+=IsPgnkPIuS=WPD@D83w zC-j^r2P;s6?vxNS!^^E$>xZ%o)VXkvZdMZ$NPj`VqnlR&%=S@{FuVlnDjf2#JXEXW z(Jgb)qdVHcqxE*lYtTR<2k3~57vO^>AjJ)6!E<+r3did+pmv@D_`;wUE<(%<uba@u zH_9?m^N&Y2?-pZF42muVQ=sm+<%wcj@NvZ54i2F1ts1E91IeupXF)|6C|iSy$VqEJ z(}%DrN|4pi>||*P3Wmdi%nYv^QQK$e_q~A5H3AvW0j`AtK?6ph;bqW7UovmnXJrNt z%M&&CKt@9gx;&7fDEG;L+PNIy-9(JM64IcY0bNT6I?sub_o@_F2-IR{@aP6#F6zO^ zE8nZc;BmZR259abT!M6fZy;sl<%39oc%VkH;mOyU9=)OrAkl7_Qy$%0PJr%h^<ez$ z(J6Dv<9G|03sMOhQ)vF_Tyya-q!@vd=m8E2V$d>PNV6Qg{QDGWsV0X<FAJB4<%J@Y z-IbtYb$)q(t1Ug`;{(8l5hy|%Tad^JhLr1R6-ebV$bT<>f$9NRBl3j~sKPS$W?*=s z<jKHroCVbTW_Z!&2AV+y1vDfM;m$vU%l)8nKTw0%05p96{U*c<nioBKSp+eB0V;z{ zAikJ*8OeFzjYQE<=RthY3#!R_Sr?QeDFge$1gZ=&l$_?r!0@8bi-F-qj0eIO2V60I zfl{8A<)GE4;Ei*=pnWME4YsVGL3a)-d4M-UfL4@vaCm5Qczi#|&%nR{>QTY2c=2dF z2)=Hy(}UynO^;sIWyp&G!Rv~?*?{WO1ANR3;00lb-~@#yQU!__&gphAXx_mCnwjVC zUko}C$+qkh=%`xA6=LA%YduhE2<@f`c=Ylby$4mSJ}TgL7*d`3iw9KO!KzbG&H}{~ zc#?zT#osl5|2No5fs8Hz&ovmlUW4KYkM4#G3=9mQ$*>LsVbE&d4h0YeUlaq%a0cM@ zZ3LBqyp0_G68vqdkoq(L)Q0c?ErtPK=@9|4ZU)%8Zisc7H$4u%WcFzM2|C}Fza4x? z82F5*;|(C^fU;bJEysIM2(_kxDmZ8jbI8N;6o2o3aHigJ0c>L9KTu>9JqDGX7NDUO z@RmMkKytj8JquE(L6@O|<^w?WlNiXK;48KrKtm{yl^U<-O_%^WGw|RmW{>8_>>i!x zJUVag2SwWD=MzAe!SipEa%j0<B8g2i_^P!D9~?NW__xI+eLKupq77BHqXBe#HFI5t zM<;~Y4O-I!-VWUzqhjz<6<jdJfbJ6jNm!odZwB}LyGv9eJUUzMfxY?vFldkwr0L~X z(1P&B-;ki23<`_hjslPspjo3{XtaVFNu4n&kfSC+N?!!_Lk5i_JXk?t3`#jK_JWrv z=BPj?hAzF<gal)E4<v9wy=2K4h&~IC-T?5>A2ir3Pt{yPGO7s0D38vY;Ny>9cpHOe zTyDHzHfCmkh-eyv>JR42j0`XL!KzVEQUYV-^dwNG;nDmCe)jx}3tZ5vqdj_g4c;m< zv@^nw0Dob^&CKxn5L$f*Jq-kPJ##NBhZd+#W>I;u9()@n^hgg0P$C5_g#_($0QtL+ z2cB{|z~elvCrh}%Lv5{)W2-zgK#Mb=LZGX|pc&CaAPszJoyUI%1=vZ29>*QPBb*-p z9V$GIH-O9oC327B4WKbVFtZzUmSCrd%8MDi5Q{B9Q3Ogu;EjeHFE)UVkxB;D&!A)W zAOkUwjyuv?@`g7^MJwoB_7|QO;0h-G9g+n2o@fILP$~9{lbPY=QCQCmCH(o@-hfgU z+Id7SDh>@55)Aw;TS1cD=wSo8N*KJjy7eS@$unbV9C$+TxQhy?9|CI9s(>eVyG5s0 zC@^%2s2q1u0UhlPT9U>A>Tjxmye9DCqdRDa8f%mWB(^{S0q&=AyhsOI3_BFaqnDNC zGm_uHXWWFCgFW4feC0IwxHoHv#Pdf;I>5)}D4Byo;|&Kh!^`im&_GL1Wo}s3V}W)O zvZ%ap=7L0;1h}yRiAyf<9&u1(>L@oz7Pe07MH>e*!|NrW1P}5R2Pim89Kf^npw$)N zJ?5Y_<3*)Hi1Y(JBI~e6^Uw0q4@ha~^<z+YNgM{HK7r<+MWuWm%@qX<wd^}V;{mVN zg4C;eH17Z>H2yh<S}v771u5fgsE}kRVc!W_SMs{u@Z{@e!%MFpfgFW|(b5BWArxx= z9MnAnd4RtKG@cLh1b^#nP$YpC6oHPJ03Bd+%teKV;e|E}>^Q(Ikl!^xT1zBh+DguX z`~toi6Vg%vdCCCfT2xP6A<9$h3{SpZ1@aVVpb4^k0Dd<#E_(z$z=6jF4m|Klhaju8 zQN!;M$VCF+@Z$o9A9&4?;YslQ(}tH`-+8fwjhW%)endKg1u0s1o`a14T7c?H15kYl zzLpkr6jm>!DAE8AqCH~=B_CMEYpnyF1Lz>Z2rgj21qw8A7@qWK2Xz-ZSwNYJqX=@O z8V|z@Rp>#-(8dJp6b8^*uX4B|(BaRZH~}kyoJZ%;Tp7T?-wIw{)4UUOBsxQB8AuIe zRo^=fh`T^14T6sJ0F7X_9)K)mGVo|VVsUuFPVn?auW0jgWrh#@2f4sy8pvL7vu|%8 z1H<c!a7A%g70m!CTIA8&2wG+j(*J^upNXN_R{tgFY-UlAa~wR5H-KHoz`*b_23((l zs?uJtlMN5N5CzQ)yMV-@+X7x3<^vh=<^{+I8K@Bs0g!?i<PA_A4LL3e#PaB^3@|+K z;+ztwGCT45jz=%+u7^k^2&iOx@xTaFf~;ZzttWuRJX(B%>vfd<rl6>3{!zr=3ZBY< z>@7x(J;oR5pjH*QGuY{(0y;3p0hA__L9PbZ5uo6NMI+-2OSo!qHPL#q#ApJjjRU$5 z)}wPKXtHL4M<*Ak^T$5{bbv!=2ULs+)I(4JcQC;kUf*u~2|C}oWUAqT*Bfm?O#YS# z(3H&q@SeOE`<R&-UP}H)IwKdofCQ&T9+e%Sq6lQB!Uqrjja=XrZzTfY=^l?>(e$U_ zw87K?o_2thlHe?Z7JmMa{DN}cqK}G23!_JGphkC$ibM17@=|t>-ie?J)}!0Vqxl~r ze+#I^3%YT+^&99GwFCP=jsdyD$bo;#anS7BRZy!(0W`bi(sH20$D@~(M;J230+QeW zAMVE_?E#uhVFit)9rFd<!vQLr6g=7)J(_<o@wbB;0L?!b`KN#mK!9&(YN(W8DB*$l zwDmyAlGn$Ze;0ucU8w{W(co}-{S4%4RgdPK&?5Rk%Yo9TAZ5G_m7pSe2e^nn0Ge7g zJn;Ha^Y8N47d(!Gd;vNR)C0UP9(pQEFYAlFNa+%^n*W7|0k|#<UV<b6-qWOS07|ew z8JQVgPJt#^Eb#{qTm_Ib3q6`&Hh_*=Q1R#n`JA!D2h^TsX}MGa?z@4)Rgizm;g;Ja z_dpAdL4gCB4rKr>Dh3S(fHzukcr+goI1CC<a8m%3?r;lrUVPmLP7LVdr~GZJL6r<@ z`wo<apmp+kCP?vQ0a>+H1DaMX;Aa3gK_J6xy{z0{Km)j-j<};bL^ZglU}1RawWLSp zEpXE5F68j&G?DONJnYdK$pKp7-TX_q=%GiqBX}pc0{FlW(1|cSDlcv`LrejmC+z_8 z7&K-&557M4qL%@*J{>8;prvo{;fpBsD|j~`2g8fYcFYW*!IMtb#C!#Y)&sRVAQ=`0 zh8HX0GVWlR8W~WFgV`R^O@vjWuz?)V&WsmrkS5{AOGqg~5tOjkIx>K45&3?@Bl!Th zsJ(5^!0_Uk6$8VIix5qVkxym=r>_^fpk%b^KeqF-QT$hyk9M{tMw<{Mz^cH|04mxb zQxV;)g?S1LkO~bnC<b2A?f_d2tO80=0xyo)f&)k5`wj5)RX40@_(B%sD9|!k%=FXS zqT&GBivnJf!4E1k8{as9Zs6`*qhbM~dm(hQ?fYB>hX4GK>)Ly3R4jIaX50)9eD+w) zzio<&2S{z}CGbMweW1#Y-}PQ+jEc%jP$%L4LCb^uz2G|^k}rBR{sI+C{LSK^Qmj`b zs5@qgMeFU7Ti*{$DljmBkJ#T2s<ZiBzq|Chm481h!@$7c$nW~0^;^l-P9GH=aOd<9 zlSg-mh|Mu4Nrvv2ITp>oIZ9cMIY}~thTXwg5L{+~77V<o`w2c5O{3dKMF(s$_@+hv zZ7pC|w;U)52dy|TU?}zPj!{wRW@XC-2Q|nf$axr`!|6e8?u=0pdC_1431!g4YU_bo z?&cqVN@GA8UmpeKWbi^w!;>$$K-DaGM5D7sB>)sU-H?#<XgmT6;KQJ$upn_rWs4@) zd0{8W!WWlSm>FK&GX<Aift!%hsU#?!vf48+cqFri<$&e@SX5q^+JY5+ZA4NCz8UzA z9XLU2AQCi}Ed#>~Q40o!7Yt@#O}49%G>L;u7uN<Q=!bup7+#)1>!Es}E(QcI!Du~M z3tof@o|psG#UKxW*8O$5sPI4sA_QQ|3|@3uLNX@gd|{}x!35Aa8)*H>jx#|~vlr;^ zZ~?{j_g5YVf5~`2PKq?}$UMbxYL>N!=4sF{2Y5Ojd~*-nN*|SoP9GH>P#+4saunM6 zXJBA}+s=bx`x(%975ZQeDBFXK1XXAt|ACBzIuqfGBNpJapwW7u20Wq(89;z4GdS*| z;sB1_Uf$hzAj4STP7U}FK8sH1v@<xdzR>!`#IS=+fPvvS1Be8bm=MFxfB>TW=q?oS zX#5AdLx{iSE~wZCEdU3tqyp`BmjI0vwH*TqH@?wO0F7&{Q334>0IdRt&^0Ow;OkAm z85dL&fE@_(DCm$-&>kxAv6libK;zq>;|f|Y)dYDYp8^e1DKIdUCxYfVUJG~LYkthg zc+26!yUx!ow@W{O2D2?s)Uxw$i(yfC{kHWIxT@!OxzIU91+<a`bZ#Hm0gXpM?m7%w z`mF%k-wm1+gSrtawF~5s7rzui!y_rbm>6FEhgQm<fPi8|c!1}lSAa?q)cwEU;}5$T zz$;O~qXWl58yFcF7$!hRgFSkA?Xp1m1~fzjnd$dY0mZBYs7`#b3N&B?Hl&yLAEz*Q z+bM%bH}5A-VbFLo13xQxa|C$983(9ImjEhnz<mbr>5mF8ZkR#RMyHF43BpqFk^+#G zpfUv%_Mp}j*hULuuzC|%T7n)})6E*L06qaE0Yrfk&5Lt};2e7Zd5l93lw-eIfr1m1 zuAtSHiX~X3N(WNj22G^BIBf+k5KIsS!cR!OHZK-QDX4ArVgabuyKM+j-~8iisqzb7 zhy#}VLQ-oBazF`4?Rto;N+FUg_;m4OYM=sT!Ve~fm)59piil6p{vps18n{04hSWy^ zpl%yz{}6}<?H^)cVCZg9iC|%10IhfLQAuE7V0g{+|A0sG1&?l#i=cInpFq3=9=$9F zK^YlTFoOaaToix;K;XqsaGI%6kzn9&Jq==ai@KF6f}+?RM1d9u9{~w>im1SJGrTC# z1ILj->jD1WkDzP@YJGrd7ZnZ$1{MYna3fU-Bm~+sv;$NVf+}Z;7m^eI{s-0P3ZS}1 zgMq&ld?-X`i;4pa1H(?x;O%Shj$8|miw{Aow?M+6Ovk{&P;3S1ruT|4f>zdpodX(< z_2?D7bpzCH3sDhx5vkA2&<yfU=}wR}79O3fVz-nTJeqC!Zh?At+#bhS89^+NE4v}q zA_WZ#!;9x&7p_t900nd75m2Zf2IX61KKMF%%pF^Q<Upl)E~tS5Ds~|kn1E9_sA;xO z7aVF5pq$YBg8@7e!lUvc93pJe{DYxZ0No-`?Pvh%9D{<g7nGzygD9YK3RJCtf<OSo zcwq#R@5b+F!vin6WkJP>=r<;YmlOVCte^V}G6bc)3ChtLpcS14h6g~S!6x9*IF1+1 z>mloS1U#A#fY(xib~u8Tm!ASF_OQIc-wa-Z-feQoqxD-!nNP0+qbEqT6=Vk}O?Y(s z9OCe3{>N6z>CwqsbVHfp^?Z-!f0Cs$Jv&c;E)``xB(4NX4-6j1S@%Pk&9)vlL9xj1 z(aAesT!{g6ig6mKh0$v}L0pN!6SSk{#0yhl(5+S{UOxow{W$o-9(1|E#UG&b2X2Bx z8o@rD2Ru4&zNnM|b>eTnD3k$(%<nHu3@@L-GbJKFg3DJWP)0zl@{hZyfDU<QfYo&# z-MsompzI^60HQ$I=Ly)y$l1qK8=Me8*{26|>`tePiUufJd%=x59~BNzk^%S0x*;k- zNk#>9ZX9SNS{)*$(t4mS=Kl$g<P#pvKSj#RLCrKBa7qRZuf5oK7Bpe&q9V`$$_yo} z9<2vznLrywJ^p*No+ts|bqpQgfGw&A1rYe497tmXl(^7U9CuMc6WRrKXRtJQp|*}R zC=j=OW@31G5EO_g;a6sc+MRr%_v8QnZWfhJ*4(Sg3@;}915GTjsCaa;#$Hnfub+f; z0$-$kW@31~1ytpsn8)8{28j>k^;g}Z9ipH)ZrM%{)q1HU6I2QWcv#*5r3HTf!=Uu( z;bD2JR2?+44k}Q<TX?{25lFT5VwoB!=-`JHfno=uHUxAEFsO4_0vh=N4RwM#{ovc_ zJzj6{=oa-U07X5oFNgv~J?O9scu@ta<z856LZTj`vGrtGTBnPO3MleHi?u;@CkOa) zk4c)$3?9j#O+KKarrSqF1r+r<Akl8nhyqv#=ujna-v`vZ1jQcGvYQtVRFQpQc;H39 zBsh*bBtdZ`^ofb#<yuf2fx;DrQNkA^zRs01GQ6nNWM)Y5=w?y<0m^pW4hG#kDjuC4 z9-x*QG`_ZdgvFN!%HlX^9)T`812sZf7#L*0SEYgW%7Tvl(q<9{%|>>!DuGX}0nMNH zvi@U)2!gz8$@+m&n4wgv!S=%?&;+i%M=$HX|3VBc3==%MS-1QL)wQ5eF&7nZR_KL> zAY!fWixoYf3J4y%y}bKD#xr_!vu<M)W_W!778W2HkNZKf0Ez@qQ4ES%P<an-@d&(d z1KZ%DqQJlFfpjsrK@3^<=E1+~g)}Ih6kxisxQ_#@8|FTcD-am<@FCFH2H2P2F-cIa z2c;_TvD4rR9@2u7&;nP83JfK}APvnw6iT?7e<+r)Hve#djLkxaBzjq&GC+p8UMzXX z#PIqF+I$Cp8+exx%6KIxb3q#Jj*mgf4K#b!4W6Y2Wn|Fx;hC=>;sT)hUV@|6zz{T^ zm5xY4tp`ekKnqAgBaocUJ3z<NF_h|qk`r|75fsY3tOhBNsD;ENs1fs`uITT7&?ue6 z>$M<vfCoQ84CuU2yey;@h%W9f3u=A|zGq^1DT6fj0t#i+^dE@2et>|J!SM`PxdL(o z<SZm`83D?oFD}Y}Y@H1X*qI=!z!=3o{x<M|FDO|CWD?}?$zIlvZO9=Y1Zro-{QD0% zSHVR^0W>TL8rlOTEpQq;=AvQ%o}mo@aW#&)s2GBl=W+-Ng4c_I>I?9&6vqqG=b$Ws zm`a4jovawh&ClO5F}w@{hZITfb;NWp=&B}YDS+L*;PD((_eKa{xVQBgcK7Crg4}CP zrh8)-A%`z`VByan(!v*X`rQhC4EJ7riru|?ML_Ote?wmQt_3f~1m!qTa{=sLA42X$ z3ty0XfAC?rR~3hQdBN_z{+c}Z{#}3(zH@$)7QRd#y{sOX?k#<SJ$z$@LE)=Trh9!c z-TUSj0r#SXFUY+!crn8F=ws~eT`L4~Z}}_o!gua`jPSJ~<X*J$f!U*%^#u=xdqr`$ z_pczxy~kgY=iayTFx=boleF*!xz_^Iy{V6|hp#W#z2aoL*A~;gcYY9XFIxDrc=WP% zaASn;)`!^LJ68Y{zUeQ>3*X+k7~!i!$h~Ok7v$a>To~?U#o^w!{2=#ke@>oz?}E1j zp_FGe-$@H!R?tDOnC=aIfIWO|!R}=z)4jTw?mhF3H21Q3^s-iPVubI~``F#v%LfYI z@Mq+OZ!LKL5=!{Wkmz1^k6zXj92oBXdJnsM@A87&yZkA3_m=shwy!*TSr7Jtk}Pyb z82GxLEXes4pX0zCt1lp`^*{*=WIPkrPALW3WB-(i;q_c_y9!32F2F`K;6PiYEE_=+ zH~g))LEZCS&@L$Gbh-hkr{x41`~l6dc{EojFz~m|0jUC=#n)_m>;z~8q8xOlIjFs@ z0Y2Ng7reOFg99|E(|WR$|HVWm&`=P3VJ2t@*`xUoNApjPQn6+WhEguW1K`<qj@MTv zcr+g{c>NJ1DrE?sX9M>GJ(9sECJDS?6Jcg}y$+)4p+|3p1ZYWq=ZP17AS*gAyetFt z#Clonk*_fXO{Bhv1uX;YWxa$v>?sOrH@bi-nls?>ehH6G&`Q_d92Eg<;Zq7ez+EBj zxFaY)dclj*KzAB|&(IAN@Hp-WU2Pqp;n5ig3JZ-F(-<LMhc<?LSpzW~a2Qk)WArb; zS0mvcUokxJS{UU0gAbUFyRhhh#}#{>KvU1GHP2z^wX`1KZ<hqE6FuqC{F9ZxnHe-r z01^a^Rqg`KOnEf^VrXDs;D;Qw+xY7TGXq0;xJUCLb`Q{U&&FS%=??zpO(2cEvZ9>| z4E)<fq(Ivo_?st!1x+2gz&j?wx@&rLTL0JbdK`Sn)EUB~^Rnvy|NoZP`CE$q|Ns9I zbZC`F<Dq)cSnk1B>>iE3K$nd0H`{{^k*%JIY%=(^vR>0?AnUe^gn9JJ&hAiPcs<vn zcNS<ez{B!#u>fcvMhECxMAQA93Jk9sCwMd-0$+O8_=w>F=;&<ObcpnVP6dXS@!+Hp zVDOR~#OQ{P^z?f0dmMbp^70O7&;@*!Gw23%P(MN9MY$Asu$*HOQeFgIMg3x)GNeN# z0qS(VxB!}0>1CDiMp6b|4p9zO23h015~6HP7?LvZD0l!=8MveLqDg^);YF_u1H+37 zi1qo%lXu`Trha|~2H56{!yKSdy9+x(f%)PX2Qyq^6;uKge=pW@fQCBMA2Kn#oCKb^ zL+QVO??XaL{@{)Ycw>>P6CVR;r4?ud4Aj$P@Bj^RbUPX}+nziE%KU;JovaUjfr=zh z-f2D}aoD5R_WUnJh8Mg3F)_S;@nZ7>CWhA&LGx)Dp!}5pTFjLIG7g+uz*}pRKZ6#b zw1ZC5Haw8x(QN`5QRxI7OWOQ{mA@I(8}#XRGw_8h(spcN@ag4o@b3;e5#if<sZ_+L zSM&+H5`%BI$RXd>OZ=^%)8E0e{@pPr0(@I9)dl%?$6Ws51KPyv+3WNlEbiUyb0WgS z@&tc#HX{RrcdyN5kKXzp&9)y7gC@;dLDxQcA9uO@12m@L-5qiw0d#?KJLsTN?@pH! z;63RI3?(U`l24&i5=<R)WCh=usQ@~Y)J>z=b_vL=Qd5skNATW3g=X7HU=azAPB(}M zD5X_^`uGVR%||j0d-T?6yr}=n#PIr|M{lLZixLp?2q?TjnH4l&30bd)m`P+cJPevi zbWt$?A0XQcTBHS<6aw{XAp;T*?lLie1G>9JMdGCoDE)UHG(7M^0(^wP3GjA2&_N{{ zKA-_NaO8sq){eWVK<?b=<^6X6WQC842S^#XQyKv>9<njB5!raqxv(0bQ(6RGR)KO< zuN%L|!51tqRY9E2gD*nZm>FL1vw^PIhE+{q+5_YAM9^S9w92^;Dk7ReYinB%F)}bT zRIoDex2yvRCUbyxF1Le@D=>r>aiB}!5c|BlgA6>nCxKV1bcUz|v@m(}%6NjNi5)z; zOKv6j^s?OY?R5wM`OCx8vVeoX<sE2R7!>CW#~fH7quCA|&9=7=f>Q<fU^M70>mJ=c zw<18s1o(9OfXoVH^tC)yuI<z7$mrAQax224*XCTa?E;X_Qa+DPmUEzZhFnGXV)kz) zhS%>sdRZUYfwCB=0xtm#s`{u1fTBUdr!z!F;YDdSWXuSBBZvWL4g$O)ALMw@J=!7n z5}Iutz~+P2Lf;GU==|i-c?>jL1PZ>Fpn?~4fP%*h9guaPDpSJ)9QQK6K{0ybrNw`E zJ1qi~%D|WBdi1i|90JLMPI~AJQAq#|4rhR39CG(u|83Bm2lTAq?hqC5xUT~!>OH`t z-3V`bG#`W%uL+>|hu^*ZA{X3<fSB-72Xu)BXvGZN-Tdgov1t3LK<nR;$}5jv);-`M z3ut>u4pg&eae<m#CU=-H*S~|;&!g&Zn~YQ{OM&!jf%Sj64bhJ}{)s3(K?f{@t{wz0 z2=9$i@$j&W;^1!uogUaN)q1<M%A-3<qS<!Neo$eV;BnjuRDUpl5+JyYaMEbDZ2-$z zg3AcdGWU<58nPFZ13?4cpm8s7#Q<V}_mOhEsQ(BGf7rk%xSa6$$pku*xVK8e@W2au z5NCGtZ;nz?kKQPQ7G{s`D9}oZ7!?ormF5zlmX*c?P^5yhu}7~Lcx?oSNAhV<bPK$g z$pvb>fEFr(Hix`429+C#>v!NRaP~!tAH@BR*FiZH`~8lvxp$9V)?cqcArBhShBQ4{ zR9@tN`~Sc70Dmj^5Y=wTp(U?DEnU!hTK<+`kh!4K!y%{Ompp@?_t5F10=){UmvvP? zKV)<dbcG|tN6W86TIb+3yb>PJOGG_-qXa+^QqWMNqQOuS?E$_Rmqq3OMNp7~r(nT% zkAg~Sju)3NLd*bdMPgsV#{f5_(~G0ocFSH+Jo9*TIth4mUVt0`-Cd(n@M6t(CWhB< zJbGD$r$C+64Q?lcCjP+(Sb&P&7i=gF1iPn{7nCbN+vZ;W2InErt-ZJ$XNKgsti|Y# z6GwI28nAI7$MJ(5H{pdi=>CK5H7cO8!vlJs;)EBBSVUjkxxvKnk{yvv5jg@pKM1Nk z(fTytJ!jn>5}*Pbd>X?G2|;l4s@4;f0H9eHe3Xy96a&MHDv&HxlK|ujL(tXlppo?Y z^^iDFXsA$ND3yG1UVwq&MU*fD!wXliCRkJC#Wn%34Y`p>Hh_1s-<4!w0NW2b&xc3l zh3)_U|6g=KRQK8-sRqrezgQ1a{Yrp=;U(yBuNM!lGckahk&vVhCK3Keq<?c(1_lr8 z=^vrIJJ7(xvJ27yo5RAu(7X#g?$6(<2I^*j4=!uo1v;&Ufxp$186*!nype&wbw6k+ z1gwsRR@w=mQw$0aNfK0a!!Jz@QOWQCUCi4VqEY}_))V2;8K|%u<Ux;KQ=XmRrN(lv z1wjoN3y6HL>DL{g`Nv2NkJj7#Eg-d^!lt2`g`p(br`uV<xAi~?tK%^TMMjU~&fo@J zv+c%Rpe&&6aoibF)O9;+G~3Pr3-UwCI2n}}yg&Z`e?9fZv@c8yuiyFfI!kzT{_yEM z?g_4&8oq$e$3Or22<Y0Z08rNSIOfd4=+POh09&8*;tgnp6S!9jKG6*{)Zfj~dXm2t zw3pEE68Ms3*iwwn7*NF;q9On~M5EJ3MdpRxH74+4S4jH?q#5FCOGgd<R$ox@a@+yx zoku&t^**@w0^Yp@YWW9fG~1p8Nt70W`+A_l2;6r7l}MeSBlAH^O*OuQ?=`Am;BQ&} z@Be?u(vM!=h1<bF4IU6HfY{sVsPLM@quW8kqtj8rquUXD*(+y5g(5@gOVBFfn&XY2 z9p#`_$!qYn1p%P@syZ*c2>lGIrf-0ITG0M5sQd?uUU&(*+i8MFZ-4>#x<|0NAa@0T zmUn_?z&yGgz*WnOd92`d+n`x3koQna08lAt;L+I#N`xS`f=4Ih@~~c3zo(F_^5X9$ zCeVyZaWaGtYDsYLgKE(ypj6t+y0!&L0+cRZoMvKRcp=6M&Y#t;NV4D(z*Y>@{{hKD zi+@l@3sew-4g-S}#8s>R{(o_mhk@Zm0zU)83vZC(Ue-9|HAdjui<3YWt>pn*B!pqn z6;TF;7yKZ-I4u$cS(F2^=sO<+!;42CRxHH46QxKF2Y1a{K<4cS$wC9zz@xhZ)P(@m zKn@<=4d74&O{Bk&gsOtfY9Z#w96?6ZfNc5B&A{-Y31n$5NNq3crv@bZz)Lb)K(`&8 z=LY-E#05zf9E{&Y7#Lm{g7o6_o$;!_|6lZhERqCS!~#;>%la`N$tZ9zN`ov)gIILh z8A%pwQ7_1%6Chcf7M)u8_x}r3kVPAK85my71}W}kU5UKo89XAh3A7~dMa?B9hL_8K z!FN!A+9}{g<pPkM=13zn4&dCv2C556IH3ihz+uqAnxNAGJS<O@zB=ZhzzErY<^VpB z39`HaViLIcMryx+?gv)KR(FABUlFkcI&f#gi>nuz7+!<SK^<R%x&k~y3rg*vym4y> zD64`79U*Ig!IO-z!8WM(SX5pZUtmIARnWZS3n<^0avg5~F+k<@3(!U<!vh|${0bXe zgLnl@A}SbgeGFY6g0epZWOsK5I6Zbx097iWU7JFnYdtM*7F&YP%5!M>R%+?d>0#l~ z8Q|b?+#vzv5|85!p!4V%Jem)HCzM|-I0tEtLTAq{JvbcrCmjRTtl;@?@DW;|<4s*u z6ke!+wwCs?njqKt;6V^?&<Gu5FAs~#i?@)fcpGwl1uIj5Duax?9D^wPYl4&>!AlfD z^D)q}ibdtce2B6PGjwIw1Q{4!2tu5c5{x7ZZX{^@`~QE!i%;jVtq(z6e*jO7u!slM z!=Q`sQn!O59n{E!Ec5~$y$Tv!1;sabB{}$TA;>upmgks2H#8w46%wA{=md?Qc!0)F z96;kI4siR9xv20%`lv1{0w7Bv2bp>F@>WA_2De`WK&20;hX}gk1=_RF0J#C&mp1^h z_(7$r1&9S1ty+DSiJ_rJg`c5R*`t^B>Rm|SyqIzp&5@85QZIP?85v$*gSZt$qNTq^ zP@Rgho(}E>k7nC{d7#TpTC_llx;+g3H-ef#pd+H1cY@L-LoL^FaE${>`=A99-5sD> z9(1Q0XfV3@Cu2!;^G~J{>EkRa;BmM0EX<${KpNnsQ;;=o#~VOeK>LMWECemR?`0K2 zE=xg~^u-BKJ;KZmK3D;?(C|e%3p2y(RS>P<WR(Wd`Ya0)8sKUUTsroGw4PvNz-}$b z-QeZV9=*J?*F$UnUe<yQprx8DDlg>E|NY-=yJ3?u14EtcF%}iZ7kdwY&XdxGm<Qg+ z1o1|*Z5v2c{VmXnKG6EBE1))JFRRi)Bo~2K{{9A)=4K%Gf-=?1C7?dG`dKE1mr3A8 zJ6ilCgPK#Q=f`!2sAP0Eftnqlb2~tXH8%fn<Zt3*W?(QpX?PpdjBhyss@*%m9hn%F zfEVr%$Ami~IR-Rp_#zK<rD8Xzj|VM8p&i*UkRvC8bb(JTgO*0%Yl@&nQfG*YgGcua z0Z`Hajc>hp3~CnlvU-{$*#W+EoC|6PxHtP^4Wu3u!%+4ZT-QUFkG^PyDC0pcK)_MI z2dWHG!o@+9sT(0#3QiDHK~>oW76wF--OYQ~N&&RW+yGi|^Y=|-WMJ3@N&}rfDjNLT zz{kTKe96>ufZz25JR7v0<nIHo2<<KbwbSbiJS?|^dIg}fM;$pYxOBLvsC!tN^SAy6 z-2nTOiNEI#sC{(>6mh+*Dlted11)EM@f*~DFare^?4&nPc~}9;<=|=wq=*3|lmQZw zc+qXa#L!?nZw+WES&~OD>*cl3#Hn=dFTCk`Zw(~z{y))rpi~M}8S?LXz`#GL1=3~g zhM#cP%jyi%?{wxbXg!-TjBj-2@Bi0FK&zG1TMm>=J;tiFMwtQJ7=|1kxXFVFa(W0T zr^CXqA9O%6cmwN;N1*mxE-M4WiwKb4!3hV{s-5Kus)ayjBSX^|ZokeqXJUBC4;uN} z^^lQ&(xI2h|Nj5)bWzcH(F#h?y{uF1kb)DOg4b|^m%D?PmA>%jVqkc2i;02ZCFnjT zkLDka#Zn%<CJr9GwkC@}W8psE1w6WAzH_|1_UHfqosOW0lK#lVFyY10lS~XR8!*r7 zoCz8aLn$9YQDXpF304H^B4~ha@^07(x>A6l#0OlKv>Yfk0V#r{&@+1&89bUn7m1Yc z9|P?&0gb^y$_x+}sR%*if*RH@`rx|xryh8{6r=>H?0oV51QWwcFYvl2P}vT`Xypg^ z5O0+IhA*r@$GftwS*6SX8p`<!@?bYOGlK@nKqp@t9(Zl+(QVtcTA9Iz@w{i}FOP%& z?L7{@H}_$@?s4!xr^mtPoSvG$d^$zb!Aeeebe{6*JPaCz?}e<Ww)I=B%;3@ZA9Rcd ze>-UXuupG0!@vLk!I!xGu=i0s4pQ9?I{VS{`%RC|iyoSXJS{K!@cW<g=oQV_q|D&K zc-^z}hKJ^1*lFU5he5|2S>ESwN%;T&KPYb~_<;J2pc8*VT~Q7YPvAw(cSvdj4<&() zCzA#l2J#ko7!))DF7Z+hG^zk<&w?TYQk#R0ZY=<xVDn=q$k{KF--FUc?{OxEmn*;} zGbnV?<^#(TQQM>NLIadV{x^W?Nd9ddpxtx5fs7p!K#Z1?B?A9DKr$e^q4STR<#!&v ztQ%HBv-a{6kZ}}_*H>O}A7^5C-3hTAeLrcL59)el6#L;e|A*KNx?dN(0uZtW7IY5- z%)aX<|Nejd<i&zxOboB*!L0%_(9#q1JO#A<{~jKostDYhf-Lj|Ex2`20q?d60F7q~ zyhwqp<%cfHZ9Pz;;n6+g1vv3-fM^7-s|1}Y#^=-RVBpvcIen;3dje=|0aU1iG8JUu zB!~q{0Rk`X{sBcdZ2P2#=08X*TORZ5{OHN=|H1S7P0xcr?R^x_docb6jkr5_SpF{6 z@aUcZv2+HwMbpb;>|uGa+{L4_1I&l-#{^lT0XisH0kXWh1~gz%q9X7@^ef0-(Bj|j z5*3Bl>p_tTIY8hA*IQ7+2|3C{_&kL&L)7%+(aYMSiB!UY>ZTW`z-<Ni(An=JOboAg zg5w56pu`Ur^TILAt3owzH9qq~QQZfs928m(lz>{ErMgHC1y{|AC<c4<vToEvQUY!O z{$pieczyeYImphbAm^j@@A%sqK;=Bj`7NLL1zc1d()jfb@VDACF);9VfzAuy7dY{W zKjJ_dzupP{Rt2!I6o?GJl*X@jiog98BLf3SvXrNaD~(_8B7gf<P)p^wiwbDR4|t3T zwEh6pEL744D`*vC1Q`x$(0t+-V13gAxo0YkU++R0>oFG<C0+21l)9jcr!Im<?>%}; zRFpbm44FLsALI`|@X3Q;>(D3um=hq~&%nAPLHi!qYtDkMz)<P%QBgkTqN1V)S_P|} zstwxhz;Vn)MMu};`wdV!lknKdeSL9(E!XwM9^YSrDi;Y4##0^#f3bm1`vz^b)I8OE z$e<%cMb~4;S#aQ;IpgvDHR!sogTI(PG*9twJC%8I79#`0!IwO}Je?OGdURgXJk{%E z(BY$^=h4fv4YXlN^K`E_NI)O799#)LhYUI@6Vz-L05M*$fu=g(xu8rC?0=BQVtYgw z()iz>Fg);@-Q)j(PyBIU|9<NR3G1CGehm^n@rgeYBrLidB7Cv@wc*K6`~srKyG0m4 z3J!kakGtT}{FA-r^C$j@i=Z$r687lzU<8Tt3!L)kJn<5|*`o8{>kl5itg2c_2??Cm zwt|}&@O<CP`U^RogOyA|QNka7>E(OSfO#*g0<uzY&m$d0>5CZ$nHXLsgQw$B(`Q); zYJPa}?k8wH1M3Y{B=><cttc}C132X>eBu{mQF$Ty_y2z|UjxEtg*e4P14$#OjC*kh z)QS=Z4+O%qJO{`<#gJ+qk>3&H+2Hj-*vGR$Q3~3W&EU~nqoM%1^{==70jR$Uy*26I zOHermx<g=!3TQ54M>6ONGZyfL9jyoI3PD>^5Q(kxvd8yF;N>U1CX+laKJ)0j@1gnG zqxrBwM+}dQNArKK;-enTKU2!>JbE4HfEU61u=h|r=+W!KBLiyCczg%lyay^oK^x%~ zfI<&#TEnCB;OjjeohNptfEs7_o`cF#jsr{#FPYK(4;l~hLyZqmy#N|?su2cR_TmC~ zA_W$V9=)ulDoDW%j;CBusQ{kL_<rN{sTcG1GcmlL1I=4t3R`%;231?+g?HZzY~ju2 z@&AO!!PhJvowqz%57fzkwo*4g;`it^J>n+>TGu1i5yB$l(fnJW_^L;5z<-bCUn#{= z$6Q#XD?EAw{(-r6$6Q#X3_O|-%mT&PK~T6K^5}IDfrk4{&`1OLv~YnJ8sHlg(FT({ zFF=Bv`x$6t1j_mM6cqj)`<NJBeugxH5a9s|e>K$h2Ppg@13;kjxj=Oyq^<G7Z66cE z>m#55Mb%%7zC9h>6$R~xf$bMk0Ht7XQ4Jl!0UtO79x_3!(*W<)Rsi|X0yGt`0p8FA zZd8G1?<~OAA;MM`z1X>zi2;0IAclM3=W}R)vWS95FK7S{bl?r7d<HG916d;Q;v48T zxn9;Eis<P{7SuKcb?m_j&>xb^4`3+!22PnEe}Z~Q8W3gcFqEAK4GwUD*B62Zs9029 z<bc$|4?leIb`KK+c&z})FCdH(zWi;SprqRTMgrs?0g!(LURXigu7Y7(BGgfksRv;Y zua}h(LmBugcu*G;?8P@A_w=$}S3nO5kp35bAiKI@Iq-!&$hA?Bj0|!tdV3arzHtJ` zy%8YyM!e|y^8f!a7Zr6-U9GNQc;GcBsBSdy=ye2di_7rnmEizg=275b`I*1@JSanh z=jS_PR2)23f+jmkK?le3x6TGj$*6dAvznb2V({n{)juu7@c+UK4bWwOy{xm5y$UXj zLGcH+AC#&pJU~mBbU}vng8KZR-YjTq6{s8mB~nn!f#Jm&kXhg)(+$1`1bpt4M>p>g z(9*|V(Y>dH82(>;vF-E!|1Um%{r~@k4pco@-HUnaAj?X?gM;8DG%cX+FeuT1Pfh@( zLyi|aw!&0(g2oKNrzU|XB)h>&K7>FmZig2^pTTvLr#yPhRDvsakWP>nz|$e1yz(Lu zB;L!)hoQj(Bms_baO+<41UTl4K&5vtYdUhwgF{X7&;S1~LO%ch55B_?R3<=YQn>zK z1hpVJKtm>=>ms)NgT$=D%T=I+zVH($JV1dW4str^bflL)Ac+o;gfK|rABgqy6KESI zY)Iq9tDQ`^&Nt?7GY8e|C`*_SeI)QrE}(U`-JBlB8$tKmK}OM8k7z0|fDW!W#%eel zGz8MiD|ZqUh&(DUba$Z50!;YdP{M>X%mf-8odBx&Ivc?^RCYTVcyu;_r`^FLBHa!K z9=*J5FBL&&3G=tsg4(;itk)ty=Nqu7y!d+<H2?jHiNT}UMumaD#f1qx)TqvQ+(pFz zG~nUU%R2J}$T6V!gQP>y%?Q1)^5M)C$P$g|plPA=;Poh=B}}YWW-Bwi_&@a@Xvilc z7rL?ulzc&cez7b8(%}?nw(Xh&o>z4PrSneKvzHVZ8f?{PfkvOgJeqB7E-ErG@V86> z$u`@XT>|sKm1wiA0fYzE*=)-MQ7I2{$9K@_C*7<cE+|4)e!%V33k8osU5|x&|KB0d zG$(8LC5XZo@3Q_v^8O2vb_Rw9+qpBrjsuO#G}umpaC$&RTsLd?ad4JyIS$Iw-K<w; zg2p#WObidamILJ)(25#XbC4QPiTi9Ts2T=!-~J!)uzkVIz);K8&AR}k=Va-HZq_~! z>p-d4aTd@)X$&vEy#<Y~LuLS8e*@P<EGnReyZ{GeA0wy`c#*)w!0`IagcljNAqf<+ zqRgY$w*8_a!waXYObjnKfTu3p(G;+DBHyu~3TpYdJO$@o>u6A1L)Tz{r{T0A5^h0A z65ye3A&A6@Oe6{LmaCsnz(##So&yHYQ9gi3e2YiY0bbI6{0V4+W#Tp_hL>v~*N{U? z>oaFSb82u7dih;ufZ85|2A@YStL;&c9iYq9SC@mL7+xjlY-3`0y$fL?TKxr{1w+}- z3D3XHw)Y_kU?V62bh6&MuE_9O`Ni#bpr{3f81&|8P}v6Beg;nHwadUcVA*wO0<+x? z8sP_V8A@xx!*?FNyfdyVGPE;J0Np<Vb~bp$Z82Djj|${)H9-+jkq;jBdyxqm__Zwp z<&nCE7q>xI*Y&b88iG6wa)yfv2l!S8rtkm%zp#Dx|NqMfP$Qw&R`a?d!wa3uObjox zzyhq7LXq@{fKq|oV^BnJY-M72`4~(4i@y!z0@N@^1Ymcd!G!-!kfEkP1JKG>@N$+3 z-7`Tg{pR0HB|MG4nFJUZ_*=Jwz0f}coV%Jq;R!D8JDWN{<rBDl+}Q-`n}f=4gO0`x z44_+ZTvQA@nkGP1Sa@_c&0u0+m;jHU?k<qsy^;SrXMq^aKN(8}8+L$Vi=l+W<G6zW z=xiL1Ue>}R5O2Q-*aV6?Skmz5-37B<;{_{t9m6h=6F?P;!iz5#nHXN?LBj1?2*dzT zlyHE<jqMRA+%9ZpVt9EP5^k^*h6o-|`!$^K^ziSwp!P6$FbiD9;+P&5fw~>CBltz= zW+sN$lOg*Tkk(ft-NjpMFyVh2C|o?ciw!_SOb$NXZJ_nAEzF+HI~YLSaQ;?tW(Ee( z64(fjUe=~t&@|h*1yWc?c=UGs08NK<g0J+NzyX?Qx%kS%aso&<f3G5_knR=@0_g)S zg%kwyqXe35mrVmlG`Qc`DQgH)aNG$x@h}6V*`v3Z(WkosWV%mx2gqO_%X8%>AYqTr z4ImYsy*4+RZDT<Om2!G^ia?jTbRPETyznCY0w~OGyi5nhPiKe<=qjJdT98Ty<Q)#s zfGuP>Ey(8zFVq|$EgH1tv=JVilR=g@+kTk}TD+v_(b)`g6R0`n;n8{8v-1EbHGt1| z4gjBL4I1JLcyawa6T|CU9=*0<H$h=`%%k(hi^JzZD`Bbx3=h260b+K30M9gd^s;J# z6|tzixBw4WSOm1J1LuVfkdHmOXMpVnT}ozoj=y;c`07iS(?2|VZB9d0sQZCkndfob z<@66wapTbqi4@Cg{O#aM5*l`p4LeSZ$hig7sCDR`2ucyXQU5z<f*8%euw@xg2o``k zSJ0K|;I&y#Ky&?_Ctmk>^s=tV69m_@FZ?gVQVJvzJ-We31MZU|Mo@Thcvzk*xAN#M zVuZ%6N3Y4{X4}Ropdjb;=(M@~@+YV>(D@T|Q(NaDkIoY>O2O7$c=-S<Q3H<QjT(>` z21PChs0|8U(jftfVHZ0BF)ReOP8J@+ATv8pffh}7-tg%B44z{Gxi;X%&$CPnukZPE z9sp07di1h}fVYi7%UbZE$!zyPQv@Gh)LjQ9#1Ajbt}`)A02cusD3_OkQcnSNF?#?r zXaze51AnV7xY1Z4z`)<i31Nbc9(oEY=$b1$82DQcLYNT@{H=2#jXxPx@L?0pyFo|3 zGVr(Nf>d<l%;TUajA&u@?4AKi-kz4=Ovm5K2Hp{5c<D8>Pv<SqZm_5?B<g&-CxB9) z=f#&kmZ$mqKr<&kz25&lEpPHSe`RD~@a>Md^~1B*<`yI+9+?D+yim{MF1Nrb5pL5> z{&vuELElbDnr=N=qS3<CY&!+4p3SE-O5k;~M{kvYN9Q4*&Koa!&wv8(0(f<@Pv^~- zDc~G^*zmxMVzA7O*GoY$4L;E!z@wM-?p08RV^MkW1iBQ|19Yz)@42grp!07*mhiWN z_C0}jq(LU0SvP|8^s+7jQJ}_|=SEPf1z+Ad5uEXQqZmE9H-fzH)7=OPFdxgq<=Gw} z;m(;56*kwJZ7)m&`Pm&3r=2X<5H%6;c?&69fu?6)^qyv7c)iV|m-UJ&EOCQ(1B8Hf z1AzPQF)9i#(ya+3Zd0(+L}7^=nyznxd+r{+yFp%ip#-w(iAQgR04RfroCXzFwo|Ww zrY&7m0$wnmW`bNZ=hJ!8qx05_#aBR!F;9RF2#UA@Qp<W8IU8t$nh*bPfm?nj3y|tZ z@Ff?|AQH`;ND`oy?u)Y!iFZ{<5}*Z_FSbA=wqi)khDhA+L(&00#=Qw5k&K}u^Va|W zkjx0GAHjnqkiN3SVf6Vm%>4vwkT>dqoh$`1?!}YUko^Ft^*g5il1e0Zf_--DCaA5j z1gm~+)V7sJFY6h5=rZwM)`^WG;4VJLi|Lm^MkIoa*bgpc5Cm!i!=szETLl!OygeWa zcEBB|i3%R6;(G*X0YO?3AX6~(M}stW^TvQE4E^UGV$qK@_ybDFN=N|!Ub=Pj22y;W zgdcw!xDA22-w~8+yE{NlNYFx`XP^qQmvwIsbn5cWYEb57J$qV_!J`*+(y!<)5VKqM zFo?3eSsn@&?&Mt!;`iDdYPOZ=1La#oP$mTpavbvLX6*w>cCyBUOzmY&08yZlL4PgC zaM(Z|D5D3wcnz8#J>$`9`bh|sLqW%X!45$JRYc$eZxmh}HOI`Mouc}uLB-OHUXcAl z9-XZGU~54Y+{-XfLDy^h_mm>Tiw=+#&pmosTaj0DfcsW+uY+PfeI*ma%R}IXCR+F< zg4$dt;dhMnY?m?vqu~M2F?ij)FS?W&dU-f{JsCZcPkJ1D$n3$)%Wznc!K2xdq4XZ; znn%NvuerNJR8)Gs7(oqj%Y*#Apet27eLx4Gt^{dk;plZ>1f73-@By<2GwZ=apcDp9 znvhYYie`xCIrziD*DHdDOEo})O5o)_;4xDJkLDj7wdJ6rZ%QVDW`$ljHGp)1Rtkcb zb2tCw;BNv|J08ske}E4Egx){f{E!25co}FY3ACZ(g||59$Q#yoeaZ|StUo%H8Thxc zJ_U2$KsZJHAel3rpatyks05we*UNfN8k9CcZEaA84K&>G!bTfp9H^x2_EFIQxA6=w z86J4uw*xG__dIBT`uTDuhL=s)!XI1@H@`tTG8EoD0gVb+9A{mAPLV-C9kfoDH(`em zLkr^sk8a+u9UzDBF7Hxic=2iiBf|?<8%Bl~0vkZd54=3n19DIKJ<x0oCuof7i0$A1 zFYNmm8D2=)F)_S&Itxi@3nMI}!UjG-XHtX0A90H1lLw%j3pU%qz@xhXyw#(V^=PLu z!;2F~K|7{|jx#X4usz4X@M1>OzyB{j-v?;`kL?^dtH{vJda6U20d!KAM=x(5IFCaw zVFq_Tj}}7Q13oKS02IF<?{mD+1xGTdPm_GY!}1{b;A?)D6Z}&SfR4<TP`uD`fWL*6 zk%0lU3<`Ak9%$$J4$uX8;59A$t)IX>$H;DF2FALWW2}Low0(@#6-0S-^FBKcY7T(b zp>>O10<%L@91IV<)&<$F;nB@=sD;s^@gU@S7ao-t_ojhHPN3s5-C%v7r74ytYR-8y z+qTOqGBA`Xc^qf0l2>E^t#59&z1<1Qyn!CQye;D3IE$7CWggqpM-&+tOXWR|v+g?r zIw_igq1kplSgokXan?mpF;I06IlR@Q*LLC&MbOpkvko&cyng1<Ybyvcsh3qpT!`UC zD@brfw~va-%U_@-Z!c>H^0kfN+IAwi7KmQT#PG80FKmG{T6r)FR9vF0C+cR^JOj$F zyiy=an^*aaB7^0@dhkjO$T}hL3D_-n!Bx3t6v&g%rY^VyTKx=sWU>e-|AWfN+mJz> znaI=gpw8rrrf2{Ezt{^g#>E=R7(q}|;mRdYK{js*69ag26sYO}V>I`3fZDYv>xmHg z2{e>y$;jV28C2%=vKoO4Qx=sMH<yCa9;+3I52_L@5Aydc1F3<`r!<0Bf&6EcI<3g? z;w&g|!9&vB9U$?{lT%Yn<2ySPK*NX=c0-dqNMmOsXe~<jM34;Uq1H>KT*tv8ppiJx zA+Dg!^DpvJK!Z&#DjdzWo7%yB-p8N_0O|MWbPQ-=XttdN5#I$8@ANS6=nf3<XuVXz z=g}?Y(RjE3)c)^`lJMweP1*~pEV4n$OY1y38v{UI=w<cZ3rgakd<fbBwbvRvplNmr zBKV?q8R&ki=PjT_3XW3fYNK9OW=%*#;>D_?px^<!kq>M*AE+j@@aSxGU}Ru;eG0<s z-3j8o_<Mkf;q`Wp-kl)ZU%UrRjDk)J_vo!K0JR$Lfdni;RTtQcy_EqkPJ@I%tMowC zr9}$^Xf+Kej68ZfAot0G7D0oGTTo*NG=d@lV!W6l$;9x|3=|u^tTk~+sRgtj^u@9Z zpwv>Zh>79lZFo}0mR=S^(hJfO=?2>$O`ui9t?Z!gFUU~=kk$m!-ry*M3ICfx9bo=l zA9+A~B%6P-@V9;jM^T6h_|hzJ&E~NaG}90EO(!Vy<vAeHZ2?+;1-%XoG*<z(1ytm4 zfX1&9IzS6_4=-k5=w>~8LXn~MKuJ|M?{N_8B;=w8Nzf^jyjxEwLY9Yq;NK_+mMFDD z?NewF)n5k{GLY5Sps)vx3xIAMXM9np!@%$wbmdDg)O{=}ptuH~^T_d{`8gv4`0xXe zmC!51K`VN@CxDt>ogpeR9^Eq_yKX>Tkd&L?@*%_+DXKstIxo5(L5fuHVc#!oLA+kp zt$awzKn3ZG6p#d{eE|xu7t_G!G_bz1LQ)1EWCrQ)c2SW5olMH2@*?m4|Nk#4Z~y=Q z0&G8M0|g{9!7KB+CqUc>+Aw|O2H1T|kXP!0-S_$-#C--}i|2xPy{w`b?mGgKfV=P8 zeXwcf814h<?{-m<fV%J8z5oAT+`RSw{|l)7LGDOC0*`gyJ_}09OXo8&ymWx9QG*6H zcz*`w{3&dZ*trpOp_k!-*CNMRR6sKbFE)ZUuYuc2;JZ&*Yc;@0?l`N!aYcsz|Nqy+ zv@#qAjW56WIFW&&!A6ClbRW!w{A)}MFK$f)FLVbR83#5JHX{1s|2!s!mw4w-^+5}Z zQO@TBHP?GP{=fhKzxgLKf4edh14HLQ{#_q<x<gb#EDx0`b)EnpIQoHq<780Ea^RnG z%%SC5i7+VHLJx)Pj#2S2{Pz0Ai+|U^;r_Y_DHPQ~)rTr5!GQPcf$E^^km&g=jHC?Q z)%bTGTv<WV;zH0MO)u*a3{^KEszSgiq8b#1VmJT)f58Z9Ya^-~&|WQ2e(~s@2y!<h zN6dtzI8crVg1FVm0Lc;H6jupy9o((jAYL!)Vs0d5;2aSIl7Oc;X#INwdEGiVM}YJr z<%pO&|Np;8zw!V73$Xp5VRU$o5b;3H5u2`p!*Y^7lEq;6-2{aTNF&()-5_2sD>H`s zHi0DI?t5|vY?>;D`#}1;T~tJ%IbshevmU?x|Njf9{k$`f>^A|$<;he3|GyA{xK6Pf zNfzA7v4&a;S<e3!(w^WHLQ)2fX_0$KF})q)xLbngs@~lNtAfPzL{QM_fSe|N_5XiR zu?Jl(3ZBmI_EAB^w1h`DQcP!D0sB1ydAT7tB>O?GgU7TZh}X-ylM~4m;F!(;Nx(yL z7HD#=m-U?~k}_~igY+YnEk&SWrS{tY|1ZGyqm+FDC^3EFGT419keg&+_q_*&3rHh4 zrWb;Ey{wWL?mGdJfV=M(WL(7>!+jwApwSd)OkV=!nY&m2|9=6s|0Z%wn}cHd{s~ao zw{jK}!^<tdAuU$4_TFAlor|)51e7p)JwSy?xgMw>c>yX&bSw{*DnJSn1z17CKjmNt zxCIX{z7AXhhr_8Hq>u%*&0jpf4NeB&I{if##D7dYNUFeny@L={kfr`9pwRz+<^TT| zuOOyMX(Oozhb|-7cc*7EF}zfRuQ~BRxqJXLCC|U>0S|xk0?_JDNcUL7qn9_d1vKvI zqoM&yd)+=NIh{T#CZNsN8lbgBFVto*fv%m&09~Zi8Vza)f-atD*tr!{yYsh#?)&Tx zQOPm9ZTRhV1ZWsS;5ZAYxytau27WAgZv-RAdQcIT0BQh$?#apk&1@uiH2>zR<*@7m z6>FsjL4)239=-95-8CvX9-S9Ee|dBs1L^$0zY$#WK`(_WEdvQQ|6nQQZQcpe%E;gH z6cl}+!*xLGs$D=M@e(g~T?DnFK|N|q7L{7wZXeLLmJpQ$!`rXhUfc!MKr_H9SX4Y% zk8m=84uOX_3w&zHi)xr;?}iRx28P$Gntw5svL9;%dmU6~1b8$bi2z@f1U?Z5I_3ac zihrOP6sRF8;0rZC;nNwS;sWXhdwBH5fSPqODlg7VgM=(NSYB=iH9$c@3qA-M6c7Bn z9`f)v1^xT~-|`@Ti`T#Z|6dk^6!o%B?MF%ghM?rla13c+3*0(I8(-va1F!r<8J__~ zMZmF!Eufe*JZX68wFszs)bQx_W&|+;z-v2hH0%H?;0Is!3i5(R_Y6>&H~(hgZ|?*p z2+%Px&A%D>TR^?$=HE>Gt)Tu)!;Z}$gG=&Y6QQXdjTPXD(9&qoHcuB1&@S~CVxS2$ zaKQkIBhWGga4-J<8c5U`yvzXYU@*AK#PH%ZXfmrC6j`9?c<B$40L_1a@3QKh02<nO zy$V#mcz|yR1kLw?+{^Jo=+FQE4K*qP3?*t{KSRA#0?uC^J3$IT%XuJ*r-GNzfmY{r zgYP^GQBeRNSahrb<R8Xk4WMaVM%bk8>pi{2e?d2ywS%q>23g)2qr$<z>orejj0(?- z8NZ=6mx_RG2E{e_bbf`GKR|H_YO=swBM;L3;u<JKLBbIGz<XezO={4<Q#WX`0NewR zy$HF@3L1lquMaf;W-AeI{>{PPGUwm_|Bb&{Koj0Apw-2%IU&)^_<9MbvVk`HKntm` zwy_OfFq{LIUmw(w5*oOqQNIpp!$R6MHz5s=KxQOm;ChAustnT9HG<TzZOHXMxSj#& zM`}@UfeJa%3;+K^><4v@;VlXYq<Y5SMZ#II`y4Rb*A8+KNFz8fEkV3q){RW)?n?kk zfKwf)5jP1^t6oN~Rl)89=|`#uvo8Pt|DyE#|Nk)iry#e=!E08^4}t0#$0<w<FKf`& zlc3c%+dvVGGQI#xAr=r1H~(ZqJ`TL`C!+xPBJ55U6;R}ZI*XvYe_p)!^8f$q>%9~I zf*QTQ7|ZUVMy~=qdIgZWP!cZ`&wztZPz@<uz|rdgiYa*T{epx`5hIc^aP%rdl|fd( z216?S<;ayjIQT&Nk*aC!OaK4BFgy4EKg51eH4pJ^r;iG9gHhr|<7u$_5|J01gWb0R z<RXwpaP&rjc)hHb7|`9<2$BFr99Y?ANVWMz2T2*&eIWfv4aV+^|Np<3cJ}{&nEhvb zk#vAZ17;imMQ_q1(0yv)L0D*63!>2C7kt?+%6cBqdC82B<zJv~F?`eXi%Snsmeqkq zMZs6{fOp0>+de7<jj5=C$_@jMPRLOXy{v1Y$4$LxnE)y(LF;#$k7ykB=-mOD1_h-h zgBLs3Gcmlr{9@BYCWe=>@P+}%vFPiW_}jp1VNk~FK>Ma(mdH<FVgM}@YG*+50B8&a z6fF!dK0HGA!Ex|06QCNP*;Wzk0a1_R4Irn1#6YztWXKQdUc&<~ctDPQ_JS4USkT5d zwD6n^8DBwKFN^3Pb$b{<_i6L@gU_u5uNLiPow*%4IOQ<u@BePrz9MCYPS%1QiVU#+ zX~GV0|1=OZ%nNQkgBC49j`%z7zyjJa@t@aW2WZfem30%yEYQN3Zr+a@L2MtD0K-eK z*+7>LcK-0NJjCA%IwjDfTjpYSF-!A5rqXPW&f}dQ9KqM`d~o64=*$A^a-MFmy;GpX zz);Hl-&y28@7?W+ps}vb5ETtj6UxG)Q%2>*nj4^53D7t>sEmrc0OEm{zkpPAgIW>Y zH7W)W(_ioK=sXT#8h(2{7w-0!?TQSbyBJPvQ)B?`<dg#+LD9*(W1AwwPRMzkqFc5p zLau*pJy3eAn>BE|B4}`3ruhehM=x(UNF!(@d>$wST~t8A{QaP{BF(mH+d*q0Tl+vQ zuWr_-8$iLtdu@XvgW)A`b5Oyf+vZ|(Jqxo(ukD^@AqEeA*YA!yKwHB-`CV^-g3a<! z&Fya1#|5ATjHSY$6MkA-z^1{Lyn;eQ0o0gt@aSbNE`-j!6-@vSJto8WNg#fA4CrKm z5*3Hn+joQRnfB;4)u|L>cs>6`aU*EXgS7_i73hHSf;L8m7r&<dg|-WBPw+U-3i2x` zalTXp4J&q<sDNzec(G&;xG-WyK3fx97<BIe6$YVwObjmrFvnA(An7#%w7xq4w7xq4 zCB07g-v}D^0}tPU&Pwd&{gJN>ntNz{2&x!*S?_KEr7;$j7cKpuw0kLEnW3Ba4n$20 zsPZ_@dMY1uJvIXaD1P{|Ap?To6G|+Ovo>u7^*2Cs0o@=Le`^ZF*fyB4XTgEyqGAC$ z_QyxX!=v*AD20TBQ%HA!1*kRT0U94RoZxZX0o=g#Xx;@{-pjz>nhYM%gs%4OZSnw_ z4N9dR6aW5yy#Y4(2Obo9(IUja@OsV*-D99)3N(7`0@{M^qms~alD`#ny=^zI;TA=Z z*IPihF?RE+LwHjTwwx>}2cH$_(JLynMUertoP*&5|3)ePDWI#eosa@FM8%;Sy!NiQ z_<!dnP{=m_#<rpe<f{x&=>u!KLzcaQ%45bCr^G>F=%b<mTIzA|^#a3_FDw85|3Bdv ziwYO0tm6RZ7|;kZD49T;`w}mVH-fUJi;4#LPU_>V;#(j=@6pRD0Sj&Y34i~;tOf1y zKKRlXv>2R4h2aHPFQhRAjxz($!55JB5|aS~!^@wL?FLrJT}beHS&?0!WOuKdiQ#1@ z@`$ttO5u$ZHjuqA9?d@)N{t|=n1QB^TQ8Mzf;|O3&9)aL)EU45YWQ<_Xx{Q@JOsXT zx>EpjicRA|$n1cJ0O+9Q7od%WpbAF7qxlB||C9qAEGpphU_jvtDb+z$3|jdO-b#ea zi5><Xp!pQgy_}##1HL*3yg36DD3HO$7e00j3@?^<FfqJ%Gy|L?SMLIqIG|};OGkr} zM33%B1CQ>>psUV7m#x`(fX_9%P|E+p349d??3z`NZpb2aP<s853yN{jq#&r^Ki&XN z-V6*3uTOh)GyT8d(aY)vv*h*q7gnIMsh8ClE(BVF_8Ga60&Z3m?*K)RZ5I;*xRDPE z3lK&Lk1|Kp{X`A6YqLQs&p8`x=YSc)$3Q8h<0r^{uxpo(u{LBYGcdkj;$~oYegDPn zP9}!eD?vtsF^c*8ZO)ML2kE>Wq$J@0o(@;==;ck@30j|jkiTUuC`*H;lfYe}Ue>KY z<-rr{h@%?dw*o3122Dl35riar1&?lz0MJ<+t)PQxJ$hM{K{Wv=bWe3b=6gW)@GVvZ zZHVmc0!`<5bPIyEn6!Xqv^*>imA>%ko&XYWw*8g^p1ZmZ3h7Qk&~{P_a4FEsdUidu zJQV5q`~SuNTn2_0T4LaWeKSlZ3S{4Z*3;`18D8WSfaRvc<nDDtX4frR4wN8IPk|eg zte~?+z->kL{h(4RM+Gu@1wJyPeF9jvTU2`kXj&`;<ib*6kIo4jKq1`8DzZV5;bk<a z%IMtz5dyCawOs~Us$}~O?0_3D^p-I(yfg)=>O2oUIZYa*qVvW}F_0+e!s2dD#B}vK zHAaS)>p^|QUe*%i&3xb_Ca~@Q{};b=7#LotiGuyPejPM)!aD!{_xR6xe4Qf0i>!RG z++>*CEl~J?Jlw+I!3r<4Lwdlq=~5Y_#x8iGboEwHIxcNzVtBa#t$vf>Z%YQv7owck zf|R~I450NJB2jjG8hCVff|mWl>O6226X4M+`e`l5@ggcOW_EzqsDD}qZdbfuVqoaz zy_KQN@c(2d@4a=Pz`vdaP9EShGC*TM9-XXvLB8weO<Msf3`E1hRE$c1;kVb!9{-Pd zSe~nu^60kt<Y9Tg<b4afBe><~(%x>N%)s#a-iz=`PzGaNu~v}*biAh{2dECT_2@hZ zR%dwo1OLXEAf>Q|+Z|8^;sK5((CIYbQsO_aBiIc-DjtTnU+?N>HCl(<gc1S!jz{H1 zG$?97U3*YU0}X#=fVF#cv#wkY3INbzfNtKYV78Bn1OB#Ub@OhJyBJF|z>Q2-!vm-- z%%h;;6@>=d(lk&rQ{lg}2)I%C9ej9|59mZ2(DCuzH7Xjeh6i3x2Q_sJz$#47L9#WZ zDtdkt#EVfec)i!7^SG<w0mE;v7r<H5K%I9`9ngOWyg0&QEjZ>xLCt|~*2~L4QNnv< z8K^}Fij6}amWOIZKn>&;W{C3{N?yJ^2g>WctZ!F?!hl8P#gsNsB6ypj%)n3@>2aL( z#u|8adJ?8apyMy{usLW7nCJ=02#@9?37|8=o7aF>K}=c1#PE923t!M~jb7HeH4tZj zt444u@k%#JD=`x4oR_?yY};#V3(^2ei!Z!Elf;FPYP%JA*#Y=4-`GvyN~;Mun}P3* za)C&QBA*Zns$pMfZvy8(Z$_-=wJZSzTk{(MQ2UYt)V}1vme*Ps(GoJ`{`UlrZqXKS zVDhLy5@9cE&MJt{UkJB?8l1VS6&ZRx{&(`GtX5=b{=rxx1?s=T)bzK3igsAV1ga;& zrm?8JaBctl|MeA6QvkXn)})z<;iWx#?-OHuvL95MqMV0-C{G|0VDLf(wDYWm!J~O6 zs5D{VZ?OSuwe4C3N_{Qh20$<C+?7!8xU_(r%9^`Mk-_lfYc|lH;m!-7Y@XM=15~mz zlo)t)gA4O!+wwF}s^j$N>;RSIuP=Kb73n8lWGw)V>fU(02h>IZZ^8w)j=Dj2FhGm$ z5EYIWpA|rP26PBI#8_}s>2-Uvtt7~F{?<xR_UPoj19D(5>sL^T1*$D=TEXRT=>zDJ z_L?Oice{XAbUa7}7ki*_b<ik)heu}z*q7jQ+(3FA?*9MZV4IZ;Qr2<=bbL6A3aGLA zBHRg7zJU#y4KZX2DBVCdQZjmU3xbwJH-cnJ3p_f#z*~+rJh}tmN0x*3CVE(2E^!2v z+VF)7-7`Q<Y*1T!1Bd}>YwrS$jDk13IDyA`K}99_3QOp5A>L^aw}7`kNW5O>(OU&x z${{?TiQ#n{Xz*X+1^awZ5dVCc^#A|=<18wmw&{z>ju3qu&9>K5K=H#13Iqv{PR5tk zpgh=JqayL*+&qXzO^`_M4oL7E1O>gvi%np;6EDwzt5e%#aEPCHF&i|;Tm=#X?_vdo z<BMd7RT?irx1+tNY+zz|83Ju&d!QuF2HQW0pavUngY9b&gTDo|{}0?~y9}C{2G5Rz z7BzY_?*N}w$Umh)gOP#ZKkth~(0afQkRT|8G(37mS0*Vlc(ilDTVL?SbG;pq)p5wT zKrh+J#4rJ_w3n6V1I~t8<xWs*2AmSX=SRPIF^vgvP8jG|3<r<KgOG;42M2`TJCUIQ zw5346qw!!tGl=CO@WP;$iQz>yw7a6<(cJ(#7YtmD?Esy81{x><<q3`#?N1S>%z7^a z4=jKWeu5+ck8V-3KMJ7xjLkt*>&Y^8P+t+;;sh<J1g{MKvXu#Rn>A>y%Zm)qelXC< zwT1^?#4LmDYydZRv7A?-0V)e2d)dLuTAC6-3l~}slxcw$mKmOWEdsV6n1KN_r6tho z0h*Q)u{>Dx0#sZ<Rw9GXz7qtsZ9p+N0pu$QP?6Cq$^weFb|#P3lcg74fHqsdKKUY| zj)~zV#(5Rs^%Q-eT#k}tz#T@=3X0#5ZXe_bP*5rd9Ui^b4wCf1ok38GIi(l0M$@C4 zHyU&i&;NrSogNP0&Uy2}2jBxSk3$c`>^$Vr>Ez+j?d0Im=~Us-=~dv-?F8DS21+|= z$D2U&H;j;5nnBru0Tg2(e{sCH`S}0;X4@uk`fUYIfPxDKP!rLk)5D;JvDr2iBH0fr zH9En!^!#rGZ39Ny`8&a*vkTO5+6js>k6u~fC5j9mJopcCdw?9>1zD#cqVl5q(*OV9 z+Q_4qS0AK?{~#kcxEFzf3KHC4`#T#!nd3j}zBpxu3D7*k+8VFS;L*+jiYaJf>fH%S zg)gpvCUwF4uew1^8c?AE8v1>)XBMbLxBxCIUK)ZXi&oVzF}w_gjoTusOK^OFHnpRk z(SWFbK%GO-^r%O72gpir^KU08(L;g~v>>bR5yEppi(v^LJX{FR!x~80mN)bbDBHr8 zq=5z$;QgyZTS5J+9u`IhaPWXfW<kO8pLI<vC`7=^MInI%%03{yFZd=ifkyg37IuQh z410M);y?igKGqK0gH}M48K4pYv=ZL~HkJXm+8w-I7`9mXMMX6e!^_K%5JTM{0P327 zjwoQ~-xi~y(0Q}NMTOs^TlRh|=z8zpj3uQWt+z{AT27WYdvxCL=ruhStIV(;lt4as z@Ncwp<ezfDwdFvmxJNf@2x#F&uc&V~Xgf1#)f)fn)u8661}Lf_$A+~WC=rHDE1Pa& zf(+ljZiOZ$(OEIzGXa@i-}dNcWrY~`uM2M6%SdqJ11-Lx>-~|+3{YhOKFSW1WFR*Y zya<QR1`13730in`c7Rg4M>nq+$fKR$s6`muda^_wbW9#-ep9S@X91{S<8J|t3WM`T z%SlkbkwxVNsG<b<4pQWR@}h)CFKaa@!a%jqq-szLxH}G1za)Efvu1%LkaETZk51mO zIAw+g+i;MYQc%mx4x|R8`D+cR*#MgkIL2xMQUW>=2Bu(1?ce{e&+>2U1nsB=Pp^9P zvMx?V>ivN?maSb5YJHYh;#%(yn(Rfd5?@Av8z7+RVNlWn9cc=i<xucwwtYDf+&}}J zk_QTF2hh+Gc=;SFXfGKk7!*8uSyehgHwb%lvr2>a?0|Yjy{z-W!Of!bf^8kBm}H$l z5z@K?jSoqHbaK4#=?8VVSxaFmbHFN*4o-@O$sAe>G6Qx1r%N5UVQvePH9^;xfV|!U zyb^ihGEmq=SKzy!{0gYVL?7>h&b%V;SN1dj)m5VNVwBOxH!VSvd60!cM0ai=!*8H6 zQvh@<8S)k)a53@y56XHY(DlG1n*AcB9C~ymq#A~kh-?5ZPeIpvp%xh)y{sJbAkp?> zeZ}AZ|5;_{DKfk`5(gfocsmy=Wm5I`|I3A-(Cdabk~ln$H-PE{kRL$Jju-i$ZWgFa z1f5NP&7+sqE*d&H5Ly9B|Ey*pKIrg0a23wsahz2l8Zuh$(aS3ck_3&KpQr>Wc2VJY zz5N&~SF|z%BWNOk4X%>)c@)&xc_5X&tnXobxoU80{Wy$&ul(=-*X=Ld%a|BmegYSm zXyF0AWDGq$Kw=lvpMlL^wL<b0v}@CPje&uoVW$YF;N))w?L`Nrbx_pqn*$B5)N+Uy z1VE;Ebc?P9OH2fX7O0$<H%F1-KkI@yiVQEJW5EI40#?AH^5Qf&q`-rppt|0p@gQVO zh)3mxLlv^2#~VQs;IId+eDCfAmw}-0+YtfDNdlnWfd^vz6jZoDlVUIHA>{d4@B;n7 z#o#O_#DY}lfLc^9EFco9$O|RG177lrK{@Va2@?bO9AQwRL#dvRHGsm15&6spwDA7` zYGk0#$5zaShN65K#KWNTPWfBFM}>lp%LeU`i2<ttT|(1(vP8tA8yvJAouV$Y6&e2X zx`AYSS@l5_sQ4{|MhEDgn3C#-9Ux~h@V7!@e+MYw82DR3B`>G~wP-n6YHE0?<r04@ z==PqD4v=b4MI!*KXb{76Z@~t`%5_lr2{|QuX(<B(Xa@^N^Cxg!F9A0A^=eQ}YT?m( z0NmvB=;b{MnSnb9%05utphGI2fltVS84H>#oHGk`uCQkoVy>`b7Pw`@1v&+@^%kh> z)XQoDQV;Tabs1uaRcr^S`{~ik8wJt92s*E~CKQw|pp{-P>uTg9;lSmp<w9_}c#XW^ z0GuuqAQHbMkP-}dy#+f&!W(&M33zhu-2za8*-->K&kNFlLrV{D!Gi|K=S6`+1w85S zBCv!3bn-X{LkVZI?dq9|3=Ac*a9Pk?5yOjl-~tG?;IG+MF$7%ob%RXp_EAv)H35t~ zx+fNZ2HZer5~PD<nyWxttwTY~?uiv3DX<7=I*Y%>1S~AN1(cLP2QYz-dF&Q7oTJEK zDXKn4k%7ONk%<A)_5qzKbO&_0t>~{AiVWSdA7&^rFx~=b0u5P%E0l*b&?n6JTU$YS zpqI621~k=c7K0iZQD6`HfISGEIqqilnh72Lah!=5{_%uqoKOPFE->GF^s>s&gc|8q z3K{<KQBi1NY~BePqhx^Ws{*&y5F@JKfhhjg)!>0c_Zgu5PNm(fuY;5s{-3a9m7k%= zP@3q`&3bM+I5qN5J<xKXMAxI2^(aUHl-zj0ZU8rBSyUjA!U;aU3GOUV3H7ZIT<G<~ zbX_Y(cDdn!*DFBha=>JFfMrq4+zRfk!OiRz^#KP0blj|))pZ6mploJ90*VWiffZh= zg9jp5^}woGR9@(ofKHw@y#105B+<zOb~59OFcjm#Gn|H(UiyQw7E}$x3nLUYh6i5$ z{R{1Ey!;Gjz5M(Czu~2qoB#g*4@v_JFIR$7sE>+*;enTD{{H{}Vs$<f!^>~*RY_?1 zp%aw)Q2MJ#1uST{&_wVlPoSg>I;zQ|dlINH^RPU{-wIB+kg*O}y#?An32U(zhe0X{ z&_ogFa2BL`<_0{xK@|%|Jp;0_yA#x$1g&@i9joF9spSwgkXaDia0dgl8VJ%)d~rFL zf#Jo!-~a!EPoH_AvK(B<NFv`aVhLJA>Am6q{}*kbW-r3$kda3p6$SXxdjX^c*%B|V zF9REP9Jz`EEs1&YZ#|@w4=GSKfOx&E8h0RLd!WWK2Y4mbb&v$8y9z3nUvRDin-<G~ zRLp^^KahT;l~fPc{Qv*r&5Hm3A@+k7D&ts5We#zlIEMS;pcX?`A`5_cy{sL#(cNbb zl7PD}b1m3?tJu-q2hxwUlFAX}J|B?#p!VMcZ_|XXy#N>WezU<weR4oD3N+6D!gMyM z;QpTj+W!G5xIvXY=6a<ypcW#o_7r&KCM1zqdKi>sdNl6@rB;SgH*nMVICOOMCMacW z2?V7e78P(0Pr#$I5v0<i7u@Cn$4YU*-~TW4z-JS}=a73@Bat@(fa{{_Szs>-AWsN` zhyIghfxM&x@{&2YD+=}zTK)qc$Bf-S&9>VogL_VpgS;IKpv{UBSxB>o0an?*odm9) zA(d_Zi(3H@pF!J<yj_z(g*1=K3&UJcA?Tta059&NCqqlIpvjO@Ox~lHH43brMdii9 zyubfn=s-_6gtzOoCxeV*QF#%R|M&mv^B%pdACS+R1s%rvB7G(}OgNCk1YAG+%>;#s zL^h~?1~->c$Mg8xKuu0uOBz5;Gf*k30Un9j1!^6Gy4s*AFOC;17a=)M!{d0v3Q(h! zfq?<CYL@}j;Y6%u05y~hT2At}90esMP*(`FWB@cEUf>6+%pvzocQ%2Ce7XY-nr$OM z;-!TiouKh?PlImJh)IeJmJ>lkN2NXuww&Hd3=Acr9?ca63?-Zm;6Z4HQpFcV(6bIT z;P=&hNCyqf!c!AsSn0*Jjf@Ph&w?&t<hcimJs0q56!4};@U-%?cF?HSjh8i`TBLUu z$Zan!fu?9do25WuA^`FP^up2qP698LK_g!qGMN}&&W40DIPsz6r(PG87arD*0{m^O zL02L*zJUzctpT5t>(L9Lk;=pt@LdZpC$TXwbY3&O^!*#?UQ^J7rh`ZK78P(`zIzT> zjc4aY&(2TXAu2wWAu1mHEughx&9*NmfNJ|%k8aTwUEnFgm0gOU5!nFnRk%VRH*~Wc z@&WCX2aQsBHveSepAXu}XbGCs2bV^z2TEoCyQqNLECI|eDj^^%K+T_=|NsBLJk7<x z0J@M7w7BS4iwZaqcyvR&;n8>m<h#Qjoi|=yWMyFR1mBc;$)ouP3xBU8<cJ&S?Z4e+ z2HpEWOB7tX+dxw<E}d;4o@3|FgU<zB49~lE9&|MPaqvC6i{WwC&J&IYUkW%H{&eZ= z11WayHDT<w_2^b)@abmt>;`+&t6Pzw^*?`$Jr@ImXXnAr51yTu3@?5E4mw>zjf;Vy z^8+|IKXzUK2LvA%=twLd6$i&|k%r?gDjuN3+*zaI(^;Yt&>5l<@^Ue#nE;-l0k7GI z#0#i1pblEcW8q<Wv$h^o;5mT8slcOK<OFz6M^PrUd0TqOqnEX$51NgoGynbvbpU3A z1zI2x*?OQv8a(RU$=lqA=m^yJflC@Lk6u<2uyPia7u8vyQU$J$ztsYCnV>DZCnz(u zsDNihSg%chwC7s6SU|f{gC{C7Sh9Lf1hsBIG9y~IEj*y+NH6R4UZ~^hG9Zro2ND3C z!M`0mM(@Gl(aHO&7vZpHy-<g>9w^}h&Aou;SovEjL8?1lR4P1rd7D8-fZB$qGC>Xo z34#m+9VZSh?;m@DdQ>hd>Yx(=%0W%$Zb-<2+8)OmL47nv@OYj_uV^*QI>-&0hdnw^ zHQS1MfPK7&m4Ts!$)kB!1jG$X!MDsbL3#uS_*=R`{ATdsOO+sIcN3&X01}yU0AzUt zSeSKDKQzqjSV3VXKLHeGq7y)2rpk&4v)1XLAnpuNiSX!V4QmGl9B3rCo7V}<_5t0w zDB9Ko5*JZ<p_>kB`^WWyDwb9UkWSD+#4QZXJ0NZLRvmEct?dCTIM2+$@S@8LQX)lo zShA?_w|cXKvKfoYi%Fn~y<S#ru+c0kFN!ii;fe?|{%sRMf!1=VL=h5vu(hYMHa+0j z;{JcK^(24KLpBD6jt~`f!;>#<z>Qak5ijTe`~Sb2^@ba0nJ9nDa!|jA^&EsZ9UM-* zpwpxdc=Ylv0A;jxMo{+@++hd>UDyYj1_4DKe8RXjo(*YK#S(O966nUG>QqR@0iJ=; zcu@m74iB{5q?Z?*f7=;Bx0`4%lnT92d<RkqbzL_oh4ixOf~*GhORl6sQfdfT0G=J- z*~q3Fky<Uf!Ttwjqqm@<5TxHE9TIxra<uh8sQ_q<6Vgn3$<Dy=x)Gd6?c5n4<NX?- zk`Ai2gvX=1161mPGClZqEr`C2pqT20It8?i1Z_9@#gm}vV=oubQV|VMkb%wXY*7K3 zg|h@jHV`&r`C{8jMuyjW3=h1l`}hC9;enU2pdt$7t=tsQ1&=SwL0z<7QTr~?qNzV% zCA@!Ny%}bRz#mY52c##`4Jjvrhfr|m#E+fOpak_KAPbF}ZR@*0eRuvB6Tq!ENQAw< z>(SW*F5D0u)K)1L28IS(6&KKuJ8y%n42Z$sdJa@-bc+^U2F+OtftIYb&H)L53Xiqv zkj%gVYDNfvQe0~y=yFus>P~Quc??><B&zJ9%mC^#odfeEA-w5_S}yUoYyp*U-J)+h zK$-gz|5T7*>swIb7Jcfh%+M_>-KogXTlC-Z9)AnyDm)KpoeDZdv0)!5Lox8TfD#|* zBpd$LLQpd74mN1m1(k9E3rqp~)bPMdDbOLt(BOM{9U3d}Xsb_z_(lNKR!s2d<&6V5 z3*^tGDWK#CI(e5+dgx`<1Z!eZc~Jn?1PSk#S^xh3Z(;H{-jpE30J?C7!K1qgR3yR^ zE|wH&e;kw|cQ{fZMXD@^rpTMWVCn2UoOSdUI0^Q{1zI5jYa!IKU;qCfYXSu*<1SDu z)1%k4vmKny#9l4{g&{l-9D2#}>;M05)2wzyhHl%0c14DZ&oqBoUgMt(%>yu%uvqLo z^zzcr|Np@&1{f1T<q7CCAn+_Bs5bik_y7M16W~GAdH^MFBP|xb3QCYL!?A?q*Q212 z%yXbZNZwis4#`U|gCJuKW}rg4m({opRHd+}ytoBETNzQzz*CoEJW}e)@aW}b0Bhk< zdEuS}NnIJB4AgQ4R5%byW>EQ#9&p1Bvt1{m0`6Xe8cQ!MN<r;xNN#`W3OcQOnfAZ` z;1j1yML`7}q;7yJ5CmBdbM!G66#>Q<;(Px8e|_ym18Ao%H1l|LLzSNa6;r*elacRq z1Whr#DCz-sdX6D4^#b>XA|Vp$$fK3uPOk$*;uP{mHE^F&z32b`7eN*O|G$WBWny>{ z0V141T)PTT|LI076T?g6FW?Q$X#L3(piT`+f3n&3K?}HOn#X{?TL-e`1zfR&#zPy2 zCE4AqDfXZ`w<NZkH4e<;2i3PFTprD~rXZtAK^J`?-*E^|&Bk#^sTou<mfQqYVUXc! zHAe6;-x}amA&{=5;epqyj=88H3!!mee28IUcqxtOJA?W#X#NEq=!81ogiz4!X#kx> zDXB(nfr##E24`n3Pz$8SwbZ_wcS$pNGs1Mx_5&fq1K^h42mXy*kaJPMYlk7T(y+OA z(AXp6i{9t||G$1@c=GiLk6u<+<h^j<7>?@#r-|jrTkhmRqfV|}poruLc~A?*g9zta zCkpVlf%k7<?iU1gX+ix5(Di2xw(T~c9;p6n@R4T{;NCGTJ-?7y1X-3Q0c#_G_xZJ+ zEWPZ}%bMQ=&BJ1`fBzdEcrE79DZ07|G<CEVBm?do_c}6yY6tL%{T7fV+$FgaCV=*c zaCvmk0<{%9Iwe7!a0O5|!(#{H$Qsa0L(V~Pi3VO=a=Zbg8k9vnx_O;JHng5B5d@WY zhdeqPL2@svK|3$NTaa0$niLscW`jGttj8Lm4&{x5lpF%D<sq&DEu;Z;^<7jr7+(Ab z9T5&1F$3K<(s}?ij(@rl)a$$q>IzvP-CGM;=?$8gfUsV)L@_bEtViuDV@Yq%Kuu<p z{nUsE0}U4J0xgVV;BQ?5QU^ND#-n)`XgrgFztspN)E#KhunRO1#84vkG7TgGs%^zV zXKF(>rXFmzJpghtf9nTu5g!O!lh?x3ybIb!KMp#{)pnv4=$N6FC7?F?vl>t=v#5Z| z)7DUC1_u7A2SMzX4@?XU9X=|uU``OI?E$K0et=rem%w6I8Nsb@$QkmW{-XhMOQUrL zBdCS6tN~ntfDZdJ{N~W|jlUJty9N!SOarZ|;!SS=XPy?&Hm+{oSO^cilP4RxlgHGf zK@qwVgny$QbX|3+st0t0j=%K_s9x>lQRx7!rF;$!57>GX)Ep-bI{yo_NgLGTl>@sN zyu#%5W{=K;5Y|e=ldqfMyxAVTtZnts^rIUENk5PlGJgcL)GJMx07@aC!6Q&IgeK7g zAYH8|OU#gys7I&hih58MSp|~qWz_~zpk`}PG{k5FkIsYOlcgYwrC5vV6&YTi^yp<} zgsJp^s?-2AB4rW&0ACZ~0WzyB9Ml9ZQQ>I*2A<x-P+9)(|Nj>sz}F~2H&!8=13EU~ zg%G&A!lD8)AKYA(eF?g=1msTxaK{MJTm}^vFRsNvs%o%0aN(^48rd-bolVuS8`KhF z;BTGB$iUEf3hd^W2SAO0?hqA&*KgqpJ-TOumgaUx3uN9Foz8Qx@po{H5et7iXundk zZB!j74fWN4H1@K7t%XL&oCsK`AzJVtCxHquP}}RpZRk7#xQz;0A-4;xl}F_TV-#rS z5tO(=4gvW~;ic?f(Au^xP)6ZzVgCF7e}|8XJV*+~%e|ticAy!45fxCEiQ~m|d&sVO z0g&h9TTYg6fy@7whd@n()&u-g55C+EVs?Uh)@TJBXg?sx0>~O7kh4Ll=f#papd1NO z-+JI>9_T0n+lOYL4BP4t?(P_#d>IXDnaF^)DT9`Fz0?A6@4kf8qTodI@%R7#FT9_@ zL?8hKDosEE1gd`+UhI7ZlJ!wBc)1YLE{Q?j?+jj0ehajmvzOKC1=1)#c(URkL_!OB zJ|28;!ir{4<x~{P#PISj;wE%ZX^6Idy9{)GEYezZP&h!QK2jsV7h6DTcj%IE#ZV@O z*XZZRB8pFNeK#M}eL<P8M;d{2QITk{on;CR#UPMENRi2+0y+8tRPjLK^ZTy<{}K8i z;RYHroiM@hl1C?~NOdrnV0hA_6SA-mJhli{H4%IOf9s`E{uj*P%mtew_vmhf9M%sy z@uibT1yuQPK-SR1bhj{q7R3oP{AOsjRRt%&R`9Cp<BcFgL5TvC>p-h3*+H_U=^n?y zW`blIelwKzHrVbn0kzjnLBR;#h=Fnb+b&RSgID`OCO;Wp?0ND3Klo4#geFiL1PzS8 zP?*KY@OqO+?@o}@4G+9nP{_p4@SEZF6p!AWAUA=_<`-Rspt0o>;G;)DF67@f5pw52 zC+NC^4p<&>p2^7YvI|t~L<Tc4ymWz9m1yw?y1@|jigJ*<pywMvrt_HiTR~^3fXX>Y zyn(VgB;M?Ik`!;ftm`VF#Ykw#Ur@&h+_*t$X50a(XgyhCfmVhesRWhb$3U{Ztmz;M zRPL?|{rmp~Cv<THq-6jt#d|6h8D1X;t<8e2=IR7Z55mr>6G1s>VF#qux8ezUj=Mh@ z5`W;b;>AP|ua}kc0FpBB^xzJV1UOlN-1KT9xD9Fd21yxsdJv=^Y5MKZg#Z6voCWn9 z!1jY$T=3~Z<nx*&UPwUP_v0~=iQp2!9%?Zp4}Y8lwm5S?k}|OSB%sP52SIp2+}HgY zNg3FEApM}m81%d*C6N1cLGFXvAAbc&2l(Q9{d!Qr^D_Xn-Um7hh?2g_3Q*HlgKdu? zD91>E6B#H)!S4@)L>@{ZFafp`t+NAEPaR`X5d`-c!RZgSCKkM0+eJm<MQ|x2!|UZQ zazKtLM>Nq1xaW@nntSem>WyyL)<OdA5yIo1!zEz%-0^2(c!|?Jp!+_M_I@_l=78M; zS}Mv6y#?pRDPK_U80I3-HfMgYwcw>Y7{TBJvi87>RDbAwA1MCkZ(9IrQsP)Y0Gma3 z0998WyFf(}WaTudi5IdJk`=&LXF=++ix(L|>u&@YN(6R-9n&lNuN2Zx15I%`fQrsD zMxa6V)?=X3|G1+8sO<FUo(P`1ZT`jJaU4923lWV`(Ev4NJ&w15s!WjBu}08TDnqkv z8^jdw`Jl%g!ME>u9Crkr3kq4d+6bDKZMKbvC^H6I20q3WVhU(Q9z(OO14Q~csLOr4 z5j1!TlI9l#uVZhvWi<c|D_VGTH-hbHJy53uy+-xGC;mv*$EL~*pu1KNc=VdiH&q6& zooDkn4ps~@2Xf*RBT|YfF!1Q!2Cc^advw+rfR^7)F#P7xxd9~V(d}%|y#cgJzx5k` zD`@#Yq*I3I<wFYDSK$5bpw%wTKNw2+Ur2#3BZIG)1uZK88SK%`0NQPJ08&<&dNVP+ zz5=RB7(fHMFG90H%Wijq)*HU?gs>Apor(i5tU;5z|3Izz-kqQmp$rea(8y*2g)8_3 zagW|g4Z{O3L_w-Lz^W<@3=h0u1@VnQJLOAMWL}iFf=iyYKamOuaLZ&~ANU|O@STq@ zE_VO_|Kd*j|Nk$JL)4#RLt0h<o^QTa1u9Th_%JcNbcPftNZ|=ug%93c0oqL7>0#jk z+gfqlAp#Twpba3MjvgM(l>rQ;jE7%LT=@V0>mQJ25S&CUkPxOrCaXORpa(ucZv#5k zu#JI%ff1CPEx@?~d^0&{6U>WbP)`@UB?;W^?sO~wHLNW>Is+@fDtCkO4`}xRXq7z2 z3lY%%K~U-H(d|*-VadVY2D<(aR(g5#@)lcws&&u;M(`S)UNDPC<%PEw6GMY-hz__F z1-UCdp%`>XEaX@>P)Q11RN4tDC6PwULFa#f8VDZU6G1ZFK_Z<KmoR~**+Huf8NpJ= z8$rnc9D3je71#`q<BpJ%BtSdNI!}9ac0B;)8IXeGjUb~y>cOXXwR^KP+a4$ab=gHg zi4bv<IHWxH=w)q&?wEYh<OwRrKrL+0_$N5zK=Z~gq(G?(6f&>(di1jDKra}35sa!F zv>6t3sM3qqpbiO0`AY>*4D_;U!7Nb3p=0OY|NmcJ0ol^a8VfW2iwBBp;KuiW6wd@H zw)EiOZ;A#T=mt6w0+xVK2d_Y(53W@~LuU%$M&^rIU<bmMqM?+(W$~!z33&9f&MAPl zh@8Da`#D<+K~W3dE6@a!Iq3uHa=~_Sf|d>P#ub82#PR55jV**~FY*2R|Mkfixt>f6 zue)K6MOi-pZm(YgMI_4kiHIx!+CS6X0FG)<#CY`b{?7-Q&ZF{TnHOjp@^%5ZOW4Z5 zz_0_9x<T23V;3lmf8gI3$O1m^qeP*>cDW{~Qg#0CDALWkq5!&NWqtu<$qJ}$TMjmt zMdigiZ;02RLv_8ZwJ=XF@d2+;1dX<MPK0#LIKT~t-yI;Hj|#`@`C$7EFTL)3QRczK z@KO*|>wDnX?2ekhVfh-G&B0j#PcDJ<y#G6jfNV?vXYbw+l?+gM&G8}%)R6-vNRMt0 z3y)5Z0*~$h2anEx3UDs}4+?P5K`o#Q6G8a~n&mC{1;IBa%2u0#@_meo0qD%Z3{YWV z0W#MCRQP*<Qd9tF#~<W)e|tAbq6H7qLK@?s&<82yc(Dnz><j7+Pzh634Yf)F)Lej6 zn!UU}W+3Z8g-b8!phZxt7-WftM{kIV1ZY6;#VuD*Rl#~2dimRnQy_jfcxnlB@th0D z-=LAgByfidyfEFt0Fm*b8@Iu^2Q)hPdb0<Z{T-B(FgF;Z=8rto;<>>#Mje!tL|$yw zW?*>n0Ca>Iyln<*3CV!G1|Gr#F+go%P{jobT8S4<*^EfLC?Sa(RCs|?(bqmkhSw`! z*tsz=yab&d1J5z2_nRPP4tUkj3+hr@dKB<C>3|wj;Dm)(!vsxAkX!@`(&LRFHYn!7 zp)11#9m3*o1&@V;BkVYMrzS{EgKd@?sHAV14o){dDiW{xU)%tlQwdfG-d@ytvV<SB z`L}x#s5G|>6e+p;f;ASDG9U+bgI32u8W@hSc<E&of!;~?qS_gx5*%$F1|H2j!R0@H ziypYq<WK-|1jG;Es^Gt)2qdq7k2r)b3hzAldNHWvgVZ~4BUr#=kE>KcgWIamN(VIF zC*fgvnZE_>+g{cyuw+$?;toU(162;-U3=gX23+LdSOsZ&3V0kxIPiZbDBfYmR{Ft? zdW5>R!FEwLs8&)2O}j!4>4P{PbUfODU`V^;MZOag!|TW31hK^#G=c}(g8hN<^%>B3 zJz@#k>s8%BB9`E~lfTvC|Ns9logr1v^dm?O9&XS)fou`DB~*tY!2*#uZUV6ylw3J< zK{{R+q8ti}x>*aJI~r}Hvmu9e!xqAU?x6t9S%Vt4%~dQ+{H^n0<uZ8rb`%SE?RIIt zN3(5S7AP!0(?A~Jc!VsuJ?5gq56dnBpgaTG*Og-oDjz_}qZhPS5VCh2v}3aqv|6(l zBmwH=Zgpe=wf*@SN<foBpnG-T4N}mmxMgY#3@<=uo*r{iL6$VU{WA0a|Nn-!!CS{c zl1T0W=RrLA51ORlaZw`PU~8icDjy_3Z4c=A`u`n7K+^<}*aj7Cuv=yXJbHQUz`g^w zA3+Ds_JUbFDleWnKr#i#>#bl{AP9I2c!2BAgP?4QQh$2%vfju5Rg)|#FY28ki%R~3 z1X>T2zz%YIpMh9R@;U?BMQS}zQq;{mB@;XpKlLEksVyf<9X)zk+d=w4!F<jIR6l~I zoLdi+fEyYwUaK&GZk|>EPt8H<&0{Vq3gB>raKSAEn@ng6!8#M_xFFZR|6eaMJo$R+ z3wK8*hL=j9>JoiD=Ppn&fM+}pQ~`qPA`fuA5AKSCo5Lo{NgN7VoDOxDmlMQ~5bx<Y zz{<xKb<kNy9^I@PK`N0}xGhXagy!6IXlR0qVbEL&Xr`l^*ERzbtfiG6y{uLs?V!MH zbcR?28ZR$(1QlSAbkn>8+~F)0dGY?_|Nk#`fhVP41BoxHFF*<(@BkmA<NM>v|NpNq zfs2h;WynB0lI$&z>_TuPY)^+q!Zw&2&cfUP&Hxj@tC<Zvj)N!DAVsg}tu*jblM*@5 z2pFjG4VvNb=#)K_1{wi7lBNhbK6-)&sAbN(Bu$aw^+Ur0;A>!B<k>SZywrpa^??&H zTKZiDNxv4LJ@^Ko^GFOldRb5OL5i^#>k}a%!~wcjyY*x(KPXXpfU*;$!~k&xKuxk^ zZ^4`M!KY41fZTl?lmtO$A9qoKH8l(kKt+j<iUhbr1FpZoLk#e)O@S>W*MZOHKoqwg z#~ma<;|Z@DU(B;(Vt5I<KLqR-5aEGxnE<?ghBkFLK*g8@hyWd$EdX@@_{@X=P%B9Q z)RqEYXaPFi8YBX4v}=G8g9FHS;3XT7hUO(3kn3_(Aa@Rc>;_@9@camx62#Hu1fOIL zn)L-wE_MgNZoh3|=nhe-=#64;e*B~H_YHOi2L9d*kU609Fgs&Zbdc&_kP|_dkg2=~ z=7gj)(Ah`)EeAl8jm;Gt4E!x3pe35%`_GzxvhlaR0m*>RD)dp&0o~!%d{6;=o}A_> zP`lCqv;?yCB!546VN)-t#R|Qb3Do6#VVec=G-$*clmt9F9W6XM8^OyjdRZ%dpj&<m ze1sT0{vYt@baVinN7(7%0dD$2?%|pMs`4{Dx{W7zbXPcdbXt0Jf{rOHaA>yOuK*ql z0$usw(HRi{TcY97*#Vki1#!VPffCqKkS{<xN1JUsA-b-EN+yV-8bJ$uLA~t^k8UB4 z&WWHCJ3w3y(Dau__iS+Er}Z{yEnhQe#G~2P6=Fsc$PDO~eE7Z{uKn;~tR3L;te4k3 z8C<G>r}7+*HGqb{!55f%>;w&nffa!pCiaUUWkY~RcgF?LDp1Rg6RZpjrRqCDO;L|t z(RE3XLl(F^dIK3fIwyc+J(>>+dUQh6cZ1b~-0tAf2|0t|e*;KSgKd%ws2vF2c?BwI zKyk+bS?w~@7PP)}aT2Jf$ln4w!M2-sCWr^UEk>!qmQxlqmIb*srY;HN+8A)*=K-oT z;mNss0;o9!y6F{sXiPKsrq`XIE%4y8VjLl7#dO1u{6w0^XMACP?EnAQs|`=SZuIDG z0>=hyRrm{;I#72Fd{B3YiUatB2ajIJIMl%iCWe<KpsqGJT6=ebqV>gUkf=OJw6`Jv zJfw5u#q<bJNpj-lDNxrMWOR3kN&z@TKnWatT!=^(XqY5X4wS-LKx@ZASsIisLFbu) z5=6sJ&=4B~=vX-y6^ECMAfrGBLV=okpcJ=R7vfOx!U+y=9}m<E1_e38i!kVgniAa} zEUhQ&*};at-Z}vka|WPxJ=`&%8}mR80yXf!C8J040q{~)g%=+$|NZ~^C|E0aL>Lr= z91ILEBB32Aurl!Qod>9~3_Sq^bZo`-`H*x5mVEKD56XM_3)J=OWqteuQhtN%<Nz;W znwAc3hCaiPsD((JLB5s)eDy*KNTS=p;CRCq1_sdWF#kPzS%2O{G8lZ3wQV|RfR5b~ zw0{~r)d){O9=$CpJ3xcy;4KOYp#0nT<^+i9T%!Umu6iMKH|rN!(0ZkM8IR*FDmOqK zSWv~$4UzR|JOa{m7*rZu0O@Yt35rdIl1m<tyR|^;J6l$RdS2a893I_99^F9#pkA|w zN9Pum2_PNdeGa|6pN@hqwBk|et!A`5&hP)jBl$R}gpU9b;Gh<GVSf}-rh;#wi|}av z$;jUn4Klj3MWq8|RIlh7uqh%c9=(D8JvuLYe81&!@Rz+u^CJxp#zT-;?~G9ayFkLD zdkWkI3ZSIn0a5@yNj?C?GI)`90JI;yMkRqi{D4Pq{R2=n`~g%Ae|TY>04jNN89?jZ zz#a#6gg{e+pz0M=Z6$!}0s&AQOQe7T3{>TU7%w8%LsG5=$Y%~7y}T04pqnRQlb)cK z(TmU{5T81DH2+B13DO2yw8QUz5Y(WP01Z7Pcv#-#Z&d`9kjGn8W<a9_T(7pMEC4N0 z5o}S}01A}O9<a1W=M=Cwh+Y8_?`}~6JG{FC6l0*}yI|$r4dBwfvqc4T#B#IkPAO0x zZ3T_LgZv7z2^87j@a|;f7l7I3$N^%5jNlh^1f9I0c%2tq3cuip1T|A?R5(C+DZ-=E zox`KkU7*=E9%OQ<GRRbjY3v@Id%!6SwEhsB2H~j-62UKQLzoy|-}LBZJ#rY7T0k9W zhzuw!IXrq{UiDECc+t4~@Bf!2pq^i6k4gi`$KZ+O7!?i=&_$QvaPCb7#UfN1G+6v{ z9cYF1ZNqPdmtKa#wt>Ew77IE%=71>^!^_?9t)8If1$ukj2NWNu{SDB7KV+g1T;GGX zHG#K&bPIr5gqjyU8h<iyFfj19f(ARl4NL`(?ujqJ7qd?QwIwHbbT)#x-Hji385sT_ z=mgWvKOFdbCW8`IFKc@Yv~9l53>4BXDhjXJ{<C(*C^B?+fb=x~RH%vmf3g$Inc&gg z0WuD>mzvL`dm_jRkIo5TA<(6vk`p|-MP0!rg5^Nx9hk)^GQ3_3l2AdF5Cut0@#tk` z0gHpqKQIS50XCcpDQja?I9?cEW&m|wK}kS^UyuRR{|Duimt3F->Sc}ohE#Nc?-*`M z0u^08CQJ-3rz2X(i1G{Eze|FIw+1L<Le8iHSzz$G`af@1H29Qmh{rl%UIBake*-vF zc7RBQS}V|b1U}KAR{TlOy?tP%9-X3=(TWVE+d-1%n35_W$ypw~tlS_uP<Q1LH0%u! z;ah6%(QNxM3N#Aa3Lf2WwtWreftIC%kN!Iw1&z5cCZJ$*Q89R#3R<E!0ZZ(ZME?gz zAn0n>5`IwWVgYLE!+Op>Do8zNRuxrH6%6kFg9i`6EEbg)YYagN9yB%6&FH}jIe^$v z;PpmOIR$D_g8QWqBSC#jhL^#hoo>gBm>6C*f?@$J{4vi<^yp>%5efB_Jk(PXpyc=q zB#KCS!4t6g5#hs9a5~%z(gcq@-gS|R46nC<(%~FbiC&NdXeC+=Se!-Wg|jIrKw+cs zFIYf6z#PszgE~LZ&6*<ys_RPp;5`*k<Nkjm=+Gfh`>VSH#A-cRVuGRovWTG()Gzhu zb~5mQp5fgM%LXq@cY<16pezW|@6pS;<Ts>n^yp=s$u0sp6Zl1cB+A$lsDMGT#=!t? zy93N5Bx%rT{h--Nh7!iZ&{L91zj+)7I|`K3khXEZz6U?j1J(anjq?V1{C@|?Y^?G~ zi+5r6zQtkhRcJBIy4DUduJ%GkACw+JXT{6}c^9=jEz>}Co<}e1ROAZ)?LY%^QE{Mh zLd5`be|I;lRycTDV6u=hgEnt#xFUn*L62_MIe{Qi(cVBs2E*I0`8~Q#Zh8Da+`SD{ z1ZaNrusmGzruio$xI@A3a{|;`fCLdrdM}gmXnw=t(W#^2(W|5K;sL1W>ef;5Xg<Pm z_{Ac9CWhA+h*w`kqIxG1)eDiR{*fMO?%#x_zPCk10@Muvw<k}6`p1oLGQf?qH7W@p zx)(w>+g1yLS`;nCEZ_z6GO9no;TUc3zZtYH0JI|qw4e%faY2hM$eiviDit6DERFfw zP_{E}W(G}`d-OsZXq`DK(8k%jFi@(>W$@{4Q2{Nsa%|pV0qO?w_pvcEFnD(F0QE3D zTL1I6d;=NWTwlS!-|`y5EMVYodBDWL;Ml#v26S=pH~!Y6Odw4g96*&#>o@+EtzeaQ z4E*4Rt>FRCF}w#nntv$N@_KaJ9P?=XU-}Nj<!=YM(4+Z>!%hcKP0jCf0yJ-v;9>cR zztsrI(Bq(fDuZV?bfH0Ag-^F%0Z1QzGx&z7P8XF3k4_sEA5g*s71f~rDg)>W2k>MO zcx8o0ug?*1n^VK1*W-Z4_nV*^!T>b3Vgb_O0V)Gt<W@r3o&lhCWP}GJXce;us9D*1 zfWIHK7#Y+U1BIAJ_Y4D2ld1JKf2$a1<-ti%jg;MNyN@5#RkinM{^`KqegG5@y{zKU z@lTLuaMXc%VxTG31W<k#067HWPEaVk@O<|NdG#P@G71v!ko4ivcm$Me4ucE>7nY#P z_3ATlr=NqNB+a9j)!Yg?vY`jMDhM>P0h;cD#<fTD4hB$MmneDkvc9#1s(fMz9RqPu z$pBA<L%X)Dk6|iqA*pzM2X4D1YvXTuhT=IMy{t1}O8X&7U$=X7cUXWz3Er@Tt?vQ1 zkU@b1K8X#~^alk$B=W%*l7OZcL7@R|u!APqkGrUNfKtJWQ{|v2@=*x@IRO+E;G4-o zi=IKt=RpA)0clm0fEuz7nL(i|@Zv}mD8+-4NpFZs0xS=~(m#LrftM3NJ!p`m;enTv z{{H{pa-fvm@W4wZP@e5>QGo?DC{b~MoDW&S2p(~dQGrAs#1B3y&}0VpgCL3rIKdtO z4<XD^0VM}yS4{r<|38fH+j^ix-m`fJD4{Vp@=rbJ3c9>P8@_Q-`Op9Vu7)RHzwodu z<|yU@7tSwPKz&vu_r9nC-MqIAH0It7u@1Cd9#meuyb0=VLkkax=Hp-y)O5BB6b&y* z0+|@Vne>HMAgJYZa2F_3y@(A2HT;ijGBLaq`VHC;ggW2RY`dNZlpWlV%K$%*UfH99 z$_yUeCWk#*zm+}*6$Ryv9=*KpQ$P(PP)85c2?2FLKsgg~VRG`DKmWml&~e<L^Hq^r zcD=IYg31h_qr#z%IuU?4vlVszso7Q@<Q~Wb8gvY}m-T@eG!tAm69TotL1lshr~m*@ zS}TBu1|bIm`hgt?nKlNGw1P*B1;A5#pwlctj2Am!|M~xV9=NtfU$2~rdbR|pEe_cU zz$ybu)SyUeJy2r8zs*6V*W*vaPsVc4B$okrZUnMzso@82$(x2B{3Xwixu_U`TcZ#O z5En_^3u6r?hS!a#?FIfe(26M3HUpx`0xp!gVe`VE(Hv0o;rDFt(6~bD0q`IWzt2I? z@b_!Z7eZ;^n*<d)4}zja^e`9FQG(D77A3bVdDn9(Gn7Ue9%yIs=w+?*14S^4$_rJ^ zzyCoiL_1l#{S`r1{-l6qcvN1j)`G~i9w?Org%1a$bp;yD1I0OHN(fZsCCz4FczqW% z)TJ>C;>1o?CoW|Mk6ux8E@g(-JueK@nHXOF2DQqek%75>#0XSnq4Y;VTRT8w9SWUX z$DP2JF?k$sVgMZ=2dbftH*xSWfUZ7g@aSz4-~-)svy%%{TSE@A?QHtN3#xKovv~Bn zF?uxrV6Aoc=#_EsIPP)_vZm{}%dG@Z&hhBAx#iJYAK=k>%;Vq#4v$_ICXe0*(Du1z z+jNkLrR*M^F1G?+Z+nsH!o=`;y+?18f-rRJySO-H#7x1XxxxWF1PE(LgIwQwvQ!?? z%!1s20GbsCRZ!ZX^L$^Qf5Cbm)cR)uUx=*$8u)&_+oN|E$nh_JIYZ|tJbGD;wjlL7 z!DpiJgn(L;*HoDpUTy@1EW#pie-qM1c!RVC6cmRRp#IG*A5eGu4l4tLWycLx28I$R zP$M10=iheHqr2zC16BqG&<v930v}K+0e3Secyylf=;ZAKi8R}SD2CE46FfRaTYMB5 zUa#`#?sxzi2xvW7Vm|?_HwB~;-XQ|%4FZWY+kz;DQVx(_4<FFXlNU(*cmqg3xZLjU z0B;X&J;~n+Iz_qJ_5vF?Vk1FGq_+bU+2B!B@E8^g1H+5N^`I~W7wrv*1k!*=AYeVs zwlg@u=6naGpUw_Y7#p5^&F<0b!RXO>!K3*nD}OKe8f@?|>%oWMi*qDAdSy5~dS`<Y z1n7bUP&vo}s<vOeUI(#Nz@wMP0hCZdt(Q}<#B~aixVk|#Qm>2@%!FQ>Q=r7wY|8_3 zODUH}XUwSp@In#jh%~Dcs8B!gG7a3+^Z+lJeX-y!#3~Dq;|`!o05V!7^%0WzAcMDW z+!-0bQS5QN!2@*S1n3xe2Nv*N2>8+#&~<B|Xab!Xed5JTN040?UVa1Bte~8HLKJ3V zZwL6C3Q(plUJ2O~V*%b32dcysz`>8U{iUZ9w9%NA1vG6Q0ZIX&g{3Gf@T0sSBNw`$ z`A67BKaWmPCofPcaPd-P0BywpuiWnhCxMrY|Nj4n^jKL%y%ZT<Ujlg$ye1tI4WMGt zC6kfib^nXAN}&68kcPYv`JoqnzRhpYR0{U<ZMs?WSwJ0X{vOb|9o;?PvkzKN^7pM} zWdL1>=F(uR$qb%2QD$Xeco7#3YQ=!EEpIf$Tl_8mK=Wmwi(Zp&feI7N6CV8QZ+I}u zg8b*vX=)5o*DbrpS&^Z83)pzed;I;onHd;5FT6JJ=w-P8o{j-e9e`?p7g4+ZfQn|H z-T=mK$XN{CE-EfCy`O5UJd$sDbn|9AgF1}1F(AtFG=KAZ76yjS5EUQL*mZ<QH_v@g zabpaMw+v9cfhX1L!7~HA1|U7%tcqX?ba05}DgLIS|NsBLTm<UQ^s=VMK#H9g@4(Z` zJ}MlblXhNjO7Z9xf%Jwtr+`oTfliQtMh3y%p*!Fv<$X(I{+_iUX6t{@`n~6j;1C2~ z*w7ti0Np3%aoia?pc7@_al9F12BaJSb3p|rgezO=1oA0w6^Lp*$=~)3)S~TXhTTtg z9CVBcv|yG4ALvjHvF{M*RD}=~m+m>>VDG%~TD>zy#lxeU<vS?IUmW}eUQFx(xv1C$ z7T6&wE|!;Tr9F~Advx3Wbp+e}4@6m>E873E5Nx^VTSr9(%R~IFf{Y9dy#fD0?OD)V zqv6TdO#e@T0==O15`Pb9xw%L3Cy#F1y^i1`f!2Y|`N!WZ1vaMIz_Z)rgGcv%kmo%t zzn2{D1TDkqEK#XA?gq-j3?7{(Dlc{@Lf0^q`h$j+4!VId)FsfVq_aTNksh70>)b%6 zl5TJVk0{2dRCsg-TY$=@0*}sM4ur=XJUVZ}ocb~ZT-3OL6tk$jIHCX=(2r3mczwa6 zm$wZR)}1^m-~`F3$-n?L!=snio)Oaga{<kmL5^7hjfR7c>w}-N)XO@R5wyRKMdigF zg}?t{nv+j>bc^nG09`$Gk-uFDlt4Op7lZh{tSK-J+DdQ@FBgHf8)+poGQ2c_6bZXP z0YzZr#w=%0i3mA`0yH=V-}S+x@`5j(5p?8~3utBq6kpI>^)mJE|NqCGSwP(~@c0;X z&3v~5czeQ&@LPZXzuXAwpLE`TsRg2YSvNC4eRxYAR7#6JwpWCOlI4YZV~^w$9+nsR z+d=8rqmy^9J*bt%S_jkQs`&SRHz;jFiiDRRKn?$1UcTednU>@Wpa~xEEDr~WCGg_$ zDo_N0RvCdNlR$M@H*Y-1)NauX5Y;Pt@fb+63~X;&j7k8gEPzfWb%IVO1^4X07ciSH z134Ph2kK;11ZnSNRk8=&wUo^Wx@$uO)VBlAsC2UavQuPu=>SSBy|(Y{K-mFu*29ZH zYbFNpftMhUcyu0n$@d#nZXb71NdV{EWbhiKgkvl!EZ}k*9J;-ro-n*;0Tu%FK0%BZ z4;&d8Ud{lOQJo(=I)A=MaA9J2G1~=HTYY#D?83xgc;JN(n0w)c3z)v~!Um+K9K`8m zO+E!Fw!pT5_Y2(f0=1S_$S^UyeEbvCJwk1dmwBMApN4O`G6e@PD70Z|Ao#}L{|&Y> ze?cqXz$1##U5(Z;j0~^ezi^QO-6wPgG+v9;_(C-9L5tmCSJ!|CEZ|EeTOm#B5EToL z?kEe;0s7X>RV?6J`=T5?I%k4Y5J-FHWsgqq%6m|Y+@sUk0-j=Fn|VB1Z-b&-0d#6e zH@K_OdEzyb;Q>&mT%g;F1ynFeypRk5Pv-J0KngAJ_A7^2NIL*r)xIc-0xP>ykE9G7 zO_ES$;8nvfyraR&{N^Gl1Dp0K24Wgy9hOSe|Nk%a!~Xw&AqBC1)lno3VxTJB&=YLZ zoxMmB;7MW$PsFe@qJ9UrcR^?4dNjWY0L`y>fF?dYP=g$@2pc@dy9d;c?1ltq>uvs) zH6VT`c%gm_=yLWD6@v*L-9;9l0R{mN%X6jK9+puKrK!zTER3b`4YrEEK~Zl5E>aNN z7Qk_Fya5zR;6#7i30$cicY+q*pz5_dN5#XV`AEQFP&|N7NqEs31uCRKZ6(m&Wl%#J zbdQINiUvHEii5zRAvX^xG{E6H3l!jx?G7v|FML3}Ue;?ZNXo#&G{qnZ*aq1bsvur3 z>uwBXVEvG_RG{_qkzh-Crz4pLUWW?S4{4*ZsJyriNg6^J%Km{QKog4K3U&jC*US2C z8oFs<{qVfT8S(%B3z3lj|6lwM1Y5uSERqKBxuIh2V2Rj$ND|<aQvSMuGWc-`CWe=f zz#Du}(npyuTK?{3tpOKyEGjRQrNI4M2}aghd(g_4deBCKIGF5GX;AqHpPd1v&)4@~ z#7i(Syq=5b%wqOui$D!1l=WK;wx@oAn?K>8mD;wuAsjCzP}i017f7bv7%a00EYtE5 zG?;myoA)ues1<zzqO?Wdf~d~Rny0!=&)F$5XnyLpy#}IxSsvo=2c=|Cb3+W&sOV)C z{G|lxDL6=iPW3Q6`I-;Z7SI6Qz1w*Z)aL;oOwldMU<s;<Ss5)A8S0`yX;Z_ao97Uy zkO22TAd3^NXMsmH1sF=et5ghLZ=L{Zjypi6j5|+(Hud&K{%`)x#NYN4l%>FFt~Y?O z`6r79zssT5&7g6IpHL_HN`b-^v>pt!wsHd_1H+3|YM`tDIlH1I4`c#p-5UBiA}Y18 zb3{BmP`VetZ9%P}ll(29qbSh2824>KU5tme;ARo)POwVED%zE{h;^S!ZNV$Fz(<_7 zfEpQ~Y1Ig@hLa`Yh~wW~K_>FLLnQcHdKp0Hv0)w_YX<fvY;hB`FXL&e$N=r&!DJe2 zmwX2$ffg>v?XZk5dO*j?f)=VxXtv!9k^{v{fJZNH^$#Tmk9J1>=?D0?9e8;M)CfVW zo<gvCS#3`uWfE}ix#0}XJ(v>volzFqqOaEZjARh_654)<LDPjGlVjj|)(lj1mpT9c z-x;E!;?c`G`8zbKlO!Nf?cmYf09nA{qGIu4jxX3Pb1xv74c@AEI1Ex<K)SVGA(ces zBqU|vf@n?{xY4fCc>r9*oDKf}|HTde|Nmbch8S$O6G;cSh`8khy3Q&_#RAj>>MT)_ z=>**zCIV4zfZ+~nhy@BB$q*Z|Auc&S2gzK}Xw(ZKh(Z~dLMMnqp*AFi9H78?84B|m z)JO@4$}f#bD#078_lJT#Cjm3^J;-;z{Qm!c@ze(#Y(lq?w8()B|KkWM0FMbXF}y4U z4FIE*fBbEqK}ACI8>IWFJ$hLytU%*CEGjSLMgRW)&)RFH$ne4h+yG^bg-I<DgOnYH zmtM1X`>4oxblw8BLoGnb(E)UFOluo61H(>G{oMFdK%IeM2Z-Qz2Te`NfW{A+y_gu9 zZ9{&5l6QYF_>8>gR-lyzEui7_9iWy3=sbH+rx0|XBz(Bnq0>c0#-m&Gb2DfSI^_60 z(D`v5-K;x6<rS!KcyY}e+7Abfg?969{-VUtda^_cWQYf--z(tJJF#Kf3<l8s>^-1s ztHFr{bQlh}?DF`3pt(kcg8@9E>cQ`Nu)+4QC}^CVx7oJn3&_PS_d)wyL3cE?fNrYn z7ELix1Rd!&^$<7!S}v7_c8gYgS7K<rROjfCe90s8l7kaJ18A+Pg$Ls;kM0r`1<)*7 z|ABv?14%qVIhlVxi^_`_&{zbh_BK2TQmg<z<`TrRcsUg`9@ESE$`~3ujlzHbA7_1T z3_3}QfdQ1+AS<D}S<i!%AWA{-WhY1nKkfkSt?p%A2vP+a-?<?I&54F5U%G*|OI&(s z_8;V|yw4!Nwu*o`u^>(@yWu6sS@qqbjMB;s9<7%^!3w%%z4M-j=4B5{*`h{y2L3sR zUOoUh7vxXFOOV}=orgfHcY9?8Ex?OZHwyDlIS4))OhO2h0YGayz!gvHNznMei;BX_ zw143H{y>YfUpRwKUFZeX3?L_e-2#>YrIHt#KmPxJeGWXQ1RnbXCHqj&&@$-odyih$ zmFCa@3lIWrd2F%(Rp<OIn?c)GKnAoNC^f*6#Ck;wz#2tVUThZzw*_QC>Br$^8EjdV zM=!6d1$4!|3s^0S%8PDcP++p=G{}R7&2=q6c7tY24tgAXVei3s!sFr(P!i#IF-aV> zHjkAXrg4)XC`Wnp@_sZ2nF+db=CwH_Zg+sPk>Sag@&Et-@8*>>S7hiGz5Y>&!SW*i zlmjnqz@p4x(SvZ&{orF{UV@fLcAmcY(4+Z>5x@V<&YLfDLFdS9<!535cPi1!1MngK zDEsw2dRbq5gcjNSf*`-Ss5ls&G`s|wG(HH*^AaB2vR@5AO$FX>28s;)eV{uiJi290 zd$iszx!qmN()^E+zjZrkw53|WrQ2D;)A9#@i#O=BplS(^PG<$f|DZO7Li0Z+{#JdE zaEXeDOSiL#L(BhCq3&u8AIsw<TrQo?8Xld_2Cq9oT^J3}pzDkM0?>gE{ua;-Y&R>D znIc0s?+;T&2F4Su2O#It>437d2Dn+?co3ZFLF?o^EHBmxf!aFYYdN|@R3u*Ng8Q(n zzdk^Hdr<H%=(b64>O1-sT=If9WNH{*g6=;B-9Ay=V5|Nf)bjTM?bigAY%4*B*n?ZI zkeOWx*p&wjptI6@S!cp5IKU4HPVjP21<(RXP{x0`6qIp51|0|kwR}J`Tc9Lg<Okw` z?xCLs@{z>r#fAr7%7EP5dExb5kM3#(kH+I*|5+ZdclGG5w(w~EU&5c_(+#;#$kVdI zpcJ(DoPptG&p*&CW;<vV0caBQm5(KR$x}zjdFYIu&|RvpFB|@UnFK1I{xR{lIDpm_ z{(l(+a$GO#)%Vaqnj--91E|~uO#ne=?OufNGBLcI4{ma!w1+*qSug5=1_^i%>49zl zHoOf=N8mevgFU)UE;iS(FqXda=q|JHX#G~gpW@MN0~t}YEH@}+GyKN+`Sk^l?tLJa zgS^M;VQE*&V)*U#^JDFx#p8?~$KAn8$3bVKGQ7Un5u?HZSqKAl1tPuox~MGh05A49 z!o|Sg(f9_mZ_=Z4jSBd*h+YW&q6fSil?6O#V&KtiyZAk5B%9r%lXVs-2zpt)VWsFN zUQkG|HiLvaTU0>%<hprt!LpD;DZ53J!MrKp;YN>SSp_h6jtaQ-lWZCZ=5B$UuikCT z1>$Pk3WKQ5J>UadU(~sPtN8^LNYy;JH@6hjTmiSNyL-S^duW~jO&#pGZL;lp(>-Zt zkMA!%4*nAIIQY}v1GF<kMCHXsZ?Iv1XCfH}o<oWN8J5gi`W70;9T0_#ok$A7jV*nU z!tNHxk(-??Dlg)^z(yWvKvKyGDvw#AD#2q$&}NPy#8@Td9xV8Z@f)DV3~W#kWGp+x z*x&s~#`1!UT?bVO4ndI0yAYN7tw<`lKq_0HD#7EBAeEcF{{Mfm&iVg;{%tKPps^58 zYhxYwNMv4p9Z;#=10BK^l>l?6sDRr2hPPiUf@<#skKQ;&m{#y|1Mr9tM3IN(Y5v|o z@c3m6XfcDyEsyRx3zzOT(7c*Ur;Cb;OLre=X3eG3M@0s7rgtN#UjtgtlLKOO?*}=^ zqq9aOqT7uHblfX=mzkdcXpu8$y=!-v2&m+(@JOBqS^(zJIR_l+FXBLL*<RMS1xVfp zt*n003JPo3rs@~lAtNcN$U`^aXo>?XLyFK|4{(H*v?Cb^j(QWQN|0;7ji+RY%1zL{ zU7+R&2RM$nz$#(m$TkpVQ5Y_K42n6>VdG%aL?JGWZAP*e9FKdvzy+~Pw~vYnD2cPE zym$%e{0n2Kod7lxk`=+G?)Uir|HUci|NmcXb^QMyJZS83{5Yt9f7uTjN|ty0|Nli4 zNPem#s7V4Ei)=kmlJtVj5yRGE_y7N2<bjp3{?}Aw039jW4O&`ad4j+77U*W3iw@wh z>&ip&19$<#56}PqUl@b6`luwlTnkdX1fqCZ4w7O}<K)F@kYbSiFU$Ue7QOJdyabIQ zbhBPkRAc~MeFnbN09+n+g2t)3J0NKXS_~vl0M(43HS^#+lDq-5-2%LI0i0fU`~Xp% zdsILZnLgbOpeanBPRQW6PjUxn=+OsyF1And1Rh4v-2)*i1up#CW`IupbLogt5qII= zwm_7Tfx)FCMMdnzZF{h<(~*4*PJ&FJDD6gy4MRx0h2$f788j;P;)Vw}wBcPW4v4~E zg-8m)rQUi_Vgl8f;MjfW2DZ5xc?=7jRy)ATS|H^tDDQ8FsPsqfV1ZLt98@K|l<0-1 zH0VIG8a&Eo0#ykLH<0b10ddfb0i<mw29oV%RVhKz2JXTA1$hPOT;>-#5DPvRqpQ3O zRtcU(VNrR(3Q?AgT(E*&yv7|=g1M-Ocd)3uum`27Ue=pcNQQy)bsJQb7)X^QMAbPA zRS8flAzkcAuK)kPnCtNW|BDVgP-bXR0VlHVh5%3z)q0>L4zvpmlzh4;KxAfs*AKUz zEV1q81a%B_J-R1>Qypm53e>v>H3)e;x@Us~U%G;ZD2^Wn=RQj?`w)oz`r=M7wa=rM zHT^lX2AakOY8$^~2ie@&qXIfl#s|E&v%3jo7N~>-)weI=Y{5z3c{Wl2fD>OUDEyEU z-xf$snuHMu(Qe?xCjlKm=z$Dre9J&G5u7iLp(cXd0!aYihMJ2C#|sINR4;2Xa$W`} z?EkKyfCSH~u&BH+ggC*!63J+AY~6q=^8lIqg3IOq{}-b6|Np;W0Qs&PbRfq610LO? ziK?JBH*1nAsLc&t$lfh-2r}ck3EYMOjbek-WcO@vnb7*JB-^8V8<^b*GN!Xc#RJru z4(Q$mO7Wn94;TJzO`xE3Z8=aZ<k8E^zete5aR=zUwwF!+{{MGu_#s*R!n65@B!6=~ zBLjoy!3Qipy}V{;6d3%wLl`-{yLlLWJ1@Kp12q$m9|OnaWDjU8gO2C$=w+Sw6dJ1u zY~UUcrxvKTWl?z%0OEH;8eSfaM?med!ydh?FF~a%DBBufk?&^R1lA6%vyyqwgH^*y zKhYUr*(u<>-z_^0%!ADLzA&@~Cl*fRJOwT<B0v#?+^lJb<e0}@NHGN-<I#hv1cewl zvSJ|>@Yxz9mEe5J0#yk~t}H4qj3Fw8kV`9Y$#M&n;*eWEoS<4s#1=IEZ3W8gkmkzE zknjKhyL2Ad2_C`=)da2cyU)nP@KWk4cp?j<y$hZXQ3d57l=HtmdRaR(pb?<Y3_3B@ zMMb0YVDkq?3)b@*iVXZM*BKZXI#>^DC^CRf^xY0R(U<kCh9bjpuo!4o12h=A8e~Yf z=o%2!dZ{!PG>6B?+6pou`~bfoYd1)umsbWvfjT$IEZ{!1z-t-MHSEY|_%gf*?*ZpQ z5zzIJphKF~G(a7!>!9uhWHFsb=Vg!MO`x_1$a;@%QBjbwt(QuKJvzHU!XBNnOd5&| z;5yTzllPsvBE##~9=)t{)uCQ}!u<FD>vbNztlcn?OU$75JM8wQ7n2#77+&rJEun&j zB!oirAFLAv%6w4Q3pUu!Q3qWup$6)pffo*f#>g38h&MAbfNtIt=m3phbi?dGUlORm zz{CJwm<X{Bbv}~6O&bz_NK=U2tcvQ244~2SZ=jgr-Tx3Y*2UlQn}LC$^HAsI=AZvd zSZ99!`I_bX4Z};l9*mYZ`Tb9Wy1=cM`1^J<FfdqN<nIFwhBW>H6&5={1i$|U&C8v# z$JHPs<LlKxW9Z<Kap*-NymP=pCri0Kj<ZftgA7xE>OO^Dum7*XH!y)~5l|}?yp5RQ z1?WUp&@fA{$A3`pD72oeGXyn%K}#0=z@}X)ISxNDT-F*abh~tm$8lC;s0FW=gRB4_ z5CA#vtMe3izzWpZ1g{SVr4WeB3u8&pz~|Y2j0`V#AjKkT{N;O~tStn)3!INrK{xDz zh5$>9Ji1xugGyTPKtZP?XoVVYpQ<7Qc!<)Ym$yq5JT(Ab+X-3y=+Vo1{yI_t06zY| zSO?@DTabGyz$alqA{b;PM>lxEUH1e~m%yWY258A!_XZHh@>=O*%TuM?pv5y&4s^Jv z2pl#%@cKJME0{#_4}aSoP<@OtegitPH~^G!!HZ46JD<BlR6JfYgGOw-8$ot~0#(7I z^YRA|{*4ns0+5rn?t$E<;L&=ZqyRF4_?inOq5&QS>z)Ye%XH5Kxw7+~=H<rUpr&Jy zI%q8s$UKhD5EX&W5*3jbQIMdNU?@2ViXO=LfCK-wYaX2~7eQ+Zd)*k@8$sqEq>)*m z8Q5+Y6_1yoV0-cB4<o}%c0>Ro{NLN6;sIJ)4erv5fjVD}Z$NiVfx7etpeA$g8Wk{& zI5MI}#Q?N17P0T*o(gE(IG3S&ib@D**;b2601E?y=AnzPAen=|bulOdcF$1(Eh+-d zoOPb}0B^xA;nC>zXSBRnEZ@T1Yr^QjzwMhx_m<Bf|9Le2Y-C_yD7x}83bY!?gy{qS z#?7G610R^f-vVk?do=z6so`%1W$@0+9tR&WgO-7NfZW|#BBEh<;5Cm&cgYbAkIqe? z^3C!_v66=^M9Vu+oOg#D(P&}%e*hv_bonJ{Sh_PtMFg~18hrjKc)Ko$bqCA>9lq1A z1T78C{{8`7@&%r625q-%Jy7b_&3Zyfk)hj1MWmO7qt}74lXZuZB5e6JXn$-VO!tg` zfBqk9QHfvyA6*Cy<;EkRz&{Kc)k2I!dvvpAgLJhXC;?q&4DyLbr)Y$dBExIYHcfXB z;~mr}2GFu0aD+jP+6nURi)<w(1_&!riHV`}0)!n3VxNGpJ(a)*ihVnXlm)?aAs;kA zS#Z}cMuwM1VIxy$6TsjDub{c2^+1Ups4y_Rgp@H3x7;qd=K;C^3N*C<zmWp6*aJCJ zSY9qM=HGV9qkGOxP$K9pV(h%|fq&yhNW>p%xm0oy)bvsS83A4<4lx2$l!4YCgU;N6 z+@S#x2WNK7`DD!X(V*O^0a`Qwnlw=0-&Uex(!CpGsY`b=D3dvA{s3K3ckzX*=0R7` zVp`CUj6=(}l0uK}W>Dq_W%yKZ7H+O)VJtB*{15W}Zjh8C|C9r+EeA^0fzGl%1e!GF z@aPmdc-%z=ygLb$Yau1zaTXPDvwI%sL=@0$B^Fn`UIx+!slQ>%qa7Q6f~ub~cEkVR zg5B`IYlRj@mtGbNSN?4W{JL8XgTm6KS46;-f7>O$PL_-R54c#KD7x+1%VOF2<AV$T z#%7RT9r&jl2aO(s%mJM>3L0ktZ#IQE@5QzsjM&#lUj*eP%=<LDJ3v9#>7$|o^0WhZ zuAz4V$SvRs4zx0ue_M!(M@NW?$csqO#bhoj60HYHB0$3wy&@dFLI1&Np+v>PqkGOh zkjCa8j3rv#`#`SM{MpOG*z54$7F2{5o3~E`Sq4fR0iY0t)Mx12<1Q)zu&{%w=w<C+ ziIn6)r$M~<s|rf;$G<Z&yc7Z#nn=rE91Q+9fI9flgRDG~A-gzHhD$;156JK_Xd%l4 z$gz9KDyVH9whFoV2TKh<JfA?Ku-W$J4J8J~x)<H7oN|f`%&hEkiVXh`ShDWFp~O&k z3B_434}$s-JwN{cZva>9U=xvQZ8Qs@84k64IL@L1E=kIGK=lM{`3F=fm_pP?;Py7C zO@_Mu2s{Ya?V}RW$>?$15xfs>7pOz|nx{9I5iV(Yh`$}wspt$*ap@ERt+Ql#0Xho| zG%d-<-wL`&8B`ZXcyxORfKS`$j*#%^EoAhtyjL#h(HqI=(HSAo9i!sXDbw|OnMW_{ zmIKiJx}b%=py?Lay1x<?g%``48NiFg!Bx8fD2ZEuN{<MS&R~gV+lrf@A#Wa!PG=5K zY2JC`^*oQ>YK|9$!b}XH>m6KFB0x5Q=b=Ce7P6M<MbKA9hL>-VnqJ`W>4q#8=6TK4 zd7|^O;id1NJ8!mLD*Xh?LZEbo7JlG?h2}RJp!Hiw`{O}}sDMt-XaSu@<I((+sie%~ zI4Jip7@mBs2=bnUM|a2x$N`fpL31SF`54fWe()f<r3Xj(O~aF~H9<-RJh~$|JbGm$ zJ$j>=J-SV9c=U?g_<s!)z5?J~08lLg<rh7UgQl9n#WiG)Imo|IRxj)KcSzaK2sG+k zrv%D=9$y$4z&Ea*0k8i<xex(V+ja*EcyvcffR^DD3V3u^N_cdB^62~xDrH(Pl^B6$ zsSdtU@c4ho<KQC&P+=S>0BNC}2USF%dI(fHXn@=TsVod{zkUi1?K5XU1uut3cc1`h zqPF?h{}K_8-YC$<29P<CP;>4dhIqE!QNjacQ?C=F$H52SjaBWA0<RxJ%>q$q@v|P( z974J824qrzN3RDnC>cd~Sf29W_qpiN_=|yoL4dzE6{H?KOxpMpA`;BVzyQAB{57-3 z#m63&mur4N@&mKS#YY~Nr)qwHJf{FEXF+F&omnCPS-ey%3hJ(bc4UEX-RK5wIPCNQ z9mB=B50unFSFwZ75e!j*u8=)g4@u?F>9%gripowe3y)4Ohi2Q!*T7TVpx&)VrxVDg zCa^J}wfvw?8)&67s8SSoQH5*_G_!Pi1$cCNMKs&`fQ%{4^yqZ*@aT2|xu$wA)HTfq zm_Y`B8($nCmcR>rWTU|6wt!qy;L+(-(QL~IHcHr|(+Pa=YX&HK9Xy(kcpUcVt;%@u znV*T_^)rv&DvuX0K+Fjqy;TM;?t<oZLB|Am^s*{!L`sg}V|w1pgOcOUkI)6vp!h`I z5DrQ!0v_Fh6Fj;jIXt=x13WsfdmMbF<k5M<<KSy0&07Z_F&+HH1X|9(_{pRB7h_F; zM|Yrw=JA8inGXJE@<_h#!FU-oIU?b~T&U4{sl?u+^P=IU?;kradGy*CdGyvZd03vW zWAo6w=%IPqqt}he!}2iyTyU!sx(gk8v>=rCB99xfvJ>Q5aQ=aBU?<hROwa>Iu(;V% z1LE#p8$+-&8PBy|Dk=BuykL0A@%x9)OFq3kV!pkeOum)}>wJAW4|r-`@X<VMc*&=i zMa;L?fysmMlZWPSkQoXda5Fx7^zsOL^m?**SRSln^U*xup?TQD@;AuG8XmBH_dcBm zUhjm~Juq*VbHTlhmfu`J9fIZ~4WRO{!lO4wr2@2GAJl{cbrD-OftK@hZ-Gq6Sr%*X z_xuElR138J=Wh=LZ#M_cbAk3fxBlmEYh^;ZDApa+XX>_|;L%;p;nVHx;nD3K06I=? zBglx(A07u^D1jo=r@IF{Md{mmvP1}aDRCz=1A}ijr*9|E0iSMX1CMTR3y{uSh)$5M z&I=yh)fyg*$3fabrMg$I4L>-jEzk4!9R(E&mM8d|%|P4RkF$UjFo379HIEw}@aPV9 z02v83+VTW{J2%K&&4V7Ahd?Lccy?Zb9h0PZ$gfw1$)`J6!@pNW(YH56g~<c7CFC*_ z1A}j;iU9b?r8dw}=|0^{z+-~Gohbq!-)i{wCNTM0Ug2+_1lrf${D+CZsT#zx{NTgy zf6TKt=pW3I=0^fPpd~zzrTHF~pGpK@g6eAT<1UB6^||N&13s3{8fAQ*pp;>GqUh;M zZE)C50gqf89(c{}-Dz^z1ElOkt&~T%$swO^XAR$876wpS^0YirbpPc?$Z3?`;O%A^ zp1mTL9?ZcS9?gfCK&xmDzEtw~f6(LLLnX#T9-J3Jg}FBe_%6DVQ=k$!!-Id<1BD_k zP@z%b(fp?2ut#q*sA&!A(7f3G@z4L)Pe65FgimJ-Xro4rN&sj;JpokbfEGA&cpiMf z3=$D|p(P7y>-d1~51yj}PHEjB)u5&dEG~VzV^j)Wa)6c&{eS5P8v5;&Q31_}Kt?-3 zW8x*yi$=i)fEr@V;1B^NV6f|;8bA>bDjPXoB>x7Db-JhsG=E@(r*UY~e(4LEFX)s} zd9n5fc%+a6Tokk(C>O<03f_(Z+MEt<Ekjl*KsG3Vf&$dt0xiO000jo*uq%){=&p7J z&^cHhASnZoLP#g)xQhztAO(gOiGTk8f87t7rOg1XMgge+HK)NRYQg*=@WLDF4+(Ua zd34vPWPq=JLPU~J=Ybcqgqav#OciEg0H1m8)A{hl4Phn*pU#IqotIwh1&M>2i!YLe z!TTLzK&rQch#(MQ%l7~O3l9*>m<>F-{d5LWB>>*@@CrOIw&gV=!^`=g6@id)6-J_z zH)Z}<n&aIb3auy0rNK8~wR=GJ0)Q5If_wpKn|t)K3U5QQ7JQ>&G1yw$*NhCWXTvN* z@6VP+q1)%9qA<auI}luhbATE`{JUN$f>L`R2c!w~5R}?EkWxF$36N7HK`HIzJ5XwW zap)Bz!)v4qdcf`TX3%mwwDu+FY;@4nNinFb?RExT{buZ8c?`OmcftgpZs!2cPSAQT zC69yelr%rMf<|ABK^p=OdUSq()EL(eK4d!hlgTIfpy7enA|C&bc~}+)IPL-^E>C`! zPvE?Npw`@{I~ZKfdRU(0Z+^i7x_RE_Q?o7WIZ%_E%kwzPCy<WU`yp2`{by%l@aR18 zdcy>t&J#ZE+@PEy0jhK?UYjH3Z*WQkO})I>_Ypj<1M<;vaP|k81`0878_2?=^BkzK zpWxZ~15t^e2bGwut3f4lH>BPG4Gwo#E42PEuk+;J^+2&$)~EBhC;zSwil8MXoj*SK z@NabH0QI>+j$7-|{Ex9j4Wi_Q0=g21mjC>%{-7p#=ZV*iAg6-2u0nI12S@<CSr#;I zm2k{OrGNpHu%K;hP~HQ10k>*!hYqS5R6z86K`9_gWkK1_zz5VF@agtZvG4)S^ZoYe zJooYwsNCuX1yy%(hDUdBg-3UF0jOLCowL&B1ge;t4>0?5vUxQBXXI~F0o6gBejJ7; zU-NhzcR2?x`91z01nKaxJXp-<)9c9OY5Ak*(aU$B+Nalp$<y*DfAcja1_rNAmtz7R z-OiwsPME>lz(7?JsN4mgXy5^A>;{1D-(&)f&w&obIPRhX-a-Nj9?&c+Xm|)EWV(wj zJi4n5KvgB^gqJi>)zx{>v-6VSrSIQBwe$!6c2BV2XAjL|KAo4~mFE>$y{34`r&mWD zloI>0Kok9z5Bb~qK&5o&2~d(~`wdPKCgL8T`(r?5p{M0x{yxyD$v&1B_}ednrvqOo z`E;K1Jor+{Rr7@7!3Ru^2Y)d6CLizxWszwhQ@f)Be7ci8Ji3D;TtT_42BfX?s88n! zPf%mg@%!h_3qF=N_}imF5}JoSH4pl9p7Q*D(-X9G>EHuqPsM}2j1PT5b}wdNVDJRl z4RVCx0awG@ui1PUA9(&h>|^<&R*AnEG-2%1t#aJA^+}1aujS!dKEGZQ1z*c|Wj9`e zuBPzmWnuK}`~u3xKAkrm4?bpcJouW)hw+}r!DmXYj1PP`k9l+!CxHD2J|Q2pWe>6$ zyha6lfUk!S==ev_xi;WqeiJ}Zmw}dSeLHV}Z%^~-yz%lDXysk!dymc&zMYQ@Prf__ zN_CnaL3MiP$Cpz;JdzUmi`l%OGT|Voa+m;O_OkxDgH-l{u0VS6UHJchpU#&r^m#!Q z$-x)tVEX2ZdR``m7hDYBio*3dk}exi=k=g4Xkk3qWHVlHW%Hf~r2o+ib&y4!A79vm z^q>0w@Ba%`u!$!?yYg;<6&(OE&+{-rr%5`0zSzVAG6N*Mf(PW}4=)z*FfqL7{{Qd) zgcqt$85v$C`~q(`fL@>mE}!K<X%1~Xj+Nu25(9XN`D@T<T`%j46VM6e{AYjudvrti ztp`dpA?0H)?{TmK9+emGo`V#Cw(UVWjj)YzFP4HEIgn+30x#76fR<W9cnZf^R2H-` zGQ7AX&BXAc7D*k)i~qkt>Og%^@W?`lihzgZ1rL6ggC5<W?k8wfwB}6@%?qF*)j*HT zlMJV3S%ZgAPJkvbn}7c=VfUEn@%{H}Ru9nR9C)yy^#Is_US1`zqYrtsgL><b(|*t# z9q<nnbgv$R#<OALEGYFif7>lk2%+pZ^5|xrzzQi=JUm(tl-GIm@_s+2!~nYa5Zs3b z?J(|@J+c<mZ3S%>1+U8nAHNr(0$S=D1=8JZ>J6rBZ9r6Kjfw)O^wI!z)eT<!$cA*y z13=-Q0jh4m7mE~tSl~=k0V>j=7kBjXMuM&4QSs;%%{vB)$q=NI070W0FW3t~J$F!l z9dv#M$QX~8exP&x-aTSuc*zMK*o3wzi1B{`3%dW0V)}m#F8^DBba$I-gDG1X5QXml zEYkcBwhH3^quBjlfXn|6(fyA)UI_{+2~ZozR07n9$1-4v5?*EDsO>M%lxHWe>tQ8^ zX4|5ppeBhj$V3f~PS%*;3Jjf~b?u<W{qq-~vmjuD_#VBscE3TJGh9?OUikcHWO#k; zh3i8`hS!tP+k5c&Y!6U>)&bO?b@1qA^;iuGdlnUsWY&|1Kmh{EVacp}p=^N{-*Z9X z0m{b7yvxC&ymP=+CGT7&&?e<$N5F$QpasDmovf8iiVUFhjd~p!Em<oLDKYT(f%avA zmSKW+VS}dcn0b4Cfn3Z9YU^u&<}hD4?}pd`JCxD@)Wrbbpltw3))t_VeUIde9^JBv zAgjAgg}_veih+matzs{agO6A|I$gv-1B+tKwk9AKm2!G?+K54qbp7GcdH;nTXgW9r z)EMf9-)7uvdxBAs;e{|r$`vHldBLOe=8Ix>&<c;g_wmhV^S9|j%1aASctXzGH$3o~ z>HmRl-ui<|481%Yy`GGqGpr$=p8ivT0dyK?={-<3^XTP0w-OZcJSw1Y0bjrYKCcZl zHv6JE2NE9OmZOG8C$ASHC>Qa1GlD`q<S;nI!55l#vZ^yeL)?iGl!Po#)RurkyPNmd z0gxjYQ5+!zazr;LIMB~+16jiRo&mH%ob>^NBIxLP74U%;pwq&-Yd|IJ>0(EZgO6D} zI%_02JbG;;nr*Kg0;Nqhk4_Vb*WDhSA6{Jh!^i+S92|a0NU!Z=21SM!`#`hDN#H=e z@j{Ue6r!u|F*3Z|1}i>6^Bu_b5BSO*)HMRfS=Ss?V$cE=tjAer9t7Q?3p!HBqnCHa zK_v$8*d?fgA_$q?ZM^`F5!+&rLjKm3Al`A-B&Z2HK*m5-A8NV8-vYi0u-VoXB+uXK z2~yo`YkyFQfswxzbeX$HH>=S>B?gb?AB>R0B|N~(5I{rUpo*fKRRkmpb_7@qbOr@@ zP7AK=g9raXMh}Dv#tV?E7eNEtpzTnt;O0#?>*)hZ3?7yjN>e;Q$0#!}fSSAQj35z9 zk8akrAX)IWIbaFPgP<)thys9hLMl>g0=x{km>b;8D94b9f=C2nNH{_yEHETAAQFAZ zYx2O$vG^epu_@@5f8zpMZkT{10bXo%7a}2nA#nsE@jo72$7+bgGYpAIph3GAF?Sdl zUT%lYE~A|<#^06(3PzMGC62qO=s>$PpFw>d4bUZ!Acnzl7ZnwdjK>bcbK%}r+>kR& zz+0R^?TB6%6&+AXB=Ew6gMp#3Mn%HX0CWuVOC~T+$M6b>cbkcU0d#-@$RH368an`| z1<=rj0{GI+5BwVi!JTRTmMNfZ$2BSnO#H2&^YlO(KnoXlure?(>;f&hMW}5xgQ{iW zZxw=C0_t<_01><X{r~?TqV^zY?a2*B28QMu6@`ENty>tu4g&iO<U>YAM#dc<Kv}Za zM@97m2V+BxiV7otYcc3{ry3R2kNmBm4o^dkiW(z-Yb-=mosqv4w4MlL0MutHAbY_+ z`=9_e<3B(69EFA&6;($5R&Iz!wg3FBpp4N_qoV$wzx69P7rUq!fHZ&N7jRKA_{1;3 zJAH{TLmI!{!BRH<ZqOb;5Dgm20<9bYsr(F5sqvX#fR}f%Fay8diBhKOq!$N&gZHF? z4#R#S+6j&X4iKkQ?*-crMur9(73fi5kQ+WcdRf;YFF+OpwYhyczzH=MdFMQM=-vb( z5gdh-9>I$hr6Cdl7!phn2^|ax(DE6NURLWcB%{D<6fdxYEl&(YlHdfnYCA+i&Iw5Z zlrCP(gGex9NVGyEo;afG$bm>4$B+nyNUXw;u!2bRVn`@LB<@Ba*#Qm`4tCHq$E6#L z3@>Yuj(!5S5E1R2UKf=gko`YipiJ5L1~N3c2D0m;7eXIrt=_8yN-zw^StmkS9=)tW z9!PeA7j~yChV?8!*K;DRlu7{gR9fo6{b<lxWS!t^@SrUxk6zIQHXx%wT?fzsg`n*D zV#WqgEeJZ0rS&9#FDDbIdI4<*1vP*>!31by0=Rzejsabd2WqB+rn!1eW5A}Bs6d7? z!KQg3o7MwqY=8^_b!BZq&gyjp73=)%8X%75AyC)kJLJ{@#Y3RxUIysqf##ol{H^(* z`e<KnYHmSMep;r7r9r7^V+BV}YEfb-Pg-LIPf03&i!?}0x2;cz0)tOCt8It^18DrD z?+vJD3*O$@2|joRbnZoGjfw~8d`uS4<^vMG-2no=-4PtV-3p$Tr%QM}{~z?U{8{tl z<tA{C*+r!S)X8=Lb+-$AI#s|MvN%Aa*8(pjqW*y9^d%Td^&#%<23?ug4YHyeWEsN6 z-8Cv6ps}9{(AbZ|>)9aX5#VuP$owJb@(U?&c!4@Rpd)$?g7QBDzsm{!DF^tsg{Vj< zUT8T0IqIOG6MP{+2B={Oo(GKZz-!%0O;9P<3A!*HbfEmE^`KaGQ4wgUQIP<hp3upv z?<UXS0e9`o9e@A-N8&C61tECW5)^g)prQ*Bb>(%S!JJO`Wf7ogD^bbt=w%TDAJ2Ca zyxhs7m-TxHD6O%mfJTuxz*%MO0_0>1YJ-8Q6pk0JptYo|t6k(7I$0-fR|2oa0#6?_ z9sy;O!ycU%UpW3{WB{+n19411ocDhi8791lyvoS%atbu#A@UozKi>^X9ccY|7ZuPg z5iI<@;1!Ck2TD!BXUH|!ZrldC+E1qW1S2;q56BU{ypk^R4DH}CKFFe%2HP%>qSD*1 z?|3x-U@lDuMTSLMqh(@FX=p<wQ%))S4p6=IdZS1450+A%M$5eXywp+_!vnA9BYdmA z4kaptIuCkS9xUZP_<*VT1k=mn|NsAk$Kt_v$$`vyy$wWyt$Mw>qzrV9WN9Xt+i00w zlvrFU&``;eQOdOg6vi)&LDAm)gRNAe(Xu!*FTK<vt+A3NCzZbiw6WTw`3D<+Yr+5j z{~IlfD~n6`TR~YAq#`w!zcm76H>hw1ucQE}1)X;1qhj%TE!aM|A3S<RcZ2gjtRw-K z&+mf%{PzG=ybSf=wVMtv=YSIt=tdehPzQSLWk!aV5%AXUnKR&{Ub;h6ICg>-x<Gbk zf{sqE5%x&_;Bonxhvlc5w;r0G_*b8P{S<T#f#snZ@HwCopg|6{<Dl9Y+~D~C|9?Gr zSpisrsZ*dcMn&N;Qv15sMP&t~{9Obof5CeeI@f@=*?IIr>2B7fx1b{A0DnscNLjZB zhevmSfJZlY+Y@B>4QSU@C+L3EUfH#WK^+(wl@}pjK?xgtX9q~S^(23rF-U*s9_Tif zDc~(n9^FzN-OXTQz(wi*(;nY%dmQ|2@6r6212mi6IR`vv*KAt^N@x77H$jDDC%Z>4 z>mu;PK4|~h7m!sgQ1ci-1I!#A-5sD>!=rlwc!O1U17sIgIEP24zd*CCD#WlRsA1p* z5&utucK3mD7wGgc@V1ifIbg>c9@qye$sNJ18%OZOizB!J|C-a|{{dgnP~t-m%M<*) zpFjhwo#6c?&@EiuGKYM76B&KGSA+Zrp5HWJWMJ^?H96gEJAW&<)5Z)E=+rsw+v@>R z2y(Y?_hyj0eY<Ca-0f-kvE0GGm&L)av*b93UuVv70l!|I<IT2lAOlJ{{W@iizXY8R z=-K(hr}MpM=P{qo8!v+1Gcx#ee(>o${xa-8X#6n;RD_qP6!<`QD}r_{g0`Lbbf&0) z%XJTrUe=r(Py~X8-fC1hz!Q+5fh7qa(DtDh|KmXUv<7r>J7`TtcZrIH4|wDa;#<%* zO!V*rThQ&I65s<`_3YCP*(mAL4YINu<f85pm4cV@|NsB@?ELA|`Q5YgxKHPc7gOJX z9RI_o^Zd)F;QGf0bPisLN(9L9pr)Wt=NiZ?d*>d=cHUmrz&S9d$AFyfqapyRtRz6* zQ+VM5b~^aZc^4G}kYg--dJ`BylceBswYvv8w+fjf22JWjcyvR|2Gv*rpc<<J<bJU2 z-4NSf8iTTP=NuK#UK!9vJ<#4BpUx>NplAbKMgxjP(0)Epq=I(+fhH(H>uNz;Sv?w$ zfU?}-7c1T}GQ2DY?LwRfVk!Ln|KIR{;Yq_wFK2)c5qR<a8>n1wJkQAR(gs?tgBw%m z<2hv(sQV#6)hl=#1ZV{nXa&uST<E!00-$OJydo2_q2q<hc}9lUo6!18gw2zUg^a*r zn0MtIdFCbHFt3F?^J*h;m}f$sdAcz;%zJVci+}msz~?2Q><94ZWnJ+>0kVe5{yeC9 zuwDK^fq{X)<teyD!P;dGIwlab?umCgSRrTt7`$%)JPveP4>GtZ(G9wgRe_;Iz0*ZS zXM#sBZy;DTkIIXV3*Zw<bs&@NurZi!R*Me`pfgi-dOiMk@@j)r_wvdZf@}kgmh&ot zL_h;hpshnMl2t(V)Tl_bus7R&-2fWku?D3l2M<u`%lpI(uJ7Y}kas|?1Z&JP25EFr z0o}N$)5+0!;$<|b0tRgpeX$QT2Ym2F`fpH)&7#t5I|ppAtw$&8;`a&+FZ|#V&0q;J zk51M}?-dx1vsS!U0N+vup1|?wwXFdu&j%Uz*rV4r4a7?X@%FrkI>X5DvJ_UOqP2fc zgTff2{S)8;J-yq5-{;_K`3@E-(1h{-!yX4;aCkKT<EZ8IusmJMQuOR~6=*FMI5I3x z)Fyj?hV5RwWC4xsf>u#A{}9*-nui3<F@P>b&^+YPZK49IkQ_WLe-*nznhcDm;Hx}9 z1_->+*a!*^&~Pf)WN;I+J4Qvp@Ec?d4bs%|=)Cdb-6uu{&@6oC&litBfy#v3(~Jx+ zLAPhZ$^+B^WYDH3g_Z-w@*cgcM>9c<PtYbw(7F4d9fhD-0LXMbXjdVq0Vi3k;c@T* zi$^c-lgXg**bqhzk8T!5kTW$vGiwG2Es%Y0u^?B#>;};&;a!%4S{@;-3idFV@V^mM z8n!@Zs6aCdANUVCYWzRYdY}fpa0XH|LFbw|JbGE*?*VxqG#U-c3ZM%jAXj&apJZfs zefCAdDMp5upiPb-hd?okd-&U~LE>KmG(IZ;8t)bWkC%WVMgd~`iPi(PD$PIs^S6Mi zF5CbA|L^B-X$75C0~&b%snG#%i?c!TO!q{PUqII>&IB<a*D3A*4S<8MQ*;2IiGc__ zP_yxc<7r5=D|mE!D0sAj_Hu!y3QnE*^Z)fCkKRTPP+J`&(s355@bx{9Ue@QxI~Ku5 zbIte;nukg{$;j}M7kMrQ6a;Agp9%3l%6i@q(3!iSep+{liUBCGT7YVw*UX@E{Vi|Q z2D|WYXL0hh{81e2-^<eA*UfUwxAj2DLr=>SC3iq6LjcrFknrqv0QclxWSxSzRsggB z)dSKcgPRNrXo=TLK_LNIB;W#?|Nr?y^aCh0&p*M)@X`y#3ux{K-RX#$ra&edfN$&T z1|J?=qT&GZg9ga`r#xCO)ue#t1B&^#vxIqAo+wWBf%xG#$Pb>NG%euKdZ|vFf4fWA zKac`@pI)8@ziyuMzLqCS_P~8*0*)+bzg7U`D-Tc`0czJ2kcS}2^@Z1aMur!z??EXQ zJaJ(49^|zn#~B%3>Z5oK6g^1oanOFoQq=4VDsL4$x*I@~{e~xBb9;0LfUdZV02KkP z2TD{tEIlGhUV#qOdJ2jN4v$_(NF205<ACG!8BoFkEwzVSk^sr>aCLE~{``Oa=!H7S zai9hya{b@iqLKhAxWW6mo`H_mZ+ru~u@khP3w*<0?-~^_-MvKxbO&e)8>rn3aw>SW zF(U(i`%;ju?ma4?CT|P7NAD~KhJXJ(L91DRgO;X(78onu^6BLf_xygt$MPV5dnQOj zX9%c`3R&a`nl}Qc!xQ|y6G3UWSEj+Im*t*Mx5z!;)=Q<2Jv(oC9DK~ozdc4yAg%c~ zbIHYKTlZC<+DgXjI14DdGkEpNT=VFShD;<e^9wo)yj}weE>Lr~_6Fn{Ku{9qc=6vK zQgwNNTC!lDfG-|}dJxnc1o;HC>JC&kyi5iKPqS^n3Q#k?T}Kc!P6Sy>&70=ZDH5ON z)F~71(|H(Fc~rp90rKs3FmUaj018Ui?inhK3=FQFGr+vgD~<;r3A!3Sa_zk1YIxc4 z;45}l!&|PMj~x%b5pXrU;@Y_Yq}-?1nbEcLkSpV3U;g#CJP*DG4cPEEO9?SBxbbfn zi3gp2(gZ37U;YO<>GtdFG}lg*NMBH2;I>EW?K&5q-su0H2VdL!^~y;5g4h>-dxFj= z-!90&;Lg8YCDPOK41e=NK?Vl@UY#X=-8%1lJCFHV9xdkbusmI~@nyXr*eaHAkX6l@ zf(#64uAMBAo}iWOmZxh~_?v4585lt2M!Y9zRcSidBuLre*?9|m8>&Zd<o}nT<=$!T zohFgKosWDwU;Fo}NP`xQGJ0Cx;Rhf3@87E<?c1Bn<Y{@Azjr@)xyc#+=KBI*cbX)6 zTAt%?J}Cflr^z<IZj*1GmS_2!H$bFqGCeKN^Eb}|OWW-C>$dslYx%FZ#<%kdSXm`R znMo$tlw^pqZ4hO@iuphx(gZs3>!pJLXqn4t{$_mv28J~EPL@cI|DeOQYAnJ2PZVHa zaOL055()OJH`pAJ2ET5RYcBlTWyI55J7pqW4R61c`~UyHZ|BpOHK1ezUP{nuq5_)m z1TQfa0Clk>KwJe7q4C1$*Z==7AAzm~03Bmh13E1la{RrA<pus;3swdO{^n`?kYIKL zt-@)p<p)hsxTrX!fzG%w^Y7(p02x}~*DG=w)F}b=Hheozdmenq?ANVw+oy90_|zIV z{_Q^80%?w*4IS-2nLv@*da|U`v4us&v)2W5LII1)izSVaQXPC9bt`1?=oGMak7g?d z{&vto>&;a%45g-^E){rVVK-<wL-!Q$-ZaqSOHd&J+EfY3Lmr*yK?~DBjY7y7THP(+ zLIg4v*aOi6YKwxxLI6~-fN~tDxJC}5``|^bpfftUdm!4oT@Hd=SegcM1<1dKC;7LB zsF-<lJAii5*2{xVVgW55?dVYf*RS2sLagx!C<`9$@KG@Z*#f@b%EAM3!VP%14m1Mr zLT}2y|DX-Mrl7hl;Poa@IRRRF?9uHBxqSiL$=c!l_dmED0y(SPN2LJaeV@}D{M(Bp zJowjt@L(<y04?l-?j~{qFXaUFwmDvud4mcf=vghGv!(wZ^yu_3@UZmYDCO`t?f_a< z#NhFt1LR9^u!1g+?QVev8@Pc48bF1v6bFT&1gOLVU&*8KVg=|ZzwQ<lQ22mHGr&Rg z@&>psTLN0r4s#2*QD34W@S@ZW<REB-cbBMCyz~a8;^Qsgo-b(73EWJB9F4#)*rEdJ z_=0FqYsjOQ_v>a*eb@t54GJ`fqkB|9{bf+>f(u)Czj+E+J1Ec~u|7uy(tn<!0yeI5 ziVEZwRM4%^-98sNKqi2r0X(=1_CDy|sa}ZdKt6}uKLtMhr>h2(k}-S_Ix+~92bfA^ zK@@W-w@>E<m(GJP!Dpj%f|gdj)cgDYzhiTaiVOpP>nU!~Vo~sn0*lIv);f@(Ae&nc z@VA2Y{=(e^y0+L-sz?YFSMYrC@-b+ubhnd&N4J*-s5Mal${FBL>5jR_!N0u<ltg}d zFjs+3@dhn&<^V135qR<11r!tTq@e*?h7Q_y14|l^GbsOqS3!f#BPnV0egmD50tp;w z)_HjV<W!<#x_Z-~iRlk{ph!XW%xBO!s-SJR_M8k1@H*KOcE4ZiEl$u8OD&MS3B4Vl zbO{>Q0gc!AfUbLH07;)PJPB%E9t15N?G<7M7ymL|o|c#SoBKIIm66PB-_ARp2Ol%L z@o&$O7D#h#eOq!Klno0&qfr^4X_Ewyv7pg1uWpvdpaXP3M~uDj1<h`Ev#7K%G}|^T z1hp$#LHi*<i3v3B<k2Z`%z=XulwdMY5=^sg5Ja^vct|rs0bWRSgAS!?y<Gw>Hb7mN zW?OZLQcxl813hNNr_%vE+y!zFsKd_!QF0C31z`t`eI<aZ2|j2w(Fz*has)Z@_5!dY zPl4KI$3Yb(1A}j`hl6i-fP-)ArIO-i+npd4rLI1m0TP~_P6D2t4h}xO9v-0V018!5 z`@I0<KTuG6bbbbT3sggZTn6$oEY!hgCVKQ%NO*J}^y$3tqWBgggGX<LfJf(v7wNY^ zqlM>R#NT3Mc)h6E)(vc>kjHTc&?a04&rSymkhQPdq52IEyfC@N$l%#qVFA*v0oAT> z3$zFlRIY)|J!p8~1uw{!&J!;+{{R0EDi)adTS2?Kz+QhaAMEuxs9q27?G6Y4dEKem zb{|MZsUVWq173ngF`&)>d1K8@gf|x4gm`1dO-2TAY20jE12#bv$tND3oelvnK@<E? zQw$HhNWTg8j0eaw(NIHzL555Q73px#IDqWxJOLgKeqjufSBA((fa~HG@PO(IDUe~H zUfGG4uRs%Ey%mr(<TqY0K=m&G33na`#ULn#Uc9&g%JM%zkqF|yj06>vEexK$J3z?^ zGz<zF{BE{gKMx#WJ6RbRJdZbk<Ukb%=sw2NprHxqyckF<4RjA8C%DiCbuk!Tw6a3V zB}9ovY#ZVC2Z&CP%Z@jImNI}W@aXOU+23p{4RI4UXdeQSo4O}JlH=vqEFRrK9-z~- zY>zo{Ff`k~nhWY&2zeZL0v{9Laoh>KT>kY9kKQT;kIrL;2VUH`4vN#N2*U#}&Vrbo zA71Zjw%rKQ$luxv+SPCz+@%9Mq_YP+#{ddEu;;qBfOmI+l0V1^h6fD)dmcXs%DA8+ z=YWsp0sh|O%;099h?}qFA^v7TR&bFs$*))CmT%`>Xt7fw1uAyFm7MnJE;jIO{Z_~7 z*IDuk+yW@@usmH_4;mZ~0JQ-@m6A`lw+E;|0*!??+p>Y2S{mSS+!=DJOQ(#=3ujJH zI6~W6;O#ZtQ=n}wR2PG)ZICgb?h?dkAC-WYj^J!n?O}M}#m;Mt46j%Fb&I@m<KG@5 z1u9a0Gx4`pGBPkYwlMj0M@#s0Cky!WW()X&7N~=c$nohdmH@3Gk88Hgnga@dY2VId z0pHFT6$x;=!&$(y^N(-mDe!eCzP-T$o}K@FI<Nb5K6v4M4HOv_5}+v&`)i;K_TKQo z3sW%b`wLx=Y_|)j!}<U9?q*vau<2r+$DKjrcnrRsQJ_!&mm;s{`1U3Xcy|8v>3r_l zdED^8iw{>p*{&)8Wb~7(Afvw<9(ZvJ%mPisyi5nR_*<WpL^j)Qo(*!glqYDB0Vv*m zJCi&-ptigO6)C>GF)9+Co&S6~??X(V1TozM<cT)0nIMPPfLT9$I=_S0-FjL^dGNRX z2OSb?8vwR~mp}Y~Bfp@N$IF-h{{Q#wjq>p9`~x=hsNsPZwh%)DUYLN*dEwLf{N+K= zxncwYKDycV<1CO5M9~BOCFoc()F8ic1rg+Du7JV?<nlvc*7p~?K(f#vf9VQ#`82T6 zl1QQM;Bnj;9O^GYMHG6#mtO$~yaOoUvmwSB9(a)mW`P6#-QWNJLFpy3+13hdHcG%- zzytmmNF92>OF~Sy00lfR*i4Y~nZYb@z=Li=^|Xw#;BRgF3p%Fz`b<#BzyjXlWdYdK zC=29(KXMu3<QKayg8~E`@E)LYwDo_fGAQ$dGCL^izzP9S9S9nSGyMPZ-$&5&9cTw& z=N|BEDF1eMfwWE+6|=PFAB>>EWbp6>h!6nvA0$951rVX}V$YlZ|M|B=mGVzH2r9H8 zbDJS55ig&90%htw;3;3j125!3v(Z_g7E<>f$aHGwA;SYNI4*<A_X{td{Q^x)z4&>F zk>RBq_!=PC!WYnCLm-nO&2`X7b|-Z2x5f*rHy}G8H>E*V(FDBA{q+C;3&%5z44@74 z@csa_uV{GtwSY&j$!w3~E*}*@1)s<N!ycBGYuSrlzO)55NG^i9Uq~%x$OsdBT&CjP z|NkzX7koMozSw)4k-??&255!ErrV%Y$*SOqRM!ZCR#!zn`u88afe>;u184#tbQLhD zTJJ>lO8#r`0xb^@(A)>;mQz;UE@1{x|AOO%@ST64<KRFxd4O!{y!gW5HYloZys&`M z24Kg0c%cF2zj&bl=Kpvh0p$yT`5#_zfcXzzFo5|7Ui<(zLT<eH0Ht3*=?Aw!o_p}( z2Dtrl<HZFq|G<kAQ27H;dIwZ~1B4H9;!-g4luzg37go0!8Fn);FfzOV*+1ch)MiG8 zmtS8)53xfTe=V~`y}lMSK4}2zvV)FUV*quNLH#l?1DttZfG&yz4UIy^dSC3{#K`b^ zEqL4$MxdH+i9WUqny%<%Q31_k7<7QTSCBhyTvP;J2!Se6_*rf*l0jx~L>o_a!r@+! zc@|*vKn)GhQ8^&5fcHj7ytoO9`R*JQsH3?+2Cu;GUK1SdMT7^atbYN%gB#)09UB=L zUT=e#i0c19Y~#Pp6$T8Y3g8tNpjkn1kbqYGZ~TkA>i@;36R_+ATDjKgXaL#_c=Cle z$g-Ib%h2bG`P;UFx(g`#Q&6TgJS-bQRXu-eF-ToE_{>Tcl^5lpYy3cmxbU|IFflN^ zm=1OcXwiU+ip2y_i#`Li@Ci0faohpiDLw9B0SXO|gD;sqIuCp7aCdYluC143_2|6j z@%^C(<0+4ew>%EMV)w{A1Ufv=L-Qc0$7BGCnF{`04;YI1JeqAEPXe_e+d=1E7+&Jv z^?<Qh+@smnbg~iyLm4ml9;tG05fKcYuTt<h_>c``iiSrot6>o6jyD?)P-oiVHIGLx zt2{^qH1XuoD+1o)8UQLxU#x)6$AONI_!9`yB*N&?8zcZ481euQ@P6PwC<W<+ftp63 zg)!hWZTMTSfYT0WMHRT)4PI*q8bkrl>48c~{%tWT1syRe5-*ZK%VBz1fA5EuN8sTy z(2y6n@hc<=Di*=*sNO&pkj)Y=8h(Ky+(pHrxx#{>BnUio({hr(#R$~40*w;2o~#!J zWycrO{)1G)Zm|J{paM8JLG!%OwHnalFgRXBHG<T-s93x{0#cX(Hef0f0|RKF3%tV4 z;`L1UESbj#(DHTAf)21c*-fA>wu_1dc)aUH-Z4-b6ainGYXAx$3(zD<#>*Vg>CS<0 zNl=&cxHEXsFUZOYP>L~tsMG}YNV?%J?`1t!1Pve1!fRPjdA;%uXu7L-9U}vHKn9+) z!3>oA#NP(G^#Jw!ACO%U9-XHU@d!$fh{TAR5-&kg;ze*e>J@zlzKq#~%cHkUpxX{~ zUO4ywuujmV6L>vnFAEo_I<No-PQrRf;5c-1w4UT|c@Iq+pk%caY!GN>6_TYRK)N_y z^ntn|;3Z(4-~~3I(`i5}Z#-HLl<@5W*M;3NDh?m`4+?_<4r0yB4G?P#K&l)(x;->N zb3W0aM8e++>YaijGNI*Ush;7<mXjsy#~naz4e$yCkRcf!%?DT^*5`w(e)w%@-JqSX zoh&LZK*t?{3<0MGgV(!2+7w`equ^2jblwao?Y=kzO-%-nVQxrq&7$(+3pgwgM#EXX zth<wuVps|k!^dxdVtC>jMuwL<u!($d>_X4e=@x9gR3ZkNZ3P|aCJA0VU-Ighi;4i_ zVGzjxI>`v40WCd%E+EA$V+~pu5b8kP<=y~^W?O~{prQtRkB$dusi}{OhDWD|0=UEB z0G<L=@aQcD9bUl!T16}5(=F)RdC<4>f@kMxkIs)io%g`IZgWAqkGnk-d@N6vh<J3K z^RYZx%;wqaA@Ta0XRn9A>l2`mwE*SgtDsf-ww?W8Yqx@nF*e6;4~tF@3r|q2c6w-d zc6&H9+onO36oHj^ICyq@NHp69LIfQlf)bwH0RqjoHV{EcuwVdaxVc2I*;WlA3SNER z=?F?PFc}_*%vn%U?R0c#w*B1)cFj@-(72a_hevNkfM>TyK(p;5kVI*%N2f;sXaX|2 z+4cfhM9UL;8L}sA^aVOB<ayiyeqtJA8q%}3!ol#si<KupP2&oQ7YiT^ffv&ujDQz? zCm0!CpKG=)1sl!g)9DcKdK&1EhEkA34``i5=ZO~?pqj7q0%T)XZ-obVgy_JFK(O$M zmmZ+vvA5FUg#&1M8N3J>#4`f%7(sHKhdnwkyifuwzX2Y20iXVK9duoA=ZP1bV2KYN zo%dfZ2bE5}5e^=m|2#nbw1c1#mzT#u&AbyHo!?&;f#f@XdUSq&arro?(R}`;^}qlB zK_LbTB=2V1)xDs;g1JYhqervtJTONPG@|2i+yUIzet8$9zPBRa#Uzjcy%nGyb315$ z_6W%A-b#-bmB$$wULR_<O#tg=^Xzo+c)i)Px5DE^JV<5*A}M!!8gx$t&1<-HH-csk zT{;^<Jjc#U2OkMK8eVegJmhM40W!~c$g%Un!B+w<hL<`gg48<p@(47aWbE{?Xtw>< z19EhVPp5~2M<?5B0Z<tVUU9A90U8*;?9uJv0P>$jcYuXuphcO1hoz%LiIj(>qX2(v zA2=<6dJ3S`-8L)?44~r_;Cwa)(B<vjh`mb{4&eAZ@nRyVzUcf83gWh7j0~@5f@9@4 zh+hVh>Md9B==|XUQgIMeB5Ayc2MKrHhm67mfjQS-c!B8?9-SXSG3W{!%<g4PErPBU z>}9R0gEip;E`W+1sTH98ys(ow5P=C^Kb-=qv{Bb{f!FNxR)P-s;s8~79v+=PUh{Z# z8-ZqiJFkPz5^KH0-@*sd*<GaIVfnd41T+V3`MH?Qqxq1;>nk43hXg<uD1lpcAu19c z%~cu<{H>t2nc;1Z?jjHHj(^a8eDD;&Ybj7wDFIrQ=m7S&59rpwPawMmJi0-1O5aNC zN+dkGt0X{IwCH!2Sy+}^IPgz820CU^0JQc5)SlpYaR3w-n-Tm4ObiUK7aM+iechwE zN`j$;)uZ*>>unz0MGhbbv}^@Mq-B@{e=BG?OtbBSE>Nn-_c-nbZtOSPo(BsUc^r3g z05|lGLx#w}1*Vh0aVJRg542w&<c|nY#{hB~tw(Pas32Hy1k^*TQZPL5Vk(3wV0hp~ z7lbMCqVWhL!|R<My>$|X2VRtbI6cj_(O^4yJ&wD92W%h*PWRS<GzK1Fgxu%XY-<Wr z<p@4(=4Ajl+k?h%bU=zggHz460w6{Ft%l&T(!ATtqSMd9qua@$+4fr}xDez7%eXms zbUR5j+dhN{J_ChHryF>cwP3UDX^1Fjs@kKo0Hjo)*>)R55L6d?bh-(E>S^9)+XW!e z(n_$h<~k3C5<ib_r+{YL4zReHN2gnWM`xTyvu!z8fCmze9xow-x>cZpA@49a!~$NV z9%f{CSp_a3>H=PbA7*5DeYn}y4y=*O<G35R<bA!tqqi==@W2amko;ngUQky1@In); z7Zf7BbpkJ>LA)q14<gM45-<S^)JeSfbBK}Qr2vHI@Zuea2RdUAwCVF9h;tO=2GD-& z7fGPSTHT;?h(PHYoJ&C0Jb@PHD7;hy4Sm4R&^+#<0v<#~q=*+&mM}8BtVdhyirIg8 z1&Wg9H%RNbz&QeXETe-5=vc<?LJ6O4M}cNr{SI(6g7<iKI!btSdN}xWItqYR0tq(T z3PNN-yF5KRLA5LcL_ip1MQ4Nr=<)=?X4_ZoU_-!-xlU(?X4{((0no~OkIn)C(6vc| z&9;XjqM#EpJUZPRnr%0M1WM~YIs+X*qsc)YmQE6-s?D~uz~X!!on8_k??Y-vkKQT? zP&wCkkdfi_3lB>t0sdCd^3Z16Y_M`Rk4`Uv*XKQYLDPdLUZjJR9Rv-ED6}v&+q%Qm zaJ*ja(Obm<GRhgGX0AtXr30w*)p_BC(LqoJb>n3oEHV`jGBUgj2J=AEDu+RF$_rJb z1{SV%c=6`|^!#Vg?1Lw0n&8HZ7Y9HU;DMK*vo%0<I#~F`i;GZU&;}xp-b#rV2M&Nz zYlQ>o@Se^SFE&EB0-*YQDOl}^mj$5Y)5|)=0jWs{TB`VB3%G2qTg1rl@+V?<C-QnF zaQS=)6j@l)gM>$S04O~OctFzwG@_*1!0`#WsNK(@*_IO`0NzK{=_mk6B@PnMRPwqN ztQ-{mpedzh+glI;Nc2YtKvT&Ph$tlbL8)XjNB~Rp&jyR*i2nZli0B8+DL32ZfR&>~ ze+EbyBKkexYLKGe1*8T!`i=J^M}M`$3#I)i(SHoI-joll=)+4;^n+sd#ovAK=&uxb z@qQm9LZJ<%2VicU1SmqUfY_ZMUV_Vm-Z}@UlD$x2=v|5u&PeeLx@7OgnRB2zc-jI+ zhL?(<raxN!3thj6mfsaTntv!D?|^=B^~S&d9=)uSkne#2ZFzn1=OLuG3tnvTLhn9U zS%D~$Gr&78uY!~vXHfyo@x0);|L^|`;oJZIzxW3+w#gbvJve!af}MSTKK}KKWooF$ zL%diAnmL0WW)05%kn=-dOq<Wh@cIa-2ZQi`uZzkB$bOw^Y~cISAS)gq8xcVJbwCry zpg~y3@GfYdPA6nM)<-4b#gXe^pXLi7xg5N4^7R8qfFyvsti2$wYTN?(7v$CM7Vvnp z2YA;{CwMfX(?_M^MH$32eGJp)LQSgxn`RF(?bgkI|6d#hX+Sj%G$98Xnu&O!0g~)x zeZi0JHh-vTkVTCj@BRD#q6cJJIY<MlX`tCWkZBe#Ze0WWbOnZKj8M}cz2?Or)9gT| zX=0e>nE^7&;YB~hs4xtpwt><OXz>!*n-L(RKHvEF|HVC!1{8;R7J!V(c;Nz)>19>N zFe)2r6lC0m7i825kWrIC8c>a@0BukLMd^!gS0R4nLk}@ouxTyeDMYZ__T2sV|3x^+ zKqm|XJ3-TPAm>TESPC()AH%>i_aMmwa!Y(3$TUunX<tAZP@M-poI~J66iB9*^*b-R z+Zv%pfmgJ=PzD*b@A|+0FIIvypc-WW@+l}vU+{n=ds#PNm}U$%4RMm-i%WO@{eO`Q zGB5(92h~6ekb$7|`(pPMaM0;t82IQe$fwZJG0><-BgjA*kb&%&27(U?D|nF$G3_}I zx@V_=O#@Arf{Zc)8Flg6zyB}xfHa`G%>(2%P;Pi350dO<U4UVl8`w0+suXavJ_VIV z4Il%vL3&UP3;@Mxz>ABQ!9I<|Fzv@3kWaze)L2wr%mNu@3NlIo(<tzvS{^UjAV!H| z7_}B^6y&NTe~?kHuKxS~;wnf3s;d$}u2Ohm4U*|)y~vFoVo6Y=ASE*k$f)@sqq;yE zP>kvXmvo@<wij<Nf&F+A!!!}7Y2fWoFSdb7v_Oz)HkhVC%26K`gBSB5rj=lrcI-AJ z#K4msFET);F@sEd2hxD#Hva9M;L^7fvQqPfA4tBJHJ1zBv!!4IA(LX@2o(XDx&F$( z|1V~N^q`u#2i(Vin)&M@*vqCEW-6kac>q+pMT5+ALow5VgMWJi=qN<cvLjF#@M0On z%=et=?mT-7<Z&c-=77v(2buW^qzAeW&ZF@NC=?IBxI2T9;ibn<=;RS*dwm(mCusd= z&}cchf|&?%3#e<V(ZVocf=9QIM>jmG6+nI701w29*$@?h7w6CYgKRu6@q#q_n-BZ| zFJ%EwJ_>-u96+bDPw;@=O4Q4HXN4d`3!_K31BXX9@A(xV|8{_rQ#Wj%6j;~mD=&mV zUIFd@09C_qjHr*n?M*q*g_X^3DnR>53PAfw3Q|0}WmJFs|NkG{T>~8y(7gq+wXm}U zbQk3wXxUt&lF<z*Y?^;a^S9)J>U7Z7{N^95{H+lnUbm?DCeYT~OZ=@uYzz#b!CU^; zf2<4)%|9IZo1cJ&iovXYkm6nu2ajIUbNLDk-6GfccRga}Z{7-0(Y*(}l&xX^Hc*$m zgsu6}_vTY9ps|At(7j<x1sNP$7{Tkie7hS!0pVlGssIuN?*Vt@ceyZOf^V`T2dMeW z3pTfjk(GhLxAj10h>8Y(>r)m622cr?q5!)Jxb*~hVlG4_!6P|{12iSmx&m^S3#k0< zJaq5{D`=}YfAd+8`+8-qYLpl}dS#lsrTG_M=AU%9<u-q7E=WU+iU$9#$Bg_<?ko%p z{JS1A@i!ZTjRx;kDK<FX2D(cTv@X}9yVwA<+yqpJdV+?8_CXdL@l}8t>n(Rcx10ZR z<Zqq^8iQ#Dv0TA+vjlnc^3KWw?{qo{ncndLuORMpQHgjl@f;}ayQqL$AD~nLK1Ge= z#ZFL_z;f~5{}=C}DgwZ_8+U`YKzD;L9PI?JYwh$=ahTxIJqZ-7pk!^}ksJ+P#cA=v z6r{74Rq-$6G<6Tq<OXOO@<j}|F#;O=2ZicCP{q^By5<kMGE=BB2XNt$4pDXlxk%sy z4W#oyl|h<34xnu7ec|8#7iJ*q!IL<kX`|+y;AK(#Et#MrWp;vR2l)H^!Bc*ao7+4r z1^HXpKmwf*ffprb|NVdQ|NOuIFJ7Jf_a8oK*v<Q$S)KuOR|WsJ22Idi(x(o-WNJCU z?|P#3B!7Pk11KgRF%)Zevlf*pG5kN!$(mILI^KYH_HG3R4{hEhyA>F;d85jd7%WfJ zNWVBM!oYBxMFqTY_v0zh<Wi1Gfk*R^io-8HodK7FT*ze>Xmsa=B&aS6Is5Pbap)~5 z8fO?mhB$cpFudqF&B*Y2MQ4bL4ydUNN-*FMEaeB8?V^$~!J`{o9!&7)Zh#a=u%kkb zyQqMNm=OA0R3u*9VPs@zwq05ZN@#tM^^Bm36%?%9ouIi>(Ao~LHI}FNTfiv+G#3OC z=>|8?`FDNfY5vIsK0CV9s@XOQWK@YbXmcB+N&f5e|Nq?~DmpI@gZjpuA}TLd2r@Ff z_<Z``{}*-V{{4TEdFJ2$*XJQg5Mm|hez(PZ5FZ7ABEzG*0qmCJEZ}2$z{w3fn*{e% zC&)AN85kK}w;G;&SqM5x`sB+jP_G}7Od!#2coMRBu<SHA?Ddgvkp*|J=7N&g4UjBE zn}>l%_e4<YdU*&m;?oT==H*so&I-`ZXBCj1Ue*^(pb{Cn5)j-!@xJo!KeV6($5b^a z<F$cOU*YM0|G@{$yjXtt-~a9@;DckDe>s8%LIXhS=Rkq{k_|NA+YL)Kpx^^-(S)k* zj#1Hgxez+*1xZ&>8N=Hzi&4b+cRga@Zxa0d|Nl$*-~a!2wu82Og9gGAJd!;*JUaWq zOVmLp2~6<l_7w2w><6z^@Ad(wDQG>`F8~^!=mnjK(8;3$+AIK?j23ut4ZI>B=15pk z_A(T-$fnoyN2UV9F3@=rFO@+8aL<5i!(LDo12(C<2VB&HnppxK-BSNAgC>?FU||P3 z8s+7#pa1_Go_x6(yl=wUV1h??KPX8~@aUe7NbI1KY~bkv$xz4^f)`#%p!Ga3w{~9G z=?E%mLUu4RfX^d);RRxW#T<5kcD|jO02)vK0c{eX)SqP<sQqD&URIZdkOc6;xgV5% zVJ9ZOXqf<-^f+?{F|!A$l2IpjK_|pSfX;CP9o5ia`>qg_EnB8DGBAJyTNyz+v_Qx4 zf$L51RnK770&uK=YEapp3<ZX6lgq8&N^bJ+dd5<8xzj~O22^+}K<jf2(Ai)XpxJ#; znGLE`K__`Z`#yQvkf~UWZXXpHk6uwZP~Fzaa;n$yKj_4`_O+nqPp`}=k8Wv?=HHBv z=~=@AEeA@YJ-TI1fd-G*KoX_qU{ZNP=LvXq`4OyFmb+Amq1&VxRAch5KG$yCdBUSt z_FB3E!%Nlw|Nnz>e&)P?|DpEJt5#xoeb=LxwX{Ns;W$eNxU%dOJwIQNp@qq#TlUy| zLC7hRpaXFV=l=T-x**V_SGEmg-c?X}1KNk|(Q8_ouE5YObB}-5W42;;!viltn=~72 z`wGAZ#PIKW%v{9N{FAo?B&82JG6iH2*g*}p*&s!ww_m>o4R1p3ra`b8Y<)n|{4FW} z{{L^e^fLV4|NqBWtqYVG7+>1_`~M%3q`q%uWPl{Iw_6z*CO~2yLZYN6{x*G3OrqR} z0xE~Vk^G+l)N+#MZ+Qa>NU#w2cA#D!2ajISDQOA}-8`rGcRge%0&hNc0AKa-qK1!w z0b~R?8Fcf?u25hAPnh(AA{ad5EoA|Ub5?Dz47B6|C5sn^&fsnM0Uo`)-#~R-FHa+A zIURK2!A42`DF<2(luU-ED+N%xG5~F0L8L3tp7Ku6S|>40xKXDoLCqx|$Z(%WyP!ue zFT*@Yo0j+cTtQG{3{(PeyjV2*-~X48r6KUMExTbXNNCRA0?MG8y^IVmw}1xQFx%@q zknnT>wQnsz?OV{fo1jfgEuhQb3=eqp^0t-1PF)0_V|TFSBxEEGa>^RicM>4qX&h%! z0c}_YS3jU20#$P0Qbpi}i3TVXLCfuWc@KcR*v{zD%c?e4kfDXaqnlNBt{|ui2kQnE zb}x?1`uG3!jBehPbOi?fT@Trc9l+VBZ3igjdGzvrC<PrUi*y8DCb%wRO{oNB1c)=h z2361c_y48O|Ns9RZ1?AalCvQHu7}J;pc9JLgAIGVqQQ1KNT&4J>vu2ybTcx%ybcX4 z%<uxWvOQ4F7eonwZXXrU+6)J1lLqd84p5MQTNf{8A7%tCMnGKp1=7>m0j@Z@b5tOq zy2HVVp8@q|QIHAXc{q>c3*hn!BmiD_1>zaNZ3N9Pf)_f%ig@t+&+DESN+6%<qVG^f z$zNq*sB0&>S!)ZF82EQR;4Rh!hgHjg5^&~$%wim8Q2`%4>A?v)HU>7s3llXG0WC3d zQQ>%f^2M?)Muyi@Ksnl@@eQa(0&Ow|*GRo<z{k5luZ{*4yWP++Nl@&8)<}UHHlXtW zUNG>1`ydhw{H>sl0=!WQJ^^k$sO=AG*Mq_ZynhZFGZvtv3EEa|;L#lz;L!^?p~k~f zioY!jtOtB(45x>sB!ByPP<F74<lt`u-<Wb7bUZ$&Nh|0A+BFAi>~Ml_OVAbs?K1@R zcOcC&P7lxlt3E2A-XZjO)9ye6kM5v=2_B&RVckODNCHiZT6jQZJh~w(&K!^gBtXhM z5CW6HR)A+z;kv;0iAW*TYyr26JQ(kJbQXaoslnEIG#)MhdoD`AqubNKquVpUqcc(h z;#$uDk6!3jzs`vu8^9~gI($^rJ-P!R+Cl9o!v&xk8q_~(tPs!xU9-_L4RmZ7<nCwC z;l$mLtHQzM5lU2ffSa2eK7d*hAOk>sok#N_m-MQ)94K)_#9g<8fk(FkD4cvim-mIJ z7<hDoCS^E4Z7&CpPSE{pKA=si9^jL413WrAKu!i7vI9;)jYmM~=`bPzy?Dt5N{%in z5ibKlWx{dD7$T@V0&Q3XjjzIPL-y!}$bp>8@#5f7Mh57@V9>%t{w5hvYJgoP4_-!S zd62*PEvR}q&H`!<GrZuP&&Y6$MTOx-2>X9fGZr*T0`lxtP6mb-0^I*WJttZx2<WXb zohWGn)HWtIO@Lwu+@Zlp7%v|oHRL@QFL;0g0JIz7C8(bP3&t1h^Pr*N$A%IL_8bfh zFSxk=|9`m^)Yh<!;^1%V0UddC@@3y&<Pj7^mQMh6zaUxOMJ1yflGd7kN|!2vdTgMm z2KN$FV?jv^Qg(PilK`aNhBcR86oE@6G$q}re2n?UEYJW%GxB|SDIVP-s-W>6kM1Y~ z(9ABchvj6D1NmFJ!6!$y9N=$}1dV4jfqD$hKiK(O!GYhr3)Ev^D&_TP+y&|?FbFU( z6uCF-0-eIgz~55K%)l_gqnC9-Eu=vVDz-QbK~3$-X`pclR;~Y_jyA6}hyryf?Lhgt z+mqv^DO6_~hE98k&JX{<Iv;{4kWTP5Q6Qb5)h*3GIQUx+g3@qz6Uc#}qmE0eJeq$n zm0E$^(<FxE9#EC_;^kDZdscyr>*k#eqClofgN6%0rh-nV1?h}eMbZhL>{}1f=>gKx z&FcxGK=)K!2IngWj+dvvcQEy`p3y<l2|lN_6r%IrU$9U9gD8+r@S?eH2acC(KuhU< zaPzl<P8jfj`UJE!7rYt?Yk*IMTBeUYX9x~(4v1wtLAG@Bt^!dY4~BuA>B;fZ7pn7X zHIg&II?qi32TeUlOE+%;hyv*ZbwoTsK?Ayc0~EmgEg7JqsQCvIe@oo||Nmd6{r~?T z+(camiU}}l7Kqi$;^5KC`#c&pWCa<m0<F9bQQ>&uUkzzQ33&AKHj9BaDL@A=UX-r> z_rG~JIGKSP>FJ=2E4x2{3cb<~&AUMZX$+;$J(_oeqMV`hZu4$X%a5V-%7pG&pc0XP z*JqyQ-%Mb=+Mqn&TvfnO`Uza=zm)p>|39Qb>9-0rA<X*95Gf$QmmYoH0NP^#awljJ z*z;8&9?Wew!91AUX)q5aw-?0gZUQ+RR0vDF__GT<@?dU(WP>CqS12C=1vRJv2`XQY z9{TtHMZ(^H|6h3T25VUL25ATlbe!^wB(RfU7KMN;0@YcdX2lCP5D(efR*1C+%+ak~ za~RoLKajOA_x$_+;tE8AF^09T*CYG=?n<!hlJbzu1)WL$;>dc?Ja}ItBg4x|*jhAj z`v_%mL$`~H0?50^*ucFM&^)+fagIkXZ)+B~m(XZ<sa+7H;Oh=Xh8GR{85v$wgNU;I zjG$f(NH4ft0i}Z%h5g|EA4u@UQIProkWz0D;kF+<f(9Oj%i0dA_F=6#NW6!wfOX4I z+Kc>c;2u`<8wF7RK?2l&kmz<%(EyKl9|QNt9Qm6TGJpoRL5&0fkW+uLg8iZaZtH=z z@q>F=SNi__Z?Kh30=3Y=S6YBpQI!gUhGh*vTO*Pkz~??VgNz56!m<PG;up*e3@>JY zT-?iga{{z8abbcW1E{)&M|XK2C=zo(EANgd90v7HA!qqC*se<it#Rvry~3mUhhwpV zN3W=N4k%<r4uPg8IxoHi-w*)b+5rg-kL93l)unnyEcdUX4tN}AQ30Kf`a%s<{dL0z zJ3#Ra!)W0NIx7-w&q=fG`kA2f<67^63kcRzCeWpguzutV4|Qm<&}^%d3>pz>1qHcB zCu{jk1qP2^)*Bc)#1J}ufr7j=)uWSD5~6w)hUyn;aP!ZARcm^5vVNYS0P3-UQkF+A zYichjfrECfXgh#vW_Vcl+Mb%B!0=+}97cxM7d(1xw}5!lLA)s*y|&jtyv{j{3@<}L z<s&?tUzFA{GQ52754rsWIzOopwSV&B+%|CbI{O|e^MgkfzwU>mb#P-rV=q|MM&yI; zH9=*{`ThU?zu?*qmc8u-@&UAe4_+3cxCWF(U)M4+fL9$tVhkm|%Fx|o2XT)rhI>+x z-7{kk*v3%g^Yy`nf<4GRRS+|_A=mDfAlFV@4RTL3DehUf6>QVmcj)1Bbstjra6sI1 zK^Z9oz_sxDeW3Awh#9<=NV1@Q`U^&|drsG&gb!*2ft$vbe?YkpT9V1If%|YA3?=+8 zK0tS|Kzfs)tPU!hK(q#^GT?a8|NH;{*Eb*m4<%7Ie1Xor1XrGrbB{suW)ncQb}w&B z1}GbYhEX^@z;kD^lR`jqXO}&CWj#_sa-bQh*4zB8U7&2${FAX1bc&V-`0QiI&M;6< zK8JtTBM$yahgvR`J_PY~K&2Ku<H>h{L(u?KAUXf~|NoeaiU;y_YOpb2$Sw)+i7hUW zYpo<+Jl@I(x?~L5Lc>e1r#INj#e%YM3wS&O)R+M0W$-Bv$;BL?g}SYv{!jA{-qIXI z+qCskiHt{g5V(OV?9sdn)Ien@;cVCi-d<l~47!*T(}OVgb;hVbCsV=wHjk~046iSv zc?OLOo+@lE1GQy91ez=w7gB5rE@EVu;DLHLBj^SMP!Tc#yn(7W>Oc5w;DfKNJ$hM$ zJ$fDfdmQ`$noeXYcJB6RQSdnUfa(7!{?!*deOMGG9A^P7WM=@4vVix!BSu+3yRgA? zMQ=gp(sbvjK#o}439jHe7s4vDGidGqGI`|ddS8G`foxEE>W1AMd$*F2;q_@~S_Dxj z<pF;iXjiWX%KSH?rwbbC1J%ahQ5@*tgaWJ&1sY@qAAQ8}!g4#L@`iLm!6)K@w+wZA z7$71X)EViH4)EwM<^T;gcSc5dbQUIn`zT=HXaSGT$P6UDghyv&0fIjpl!!b!BP%?* zy&%H_-7zW>9-Wd1eu;_(xCdFt0T+XfDntsvg}|c<4hF{>#K0qSDAVi$u<?c#Mo{PM z#eQ&Dg7X1P2jlBAFFGn18D4INjJctOC#VIBnq-iIAjrU@8$Lp!06R*q`G;d|e&-<% z@I3G$Q0DFx3G(QbofH7h|NOfiu@@Up@aPTr?{V;#y~n{<)*ihgOdh>KAU>-{^Dn02 zr0$X_3LXa^G4)pb=imIVvqVJU^^ykL`bbb?VRnOU1(-4E`yo)}Uefh?BPc0?(iXm? zn6wenu7V^*kIoB_)cAWIBk0IaNEUfF57e@#C}(7N`56)(7@kKA95(C#2YIQbN4E!P z{3Ia3qdOu36os9EphLVfJURnGm)93~bOuUzbURddbV4regy#oPY6Nxh7@(<90+erH z{s1rI0;vFHB!(A@w}6){qPhnpjbZ?(@U{RiC)5U?dIs6n3X1Vw5P?#925vzP5Kv}9 z&yW0V;I-T+P2vVyju>zOsl*6wM=CV`NZ@Z4Wn_R{4dl@)`!rgK0kngz6R{Kle9c`i z@8W1s!Ozp!&B?#`ViCtn(4t<@0hPVHwb7timqz}@*Z7;jYfyW68au!9ufFEkd7$&h z2mXzQ;0fH4i`~3U(Mk-hCrb^%gX5*qN(@Msyc}#fS#lS=m+}MuLFQvFDjMMW1X96) z>KIFKkIJK$*CrZe{JNAKY|85e9=)tDqd<3Zv8cQ#sQmNaqgPfmT8ZKHs^*_eB}-r1 z<1!pY99#!MECsm%iR;nJ8jsw016Sl0i~m6<V)EvI(%+8~MuwNgko1R^ANfEz7^S`c z5;P{$>%<6ZKN}$hIe3?KudGKDC}5lU7hfyB#lQJlr%5wtT?wKI-YserrNq#BsWclD zo}#KzN|4*?Kk#pqg6#VPO>bR`gaipFy@9Sl{{O<I26Xf4an>E6tO)909C!_yklPAU z*elXxc)MN7qgV7)q!L4?Oq0j|1E3`-HTMh;ybJ;Lyniv3Dub^a@aPrY6sg2ucpGkC z=~nOr4&?C1Ue*_ONX;JbMY~*!V4>wO8x&fniWwPRg67h|DHtuhrh>{JwDju%a&k!+ zsBhQ&Bca$C9%#k!9=*K(Ba|4rWg0ztc^dgwUv8K5=;i$Z6JlxP-+Z}U0yH@L<O!si z4T)t?ctTjmSYJjcF)&W>IL<mZ0&;g2LJ~6D);SC0Y+;bIL6`i2oed|@+<y<^ew6cM zeL$zkGJ<v^fVUcae^ij~0a|Uw06JvA!}3CTBxo&{g-7eR8bi=-5C;#-fA#91mN=*n z$N}2G$@t<(C!|jSo*wc5IT70E>b&9c{aJyY2l!qPh>0i4<w1r?y*33K{Q5SMb)Ylq zU!MXEKNYcp21LN8HZ1pmZTX6w_3uAOG87>>3ohvZl6=8c#K`cH6*ez|=KlqtwO7q= z5<vai2vGkv0<_)(v~m@6mIt^FwY<gO69ZD#4Z0Jg+YWRcON%or1A|X5i?omBA^v_% z5U1NmrNXoECj$!uL#@n9(0N3joj0JZ9Xr2X5oXVWznHvxWtc!Gcyf4HUaq+hx^lk% z8fZka^8)x%+}4+%?AQ2<p#gL_B<Q9mkH%j=m>C%O+d((Vdh|xIdmMbt?9uoeG<(P2 z47yj!qt`Y<T%Lh{n~b$b^KWPV=IP+2QM}C(@(ldjc_KYP=kGwH?iT;_Lq477Jv&dd zT;gwC3$oerCV%@97SQHU9~A?iPS94L<~j}r{#J0!+6fx72OW|Ps@}nw6V#Xk#W2H* zN9{;43_fpB0kRDrw0%58#ll1L6lk`C<HgLmpn3qbss?<ZIjD~42A!!08W#Xf0vLdj zjlc^g=yZe!XjLO<%nDT3RDd|(;a1SV0Eh#g6hLe+2af@is6bPlAKXpdpj6y?vaGwo z_D3*i(4h&`<p3{p1({m_;(=>0fft+{pcDB(2W5gZffvYl^v(k9UGg~in%$%E_fOCi zQL_;!xO#0@t04!rAVg3M6a(9N;$QZG1_FA!7!(*7JS;C3b9i)K1aIq_;L+RlUmmpV z{~^Nz(D)!oUGskq{?<pJ9N2l;<KQD^(7C(dm|qDJgT#D!nn&{?c8`OvK(dfnp8y&t z?zK%41vx~-%A@(0Gk<eFSdh0@3>@o$o{$Wyz`)>Rc^Mk(pgRje_y2aD@I3f}8Idkt zz6T8mHXefb_!YZH<1dJh!CM}CZFj07Tl5w*EZl3W0<kFk^;8dpcUe6;FTQR;_bcdb z7LVTe{~oRP`CH$CyvD!hzDMUxP)fY~(Btw0&&~@Tmmk4>zZ#?jr|%P(Ksiq|MMR## ziGQ1j5XAd-5YawSc?L)R?IJ-?|1&T$cwT<xYk9$ufBGTM&I_KGUm*PL(RtCO^U}+Y zpan))e18vg8Az|_ZWR=Z_CQ2cVHUlf>eD+36y2Vdmx?+kcr+e@MDrtXG?#)#JwUrV zFMu{}eShxLdCG@>{do@%wuR(!@BpzbD3h1xcr+hi_W;F>EhMI0!IrUB2!ox#0=l-) znZH>TBDzRK9(-)AgHPuH@IBQY-@k)WwFBsg`|r>BCmcd})d#eX^@LC7LD0fchnN3A zE2CH;@%@6`!xj?Vhe3P9ds$B@qu2)80_D>yY67**>GcGk-Uf(=4-|8Fb{=>MIu92l z2=cgR;{&kA!NcL8i#Kn*R03HBI?d<MOK~U@R93#^0&#j-cl(2?e&~*eZqPhzCv2Vk z6!6qBsP2H>b<3LjA38YJ%X-NPRtZE*`S*XPGiV5<Z!#mpPLOY2OaZaLVpSj(C_G;@ zOlD;0yZ}*|G8xh=2P;lTlJJH~fOYsGNf<#Tz&cEkBqX5{U>!0bi5n0*7{C%YAP!<i zl6XD|WciJqU>&bOoL<(+|KL7*;Ry3t-DJ>^kViHn!%Jt#qHMzhua!Ydq`{~Bi~j3Z zVDLE30&3EOPVHd=H|0G*w^}v-V5zx|s(9uM+=`WJu0vL4feJ^^o$PEL7hiymCT;$~ zSpEfEKttrw>QB%-4cdB%ZJ?60`3DPsa|@_8gE%x4<WNxM*9pELo`nfCr{4<B>AkEG zPeF@vphI(@b#flPtSYgfWC2<`Gm8&0yad{z%iki-$iR@+T#>-Q-})I;PIL!ofR`wP zPCNjum-A@&>EI!GX*UB01B1uq#~zB8Jvt*Y3{Sq6@#qea03A}(dD)}8K*OWc$fGkt z!=pPO!-MgnN8?XWZY;VD%0L>>N(nZ#FjEoY01fcb9G#~?JLNsV3j#be__uo`{6Co1 z>5%}IY&}`R532M6K#3Nz)C0s-Kr?Z>Jjlcl6@}NAJbGCbB#@e*;Mtb;>EL0#AVwq! zAyE3xgGem+j5OW{zPU3LB5~>ik_33|hRyVU|967I^hMDGP_yt)1|!2ub4c4C)F?!Y z&s<QHHNQb=4~o8crohm`G+_d?JZS(;zk{wC=w*@fIQT-!!<K=;fq}nS4WzeQ<d8?_ zXODyLcs&}Qfl36A?k%4f7(fOz)Fm_Vw>}5OT4xF9D(o<D)U|YjMQ(X?*J*fkT6=Vc zY4C3kOX!XIpVk?d&}^&e1?rW@r-ANdhy)+}1iCzozZpEP2TonQ-+L4oJQz<vnxG{r z952G;KmiPzOoE<W<k8Ff=@BTEK_k_pm-P_18wN^YCqzK1VFyORttd8y+@&Ep18n>S zgz@d*_yecp5)}#X@qdso=Idu<fUsCV%O87LHzUt;DT1PK_0)g=cY*@!#m7ESgk-0K z##iAHf*c<b{B4&&VS^eUy{r*lpuEAN@?w9+AMi~>uU)~8?qE@Q5d*5tx<Myxb%K|e zNqBS$fF*4}*}|jqbmzf+ki7BCL-87@kuTuE_z83&LFY|S;uiqrbqNn!1_uU)qLm)K ztgk(xmVL^EwD$~Jz$Xh?cr^cHDc0~f_>cu;iiAh!6pjc`Yp5G^09)r2js!3V7OI^m zAmQ?+7v$-0x(p021wn0cH2?mC_!nut8pv>u7ABA%0w5889;5~o@sKli<}iV+1)U}7 z(Y=KUY;9+Wih@V4tv=Z8HYzWsr9<2f&WIAP9YDukKvwjCM?t`bgN_q>@%GPu@B!fg z;9}&!E=W*4@&H{42wKgjc>$D_!M7zTKmw_JGbqGB=TjZ@fQHnG42W&eE3ZKpXL)q? zK%5D>&l$u4I}^Sh3=%dMdO%@wONW60d}$Uad!n3&Cc)nZp4dknV(n%1fLXjg4Pvo{ z;mOzD9^K5K2$cY>GVTD)+6qFGh6Sjc6bFT?0%)nVgh%H+kJj6z=RJ<M2!MhT)Wip| zK~4m*{~v7l$x^HYiuQ{h&A(WR4Lf{P#32`Sho~rcbk6~6d%fMGmvyf@Gz^Y_LmW0R z3mOdr7ea5lL0<f>&A{+d2^9V4{`&!HT{geT0QJWbK>e`<r18Kg0}o4K{#MXP3g}*k z-lG4l*Z9HbD>vK9dx8dyTJJM5Fu?jxe;61TIxiTWe9iLz;Kf&szd&(W{spoOYH|Xo zuxh<j!UdZ%FNyN#W&P&{b$)8<pZ}m#p$^JZ9N^{F;5-HDANBHH2P@-Id2tUK;Nba; z3UIFmR187(1%c{-1drw;8Hf3|-SlYw&BEV&0AwrZ?(Oa^QlOv)9e&dKtwhbE^QT9* zxko2dw0nybDDXWrkAZ~1%+3?9XM?Je0MHsU2hcHR;5a=CYD9I%fbL8QQ3>$q-UBuk zbUNqj)gA|5@q)BifK<Ow1}{;Edcwe?m$zvGq&$34nF2{Q1|H2nl=+*5z-|OzB9snN z(k;U1(R!&g!|(toIeB>W@_K-TK`WK-r$TgkfKJ5V^yqew01s<=^s=_z1sM)G8_NQu z4|M%cKqx45K_%`nHc*KRDxX2CK0wD)fy1uTBjfc>kdq_er8#I>M27@Kb;QdmP<y(Y zRoxXl&L`l}>-pc~{|S%p7d#XXf=;r59_Q}S%ljq-WEUve|5Ar^??4mICH^3{fX^$l z09%0`Qy#snZ7<R5&>#K({_j)<72bNCkcJyLEh~aJy{xw+k!or1@mDAM!PWOiStJSY zK-_wWgpnzd1h`U|)(>juJ<woac=;Oj%nY>hQ3=$|L*K6kTfa01vQn_~9%ussXbc0i zmrC=yPp|)fpVssIt*61ABpy3o%Y*z)OF?^uY+ty7v&}nDoHzalwSoAXK@D7w&L4&+ zU$g!{=yCDAN8^8R*7?-oqhb!8gzBCJ$v(G1*$2D~ilM~CqnCBRGbqqmR9>hhff5EZ zaG~cvnu812p6~xb8~dliRL)5Tsf6uD;NJ!=VfdSWfgE)35sOFn9$|3$hd8cS8*~g% z_a0$L=CSkW?13=5dmzfdxev^Iy%H4F;OvqCN>UykoiZxmJktry&H<nz5PZQA_$+qN zV9)CX9^Ilp?}Az@6DD|o4uN3+g^5Qmiyd-H2Xu9|M>oqMlx9xP2XHfop`jAg%mLla z&}^IQ2x_~idGxZD+=6BZ@Tf;Gt4k0ls6ctai|60}mp?({0iB?ICEbpY4Yb8yKm&l# z{0dq$bP3c70p+@r37{YYO*A(DP%h4ZG*WnXgQP*;hh(}0kncHO+!X^S#c3ePP8O9H zx=DZjgHK|g016J!<`oVP(2iFIBT%IZn!y4OG=nrtya@R5`#-1<gKVsU<N%#EP!5Pu ziSXzKoxtLvV(~%~)b@l-fwHK);GX~Q|BJAh&>RbDGrizg@bCYN$umLwoj^)^S>L@t z%3|R5>(m}ttLSzs$bt)y8gU<p)604WLw#xw*k9osNI3~SrR~!L%1P5y85mw31mz^; z{3F2M#s{fiB0%*^0H}Te4_p;^bPIZPM{;;{7YcwzG&6jX*+FAr7LbjVou@pyJ3$kz zK9(nax?@x-3=g~nAEpF4IuF#NwgBCSso~$v@(QF&!MF8xiL`I)fif}A#vh<%fyKPd zw$q%zISn+d=3{xNT-np|MzNfa<;k)rkY)u>#xFjd2R``lZ&Y{WpK`#p<v_^-P+v>~ z;vP`&dUhUh?fmN6^0vfmf@^Q-e^<qKuKe5H`t;h0OUN_0^KaA9_ho$Q(fPx>*X9-Y zSQyWPPnkV?Z4A6SYeX4dZvfo}!{K9jpjZqPzZ#yMN5F#(%<dd6{M$4jw|WJ5G#`mL z3`!Uth?&i9&}<%PHz1QwC+I*RSjGme2gwcxbq7F2IixuTUGd}aLI||#u9x+!GEx+Q z?!<jzIS-OJz<VD-el!3%hvS7JNW7QT<_J>a02j0zAc<~Jw-S_ucg+Pyz3w3-WuOl5 zi-#Zy(5O2&(KXNc_y2|5^nd?fa6%k%MhV>^3SFSI@+ulMz7IQ-29aKRT~u~J&g;+u zwPYLLfcB(<*0qD?-a+Sefa&fQ@ZrlI%@q<1{4FM+;<+0%ImgjZslvnG!VMCD?zsdV z695_4>`?&~51>g?&~SDjcvU!b1k*+e5}e?(Y$HI8O$$)_vZ6Z#bZcvjib6NI>r|s+ z(76RNJkmJ_eC~fIY{fU^i0N*~;7RKx{(e<Zn(v+hac6gwgGYCfg@@*6kLKS3{H?zk z85kODub!3%-C)_v1X{D&EeEPUKrZyKlrI<Xu#D#@<MQct7w};`?9nOLya#-35CeZd z=)`xA<|+$@5+je+19iHFw_me(G#><=(8J-=&FItZW#QX;poYb#+snb1@q~}1lSY{W z_%P_hHP??pE_Y!BE%XM5WaAM~03Qa05~%J1wQ~x5y8RSf__u|LJAOOlz~8!tfq}u* z@RF<H?bqzSy|ElVogaNH<2cG(!MAn1W^?D?CL`(F`PB2^8)nbuC+wb`=X^RJI$nD1 z`0X%b$yxv27*+<~Ze<@!_3{HgmgyX2yL`Iq1$-I*_;xD4Ugrxs<}LRBfAECDkt>k$ z8g$A&het2(86Qx2?V}>_VivUR5&>Ef4|XX$Y(Y!+yZ3-2_a*3VYEWqq0J=67RJV9^ zyK#V0rVpqFc(FzB?|&aqSIM^<bZ``Si8kU2TgXOKuyN4k|4?H=rA@%g-=Nx}+X<3^ zdZBm8fEGT2@~r@DviAi8xN!(J8g%_G+?h}pI)Y7sa=<Itk`H+te83Fy7sLU979ODc z>_t>S3+cfF?_f)yR}X=5E!x#i(EXvH`c~mZBY3kT%=(v=fB*l-73sLdU!0u)&g=(b zk@Bt&s3rCVRGsKg1eGMv90)qYuoHA(Z?^-u#hLJ80z|U~a!CPRI=UTNJ!OF6<3;2Q zupNSjkqQ*h#Q%#4P-T#Pyhbzr{eN+G(!c*Nc0kOXfm|zrX31ZiZv_=7Gs8jqv7n1S zLFF3<XaXP9*bwlr<SG~Ru=L_6<M!x|67XQW2|9nX!8Y`SJOe|C%mj~aj|>zwK?0!B zsZNiK!;s-Ol>U+cf7@kHwT&`g3$hS0Qq>LGYk|!|ur%mm3{TKWvn6>GJh~$#JS?R^ zPKyFNtw_Lw@iS;c2}p_qs}u)FN&%~s0!T^&BsIswGK!;Y8u(O0uz?z{J3(RY(aYML z0*X>_`v!Cr#F7P|au2qw@I`1C?3g<6SVlMaz70@mC;)P|1GvK>JHewnK*7UOu>7ru zWgth{bC2#w0T0Go9-RRSuWv!a3ltn2&?^{0`X#{e04hK{x*a?~LshK@N|Zgi3nDza zD-`&*mq~aq{`0W>RL<pLd9Ik%!}4DFHIL4FuP=hiBb58s!RJSS4;w^T4+OH%!J`{| z^ALEW9q0sN!%LvcA0t6Ke<D0OZ-Pb;H9fi`BRni`l{^QP?;0)49=*=slL}tE41=}r zK#N>o9|s2*{B-FTufW+BG@aJn0cw<hmaWA@I%nV=G!7o!ouDHC2->NDWT$8Z#7?jY z3Lrbd`4(}eMga6|OwC&!-3|hvts<a#JkSU~XuC1wsBiH4CV>~0Es*&>iI?D604#?C zmWMDhyaXL9hrB+kw?*Xw$ZOV)0{m@Epn9|M%?4060CZD0i0)mZvI0bdHjshn=86Ia z{?>iq+6{DC7U&{S{ua>5*YM3-pu?^_x;?;EDEN{hPzM||z25pB(z*tZ<Ya&n26!?s z!J~JN3aCuy4?p11TmJymO#A?9CVqHvy9VT|Tn5nmFt|AHoB~z}8bYanUB&L9c>^>@ z37fDi@aVknp?MgTbRzh-wWyo`ZDc;c-_iqeKFk}SaO{N^{otyB6EqzMKD!yT`5b=k zH>e1Qgfj=Y<b83m3FM*{70{9sa2i1|r5RlK<C5L_`~QE?5iL;pZVv?yONblFB|$7u zJEe>t<X5m?7%zfOP6kyB;H}oJCrgA;<a$)V;-KC0;G>p7CuwvBaCkHxgzQp-Z1Rfm z08I%8Sb)rd7z^3zh5u9oXmzFlntlcyIbQG4%j%W@DLgDd6%#1FKq2A)QsDs_v3k)q z4^*1KnyHW;Q3Xg1$BWhAO>5Ak)OZAx!VZJ>B*8-wyt%ToMn&Mo0dNq4o3<@b4?@EW z6ldMw3q3%G!}mf{0_c4B7q{V>zzRSycH*TGC}H=q3f@GjV!*@mbL+u%kA@k@KhPNv zbx{4*QV*(1`~n#nUfu-tW59!fXU?1f6^F3f%bI^GNL~W3X1V-W@v`ONnpdEOp3s61 zssX7z+oJLV<P32Bdc^~pi+%&zP!4L+Hh>aj?-~^ljnwQ1ZHNT5PA_{jRzY_NP6ug( z&Cqpk1h?Eew?NvKmY?`rpK&oTH0%Ryqh;W4^#CnJ=nmun<wsC^l3&140JKLBw2_Km zz)=Cj{s5X>7jQHHu|b<xz)K2`JA&#{1}Gc6+Y`!$%y5BrvmJK?FSPXN_7ecL89^!5 zqw(jxU;qD?YJ>JUHbZu0@wZF_HQ&40JXj%V(M`ak*9~%@%6?x+4uZ6HIKaiV3g|?j z?f?sq-l!j-jH>~<BeY2yRLWRN@V6fadkJ#6Ez~;xR`9A&*hvfBo(3M4jQlMvAeGHK zL1r_Q@;2`T?SWz7ZwUbjgVGOpc_65N11kSHUMvPJ!-n=I8g^_3CF4@wm!NaIL8%jD z3OJ90`^78*po>C5gIm2@z$YjPFqGVcDQnmPT2jiu-vT;G{8$6nq+<=>tE{0W2rz(F zsW3q7{SDgB0<{+^&%nR{>QF)CxslxE(T$R&dqD*&kIIV*AEaOedky3*gb^pUAT`rE zSyWz#|3eb!_Tcc)=J2@q(8H3qZ=W0kf72OI;ok`<jv!6~nFWe@h?6SN%mbAtkV3!* zwh&|~c#H?yG6Xvbq#a}o#0XPlBbpCDlEVgXkUt=~z@za9D03VJC%f)WQ20apYmEmB zgkZt{t^+i-2(ji^11Q`XUv`2r8Qk?#AY*bMPeLlADUdrfL6;<hmKcGu1t^(;hoHde zA9BnT=<MDal?b11hXimh!l&CK0Cd3@sE_nwdn>rqc>4*dI1vSv8u!2(VL*e_pkQw3 z1uK)-kE9F~3@^5WmBIGLvZ%ZWgQ)D?h@=t}STB0PD#5ezEGjSbA<9Z`At~br*_#Gc zRsf2w7aR~}leZu#1Eq);_E2SzcK1Wb7)}g^G6|?MNV|I%L|OM0B-6lU=SPq=;Cix? zMdih0h%z}0(@uevA?kt`nGlsvHX|7bcHRQ8N>IxRWS|p7*}iQ^%D~-%O0cpP=mkqG zDlarZ0==wjHzBD6hn|1$zyB|~T96ZajEcs~&A<QuZ{7te_!#(GlR)(aWG^WLe`^Sc z*F6c8+?uOc82MYBK?l}EfJGfSKy3@qK?1OH6x95Km7}10r}&}eD5&`dDh@zuJv!YA zKx~j&k50D=k8U?`zwt$p9jJ~3t=jDdRbs6tOQk_|I>_oBpu?v;dU+EL2rwAleyIg2 z{9yOoffrnX27ZcMA^O2hMsPn6q}2mFz_3LHTrp_Ny#$RczhG|$C&Uuu0derSw;m{r zyIH62k^^67@glAhtg!VAQb_WE5*TaGzyB{BAja&viX;mjJq)h^YpYQ}szpI-BVX7- zB<?>)(g8m2Rkh;Z|LzV@ozdx|qT<m#0o)$x3{mlT5!D1XO!gd-9<Uo4yOAOiltK(Z z0==v&wj-$o?@kH_Nx%oJIw77iK98gfoPa_4yIoXNK&LRXsJyrcQ8!~fk~(lQ;^_YO z|AjNiS+H`tn*o#*KxN*G)u0PIdRZAoko*o_$9ky?<Xl);Py#VrW&@Jp-~-E6gOwqb z1zw&1{=azI{O|vZ3lO6xAfFluzQN^r890bGARlW6-o$whBmub^8*<tqsAx0r=r#fk zf`FXs(G5DydJniz^yzK^UqBBk-zq?te}R%KXmq3ibZ00i4TA<>GJLwD93U62b|+bY zu3wGt>CQ^<>C90H@aZlJ@afzF&WSG;HGmy;_$`tT!G+>Ukk`8rXCQ)lXy6e7ju-1d zQoXFvYmoGSwmH0*3X%ZrJ^~kL<{e<8k0HklxWveVDuZ;~1R%;*>_jpRT;95al_7=k zvv#n7vdH#=qgocK64Jw9QF*ZkqLK+Y&4Y{F&mhYn>!ny!UQC53Q^0WH8K^Qy$(#pK z_HrGP3&9>)3RMOvncX4EBrsBJ9aI^lWLAJETa95_I8+%VSbnyFJzIjI%mAt^0367d zAj<rZ>mYD>%L!J7RNn4@*lW8M$rs?zyWjrr{|hNlle!zah72)sjVJ>_-GLU!4b-sV zEKp(tbq@qUs=&n<c%zj6W(Lsy$^*hkc?-O0=vgsn6EN&_4Dj?TBPd6Lj)(97okG$% z1w1JGg0~Lr_c#m_OhA5spFn&KQlXzj_7ON<c)`kAAg4Hk%A7rrP)go~<abbM{o*l5 z0#-hNR89g3^s+uju9d*0(@u~C^gIo4$Y+2AdRhICA(;s-@%lj$&>M!qD(%|-{eN+? z{@?!>TWUe23nG+3r~G(ufEwbUYuWh)9Ka3z07eD|egOw?LmxC{$S>dkZs>!zeRQ{| zfaF1~H^}Mjkca{42gL>Wa&)jl(6kh&*(UK~-$rnR1S=v%2<V`W7okPqaDIc_A_6a^ zvMB=fqu5*+8D4(;^#4El_!;<=c+BxLNY&ucY{9_a0$L)^zw03ne=}$$b+he34bVhR z{}Ry1TXzSj+666+exYm*sSZKgm`m6iDpa^jsz4<TXt0gLqg%kE^R$QNMbNcs7hi&w zn{hBO6#08}7l4Q28xMZ~)v*U(F?m>CF4pq^4Q)V9;(=^r1_eGS1i+I73@?tq`wyO& zgG$1;)q*DL@QC%YntelxVsMEqQwR>YKgdhXWI#18b0H|;&N?wNygUJ4orFAI10MeZ zbr?NR*U$H|?ob7dm9wb4kaq#CPkLSA(fI+iLLkGV+W@?Fuk;-#f;B*QU0OiqAwdm_ zg+D-zX;6iQShQuk7c>lyShU4!3NjirZ7Td8q#AU=R<G#yG|+hpF)ALA{R0zVD<ErC zLH2-doQ6!2ik<^0gf2t$@aSe?dYJ-RQP9iE3Ue}#D`-y+Yid5oUeJ0fXRsF{K?WIs z`ahsUK@o0#`~5FyI(yn4h;q<C5_mZ~Xv!eb1msrGltKDCh+DzyZ2~~4!oj2UK<R3z zJC$pdK*ocwX$Gxp>J@zlI@1*D&H#`*U!V8rWxW6r1!e7Y7tm-O?2@$?8jg$%FOwmW zgw)@M&tDaCfIIu3t^=sE4{n<Eu7Nbsj<=|QJEovsc=!QOCmd7@!;8Ug4+(IuI2_a^ z10~`qkfNwNf&(<>1upL_x%gWjFflOf1D{CQ>7(M&{O><_?wsG{duNG?jZe3Og^#v_ zhHvWu{<gKCMF^cPDgg~uDuVni&p;y&pi|R2V_<!^nU0X0B+>1oV&ef?Ad&$(9zme> z5`SMDh!w%odYiv*F<2+0UEk@WV$ph%zekvrfx+@3e=lhJ#f08q_JhBe`PZNFNIvP& z%fjK&>i}*+gElmE#;CY}3LbD--yI0LAez4oT=H}m27or<wt&`Uck_W3-dmpH_c`U! z9mWBPddS2YD1<;0=ir<PFHBt^eqera)dA`UP`X#}=;oN<(R=`GJ1De4#UwbGJA1$_ zpl%lxm(CUy@S(Ht;<iNv)I$JO5uGh6Kft98tPRtm0`4hxL*o!Oa|Rkw1gQh{ccCZi zAQc**OMO5|53-IHRNjEEwd;EZDtVwII^7{EHm#TVdzLXWFj$`A@0|zQgXh80da_P) zLT@Dd!QagM>u-4^U-sx_5dZ~eHz*i84}k&$6sj*lH;5RXG(7P7nc+#pOD|9V16??4 zc>87RKTv_?p#XAD1h}~TVh9N!@Lg1pk(Vma$VhjHN`!}{V5uqiw!F>|6%&whQ1$?q zeM~Q^?HNFaKbSQC{0|C$27Z?lU|+qw1R6H&gf1lSgpD3Riw@XfX&(PifhMOUKs_Bu z*E>W-;l*)V1_sCkD^z<oL_2tCyWs)D+b@rR4Hr=XwP!g%hJjj%9=(qLK`sNID=Yy@ zP7ot~R1{v6fQ$s~u!U90-LRYkJ)aD8EZoa;fByeJ4vBcsfRD#<NTh?<$03mpVuFN0 z5e{bKjdY}`z!#Um6%w?DP6pp{3tspH9;Gh;PlJHQ<v{WFBCQl$3oJqI*n|5?y>;Ln z@HQUF2S6$P#oijQ!e>j7>JjjiS_-J418<H_gD5NeiKGl%tJs2-L2jxC83>9x$X=Wm zN+79T)|tqyXKqkU#0ips_oh}ujDD~H$!Kun?@{f)|1V@A9??CEBnui!d|{FWX|I6N z48&sOuv%sYOO2gSw{?SRmQK(%CHS;ylmjUCGr;%BcZR4Wyf7#M2S&*$B+I~U^KfY6 z2o$yu%fJ^2fGRl~kW??L0P-RSa359~Bmr7b0@Co}Xf@dAL-Uc01|_){e?Z>v1|NdO zqVi&1^}qiwEX)4=f1v_#iwK6ttuyg?e61<S<B&qsgFqs21T}hlS?g~gxe1&|GHSqy z!~&K`W>kS4e{eC9LU1CnhdLf|yGS8KS<!zaW#9!O5@2P}hyWL6@ZkFk36~P&E;lzQ zkvsuOz!OOu#OU4gkc<W=lD(h+`vGYza-2qz1t${z418hLXaWi=@N@vkJ>B4yE<NCO zKBzc>R3$+cpz<Z-g$Trsh!aS5fYXLG*r8ZGrvQ@bWnF-*2kb6(kOVkwfTsa9!7JTg zEUW|v^Srr8R)EvU4Uq3ahn|9yQdQ-@|1U&K{{4T!0CCd?<n#(QUp)Qa{})M+mY^E) zSzUUdpzVYj2kFgegN!>?{O|vZjSvm3$lV687f+;tnvRn!7#UvXe*ga;Iuik*P|pwX z=w-DsLTbx{R-wJ<1Zjt;Ms9ERx~P1Btj7V3oO?9B0gc{(+B4vBh2AyL**Iu~w4UVe z^8nRs(1kD_ofiym8-6qV?`n7ev`XcWfKRs<hi~gi{#GuKGSC&}r)!>rtA5bVFOTMb zjQp+OQzBuD8bHfK;u#qr`<Fqd+=I^#>=aP}4PbD*U;<ZPpp)OhDFafU#DLaEgIDbA zfh3gH|8+^AS}+0B_;mmcfq>RkfbJjV^=v*M;L~}+v-6@)=Plpv01h9^OP-yVJ^B4F z`F1P#SUxWG@U^_f-wrx`%(FL;(O2`bkLCr?WnABHcpUs;@2Pmmhw-w9<*%Bppauq{ zq=Sycbwh)v@dzl?z%!B=pwSfzklPDD!z&S>k(U5ybta<%8fXDusVwj!GYvE>4qAQ% zp5N<^QON+cvmHRIgg_;n4`{hDXr){LXhDkwXoXzF%OFs@+VVVqGkAaF!RIU<-98o^ z9^EV!pnfvMZ9R}CD7xD~x<Etepz9*R8ci%bx@#;rKp|G)(Fqwm0=b|f#iQE>e0HBt zw?Bu6rFprCkL5WB{z=E0zcG4r-tYYUG8EJT`S$ufD1(BA_zZ8qbovK9nGo8mMzh|# z*M`H#@_3D{$NyuX^|PJ#U)~2*{?Gv=XcBsP`S1V#KA>G~H(yl7f>td4cp(U-IYD$U z>nr5S4?JwPAsLh}s!SOfUaDfQHv^r21UjG90yODt0Gf0LU!4setOcDr0d8eLjz9qw zWuVDU2@vB2Xnqh>kAn9tfty$vplB=r^#%<*nvYl<e!*r6JD(NNKLFVmiF%d^$P{e$ zfd&e}_JJ5LGNJnj5cYw0>4Jx>;Kzf!m~6tx@OmO@f4eM(IQu|DA7J}Hj2Az_qu-!@ zA$0QuB&WlgdI&2OKvwp_N)8B(>R%%52US*J`$3Esp!3^6Ap`R-?3i|FYaC(!0%Jyo z*ZAz`Zv&l3fqKLdbb1@wE9?esxCV83`auo(<{ykDDUd#8>&X%u&@E~8W}wmosv3M8 zE@){es5R#RZzzLmAb}T~l0exOw*LlH6@a|N0cu1-HgGF?fqIcXDiW{P@NZ-E=;gie zMh>!#3N!@*TDAeIO+cv#vUMMH&MtUk8-)8}qY)#+OBwJk4oFyoNR;sAZ|ekm;|)fd zZaq*E5Av9YNApjGdV}&v(7;IN;eDXdHBc)n0OSYorP|<YhcZBUA1OhDy!zrJw7`Wd z=>e_70G}xfzG+6|^-i$yudnU`8Tn#rBxv8se?vxwm!P{bK`w=0H1~qKt*HAMK`{?n zZp7aLzWx(DsSk2<5jf%)_yrvVK(~>DZ1J$X=+Sx3gWvxYC_Vx_EH9Kwf^s6btj_>> z3+x5hzCch_(Fy7zbb@LLP&)^*^Z+zs@HGJxc_0<w1KhhyR5V_10sFce>TB?N9N64T zh)TrkMv#XiUf+5l3G$^1G+xmBR|o1eH@^u0l`$TmG6uZ<JpojjW*8nYJo%c<<NraQ z&I2BnA8MUk__whzJ2w6R<?LEEpI!&X*AGFd&j2$1QKAA}h>~%afdNzrfOl7cuZ@Qo z_<A#F@p1(Cz=YG_gz!^=zaO-Pi9h@R$OX`)HJc(qp3P<8Z@&Uk1)9<W#~LW?!1r_+ zfKr16r0!;S>*Q(h{C~j1@_TKp3;#AAYsbc)U>EXw{6Fq#d7|jvOVItcpo&cc$)TVH z9sBPvFt~tQ_T4q0iW#(qz8iE<0Qht&$ki_;Dj6WZfP>pb1$_Hk1*o3{vI3MBpeNvi z7UE9;M+K-E2wIf^igO82Duj6crQLr}4RPSbuP{aiuy<Yvgo6@cl|CcG%T&l{4O;u= z2c&&-2NX$-Z@}%E&Nbk<63}{MkPD8xsMvsVFgUI}K>?Hj>UV;wwr-I|kKPy+8?c{2 zN0@;MPf(T@05Lj4R3u*T--EPZGd%tu0HqcMkefZgD-1zLFvFTkmKSQ4g1YperUJO! z=ye2dCF6J@2QB)+E4C9LMnI;uLCcdnL49|~F;n0xeo9mXp!s$Wc&Rd|wF7b~sIA}u zS;zZwHfYm%XNXD$s14%a16n_I%mdP%@lm`1-lM~!;-h&Blmfsl00EcI6cvdVE_b0` z@#zg<1m#h1;&brnl>v_%gBn$^fPr`tv~C}i%p*W)G68(?^i9y+&fQR_7J!;FAZ;kF z^tAj{E8^a*GQrpKbS<~1<z@ah(3vW)4LmJxgRZaM0$vO4(>Vt^EC!9O#v`D}JM076 zQ+ex!L@4Nf)f*n27hm{>f|5YFE+fNBCU6o!%bzTus7F~(2rgzo1v0pE(|VwW$HNws z68L+-gKynFD&VWT(?A(B02JMztuYMX>qd5iA|6y|fQlYSW6mS_goow9oqzu`FnI90 z9t6*F{JaecN*_>$07oFmGRuqQWuVjrzKRa{rsv}<Dj-u|lt6PTbo>T#Gcc$e0~J*e zx4>#A50B1^9-W_F7J-_Cy}Vh^aMUu;w#utuP!NUaFfzQn4-O(oK@BBQ!mBJ7Yh7vq z3I^~(FldzpDn~)(D=1fhau2lra|YFa(EgkSC@~v=91dQ2?*U>VJP)n$_G^P~X@-`p z5C@^ShrbPcObbf<A>0BQUIm41cZiAu{IH9cpm2m7cER5cYE66eI-#5a!3#<ey|N`2 z<rw(4u}F9{|8VAS2A`tQ%RB#)90UJ$7DrG_fp-moEJLc{?VVsg1h<^P7F%9~R7xJ8 z9EI>9B=A87X?XO?2!IO_&5NK=;COK(98^BT8(<(~UhnbfZK?;A1_xmWNECw(Ve5T} zaAvW&M=$Rmkh{0DIKGSk$9@y&<OmPT3!sxxF1&PsEVgd~?-N%A9~@!$|3CCBheLs| zvm8!<Sm3i9)`3{yQxmoXf>Mc|7U+Ck<WzzdAK(R}&2NzR6T@z;hGwh?Nbvxg+X3y$ zX7I4Q#orzd3iN}Im_T8q0gtOs$Z-W)P=y{>p!3>1dQDH92L}RZKczE&^LLQ0Uf$0a z@W<6;2lTko@UXmC3Z5ANH}}Bp{|JwRFPT8Gk^riUkXsVnpy`iZlNq4xzTk-_(DE?3 zFi6aScOomi-i$TUOmEyrxECC0?ohYB^v4ou)|io|0gp7^09d36gIM55`{~cf06FU7 zzd!hRX%*x}2;h|v)51VKqXZ2`hL_gJv58zig6Eq+J3vsc3<8z?kdcXtpng2KCT=}Y zk^@T0kY!yb!Tkqt`3B1D-Jk`_-JlaOTThmSgJKvwt_Qvv5^5M|RXVs?4Vrm;kqJ%y z;DR9mqy$|3Wq@J;(i(s!C2*WTL<|qSVDbm0&>nTrc?*al11)`m2aHkobAtzNKwSXv zDL~-z9lV1abVR7(H&6rZ7-+k&<+<7l{%tnK4i;9W86KVIJv2XhcAoI~e$(UNPkRr= zj~<LSJuMH^ih`~kEIAFThrz`->~uoV26^yO9#Ck2y(zTnH+bv21jvVoy^$86N>c$; zX*#^#>d|@L@Z{@tpkfA;pTU!mP!mD@1yI=v3KfXZiwSCs3@^D6eg~D9Aj3gBars*~ zL3s?c^r*p77kVzx{}UdahoH5;NAm+l55^PVt1CbwHz1E6_UQcQVR^YWnSYy&ghRuB zgCZ}7hX0o3E}lDPLV%=?<*{-#k6x2)o}C{(E<W?>wek1pt-s)5`Mr2Q$l2gEqsLrS z6c`TU=eC?Iu`c0<Y>s4LU}*5LJXS6Zn#lZqkAK@qkJghO{I179iA(}y8Y6~j;C+<; z|NpNCX=G_R`Faz&eVvCqI!_p$d?^RYWT2B7LBR(yi~(s0FSf)EN*ukQQl;~thw6WR z1_lpS2GFYJ?>7uDfzCOBR>KA!y*zTD*wgUn6_MKk+K32B?clxY;9&v-Xu1V2j|Z=a zaR9Yn5mT~|dh+HP&^Ay|)@lCnAG9mc2Xt`g36S5BQas3a2_PSU5&$F<fa4qW@QQBM z*K@%~{=WfHuuE+~%P_z<!1zUj$}3o(6{H^}zm!>_ot+Ii6b!U(09?hR=G%?I5W^rd z;xCv~7#Ut~Kw91GV9?#60Gi;M06J#2a{`FndHUc(LBpG!mkm!He93NjvGdl!*8+y8 zJ7<8D^s-2ST#6Js$mLUSi%J0~Cc*2g3qh-h8{Y_k(s<_@@DwYk2@jt9>)oRQvI^7( z0;SB(92ICkXe)RfEo6%UXt^F}&&V9`1ffUgEsyRf1&{6`37=jk37<~r<VEvuCjQ>_ zpyS)Ry*PZjg9LoKr9fkvEwfn|7(BbVJ$obndvr!|c!Eye?Tixe_<kFFBwh1k4$oc| z>1NwIY@o#qt?{5G(w*Rn1w4%KB2*02CIp8ksDA^xd7%vCmuA~tHlV}GTarLt1x-$Z zItVYoH=0A2b9sO^6M%NMdGyK%f?A#x;PZC6D<nYuDhW{IpTooQGk-hyY6sBya~7b} z^*T#Hoh|4nX)o)MG=A`sK#yLTP7lp<9-u=LIzM`RzvprApS_3TJ&#@)bCBWCW^JdB ziiA%msEh|4KMCsl8NArI3R0t1fLivT@bu_(R{)hi1s<L58qKy3SV5b!1wliD;D%Rc zxWvnD&_reD50DOU^BQ!B3S_MQ#UXppFyHx?8DJ$LDg_{0GQf2)Xkjj>>)_GL$^&zE zXAP)(3<^B(VK<;Y{)_tcplQ$=6%A0Xv;cLnz|QIh@B0o>DFEfZ3Q#CzfR@97OniA8 zWLr0AYfC8$=qg-L4h{efp@KS6pkZEkCk?!J<gPR%-eAWrg6;2tbRl8m*r3_=?m5tF zY@xI6EH9LmAUYj9dOiMw+C-r73lDzxgP?{nco9Hr2`B}?m$`%58{N>|iM^0br=Fc3 zJbFzz%|XXk!Be#hc#(ZBc*P>}xGyLXLzX1Wfovb>oC2Ql2E_-&b5o$x-cz7%odTWq zo&w1e-BAiYz0RO*rW!uoK@z@}C(3ic0-a6@9=$TNL21Ub*G8(@_8T)OrWHJTw?MjS zh%C1pn&n<j0<~p(S$$KW$qpQSz0e_IaQ$es6qKAGcE3!9D3^mO2MwizZ-x~BB|-^M z%20SQaV;peq0MFkP>@=HR(yk_yfav%*)|^REFq6hX9-XS?>z0<dFZ7TIAiSr_bXrc z*)lS`ehi9cP=69s;yS>4wV>L&8x}MnD&SdZ(0DLNl?13X1`SCuym&3g0J<tdqxC?o zE-1x;gSH!%Btk$pFI@(WT6KfY4*?~27Zrz>+MraId;oN^lK=yMGiYD1M=whQ$Zn8B zx?LK1Ky0vC=<Waq)>lbTY671Q<D(*Bc;NL+kIw5a>TMVqUQP!!$69amw{U~nYv5ZH zAvYgDibbSxAujNG{9Fc)<4$0|cpP`q0Q<1l$)MR*kx7YxfxmSMxFU3t0Qn8H#LT1H zOTnZ0Cj)4KjSPsvKfgr<yo{_HS`IfJ0Ts)KK{+=9<O|UCrl3*`Bmf>f0F}<5P!u@k zq9VcY;wIQH(0EkvNCs`I?Ceo-0Of|x78UTSk<Ka5wQQhmbIm^(UU&L*9)Hnq4O*B3 zicnBN-3l&Iprt>OH<i6W^%SVs_fqiaj*<YK<<8&64>G>D%D|(yIH1|qgb|d2-H>#> z^@Qp=?gVl#D3Fi>hXt&j)1%W#;^m`%pn<64FBn0t0Ucxuy3ZjIIh}Wws0h3WT7*d7 zpfM1TYhNz}ZHNPzk7RQ(%;xSW37>8+4QPln7KM8JKk3owrQiuVmZj561J)RAei-1< z%Ocrqo5uk11;kZ8P*-JJF*3Zg2VENpi6#cnhH!8_35};6pi$@-A})*!5Y{SZMuwdX z3<3-<7C3`S<P#p9H(z{p1~s<jWf&P=X2Gs11vMX#<{x@pR2m@TYbQYoukj6NNd;*B z5WKdgcMYVlfnNy+>8)3QRz!i4JctG-_io67DNy<-03GUH02*L<@pTacgGY0XN(JZ; z0PwX@pan3mWkC}+kfpbmK`Ou%CTQgcs5Af%Z-d7-!F}-zP~iZw3e@+0!SWeWcnE+j z0v}QV-JsYVqEg|}DWdY?(I<!s@SwK<D4xKzSg+|zLzMFOh&wc)bWee_L!fI@L6uDc zXb~5<PzDc!b<Tmz$aS`WmsEqw9muRUbb&x;iwd~I0=-2IR1JZ)a)IhpP_@AbGSb5X zRHcJw{lEw2c9y6_fN~bNljQ*}MGk@v7qVe%wvGFz$iPrq4Qf^QZh_2?B8p}nn7f<z zfbYd+;BQ?ADwbd|gb3P-g`mO)l=C{F>w3ZA+zDA;4ssp%Oj&R;?uN#5;}KBwANJ^F zjfz9g3cZlp9u(>VFYM=ovINLrNH+``fS|7S%T!Rd?iG~*>joWp3pyRR6E-FeYMOu- z+9<r}S`LaQ&^SMMJvpcw=g|wg76Lr_3^5XXzO+a49`MW-1ApsY(2V18h-W};YH&jx z<{eZYywH+lWOylxbv}{5EeVv=o8KUxchbw+v4RKOwtA6o4e=j%93OPXjNS`5hS%&K z-JPI)vfzb(O`!83c7k(WDR`%{2e=i|8^!3+T_E7o%>Zu7{Q?!jAhFH}4$oej3(dC8 ze-uHb^En0v2G35O3(Y%0_jWLpF7asIIRliTOJ{j>H$qb0L~x?l2H#W&DThIaJ{g~c zxDB)lPym!&JUY8TW;EMsfQ&B9^yq8?ndH&k1YXeC-388`+FcVsZUK$<fg40`PGA}X z+N;`Z`|vj?@rihJHh~9;AW7!pOVI6i9=*FjVlU2vrcIxE^zH&#^x`0hHv!Z`?L6^f zizy@MSpS#G;AFsB+6D<yA5aGY)J6l3d`p0mfx?T9rJxi5tw3N6ff~?7A>jhewvk|W zh<S9fdvu=i1nmX+0cvu2yj=b7|Nj?0AWNTt!@L`GtQ#}vP)^H>wYDDJGUpq1gZ8K~ zl)P@X6$YCU<<Th*Q7ZvDemL5r*XDGy?e||GpK5vZiu!@ZUOPojd-U>p8-kXsLYK$A za9t1T<K?Kpj(<7m(aWm%Opf8j8558{PrN=0_V<k!d%*k)p!)m-Xh2)W4pi_i6Jum} z*$7I5sQonujO89@p2{)2mI7rj4p3ab&;i93c+jT10g~trls*J?AtA?|zwi-bWO%&_ zT$F<eg!zc_d@88_fTKKz6?)xL9^FRW(w#9XD$T!t^S3TyWngHiV`1QLodIUCGVr(d zfmv*f{H>t9n+<jB9Q>`2MTt=o;7YEy@IUAl3ZKpk9+n^Ydmn-_SLboi+G3w>773qT ze@0Ksll;wgtPBjkou@nxK4kIjmXY+eyis<>r<=(G+((O%;PA9O&EG5tQp9-JvpYtT z1GL4o`3JZUR-;k@YD|LH#ASdQlL?-^JQALkpZI%hnHV5fbbIv5UaA2t`T=#sJLiB~ z_uzwhK=X$$Zh-~_V6_xz{VwQ`1n{g(H)ukpyGEtL!}2<Rb1k^r=%SM0+s(oO>Xj9E zT3+ODjs^*XhweK;=a0ZnnE@|3>t+F$6ag>He8EeP)(Rl4-7y8N6^ac5t)K#xn4K&t zFaC%8`~SkrA0z~wEC=OF&~g!Q0(hYhQr*kiRSohCboVoOiGdhM0z41~GG+(J7-pz3 zpt%oF$^jMY;GqnT7cWBp{eNNX4?6D$<Sy_k#O@w&WoLNlCFpcHP(Dlm?Lh+dsX#h2 zJi1xHRcpkHEFZ96@+*)W0V<(hObi7%9Of5ekR$5+KtiZ~fu6LL406OezkmN<%mf(- z_9S#_sshx?1UG3wr^O!zrJ8O@k8aStE0q#H-Hr;NQ{<bkGl7cA3*d7VyKAgCJS@NS zH*bfomM#F5DH$HUEb?H#gNwG0yr60i+8hCQx&y%Tj}oBXCHNex0uRgc{7s+|?PVI+ zpgG{06x3n?naA;B9oPuaSSVyx6jB@cbVJm=oCT_ex~l>}H9;Hblwl7`YyQ?ppqA;u zXDlAwEOH)}P7(aA7eFH2O%-6O3*6XlJ;2`wz9OR2MWw><_G@{M?k$jRT=OvvP!|sz z9-SXS=VC)5%n%empymd@fFlQ}xdm!!@C!IffY^|w(a?S7oshNyzW}5;0+I(cRzZA_ zIuIXHB|vtDfjaXbKB!R(s&Ra}`8+J^I7&l7?HfpK5d-SYv#5ab8wdCdofj-qfB$c& zQITLMQ3Iuy0_cosg@@${{vOaV1fXUrxaA0INx(~*CP;?@v}v}x3*05?gl>lGhMmy_ z?(5Hiws;`-yS{kj2~LN>*OAg8csV3zFlM5wfMlR|L0~=MRY-ckYgVp;Bw$zDqGq~X zLI3{0VD<U;|HTK0jq+2Fbc6T(u-k);bwpl@3qD=#o!!6xh6i4#TY$&$i;?$-ftUB5 zvjgpm`tgDdq@eSMN9V^E++gMfpU#6XKA3~%LBZ9=Q*%(Y@&i<Z+yXOiym$nbz3~FX z_vt+G;*dG$K4CW&kWW4MxB0PxTao<R!q`A**Mfgr96Kmm8@yQQ0e0=)FG$`1pP_Ie z5WFr`1(ao86bJnK|6-pPC@4Wo*+At=0!U~zR0zE8>4h&yXa-aWGJRkL5^9ACfoBO` zsDp$KdHwtUVlBk(ple8WgCp&TEoergkDrm@r6=U%ZgBO5)E@!QXMoQ+Y(CNe>RwfV z)?-wF`mx{!5y*w-z-0x}9$o&H<)BQ~30;|x0m;hHZ3iqb;y?!jbc2R!TMv|qgA#5A zsF4m%<lQ+c6&}rR8V-X36g-Uq-s}fzYk*fdAO;Y+5$9PT1`@y*&AjmC2ahHgv>vEg z2wLuI3+jmRH-mRUfd>eDR1zSg1E7<bz(WI|v<|A6z~cgU!I$=e90=M10<s%C5^Vu$ z;AMciHJ~m;0VrNM5Z$2|6@eEfpmQgZL2W4TB@*EIOz?gT@XRxK<w39Pdl^tuUPk4` zGy_PhF9US7BxsJoqtlTC6dV>FosJUCwogBTT15UJTLU1sD1qi=I|DVEZBK!vR6vR& zJUSi01BM<RosI_Z(gG2Xy_I0;h!@MW85v$*_2{h>0O<>OF%86D1zNWc3VKir9@M0P z1V5zj`osj(Zp!0jWO(@!)NX>L4&?D<aQbKlHILAS&_P4ptp`dXLA#M!PnODffGc^> zG9(uj@KC-3$TG;3H7I?&08NF1+yzOzyFf$JkPFAaYuqt4fqNSu;cnPS{EMSJj0`Um zKy6)cTdkLu<qm33p+v~T(lMa?ork4kMA-{aRuuqGiGbD@BhP0b+HYY0LFdaQK<Qrq zl>Wg12%gJ+F%fPZXu<<LM${b;07@VVpd*VlFM|fn4!-7rj`cBlFh_WJG(G}Ph#Y*y z(QWO~{7V3GoGJgd5MdU^Umo2N5}@H}%Tq-x9=(D8JFkN($Y#ia(x4@(Hr5`N|B6^% zNB%zrl>;A94_<|8Bky7Py^N*xQu(9SOC_})-31&T-4>mfJS<NYCqQcL5Md4v%TLAb z9=$x`pdbLZPYgh@0cp*;bRO!hV)U>)Uu^E!`NIQZtTo8kr?1yTLtO)*9DFMG#}_A! zKxO3x@Orz>A1_vcxV^0YkCBQT@Zq$9mXN}?6QrQ?$BRZVePJgk3SP7rfzsPQF5L6w zy)G&bAmbywpmj}+Z@_J(&NYxGLobAe?qUHgg+2{xuXfLYj1+*z^Fa5>OaN8$pvo3> zvhl%p%pT3p7!41+X7}uMWc2Ag=F$9vqt+fYOnmSey9eVv(BkET4_I8fL)bW6EH9Pb z@aYy|^Rc{MdcNC*jR!v50I}Pn@d(IChe1|=hdNrn@wae*nyb+LSH9gGzTE)=o}j}v zk`IAu>h@HSBRe6(7e3u`phZRz$6ZuHz+2Tc4|-}I^6k9r`TdsX!C#>D)4q%sUkk#_ z_v}3F(RmT%M9_*f4@)*5P<aMwdV!1)0F6I@hj1i7!$2XR_*USb;-aGQVh1;<VFt>k zkYR%m6^n)%6%PjfmZPBT+zlONv5ZlPD4PT-@4>_1phONj(;ksSx;+ghbWa2gTXb&( z%|CT+1hG4>AABTec)jzJ;lG3L*$uyUUOV_o!0=b+M355D;bI40GkY{YX7o7tnBAk- zMuPEE^CNap`s^-|-~jbgT)KTEczilp#5+sGIb1q@#Cf_yR6;yDc_g|aJDNLvBse-l zBzU@AR6=mXL9^|uSKtnQE)xR-|F${c5&V|h{4KGd8mkkw)g4?3gs6ZoeFsNbCupt? za_KuLz&Kv$fHxL`YB>0MUT~=dI_(O)7YuYz%8O$T;3|Ik6Qnc`-uL?!)P#W#M}Z6n zHM>Ba@E4arQoXF41xR|pOP6+nB*5)+P!$^uslh9okd$$Ox-yf%%37c!Vk{~z3?V9o z3XxQT8(SGrm9T0XRMtXz1t1o9F}A>q%bx%Kzvy%N_y0vD$TQF+>(LE58Vhu|8Uv`l z19xsLFY~uA0@d=}kan&|w;iZWij;0|d1&4QP5Hwn`)_(Mo_IMKl(vvUJ^|EB0$;TZ zPNfAe8X>K^?s6pevx9uP0_3q|7f>2tQF(F84II`rjY!JC0n!Lk1`ju7cTjuE@!$U! zD?#SM-C6_M9RQ7TaDaeU%v$n+8et$8LE6{2Gfy>Wvnphy473&+wAcued0OTF|NsBG z_&B7|56-~ds09P)h&50LqUAsdXR|Hm3q=Nok{mqhVI6~)tA2yG;ek3F*v$3lW$i%T zuLW-EJ~jrGw%|p56}pTJFN$?R!>nwe##O-!F;F|WmsJmWYYbSItvjRv3K<i=@A~im ziz<hI|6im+G~`b}3Uu(cuo`1fJJOAnk>O?FFU<Yx(Ds1=sQ%Od)t?%mHjRZx>!lL* z?jVlVOQo)mqXEJ99K2X}A2Jff0j+E#KrJxnl@H)+zQEl;&=y{hKfsH<A!|86wXTCl zw>JmqGE#8aTFmOfc;oew7t%VQie)Jaw0(dmy}<Q*A1G0xt#1L{4c2<8Bo5?K2hgC1 zN4F4YNYx|xu!rS|;t25Jg5VN?MdihxdqlbzymbX>i3`++NPc)xpbc{BFJ|a<)Ziu; zntQi^@*T?k1E4Hi0N#ZRI`tdW)B=}b;8FMx70{kw0Z{iIoO405(D0czP^k#Y039(Z z8ZV}TPTx-kRSBKoVP7AWg6=>WQ0oj70~O%15OjPTsAmi@Dntd*_U7LPX_0q?sA#<K z`tkoiEPpt7H2?fxYT(h!x+EQxa-iE+k3)8Sf<`fpLk25gO;E^v1fX>sr$NDA;n5vs z;n7`W;9+^Qb|?67mTn>bX3!zk-7<Y1mcRL%dqB4+xTx58^s<15?Z8*4y-2-_8ZF=% z3fQ7a(2xmuqgp5QoHW?(Viy&gPKbLzjU0$uJbGDQqzQm$he2&+P@5Svkc-ppoi{u> zf4*3%32GC8r+#K>f?`#LiIL%@KI+;rPz0mIZ&|bl>RLeXnp$vtf)-|istr(dgUd_s z<R9aUJK!M@7ZrtW=sIz5n+{U8LbiwIs6dwCy;#V|$nd%!WGNCxu^+m{95a1&dx(I` zN66$3)@0QU872UAjTAtAF~%2;-~NLW!b(sfUMdK$KXX(dA=?RxHCTC#NM<i~f+k?W zokUn43|s<$7eW?zbW4G(@bE|u5&;$04llew&B|WZs!5<of)3Jwo0Nr4kg^QC`VJIs z;4H}TA`(=|g;+x*A<5+%sEl(23qjgqAm6@t020*(i-Ix*s5U+e5|Xe6_uFkii<Lp^ z>^(q&!Pfu&zp%4}$h_qL|Ns9B0|rKhm$Omh94)*-I{{GR9MqO;Jy0tInnr>Y_OJ*9 z1(AoPqe9&ikKRCaQ17;vrOBh$ff01t5|0W<8oXYX1JsiR`4?QrgO|&J>v(9itoj0p z7H}UOe#6U)=Kl-~FXK=b!(rZs3*9e+v|bg-y%kh;FX)1kf(}rN_1kB-n=3$B6PlvW z|6?GqUk1E}2j%<}kf9bW2a1J31)&41+<~mY0tKOlg`;}eV@L=`DtPpY%<||BV)W>| z%)jd~Q*kQ)u7^xT5)(Xny+GrO2SL>kxH}6q08~c4*kJ~4p|~I);08X-<UY710v;q_ zQF$@Z{@?!>GM4}TzhF222foe40#xP+yj}>prf}hlkAE2$Uh1I52zvT~)CVZ_D`dqz ze_J9*4zzQGf16b6?NUDeZ6zuR4lUpKTZBQ!-t2nJ&fj#4fdMjY-FdJ1G2{2!7vC9v z1`W?fFv@}ZC*Z{(ng>DEs|Wa`*n6-gT8cM3KnH-dp5$+P13H{zn+Ox=YU?839iXBS ztQp*I?XFP?@L&`N-xc!-zCP+0`26M=l??Flv7l}2ogpd}#~_&xd}lGVUI&k%fUisH zi~)@v$f$tpBG7tKffw@`z@t49-61M6ptyrB59}^cQGi^<0$NH0>JWi)19*M1z>92{ zo*1~E5EYA;f1p#h8K4vat(yy=?qL8WIf#2+=%_F<7#?_WRGE?Cg#v`VQyEmiJO2UY zAJoi#=FAzi38rrFl9Lz}4bTP|!*8!SdJEY*4}$K1w!BfS&%e!v$)(qU(XsPq^Yi-7 z6P+I~Kj-}6`0Zdr>2(*-v1O1VGe-r|T?Z{JumM?)yF8Z$b$6QIc!1hp4xsjz11Km0 zK<$OTlZ*@uh~(4>nyl%b2p$gxb)UmPsi!kUMWKbM**5e(xHAPBMgxZp6ThI70KcG< z0>7Y>!3z)2oLe`jbK?Vw>l2`;Q1Iw<6lk`UfoKFJGml=;elE~_OrU~Cuc%52XhIS+ zp!M?}*lfsoMc};QXn?W`(xbZp)M}p4&CvY7-s9i{c8^}sqoE26;PeMc6_OskEKH!h z2pK|h{{xyW1dR|u>rMrb9LI}#CI*Iv8WjNs{+4SDpsNIWS?_?I1adpH@xze@IzB@f zTs%PbuV%V}s)`&H2ao0>9)~@8E5Z9-HC_ZtFfu^)5rf9rdRaGe3NpN~0SSUO9eVV# zCb)uz`&d*!^%Tborj|edUtRzuvtCv=sLYFA8OT7O2Izb$9~F*f+gEo%Lw}MUogLt) zfJ`!-0tKnY%N(%o9bgIY>f9G+#X;?i3$L$(k|@-H;KmoIvU>36H~6lA8$Ix=8+|Vb zflu1tcyU+})Nt?p$-waP1kwN>B0+%m--6mB3ZS$j0ZKdIszk%1mp46$hrz@0M5!Vu zF@b{|RG5M{cD$&Dh8C<q1bH8Heq%4IU=+w1ptF&tzy1Ax!VAHl3=D+YBcS;Z)IGU` zk_PXpU{KN!VFZP`2B_e2@aPow=w)F9r2)vuo%%0G`p^JX^B_Nfm(Fv%hzA#BE-C^Y zE-Lai44^<)0JVW%O#2O5dkz+a9D4=Xjsm*bxw;$VE6_a|tODm@ft#iP3S5I93=A)| zQ44UC@a1pY2Fhg^`7^?!xk7-Umd|4+s73`Z((VNH!$H}rJJ7%bbaXX{2CZo5F0}CJ zcGLhbb6^CS1`_OyH1Gs3bC`1*oVh?}gn4$dT=3`)wD9PTH1Oyy)bQx8-~eyN0G(_E z3M>IoFJ9tB7Y8_#C@}E1fEv0UpbFljxq^cM6x}{50S%Rc44^=85b&^cl&Ftys1#x- zwP>gmU?|}~=Ax1SF1;a1@tC6k<8c-hP{IJs*K>e!KWNP^crA6)j(`7OpF8F##Ng2l zIyw~EbOV*YFU*`lS<Xi#;Pvujj)Dvxz0gaC?tvo?B;E<K3S2Nlta@=+1iIVTqnGu0 zF(gi~u6CH!0_hrnw@brTJAgLXbp~5B+h*SaWj}F`PG=41atE;gJ8!;R49<Mj8ZRP6 z7#UtadJ*)Ef#IbtdV!A?ziYAdFG1x}H|R*Al2lM#21#L4zC)5EIDvu^9(bgj<3$Zp zl9U5oj3?OPqaxq%lL6FJPyo$Hy!iP8kvNq=%^SH6P;<2#cDda#7ZnF^NI|MFP@+B7 z0SO?FUe@e0utd927L;hKzcMhqG=nEvH2;84hDYn8fWofZnFG{p@bKw&Gw|v5)9`3L zP%CoGMJ0man2SmzI7vX-Y@VRiyOt;FANzKT9Q5q9Ip}G5tMr<O<-rnt@9vm`Kbmb{ z-T)`rZ{U?VG6y}n;~*wl-r#QrZ9nwvmO1Fr39=6+ag)Cpw9L!9*XE!{Z~c#E+hq_V z7BVm}cprB;2$}|E@aPV+@UXnd-wv9J_2~37@UT2sDgkb+fZWO0;i3`=Dnud91eFyA zU@hHo&|SKqLJZWr14&7|NMQwyE4rvCbhxPKgW?NxcY=XOccg|#w<mOm0(k2RXoWMl zZwBgozwl*)Y6C^3zT%13%^sbH3=h0u6#|#zkjuS6Mf;5xp9CR7pvzQVOqB+4!2L-t zF!SaMCuvZ#<IHCUhL_=pREQQ|rl8@=<~IePGCTuRhJ)`CsAyq?7o*L#71zN5eh}1D z=yc?GX$fK=_dLP<2=Me2cw-TGwNr#gH`D(Mpuz!iSk9F%kn{^a!pXv;6Wj=>fRr_r z8laoxTSCC*n)`IS3HWv%^wc~6I&ZCiI;hO*ECC&s>Lvje0o_^Q)9d`-xAj1sp-;EZ z{U6P?SFVBG$PBt#x|83h+f&1*JJ0}hBTkz;69a>9H>c-uM^HJ#0GG48z~8I@TC>n= za}$y*`XPpZ_Goz?cex2o7J(L?mKXTj--3;HH1KUbP#>@h+=e~w#sMmSJ3>G)>;`T_ zM0kMH19)wDodKx8Oz`L~vj8<m4Zt-;&R0lg)NeV!-@5JJ|Nk$`K^_E^(4exn6Eukr zE&@Tfkis_Ayf9+{B@1xd5L`NdN0&=f0$zf;RFD+%m>-k^F1+*x%{p}+0?j~QfC{|@ zEmhS#;W5+m`wx%a07f7F^@qHBML0Y<!vr8Dex(7Zj_?7shQJHQ!RZ)o`wnKf?Qo0P z|NjR?KyBnPM<GT~k+GQ(RC+^eb8s6;R0LG(gL()IFI;UP1$G5E5RQL>CWd1Uf($P- zwLl|}Ae}J3y?g>1wP?0ocUh5vp?)r?n07ekD9Gr;cmOp2>2cf<vYr~$xt4|}tX|Oh zY><8~cvULLi~T=-|9{=#dHet<nqK;XLZ-Kp<HZg>MuwNgkZpjhx0~Tb-Gt+?qVAZ) zzyF{ae>(}#jQ;_j&WkTTi-Q{WAl6H9P{u0$z`*cw9rD!%;8r$T{sI>!sPmuAw%0F# z5^D=+AE@Dh*Q%fbLjc-t<@E+NPC!e0SX5pt_y`Fm0np-&<{u6<S6}3U>I+a)8npA- z@Z@W4Pz}N1aquCi(R;xQq?tz$9LCI_A(}a0i#0*(vK?y9gKPs&=|Lx0kGrTq?<asy zmd<$3!0^%-QpAJ$A+K3HdYu?OntwRdx`CF_ft%Q}NnqPdm_2&S1RCrZN^W~}moS5x zzRVgPt=~${fTpfnPl8$kg#sRy1p>9K9-Rk4TkuZ2{sy{0hS#IpQNY8J_0&a0h8iwV zQUT}B!ycWi+b=3Icyu#1R0uJY@EvmiwE!HzE4MhnbFvV1;NV7Ij{v=Y7i~Tkyg6C} z)aUF4-R!{Md=VUs;QS<W$fLVh;5EBvrz3|?=K;_~V8K4U4*xwtClVceVdvY+!r%+x zGkIE`;BN-)T=VPZIpk?sC{PD7w7Alv*XB^OZ2%}*OWAxnc@Dka=n2V!zC54=bm8?v z(8#clM>o$QkXjGR(<Oq<w(?*N;vStchd>n;s2&E_gs|S*L66QGFSvO?`TE4`yC7+B zH4Tw|ktE8<@FG?eWd4B{p&(9xC@5jxdW-A+T><_!&~`-hE+;hCfxN=s>kaDqH-oEj zNM95dK0cie96p^dKnpw%K49|c^#HFGgobAbsBr8KImF=$+QZcw!30_&*!u<4HfaW3 zF5%Oia!A0pJ4Hpn*YXN~?`_bb3B4Z7pw))Ghrz0tpe9H_OvqsIv^>k-3p%odzZujz z_317-q~P0KCg5v%jlZ{rfq}u-@>y-Ur{%X=Gmz3|(0*pGZkAWzI0EVO0!Pa33!wHI zr&lM-p_i7RLATwYDP;Zs|Nnbho+!@u>gG8FQV5NaUa)F$uTGgmKAp~>6B`{+V&uk) z8ZOX|7T?ZizMXepH~My7^X)wQvJg}lf`wjYgPB)+J5Rrq`v3nwTBP}O9(b`z7!qZR z!1Rk3v!L`OFn!`h7nr{Bq6ti&cu^(H$nc_67!<YtUo$Yg)IwC|=;Lo?eyHaOyx>zo z6s@3z$e`hVkrz8)qOjQ#gKi%c5zrZ=puzz>Tm>l{Ui7_YV0b+TlG7k0qI~OhQMmz` zZ~qFKq;7lznIK&QJ}LvWSr9bY?a|9>UW_#G3f?XKA_6@AweXB01E_=bV#k}`{~K%{ zo(65qYX!}CgD&&~b0S#4Ll7*gKR{(SY;v&M!{Aus0wx9qP%DK8BG(;gFyVg_cu^kc z0u%6|9=)P<+d!iTA}Zk01w1bS>b!#(FE*D$n)%=<ByhC^@;u~xDv$z@76}*w)J|Y{ z!5|Eo7uT482nEoHclRuiy}iZ%J2!zC&A%B-1sisP5+p+jhsSY80nnu`Ak!^8x_MV~ zgVrrW&tU2nT>|EYs00|^e$5QNTktY}uP77ff(~#a!sI4sSs}<B6T0_-CKx(vR1BK` zv+=jSW?*1w{?AfU()^#fg!5P%s4L9ydXLBdHt=*jVn1^mxSIxA5_^nA1$+$=xY+`q z!V~~|71E+{0dMVOcu~p+@hal3Bk=wz&@>mM4T|3ud61EWZQ;jl3uqAo$k*L9DhA-3 z<Z&GAFHrXn-Zu5<b})dB{&;k@fd+v*dRe<}fa07*<wYPjWbA|kKEKsH5i~;O@&AxV zr+`PV=!KJ@r~n;`3TknIQU)v#AdMCQDe&+iILN?TgTZ54E-K(7Ye0_k=$;4(ThM%Q zCs+bhn1V*mkFlumA_W3i0jx;}S|SXRQvfkuaPi^{YLq|_04=9~tIoji!a|3E0X!b> z0d5Jf?l_^q@ZzxoEUSb0X~$V7o&dFyJdU%9oCIA~!NBk$Uknr?EGo^mOHPBDAg#|q z^<XFK`xA-`9>-aGz#2fVfv$f5pFR)DXbi_#olYn)Fut%A1P2Ld3c&=_s{sY0WQIJ0 z;qBMLAlHIYs7G&@fJf)yZWqwmx3CR<;4|inI6S(oK&=z-Ocm&kDp12%;>CAp#sZ&# z1D*kP@aUDz1i7k{<ubJK!CW&BWF%<dDqRqKjCuk@fyxW<SHJ(ia0>nR9~?o@y~W+o zlBn?rs9-wm(QC_bLXqLcZqQ6GX!^yYmsK8Sz_pjZ|G!`at(Wd)&AJ0>1wsw!W$oSz z;exUkXw59RRD+uNBJUXk!^@YTd2LAPh_U|75YoRw9)Is;ot1@DB7zsJUIQ(W?Pcvh z4lNOvJqMMD=Z}F3!In%W<U+99!(f63<U${h&JIwTKgOaW2ue<%vWEf00L^YOfP=zC zMdHOOE>MiJCbNUi80Yn2S70!_1S)C{c~~B*_4DYKx!A(&(Y;v!bSY5lw~}Wbo!=*T z90%Q8z~IqrI~nALQfZG)+pc4v++y1eqK-F%l!Nl(>unz0rsW{9PP^9+Ji1vgA5#SF zT`Y0%IL><b7$o6&bRGncSe0l`@Bp142ij|9YY8%$zqJO`{psY@0UN=qdrX1hHS3Eo z(D3=fKOl#L`UR}_jw&#`V3dQWa1h_alJ)#i1%{GKX~$WY993XoVD#u^^#$1uN+K*T ze*b@=AN&tIz}bBi<a8F5V=gKjuz(SGQD_Xl$~y`w`NHrSsKv}`#Rd*2Wj2(65`_j- z^KP)uOJ2OR0Xe+c_R&#rP7;OiQa}!Yo(9ou8wcTm1|K|{Z7m?YouE9|Z0iN$l^DES z1>%Cb!<-(SymC;tfMSt@fdRZqS?W3{QGkX;C#(f!U*4Zb6c{{OPnMo+w!M2C)Vk5} zIL@j8as~qf!!g!bM-;%hqY0!86cj(f{(_D6c=Xyb990C(QEUXw_n!CYX59f+$?>uV zJc7l_c@$(Ji^_`w&wl@Z@j4LHb3^E6)m(v;dco&H&JYCG=bgxRI)JaQsfS2>Ie?@C zyzD6rA~6H`%2HL(QXXGH&=`~WV+Mwoo4|c5L`@4`kHHSwDucS-xR-UtVMz48$ba(t zKfH2iw%rd(e*CT5LCXO<S*t**nr$x~24$*NEs$V0?^2Lp>&X&%(D{AcvhzUPPDzhW z(J3I-an?qVYS8f+wk{wRe@h;yt<!8<1LBqPHrVQb82l})AmMJ_G>|UPnXKN-plgW* z!IG_>OrUsSIjq3I=+Vt91X82T%Y0Y?loUUC@M|6X#4o^md$kb5C;rG29^JeQ5EUN} zA*+Zx@rhs1M<t`#_5~=a`CA`=QrvOY`G-KIJUGTD9D;@e`_tc`Q~e#*LTW;2Q2=h+ zfX@#BB`?rYJcbu*^C7Juq`}T(3{0R_8Tf2}NI4y%BJhb{fHiOx$b|wvDjA>nBf)Mq zUoFIt#;<pRzYVmR_>%{}&H+#}N8*JTsDK7vW!(*1c3^lL)QyY-54$^fH2-$s?}e<h zya!q6XDei*06K+Fp2N5GK*?25#gO3B>%{13d7|9)7>j_iM|X%khe!7$NR100CjpJ0 zfe(jU1YSE1vko-!1U^YI!K2r9yR`zt>n6~;fC!IXS<N+|U;#}Of~tM+PzPx24K&K2 z@M2CLMu7PAI)ZnGMtJnv=30Y-_#$X<)!}6txKRpS%I@LO%UXXBB+H`mVwyOp=mN{Y zs#4I9D}0Fqcm+RXk&6d>Irb<1n1hfo<lpte9(=#3N3ZSS0}7zb$^x3omiYJoe}nDL z0}2cbB_++a_YZ?|f3(MO)>$ASP(jkm+I;}(2Byc5*_}S90J!i2#XPurcoA#}KSma` z$_KV?1yrUZoaE7K>kP5K<u3!n>jllW*<d^4J&v=gA?y@~TJfUq(eM8+Zi<781J9F4 zxn2{LxfvAy{eNLC0k5X<+IxCG*xx)Ld*^s`o|@p%4Jsj7KvuP$Eamn%&iZFRH0gJO z_x@k>I1buf&*0I?dv3o1L$mGvL!j`9^*GL21yk9}n!O(yK93%PihIzy8PFQW#-A^K zGcfSC&j#fxRtZpchIKb!tAL=Zl0fQELP!zf(xg8O46o<Giyx0p){OlM44|R08SkJW z(aZXFAINqW704{27-WPD<mwm7n&41716IJI@?!D*-~V5({Qdv`G1im&6c`wrZ9g0Y zc_+o=IO}TgIiuh_KL@5>804K^R-VJ4#Lc4eBADUNe|`a0=X?PMP+s8R2My0b6XS8n zIxA2@fW<qQ4Nr<5y|&)_z~SHXn}Ol=^k&;)u;rk5*F#t?53_vQgWvyOJQM}{!1y85 zx1iJNoIvr;ArHF$ON_X9zq}W0uL#KAIiU2vSApRL+iwPjm-fH@|K9}){TJ;lpx*Gu zI}8jj?;vkZLGKUqw}DsPH@`t14{EkOzgK~Qp;Q=@7r+S!6q}Gi6^~}yMIcH3*14cj ziEXTYdleX(KQOi&D81;>%c>8)&4oqf1=~GP+F@-6@mmj+Dtq*@3WM07>bC3t@Bi41 zb_W?<>cqc|_xm0NhUSlqEhkHlPM82HGC2%SdUW!dgUsmVJ+TLrNO)9U*n^A$Z&-jV zZiG$j8=ic9#P9&P{CV;EHUq;;HppNdrvGPx3I$aEgNkL)Y;p&vA>(np0Yrgwee21R zWXN!0FRLrq2`nlvWbT4|3N9!QA~oJ>_JD#=!=sb87_5@F1Vr_+@`5N(D71h>2<Bjq zZq{J149Dxsh|qqa4|2N~-0h$?G+KRs3F3aF{ogM@M?-=-KHzOJpmTZ}Y?ti@)#)v5 zAjRP0y<2bBNu+pmn?S|}daD^dEH{8_8-ADjojxi)9>-Zg?GVURH)ysIG`}eUnj1^# za8XeJ)f5__Tm#<53L4V{oml|t+I2%#pn#&x;RR@QP`8T;XxRM46;O%M4cma+umjv{ z;&09U|NlQ|lzJ<Q^b50F3=A&?K^{l*?<7#qA8madG}Cr-fNGrrkLE)rAlEv8E@Wyw zSt9PyT`1tucua!j|9{Z(8Ov+@y#gRpI%QO#t_9WNFE)c+;G!Zh!2@(k3S>d1M<*A^ zD-kbDKY}VO78Q?!FW5l+x(E;OwYQEO9-0?GYdXP|ZtErfo~5Aju^Y1WueX@dq2V8M z8S6e!N7kdeQoynE!@*~4F8tdd3nv{pKYBF&2W46Q=2ak#oi!>JE*(9V;PUmqqkv<> z4`%)rE=C3h!*8G(KLFG@1i6Ug1v3`|gW-YKIv}10s0R=3cy)__*BV8D&X@p&0>_Kn z&>dMGph5EP9>|_V%M&%nK^qew&OX53(#^oYP;Uu}(g^VV-{5nqHNlfm4xn-2{-@xy z1DZf@Jz2u$(R|=v2YBQUvJ?>%B#<#8@M`d0(51ql**nmf0eBz)G*<#<fHLZf>tMq` zYgNF?J9$(<zTkMF&&j~>vK-WEfJ_=APiYH-<f1`xo#2%wJdi;vP|keuodXm*;AN>E z0-%jQ9*w_1p<3+i(QCr!(Ys9?8oA(e5+h!21U2NLk>t^N?`0T>-+AICXb8chm-XdL zq!Jq3g1^QJS`2<+C&<tjoS?&1JAXhpfBrErOnA|Doq^$HAZQr~T6$Fk#VX2tFQNhj z4HkKH&j#na&KMN~56i>+E#N}|TW^=97#{HGE%@)zdccF<{}^c0o!6szHz>XsOPM|X zp8%!x1P{=}+g4Cu_VOz4fE0?LsDLbE1cj*pC=p1!NaFwxOMs`~P|m290dIuM04W7e zP=c3ug3o*fAL;=f`~#oRt?;7B4-&}WH6aGzrH-KV0zPXPG%*HQX#*+=A(0Adc!E#9 z0yQ3Ar1(N~gRjUkc&Px6$b&Eb{sje}`!xoJmxuoS|Bo>~FcIWAl=2xV{5%XM{BH#H z>Oq(If{N@$P<J1EBNON<D<2gF&|zU7te_Gc<U{bZ4zwZzuWIXMwcQTw%Y|Hrm*Xxf z3ddbkKxbZpItj{P6`&;74J)l*OuxziS-FJf-m+AW<~IVMxnd5`Trme|5iV#gwhfA- zyC;I&+#B`3b0&z<{EM+vuwe&$IMe|$9NL|u!r{?;L;!Sz$@FbdSNh%f{r~l*7vfhL z7+$x6ssr@-or4(Z&7+%j!B$X*_yB)P5z2}+&^WMVBPjXtPdxxyu>+cNH3b;}KEbB- zWQjVsU3nZd>IWL@SiTihjkJO$kv%#^XMs%Z6rByCx_MhbRO?CpmR+D2>pTT%jpl(w zL5tu($<SZ|s1oP$IPL^$OfkH8_!^WX5M`<XXcOlIkM2MLX!hlA2A?Y4>7t@>tVIx1 zCOUfjcN74Xf#AfJ4DL;Fya1o909&;MN|PF(*yecg06Z(~q9WgNpi~4T4o?5zJp|BI z3cai~TR_={MdiikYrp@$z6GiHz~c&sz(XaVJAG!%1dS_zb}Y0WDDm*<JoFNjI6S&} z=Yus1zWfR*KYCdWz+4uU7wlIdli2d$k_Nm+0=$mdqZ3+$9Qy@soN|^S6*MBCreH23 zsDE#MnStS@61MyRJ_8l?K0atA23nHXk`JobdRfi4K+{Xz6>vxQyA^Z}x0_c5ECpJN z(d`4Ow86O)dWki-o7n9J@vMSJH$y{>iUtF;C*95ZakC-=s1gBh(CuV>1mgDc&IX$e znpWmL0TKacEF5N^2j55lYCwQfSSN=^FKahg6N}1=qN|V`m;u`N+Ib2z1*#0+Gu2xx z3aURn8jnNj@%y0D6MDfzOr0VsphfWDO8gz@*f!AKS4bruqLKls!Mh^_JQ{z4%5MH< z(0MnY)Ddv3MFNzfR6YKKcGiLxF#3SbO7l_Sc(DQ$ir^Fm>iK}mw#I`HYj1+;dT>3~ z8^z^edDDa6=OQ?1g9wfn;NXXAgmsxfQ+FP{Gr=Zw-UFY{sCd((SBBA}cQ(igpc2~v zZZK$x4LI3<zvp2Ix@_PhNWQ|u@^q<=2V;T21Q0jFqZ526I{4fpa5oXw3G0U48VG7G zI6#^Kpx6c<0agzhF@w0TM8)Ct2GC@6=LyIegrMcI9=)u8HbRrm<I9lf0N>{VwgP-X z5xk2Ix92#d{ROIsL3s>iLwbZq^O1zZFTQ^V&DWfO)R<a7K%5&8&ff3f^8W$@!%HDh z^@(1dv4e^ujP-rslj*_x8Nl0xGhPdOblz`1-OwS!<#F&mJLqDW&X1r*D){)u2#?0! zpg1e?_vkHT^sqcucG06()_FFlBM2Jh05wz~ZCn=>2~e;pyx11|AACk4xRDEPG+21_ z7Jx@NGN5a7B3^exjVqT2+1m-;2VVlpQ_wbWH)6@F?A2L#Z1W(-w%IQf&x87N;8Fox z^n(fX$!hSNeUt!bF`33|36IY2&F2~%I<`oG6B;ON{(}$MZTtrg5pHO{D7yCA0Lm<u zf-CQJX7uQE5<qlFJUXG##`X;<4u5`SV3+`Q3}*l879{>q?xO(B1A#Wf&I8FoS_nvu zIgf)6z?TsSyx6!Ik^&__`4zlG0erO}q~!xTaR9V96@2Xm*t-Rwr~|82cmX=g6m)hh z=v-4xur)3!5iO9})U5LmW1-txKxcD8$}Dhc0h)vA1|N(QqXIr{#R8O$6kdQf-@;7X z465QlE!6)G;6sj}ITBQKtc6+zZbDgjbb5e0B@x|G9GwvYQ1?biOn|0H{&vt>Do|V{ z82*3F<I~OZ&!^Mnhl0od;~tjxYu<xA?%>h*5PW`mcg!gTk4_1XUY=7Py`JDzk05!D z7n!L@9(tjD0m5_Wb`Sua%>s%&$Z@@$pb00Cvq8g`FPJa<{tuon0&S@6&QXD@sX*vB z?xIow$=5J>kItLBKq=>i$`??^?K{K3@RAcbNusC67!?K3^ht?|18B#1<1?sNK7+>| z4M1n1YP^;M)hd=JYR!GReGV&lSbiuD@a+~k;A?rY<SA%BV(aY^T@TA@j?zya-QE%& zmLE$&H|&C(QLb-z;PsJiXOK@lK<<XL?vA^tfX+lfS`7j^sU+|-0|UhI>7U^#7Nxyj z=7Ht>;T8su<^$mEm7wCy0GvLX4?vq3Z=t;_@L59^AQwQ^ZNDfv&A{+_5pw$wah_E( zsD8n5o>edFDt_pychL9{B=mcrw^@U3j**T46;0rh3bNI1f=4g!wQxQLP_yVo?ORan zgN~-{hMX?v(diDJ-v!OsdUW~=G~1qB2cD1tU(5<UMaiR+-{ZJDWUS}7JM@@Ie}iV* zxe&FpK&iUZU&5nv5BQ89!;_%u3e@3zEdttP3!c^Nyy4M#4ssO)C|!cj9LWIPtODw^ zcy!vRyx6epKX{u?M2Cw?x(x&3NJH?cdhi2fKZ6RFZqRv|pzY<of#71d0DNvgXf2FK z_ZH}p1w5S|2OqL{^xE(>+kRdP8vOI~===ye1r{`;32KHz4_;mfHPMEFztsoa^XLK3 z<AYoWp7HLSqXJrn1Dd}Ct!nguo%z$b1$<&3NF6A-fr^3(k6u=GK4=ty7XzXkDfq_k z57>_&|3PmV1%(w@H|UBI$iA9h)+4-7BVfLP94!cHj&*`c9#EtCg?>0Fu1i4Y3A?C( zFW#{Ltxp7v!=!@?otNLiEzfWXaFuu6qw|<Y=ZP0To`Fi|4<4QOUwVP$LE{;aW29c( z1xuZH$qSk+zi@(q;bk<q+JzP0NcAsx*yJB*X$F7GHqdMk=m2ccbgkwG58DkAj12s3 z^FhLmZw`opmSC+>*#V+^q4e<<l{=u+3o0vn_o#s8_;xmdPtN@8u^Q6MW?+CN@fW7x z<1nYFoDgMXXkh{slmZ^TMGBxK@<D`wVJGNXiO(KO4G+8w0v`y`qaq;6$l%#q7~s)) z9CYv#s2k$}N`hO!GN2d(H(EOPKn}2gwU0n|?TUcf_j^<_K+Z*+M_Ka))E&tMCrb&C zRPPpaC6Q1ipb;&Y(>;1?R0Ke24y*@ZoGw)Di!SKc1Nag(urs^C`?VlvF@S0_a4rF_ zgzSz{0Uy~3O1~gq{SX1Ig<??wu|eJe&B1VhIG`K{;s}5^Ae%uPi5L66ff5Wjt$?>9 zfm#FbJzS7&jfnFvC0_JHm4j!uz?TJq7vX}kFZA4P*f9p6<&-ZHh*1t+2LM)X2v!a~ zY7}xI5qP@*cmP2G<Zw{Q;A44!zXyCCaX0KN2H4o!92JoNL5aGzirc5#LBO-|0H_u1 z`Td5c<q!TIPEa`tnvL&v6Y#KwwC}%w#r8l?b=ac9A<D>btc4BK{5kCL-%S9rV*_*m z<sqo^Kq|n;G{D;HOF%~SP6XNH(Rtb9`z=t30}6c)%TFHs{@36wcpgTN-cFFopl%A- zsg^hSTb)6LC1Zd9NY@8YQtRde4Yh%XCb}Uh9Hbtc5<neh@RePiJt|<eopZp;!$2!P zd#8Xi5NL}6Xt*4_s1w8x5D@`oZ_v_(^ItHdyL%4Ar0!w~4@fJIzx^f1NwAiCC*<y9 zP!0jRxz`!8X98RR!3OMJxcr0|tpGY-3KUPE@<sx5MiK)kZ*)(A#trCXR9Jk08q!E7 zR)gzAi5FT>M}ilxz!CuD06LG>+jT{tZ~~cW_#c!cK$Xf%Gf+3Ka|$%)f<^~pK!>e| zs0h5+^BI&&Tfp%ODp)~lxnA~yOF0u2Se|_G>>bGU;NuXXj_Zabrk7_xE2ldTgJJ}1 zRUe4|A9ND70s}*>7|6GfnCdwKDtIQmi~ySrIfT)pm(^zpbi6?MD5$1|HuXD^$Nn3Z zfX4nqJvw>I!HRh+KvXZQAcz8u{dXLLXayB^9=#sm0gV@sV*~eqOTe8h3ZTJVP(j%Z zElwMcfJ)iJ9^I^3U}HF5FZ1Yx_NZ>WVqk!Dr}AEblEz0!E2r@lsEPLa5Cg+YHTV)7 zl=cpP8~BP-jQ$mP6GDN9Edyu(rSC1c2%o?JiWJQYpacCN_jh?HUI5+S)e9OF?&MJc zjrxHb(%^CiREfQ?e-DZVP=6mhX4?%elVB^JLR7%VrGqmT?40?=-ykdN$~<~^L#+Gl z*?9xLWA-<A(<=|CyB`7S?t`jh0Z74j6&&Hv5oOTO1*lv#0Htwox!MUUY(YnkbVBbX zg`I*7@27$6>iqnA4#<n0CtfQ3|NsBRnu81sFOMTyxM<<`2NX7_>(@a$hCP}Ov3oQ> z`T-gi0QVvcJesXRCm}cf`tct$jS61X0-j_6)kUmf;87XSSd&gWWcWqEqxC>Z9>f=* zE&CD^JUW>?jypk$fo>^KquPnX!xm&*u?-}1G9L8k^y2X7Wnl*0-3}_nk=CkubQg1Y zFkbU${0Gv)-ws-n>(P1Z_2!)*{tK5E;1Q|vWb_fK+wcB@hC%tWktD!p1s;0$_y2?! zJqH*VUOvYWK4m_r?djL56Fj;FL5mAPL;jH53i3a17<do})Vw{@3Gu%MXcVaRWa&Ai zHnqY5&<rxXsRCx8#79{M>Rv}saj5}ngdmN-f>%U>j*$d~kH#?;6;_Zc_*zVGyB^z$ z&*mqfw49>?*}3>)%6<lh*G<Und+_=jaMuvmct6T`HE1T$05NC{8swY+if#{&&WWHB z=opI%8^UFvav9_i=u#Dl7hfKOoC8hp1|FT@p>NQ-TgX~qqQ{sa1EDXD?qgth2|D%? zH9V70yL0Hl2y$l&L&FXUAqED9Qt4w2AO<7YjSUK*^>XkbbB|uuTX{$+2(--RMZ+6V z3i8^=!0@^Q9GU3j2W2&=@rl>|5;2eF9Uxm7O2k0<!NG&E1H=U-AV{RaVw@WsuAotE z5Cc3I4R$lAN}clv8m_N*zu2&sfdRZ=9p*T6_wl#wf#iRr{o(lCSPFAvsURe@z<pH6 z7EF-yET9h2@aTp(9<r^xLjx3=ojxiC$5>Q&K(2-+SWt-y9=iffuz}V|faD;nu`fM@ z_{8G%RF7WPzsSdANrHx&nO=iJ|I8i+hL`G~nO2A=QR1J!4ZQIjB|U>W!5$vR8$cB= zC`BSPfaViW7G8Sv^2&nO67i_Kcmkg9hRzNJfDX9^jsEiH&IMJcpc)<|1xn?xXaRLt z8D6Y?`yVt)p@0Y$&?s$pC%8od4jWL-0$)rF4uM`!t^ij;n1QnQ0Vq&lCxW~<w;MEm zf$%*>eg-$rP{!L+Ji0|x!OQKtgA6>nCxP2>-BAV}-Lpa2!Q+1uC}cc3?}19_-4UR8 zv;4*1+5}1{$3Z(uK?^4!mk)S&bZ>%q1T;L-Jqe-_bY(|(a{@?X^ABeJ<_{p9y}Z?P zKzn{zz$az|9Ai<@1jRHo6}W&#S3vU<AP<A*nvc7vKvqwm0iOs3AFQ?91af4lD@ZfA zWc286f;a&*$-<(d29kiAinA~*yZ`_H>lGfIVAG&+V}N87hz;?Li;BfD78O1eW1+hs zL5>AS7|5|8#tZrT|Np-P-M_gL<cSvx9)cP$TDusq-lq!MUti?W{03?5FsNf}@$EoE zsX_CD`VP>TJ~U*&OZ!kW`eyLKQ?PZL$5>QU5N-seLr~g=`b^?Q+dat0g~jV-FJ|pz zV0c*yOEZY%4=(@jf+7p0J%z1202NOG9{(G`L1YOox=Z~))<dJD5jjfKK{*b5WDy5w zOM(Wdn7~#+Jpv!u1RryT)Cf=?7<hEU%>=Q*7K29okFltTqMDC0a+>b`|Nna4i`hGH zucs-qMvaeSEGjY}Z-J&cv8=o{fVvp6ulj}K4hDwT>mkJuoJ0#>@a!T=_<~#yI*+4- z+ryIe+zbVVIwr#d2wjju37kox;SJjO-#rPG4WKnG$c5m5M+6pp+k%EicPAvUKno`i zzF-4|J}5=Yf^=caD4>)Jl7nPF-#h=om*_!C_!n{885mw_!qN`NlL(iB(+^eK4{{)P zK-`FtqPN@zISf=%f>)qIQVaR*ht_S_+7J9~pe^L6b+|{fZT?C{hX1859^I_d8bF%| zAVrT7!nLR+IIKp1tjPU+3*>NEI}D@;9Csewtdc81Q&%Jzw(i#d|F1W`IKGvE;iVHe zS`hKk>!NZ2vVZG8sQcXb2GWyQ16e`S3#DPVje>@OA~3IfI|ROw88nvJ0=b&1+d<<s z4=De8cyv2zfHZ)nLX1H+fag^~2hg>iEKLJ>46>(2&_yKxbmTc`$j1ZaxHlKjU=e6G zr5ChH6EsiR-2?42gQontr$9zQx~2YK2A|qe+724v1dT0uG#&x@27E`7gGV>`XpaQ& zw1CYDQ1F8467c#l&;Z3UP?^>V?&X1(*u<znIx~isK>aI_v$_j;Jd*!`Mu))#7m_0z zK^smR_*)?tje?h<g7y=EoCm6*K^HKACo;hYh$y^xCk5)=BT^-F2{8P8^%xb8mn@Kt z$-xG%8!)^BzQq7kuDvLE1MaqPBcJ*YUdl83>)-#NG6Qn>x9yj||6kmA_xJyc!w?Nm z4Uk%?;A1gwJp{FrmTYEVczGXntQ0l>_O_^O01YO9?*|kEd8F~p1<+7Z=Ngq0Ai5Vy zcekkg0P#Ub=+6Q#gzixh01d2y7RDcz1aD@6H8JL>d;lr6yj&^>ImT}qs3_xaX_jPQ z=r+|>l4J1b4l>~1HVHJ#b?_Zi%O!r-PoQy;8z61Xzy9;L>WYJIZ*Ng~0NTsn{Nq1= zD<{~}-~tcOIYqC<K+?_?hW|l{s{m9FgHMk52^xE?0G<9CegJ&KCSqvwE%-v|Tn5k$ zZw(-OI;W`20Np>(JsEUamQVL=&~kU5?j<S=%nS^^txxz{D#aKW4F5ZH)Tr3{bWQ<} zae*$?_5kVX>`}>JVqoYjQE~8XJy0s;*?GaETL_f4K!$tv25^AbAPYdFHXt!@N}U9~ zbp<@t209Lezr|e?H2l${0`diDBnRYtkT*bs&!DV^@WRhqpo9j=mmHw5@9u$wG-#X% z<fZN{DqzQTLnc{3_w|7sX?O{AOZ_ER!`m;LL7Q|t_kb<)>0YA(YIXZ`u2BJ53gS-y z`)!R1*ukI`#n7My$$?fsg4BXN1<Ec^IZzZFfU+GM{%|_-PdNfo4|1YU_ZF}deL7$G zfM&OUxf-5y>3r^Cd5FK)LIf0vOW<w+xxulyMn!~yzl9AvTo?kncLX}R3`%`29U&^# zKA>yPm>3v9@nQwKo(SYF2L2XMH_oTK(7+dT0yDD^1B0g}pCkX2W3K$$YE)Dl8~!u# zw=NK5U~t?ADw{#c05p~c+K8C|^)zUOrBCM)6$>T?23OFLX#${IOOQgr)$p6E;eYVD zMNn{e_NahYUGzHs07V>_ZP@}&RmGwWwxWvi3=Aba9=(nqVA4LFTcAO{M+G!v1xg!` zTy%iHr5C($vPT8vAJCZP22eU~Dd1yZaBQqm0c|O3;Gc5PH@QTG2V~9;kQ0u#sKA20 z<s^UWTJW~R9!T_o#!Nxs)bgLdr3WejN@OnGo&v6*GBOz~$m!Y3!|BoM$q2Hu17s*@ z0(vRvX#4ISaAxoT<?%_NNyE-L;8MT^bdZUyE67G+P$ke?#pu{jqoTrBDh@ioL&&c? z=hzRA*4w45paG$5&;KV}`M0HjBH<6GZ|i^l*2=&C|2sl%to7t~{S8tDz6TJLWIQa7 z*D8R*7@W?!r-0LsNB1o7Y!qm$S_8DPuyc=!1~>~q%csU8pknJVD1U-Z%mt+sd(h;f zONWmNhfgPH6<lWxXmY$o1#Ag;Nhb@qOaWhg*#areU_M65QQ*`8%~24KyYO!dQLzVI zNCL77RAhisj88WtPG9o=|NkFkza6Lq05#b_nE;%jS}*bUsd9jJxtpjIb2@+`hL3}R z!KbrC#lxplMFr$e(EfA*mKU1fTRA~9rl8nhf*w3$2d#v`J5OOl&EU@E9B|i(f7fFM z{^l|k==pvv2TDU=&T|DhS_Piqi1H}ROP-yVLB%u3FE9B)L(APQ;7kH4(m*i<$~d5* zA&wWHmcwe|&K4E$W+vEn^bC**pl|}u4Rp^@foKAak%QNic7iTcc)SXtT>_M07(i(e za;E}xXLWLjiUuf9LDdOpx-G+_`8Pj*Yb7Wl>?<nGE6L1F)dS7#f^D`mFI8@==Sa^l zNGz2~YpmzV%PbXYsOL*A<=G87BHN?aR#hBSae_`H?A!x(?u(C4Ks7DY?cml4sI3AT zxP2i88B{zV0MY|p<qIlGUi^Lg_x}rvrx1;xTnlo21Zdju<ZD~dXqm_fkgGwHOfM!v z%?EKn!S|xz&ENkoenUmU<I3QB*jiLT27tm0oX-!wVg}uvmFLm?ldISjoKry!oYs>i z^IzD3H21QmBD)Jz9KT2fxoZJPwi{*~WE$mVI%t%b4J6ac`c(wUKcH}Vp$pQN@#OFS z7mpu<8zdYUMstCbxPp`%fG9c2k7NosRGx#{CfzM6;AjMgB&=Zs8Ztf!lI>-!$I!L` zBmtjQV^Mi=|JC3BFK&Ts0WBH?r->d&>gyH+uO*fP6+zuC&~hTc0Ng?VUH;a*3v^fo z13zRkz!H2V8-FXPUIk?XNHGg*;r4)wZBW31+L)kl2j^JmwS+HjJpu=Wr4W)Y!RNL! zLY)hb&jqi*D)li`UVjZuAfSi?&8U<?RQ?r2H*+miCAiTGQt1N<g6og|{(lh!BJP61 z{RGHG-O!23-T+3>^c<-B_LBS0|No!^%A0>N@%IXYjwe3}N{<i+Lhkx`!41;f%PPl* zWHmU+7(uNDtL}!LiLZGAoKlay{QLh!AV_C7Bm!Qxfy)9&&Uv{7G}Qu?;olai0xEq$ z(`1l*4q7eIy$2FU;6384mq7OtNOZ)gNOriWNWJI)E%4X|nsxy%vjj~#f&!`=Qn0-= z1f37u2}{O4DjuNX%nnotB)m2OSp%xsz!y1!?>=J!P0N9DkQ4tl7X~KB?wTC}9?Abb znC(F4Y@PP#{OQpNxg`SBqyUv&9-uS<DW5=NFk7JIQwj1NwxCM@K;u53VU`!_E5M_C z8m$NTdkjHMK^GMlP`Uv{0{^Z@4E)VA7#SEqXR|Q0fG<RWc5~o2*fzQT|NsBxcTgpq ze8Z#hH{{kD@C91EEVDu7D#!zni)*GpQaor{9J%NObw^&vErt}D;Dy2kpc(=^<@o}f zEpTWAE#LA0tvwTXkq>H;LN2j^&Sk^OTu?6wG`cSVD!n+E7#Ln$z7I*mV6(w_+68n$ zHYh{?cmYoL70BrxwBP*2{TF}#zmR(H_y3EjAl<#Jv$&B`9k{621JVn+9S)Sc!Nx-N zvVq1eI9|*H$@a2_U}$RvNgx*$Tb}*>|6>2czyDvX0$Bym9UhF`NRjih5|nI_g1j5l z)&T|i!3RvRVCUcF!odWJPDqjf%^0IpS&(KeI0Qiz4tThw^*<y@yQoNl2KB7L>3V}4 z=$tJd6$KP0y!s0no3jQ*mj}omkO?o<fBgUN`TyL@SKt5t2esZoX~~g)*F(l4Zb;MP z<-za&|3jHap^88Sl@GY93u<3n0iBFy$pdR&>;$)MAv1|+O^jp9An8T|v<e%1@(9?~ zAQx4DqCEgqq=1^;pzH+-hK4#7ky23`A^uj-3cwwpsB1aE-!dJPPg`%-g?scmgWMLT z0^ZF6Z((dv0X3mOt#B()t^~EZeL!nQU@a3+UjbA|z*-xinM}|^FlGjZmt9{$ZrlTD zvswPERq<&23CSmq!6zWJKpLo>A}7G3^EY1BfL2O@Y8_?<hS%>rI&Zwp`v3pG4JUsK zXbqK(F#nVTFWo_E;AIIUY(T|{HK;hL0A-B;P@ICi{#pa%WKh|{zb(Xw$)($8o`7%i zNnd6TU(g2P&eNd#V>*xfbe;qaB!F~)l0*ckZ~?WJIzm)zkjoX2VPLO<8Wf=6El{EB z0orTg(c1%FZUo{eyg0oWQMQ2Em>%##qnQch?_QAx&?=9EkC;Gl11cu?x78RiIW+ti zDU|?)w2ec<e<A)>(B?U4Je6d?{N&O4zfRDj*B#uE0lD&}1SmkdTfq0FfSd?wA^TV! zsMUtuwcQMA{DbDoL5UL7Klbe8IS5(+*?G{T^T*2@ptI<p@%{QWC<}p%1$i74A}@FT z`~Tme;XfyTOTm9I3mglX{~=kx2BAJgB?6Y_Ubg@J|KGQ_@V{^8$CuWBLD^voxQXk? zzv~$zf3xJ@|NmbqgN818VO?j?a{f6g5}?K)_;k~51CP!_|F3~ZkxF7gwt!sj(Rvc( zFmSI6bj}aVLQp_rq*HK9-x_afefbc2<uQ1v6t+GIsDBLVSAl8`kJbY(=Yuq~9(Xw& zL?gN0r!xiCRcSrIKlM;Yh>8R#Un{)mfo>H8FL^Qm*XH1ovIpGxqpo-Me*FL6@Z`&> zA3?F>qhi}~lD}o;$N!*BT`%K6bn_k+&?o@|f2$X$Z0X(t?y!0`AN%3k`Q5kM%%^h; zSb<OH9`GfgAm@QJfZGt0rhxJ@(nwuN0;s5|z#9voMCZW7z<?(<JUfqhcAf`W1xmZ1 zxCRYDy$t*S@<oh_E$9}YPH=??Ex5bu3>x->r|bAz(!dgXz*THRy$UCPt1oEt;w4Z( ze*npK_Ne>-A7uvVC4vTggjz0@@_|mSY_S2=;$@&CEIMr<{VQ<Xfa=62vmm|&cV{43 z0=!nTM<oEH2DI!5M0ZXB53{}~y#cO5bC5UKfREgm^AJ+)f^|XbN3e0AV&p{~NVb=? zPz9-41s}<k3X<rCws1l1NET2nJpb0;|1Y{gR>9lMpatIo;C>l+Eet$Of*jjD1rm$k zeKy7lpdlN0D1gEn96;TW0OH>kqhbrnNT9$66*r(-<K@kN|NnR1dI`QKyBiY9uivAq zdVSZ`@c&EDq128I|JnFk!ruM=@7VC4g}=oI!eZrbae}be`CBX?ECK!&y?6iryS98Q z;dN~I&jA|ld8q^vG5q#Y3QGTZi&}Uv6$kiqR~mR)@|U{%cJBxEC4F1}msq=kj>=bY zZ1~5@-|~)ufx)riA8)CuXLq>;Xp9Il7*S~8(Y+0%!>79qG-~M4y$w8S2)jU}6H?cK zM#(_Oh=T@`3_zI#*0%=*7^u1iHEaYtdRw4HK4=a<W6uBo9?78gFEeBa36%Q4eU#<{ z9B?+MkpwE>;3I#aD_@|46`*q83Ts|jqXKqK_YxJbYoLP}peO*9crTB=`TyUu+uZ?V z3&eFSDlcA400kSUL;|gc0Qm$|3xa$G8mEKhFpq9W&|zJmAo1vS0B^g2gcMxZr@H|X zQX4=a1quL24kg0jFPDHCYS5+tq|xKidHv<#x1d}FNrVVd(4;%aba25Anw|h}O6_ie zj)g&T!3&|Q;4Jpg4k@pI_pn*sgJdyq#|M;GZru6%|3&fjzyDvvf%Kr{74V)6P)*GN zibGJiKq3@0ISeZ0UvSHUMrt8W1h=lho2-tvfXA#rDnOF};PG|nxD|Ln4K$tx+Bomg zdf@dLkJgh8{8Ns<tbGZJ2WJP5?qCa#?&^S-TrdCs2ah&S0gsLw{(t%71xN{Gu>AEC zP}G0|;bqo~|NlW9T8NkCsDL}RouHeRVbkZ3_Wp~%m%)MJFM$*&;8_HfyO2NuM=vN) zf^UOW&Xq(`$qibU@#_vmCB!Zkl@~K1D(hL0RDuT=E<ja6T*IRBBLDW^|1Y?%{r&&q zE65%2faZX^y&JS+1#))Ri$IW?Ue-e7aRbouvKJLlbKx!!hqyrOKXlDHXyq2D$@;<% zsuJ#kx3|E)dcuU{R&eKD1*{UZ*97GGFo?1l%t*?>Uiu5N36?WKDs@0btohZy|6eFU zoU2%Zqz8O2qUDLd{~?!d<{t!&|KEUcl8`x}V9p7MjK@LHigE@4h8K2lmI+8fFKZq0 z^p7AYI&L2a`{=9~lC|I%Jp_@Mio99e5~O1#MB?CdBpv1;i3!I+Gf7c185mw3eG1y_ zjJci&ynhH|y-h&32aiYcMNplP&~m$k1JrP5Xebc_UHt{#b_NR9ZrG|8(4s@=$^1Sl z;BDL-FP2UQWpvOOXg8=PYW^X@4>=B^`G<H3kKqAOPX%;f`|EY!v4&pW&2Avq!{+H; zT$P4hmwXvCd6oehW;O(E0|8CxbxV0zN|cs>E~f96I{28`Bl&jA$r29m^_DkZuk--z zzifW|<MlgG`^3YeJ4gf6;%+`H;nB^+zm5C#PEeLt02Km|<>$Sk0ahT}LF<XZ-STjl z?WIj1+aW87Upj!A+Td<HXj&3nfA5<F@umRCMDV^n36QZ0FH$dnW3kW{WG-~TQ4|y> zJvTwQ4YXn&+!z2=8eo%3Zi4#1mq7hrh}Kt{NLoQlNnRX03X0C|>7eu8;R_&<=8s!c z7(j(Ccs|ex)HrE;1E~@qb3~vcK*8mIZ;grr>g-hX0Z^F-nVkaH0U)>aqO4^BZPo$J z5les=FRsZkFo4>|pr#$DOymzg$uHOgp1}gq;9iX&WSR{$9|o>IdO?eh5Hn(r4?*k% zU9tymV}f?CfLz@@1u`|$JqO%6HT-7y|23P(|6`!TI6=$RL28=+De(95K-zU*K&FG6 zbH72oS<B1(y`Mmg){`ZI@Sz#db~>L<mYXdHO16Nyc^054D0QE1UYiZ_44{^}foJni zhLSwcP74psTc9M(0qWO*JP3+WffvfsAoqe(G<2S)mxaTl*Wo`XDI0h+|739BpL*N{ z)DKs8;XDp1%0WE`(D(p&cB#8W#loZWFwDCzwf_JA?*m$e`NHxXIIW~2S8U)FuL;*7 zX$73QUNC{m*|`_~{(sQ{(g5Cn-u#0BRB?jZ8m}81TK<<xfV!{XaqMnrtT!G3C4$4q zu?4F6ARc2;dC@Hc@)snmUxQ9KhLl-T_JGzx$xUTocsUb!78;S>!0SiWgF+h1`k3wx zPy-zlavGov{vxykmQkS7{H2zLms&2B@Po2wfJgH#&_q6CDHmw*BxLOhNE;|ufscuR zEJAwW&%nU&`VL4GeA@yf_kywy#1zocVW5-FB^Vfvxu{4nym-$88o&W<O6dk47UQF0 z@Ve`T=M)BpmnPuV7Z~gB7J~W=&2KV5o4FD|XDWa%wk`m5fI#sKxmfST@jjSuK&QBZ zB*59;<3-*Xa8$}5kIREA*{R@$2)Nt;4N-#68v}JSYC$#4iF1Gdzt{}Y3ffo>T8Ip5 zxm#X@*c=1e<_nr{>5cmDVR_Mm-{~?ai3+^Pod|IWcpobG(mZIn2AOXOQE_;2>onL+ z_rIf8ri@TG1wiZomq{Quy}Sa}Fdsw11&~BHxL9COdBJq~@BbHnL54$I%>YXBkeR-N z<&rOwPyhY@;@#Q5|6kk$tAX7j2adfLt{|~q)(B)fKm#f-a=>;#w>iL0g#lH}%pmLf zPXGP?ddZ9SNuc#J;4%;;J(l^Rt_K4Z-r#i?AO?8J2RJoBZk7UxfsY?S+>7`Ebh0%l z2|`zh$xmWncs&=i>;jHa?Bj2n1xf!%YXTw0c6%6jbWa5LrCKkQ*m`s~z5tb5-JPIO z6>xZiHxz@$kwE1qD5D@bBOGKZ{5}v+`mz9L2k`a3;C>!>pdGvr7qs;WR4{{<12DV* zEjdB*K-@$IhL@huqz?82!u_Bw47|PoJFD@{0#Nb?UC|7pL02?0f{snxQ3M*`Zvma= z1}be1fFwa4Y&}_`*1XdK)H17+^=Pgr0I!6e2r7?37lv|qG+Qz7x2iKSFm!i-2Bi6S zedKBW$;{vS9b!U-M|VI0X!zg+h~ND9FR0nL0>ti|qOt)@&rt!(ftK%s1FU<C$_}su zXs->(>(DiH3ZNh`NCB;N6$EAV&KMPs7Z<>b;XrGZS`U;8fs!Z4F#cT+8TgyQli$rh zQutdQf&;rn1?(+QN|OZ5SAfQ*K^Ap4fR=%QIsz@=Ih}4$hZ}U<Cx0J!#;?;yCBg90 zYax&~GC&%d4{LaUCMs@#x@2Gx@MZGd;5Kmq=rnf$2M*BsstO2O0>thB>Fn-N0m*}6 znqQzr1uQS<qQU{<fE4fxf;yYgV5Ojv1hT~~!Nc-IO(UpN0-Cb`-D%Cpz;GCy|KbXy zk=PK9)T)pNHAsGgY80~*fB!@Fc6PU@Kw<z?OtnB`0kl>cG#CN08q`37rvf}N(0q~+ zl&U>mctKbG1-$G5B{$HKP#|-<p-~LF@tcu>;U%c;vI~@y+9!gK*#IT5?v0?d)WQf_ z>;^89q5Er>?*i3Xp!NzVn{|Q|c|cE%>Gm)%yaY9(7qp)jblR7>5J){FieDChMjoNj z`!f0O|Nk#6`WP5qJ_a9einJdUT)$leITd9+HpuVbQVzWAtM(YUtlaC36s+Kjd6%4r z)ZO6X=f$<NU}dpik;-7u!p#@8=l}kHu>)iXxDW*`E(c|I@Hr9zhL=ExILD}Hc=QH? zSFM3tm;s=3f~!HrzlKLQ%SBLm3f@rn;$s7(E&w-1JwV2AfMUP_l%PPWz()gUfTmcd zxTpw#DoQ~Hh8MHhz}H7fFqBLI6<rSC!U=Ml3^>}MEmVOQprhYjg3eF#Xs%HyNa1e* zUAzDa4M;v<QF&1ScL1!Cw*Xi2+@PgCpfH10@Q_nFkemfM(F5d0ffw07{{Me{6T}8z z|B7%u$iV{Onq7$D#Z&OA3ecUlV5fo##@AC{ygvdC=Gh@gu>nrxVxSr}@aW(F;Okgk zxc7k0|G=z2_}gSaBQ$9D?|?cxpayYw4|F&UbnwEFHb`KDD_(HCfG<4_0JTIaz~gb< z&^>&h0qtWhDgrDo1bSh5Knp{-!4(3i)`i?{3u1w%g1|%D;C=>@383-?640Q<7G<4~ z5hrlF2prYmY9D+br35HxM1bZ)3?OSPCWF#2(i#iU1&@~I{H=M63=Ggk7W}QC8za)d z3oZCttwE)JJzp|^E2!D*(JQ<2D?h_NP=6V;11<p6el~c~d>E3z(OVCzLG74cR_$*{ zNgX_yIO)va|1ZuS{`>!h2S{r#tM7XxC7_)DA|Ip|c47o5HClku0mqAQka#aEFNOv? zkOVjdfC}z{Q-A-z$OakS4T`gFaEk;SXqKQB$;Cr||G$Vi`uG0}cd(iql?;#OBL#;+ zb~wD)d<dL&j=e*26u3nKZa;NHlPD;mc|a%C3qjWXIRt8vfVRVTm#7#(kGA;@nus!Z zc@9+GbwW7@kvUsHoNn+%Tp(RbLEKKz@>;ON(Gwe}l>l-Is4oHb4ro9ZRN;a8Vhk@L zJ7F_UHE!_PreZJfj5_GJ6Ua0)`1B>vJj)blDhAyO3_8UccE1|9mn-qI7+gGpR^Nhp z7%x-){)Y~BG;IL&2zWX{`x7B$C8$AxXitF4%l)9r6s5dG>_-5N;(!}FApd|E(7Ia! zRIzD*2n!J5;nB<ctsXS60vb60c>q+3Nq9g?a~~D(Tsiol9Plx6;L{+%=R#V5QcMLn zHbKP_sK5bnJV3h`z_--|fLP#@HC}ve1Jwwi0uFYnEc_s&7n&Um3@=rnjV3hzfy+D; z|ACqnV5?eBmSus?835gF1d1Hgh43J^f!zzflo9M+1&|ony&51N8bE`?0;CyyoX89Q zE06*s0MwQMwV*&vJ4oqic;Lmyb)X2TZf9TsUjYG%4UGN__?AwT{i2BY=yot@-T_`_ zQwP0`we@6)kcVXlXw0xQ4%E(KXgN^o2686k(nxSG3e?{M^>RHrTvP-=49^Z16+sZg ztHVV_=!F-k5w^`mMWO@L^6G}&Vsy+!1+>xy#`Wl)0Gc-Uusp>-<-qG1FSfLSPLzcP zI>akr5-t4CgUT|L@hZ4~x_NuP$})hipy1!u0A8N_lBwkYzw3$SpN#zd?I4Zdk^(fq zoCRuoZSzryZ1^csDh(RJjcWKQ#NTR%SoXo+q6E?c&Wj+AfV}<U9JGc5pHnE{(fotI z)YxMeeEFKCV5v%DC1lx}bXsF2XxW-@LnU8wDKBU&Edn%^wHK5_K>dDjoFgj1UQvx# zprQwKAP{IU8eAaC#r^*es%{k+_<O*K40I%rNAnMkS~tU!EhkGXLF_txj~#F)bc6Pd zSTce<<;Y><SR`x{#Xs#p2e@p34cHkTc>N9>yRV-k;<lHU;TOn$&=4FbgMvq9{Ww4e z%YoL;fGmF9Yk1)G7SKhG;G;MpTRA~o@TNitx8Wxze+y{VxZ$TT|CEC-H~#})x|4vU z1f&DWfEPPk7#LoPfNNun^t&BYNutCzsDkzAo(0Mco%i^6edcMs&EJ&8#K6$}o0Gpe z5wx1;hDY-cPX1=_;Qqk}EFQhK$6VwYe7adIJi2QvIGTU6@VDxMR_Ja6m1zv1g%F^7 zcUundH$P$o8N}kz%eqWSfMKUF=(73}6%kNefy*5O{??74WYN3})Ei*nZv{<28r}xg zixNot(KKG9>;YFNeGEuV5%4f>_fbf_2^rMc3#y5FS;Jm{f*v|f2rBAdq(GIyGalG_ zju$?l`m24<-~Zh%DkA*59`Nut?FOYN(9w?`%|94x6G2hx;nBPUwD^FbRQJWL-C&nI z1g9>j72vifBgiNlkUQZ+xi8j26t_tsIUBUu_QjPWfB(PW-}CqX>vb<WAd1#MM|bpk zQ2Pt)5>R#g5K^P={DPzmoT@rNW*y%B_y5ZP&|*eQh;i8;kko;$pns77Qa2l<P8OtY z;%-ox4eFPKsCam2H-TaRbaw6z2X}w_S^s@JJ-)y6IQUD+<KRzw56x4cG2iYG6_1zk z|Nj4P{>{wa;s(0j&;Q^5|2C3Epj7Zu6jbjv|Bx)U^MIt2e_pV3;sZ`6KAi_%{`m{i z&f?Me6U2M>_y7O>py2cAWi@}v&+u{`sDcD<a7|pvz_61O)Tatx2`ZT%HiGU0M;<)G z9Di?vr0)dKcvA#uyeR^yJlpd@mI1N-vlHZN@WxLE1GEyZ(?><cqq`YYZi9QOY9Ld= z2QOP5=5GacdOdnsS0#hCE3v4&xY-0c4uW;lB6;w6%K;wUyz9VhP|?v1I+YBxs@L$_ zYi7`>tK~WV-W*Vp@3#5i0oqv#+B@X915`YL8iE?2I~5&VL3!vbXyz3x;o5Sb^ze(s zoqzwoc(eQO{}<PHLef0AbMZnFyxI^n1P1Qog{U}qSb7=ow}9@d@9qL+Y{)<X6aSQh zEhkI-Ur2zo_p<8MA|*;QP&nIzT69$)S@@__%xhSc2`&lY-T}49G+u%(_r+63gW6Ru zR=xfI|Mecjlc1|UZ6H^aym$t?h`Pj}+eJmC`3DpKoP(f@25#?XfEwE#U>iKTYg8N@ zLDz@)s2IHb@(<iHQ!zaG`jSt#vw^4O4p1DGazn!J<?es~|GRYegS_n6{FBWQw8CjQ zC{6aV{z-(!)@g8T!8g=)x~N#ZVBhig|BFex{{DYay8{xkkZ$JwU;qE(@gA)DmUsc0 zHh%d6+|r9t$#{7W)C)GMXJB|4i<Vr``@?5JDWUld()r(@P$=-|_R#R?hOXb82U25s ziobahh|ziplo30@?KaS%!=NzcZ^;KqS)S%^1C^hk(o^Gw(ROe-r6GzG%itNY$O8~R zLVCHs_korDM=pFoU6U6^P-Wl}^Tid2vfv*`g$g)tazm9twx6wqC|msqNf{_<zj(4A zVrd4bKm4K%qHH&^GH@}ycmLo2FMe$M3%ThCH1c#Db{1SO>w{8ID;6XO>)eBHa|bv1 zJV4<l;lauPnp6U>+U%Xk@Z<k~56cT4{7#2JwH)ZUWiT7s2GQ_fWdH?R<3R?{q9V%^ z9{lbHLEZce4=5YdM)BwcSqK`Xd0}u6<OkRp=pLP?UrqugE@+ILdYJ|~l*_u7f#IbX zwD!fQf1iQs7L@iQ$laiI1CVpfSX5qA6+m(v(!MCrE=>MyfhrBZ82MXT85kHEesPr= zfSQOFEeF82PD3s@R`6&(qH&mi8*5;kBIsCu*geP)ad_M4ML6_sH~~;wNP?qQz!21; zK|X8*6hytOb{|2}2x`^CTQEKUe}T_OlX!g=e)k{5cr@+{qZ$T=mw({_0d9R_ga>5U z5aoW}X4~&m<rx?t9tE}C!Pj@f8uQ>D4Ty*U1zd&U$=CXz#)JlVhyc_h0^b(i3-0`b zdSl=N9zcyP5Aa?BP(QZ$r$Q|^bT2~drP2d%J3vtlN$tnL1wOn(3+hE0o_y^GwJAhJ z;l-8B;B3QyywV6f$@ClC0058ju&BJ43o5QHw*CG8LU{`)@qo5Q;&RABP;Vpy+=YJe zwTgk^<%NI$LD&62ZvX`M2jxKy!!n-&A4_30y!4s_G++wOBA^rNKnL&j-v=dh(0mRk z5xjT~;(^wKfKnr5$0~oz6p&yi_<$WAl@}_ZkW|P4>hdW-L*D_EJHS&#;K6_JKneIN zT8$S+Ho?3HN;;rB7(g01AoZ2`R?z(a=D+`6%mpdyWnFX+l(3+UCvf?21QbyJL9z&U zfi?w}Ccnr8iT1KCc!;D2)M9us0o2d|`xDeWG6I#~XSaaH|3Df*rwD_VWx_(Hxe{~~ z@*_}&?Su_JAm&hD-qsDl;%)Fe5ZK$``Hcv87Zr5WHK_ar)mH(aQrF<co{f-j0H-5R z5{0%ipX>ssmsxj_JOTFjX^;fGjrVsu$o-&@`n>V)|CgZB>_sNX$X?c;Tu22ucmjI@ z$j7Ha>cFdmIxoCb1hwmSRxmKUJOZtFQ2KjiDX8c9G~2dBDKapW>3Q_BZf*lzq{3S> z3Dk-KjcY)UCgA|hpLHJke-V^AA%|nan^d54+dUvhkeBZ9=w;pCCcyA|*9(gZ28P#d zkPMGvKY!a6P>MtCe>B_jPXgz6&<fpV+fR`o7sYw>vMPdI1X=>oda|qxngk_4c^`ZN zod+nu!G|+}gC5f7_vq$5G!g29UQj;^GP?uH5fC3hdQ~YN-7>0>LqLiRJS^vdTM<2= zV^&%P7+!aQyb4ihc;LmYd7#E;N;w0=OVG8d5O2XrH2+P5_)h`U{*(Z<Kf%Kj8ldXD zlSKvOm=~a>qM(EU893nqEw~4-=>Xq@(jB6r!M`m?<l7;p5<QRRpNvH|9{*2*F7Nt& z6Wk7Oe#i(qv<p12-3dN|D@O$qE1>*l04kx7jxhzd9$!>|eXyvEfdPC+G~DeV2FN8G z{M$T4z8z%bZ|MV%HF$_OKa_9yDN^p%@KdN<qv5AuiDbi1p%N~S<^z!9B@TNu9{?8t z0w5M-{_k+ZPvKIK67V1+SOT0~1P(X+6f2i(_$dmOg^GDJAAqWB_$gk(-|$nibfHJ{ z0SsAC;S5Ub42MCk#i&1?U=MF_BLkEfz~1v{Jy2Q)VrlSigZZt*31p=<Xm|sxxCOM9 z99(9A$J#qVZFf-H5R?=k;R{WG;7bS~B5=*_1T}XvfQnA=+&!pK@xm44Zm@NrqKloN z{ufV57#LpuN3TsW!s9BWen9H~fXYYE5Y1Ci@!k!Z!RZx!?kvafnjLib4M?!{Qi+*I z_bkZp(aoY49^K$$VLU897T@tmKH<T5)5G#3fBOZH+D_20CwyoRl-dkHH$a(92jw{y zl^17Ae}hgNQ-j`7>e0=r4VH3I0bQn00B%ODJPGPAfmeQjJ4_xEKm$e!9X=}h4L=z? zdPQGM1DPhG@<P5GG+g4Mq7ORwRe+I!;YI8ka4~v^6R9i&H)>n9g1hhqpaEM@kg9;H z+g{cw$Q2EE*gSeGQUU$qFQgh@i@e?ql-ypJfU2x~h;2KZ<QQIpN+(bj3-IXf00&j4 zkBWmw_XNn|;}8{#7YA2^-FD<LQV|dCcfSC+1>U3Tf>?R!36e4nQ19y?R2ii2kqoK? z{;mD{|HVs?xsX%e8^DvQpxF-4@o%reN6Ub7ANbH`$VetA$G}g0h6?qvdZ!_Um>$Tw zLks@?2Nj_Xpl+wd3ucfly{t_fNb0~LtF;9+WKV%=*Iw33;L$B;70w4TkP+&BNUwA; zM487;ByWOi?OP!KL%L2ZDlcj_{r&$!9OO+Vhyx5=ksJWJUh{?I0?<0G$At_GFZrOg z8l)@$k!a<w7G%B&sXqle^&XV|tw1>gJo?=$`rH9E{qwhgZzQ(t0!3{3a}UcdP^^~S z1I@aZfu?^vJi5EU6X?CN+Sg$x!TYFifYY-k_#{badTu>XY6>c9JfO)0++j|><-vFw zc9E~;Vg7b-G<JfHDTiOF1WH@rt6c&-nvX<)Pxep%Wv$zjL74$`zd{i>Yn{FVvL7^R z!+HTM1<6_&V5iJGf||7~CV2Gn&IBvtQF(Ep7$R!{8q{b7HN9W(t^}vq3v5V%4vuw` zP2gD1faRwv8^8)(ZX-n}I6rZN;u+H3V^Mjr7NRT`d8H{hKRwy__x}rdh;146NcqVD z8c^V}1SvmhyeM1&wzA{_l9k~6Gy~Ki0?np?OKvNOl}*UaLvVg7gerrK3<_`f`~Std zRe%4#m<ci$oE^JS^V3Vvlq_027+!k$4b-nc?xIovnqvXg2Z$H~*M=G|jxPthw2Kuz z6yI$?4aL6oV1?6=m+64>&QXvj;9g3HDC4<?<a2P|nFmz{@sh*(zyDv{UitU`i(?Q6 zm^&dk0GxO3&H-C^JqbwyocoW=0cEPbJO+lBI}x)_81;z|BtM~@{~zJe%e&YXl7~9M zX&H2e0%&2qhb8z>EKnW-ABzRbLnlirJ-Qn~!>1nI6Tw@Kn}0FZMRdogB)|v7uGPAD z^xBm9bhG^M>Gt`-;gfvX*YZ%&V_(LDzLuwoZ+mpR{1EVAyx^mGz@s}b1H9RJJ-9*w z?Ma6pe{Xo;^#hQ(9?6G2m>m;dUk1e{I2%ow0L@0)`QU8SauJ@5I>AzqY?J`bM&Sn` z8AZaQ8(c$yvr!OO8IQ_~&H{)mQZ_oa6dcSI$m^lO+34*$Z~{nxWuxA;V1<9LBgHH@ z8y#5(sg@vvGHDQHVt<g7fwR%vb)fTZAhs#m5S5LzAgXnddmi9y6bQ8v+*Nt;c@5ZQ z*2onmI2&m}l|j<nnKgg^zeryW+J6l)7i%^GpYjg5E*y66fZ@rPpF!EEGX!)Fx?=(; zUNm0xF9F->h+O-EgKgVd)L;vPI4%x(=o_4Gz~Kq*-h(QAeTcFvmym)DoNvJ43C~^} zAU`Z#_V@pbK8OSEAukmL=bNQ7!7eL|N6$AsGeP+#ESrJh<wa1w0hRR}{M$Ib9b_uy z0#&`BXks|bzuki|?chNU{_Q6{dPNvLdV`ofdRZ7fdL39M@NeVd-^MUu!oi0eoG19V zgD*i6fHrT>KwFstU~@sMwACjdo7H-<lnvAa5;#1;qxk^jq76{VDRCGgiaNenmWO)& zC)9B8WG^J4*@B15KqEY$C0z)4&><nsM<fn|Z>v$@-yX=AcJL4f|Mp80JbHP!JbFD@ zLAHXIOMn~1kb4_m*kv&=ysSi?bwsP5!8hYz8UJcMPyz`>mQo?8E5O|t$j}lv1Uh|G zq`(D!=fD5|`M0~MNTnS-zyS)sUJqu__#THx>!rF3k6sogk6s7X<1Q+og+mNr9~gM_ zig0-J#;C}6SY9q+?`8qj+%llCkZu<h8E}hy^A||ZAJQ!=1&tGc+vl+E0Jyh;GDLRb z_3RhEnG6izJ)@wu2nZwm+uNdY0F>mx>&J^ho8lVZfDWkf=v<=$KF9)e(mtqB(rhbc z1=<<iVhmE$&FW|=2f8^Kw2lpQ@E(W;E&c`3;6cLPJ&;9wz4aeJ-Aw2ju2&O5vpKm8 zpjFo38H&yx6_7TMUS0`sSqD3$vlnue04N6vyf`}@QkF@0bo1V9k_RuuYgqug7NA?u zqdSrVeEDMQZT`09AhAx^I#Mo=|K~uLF&_M9@6r5>!-Me?XkR?2(d6;}G^kNoVfgLk zS5W!<|Ck5p#>SnX!<M>PK6qN*D18myMCuD(g9S6N2YjRyXh8<p5b(HFcZo^?Xy+Vg zUJ7*4NCs#_7pR5i3pz3hGy(0?8KRQl-YqlHr+Xo&c?LSFs@0y6fx$QVwh!}6kXq0w zIN<q!Zf^mPUQyl)pkg0%gqe#92WY+Li|pO-5bzKHZGixl`Q39=K$;A{y|e>mklrFj z56g4>?UO;hwob@aAyBIsyg4Jlqu1s_v#pUg=mLu@k4~8j-Od6Yy}XOggRBCLq)b6u zA(F8VWMvKL2p-V7L+}kB;3;u;fo9uRULbP>Kr1dlqY@sS>@UxN6C=dh7q?p&7+&rI ziFDq0aju1d;q?Sa3$<5vf<EXL5zv)LpbQNe?E{a2l&C1YSo{Ta&pBxP5jK%y;Q_i% z3F=kQ#UJ3=JsGfvSzXS6To1a1Iz@%U1$-in<1Uc1T~s7|x+Or)15Kd6oC9)6^L|k1 zGn9CPCb&9(dRX4$?*-iu4ho|J&>~dOMt6^Hn@^yf;gINT1l`jCTCbns)7=R=BGso8 zawvqW;iZ?-AVa&`K}tM&d3T-$IR%z{K_k(ihS&?CsZi&5bo2gemuK(*-!vlo8pP~2 z{Q{yaKk>H*gR&S%s#Ej^NUYc9bhB-%Cujw3t0YLSljSsMKo8_BkIqJrM?AX0t^?(e z4A81$aI8-OpUh`?`z2_7E@Z$Dnu5X61lkb}T71zv4V3D^7dChETmbnUw6_Xeq_wDk z+CZRe3_89VL_<8dM+IzTZ~X<N>}=Kt%g%Ek(w%cuK-ym1cKH9ln?<FwMFl+e0a`{1 zb|0um06nAxG|c4DI|XbVC~XM5V1vxJNiguYID+CAek}y_92(FfQjjU#6T$xPm32J@ z3Ug3W3Q^(c4pDIdrKT6_!Fi=dMWThh+1Akm9EMZC`k>}?vV&&r5<Gh2!0RhB-~kIe z!^HA1e{Um5M`w&mLO08-PS9Z#$satJH-a)NXm=@S(^_|(fKM-L;u#(W(Ebrnwg;s( zACSL52d2E(z8xO*9^f^Z5ui*9&6tLlUKWGX55!F`R)MCCQ=z^Fnf{U$$^jXQrO4?# z|I!3BfmhfFiUR13tGzoxA@d>@WY`^0u?`zV?q!uc2?{F~6;Qbcc8vfi-Gi46D!kD7 zh?(s=MZ;R<8JcbXyMeO0xJM_eSu3b@3cl3<v>@%JH^_!wTlrRbh8M3I7#LnZ0xduW z*BXsSK$Xa0!*7PS4KKY+0L@T>?ic{2WSG<ekItJfl)FH~mTQw37+z)|-64%qKSh87 z0$e|tf{u4<d;_juI@hRx*J$@b>FyTD<vN`$DxfhKP@IAjEWCmd-F_St0+542z>9}L zYcxT3s({sludZX60p5V7z`)-s1U}r2prJ`{L#L=f3<d2c>zxB}1h_=1p94(?pxGR# zfuLh|Kv~f81b@o}UeJD(0P)Vt&5z{yw-t&vKa+Q`_{85D&&$Bj5u>8r5u&06Zc~`P zg4F)t?Zu#47c^W4UV&NxN+A&cim1Hcg{rIYuyj!oD3LhUq5|5|%K(ZVaG*6F0fpgV zP#}Sg0k5<NO+*Dh`Srh{Mn!_5<TR-81YcLw$)fV28fqfgX3(x3kXDF&JSs0@;j-P( zgTFur(4FRHU;y{w_j7|h7^9-q@SCxSv*EV@f7=vp28M>;qWta4*g*^-{<cG)70JJa z`CIRR5=l3tJmKG7Yy_%YLGDPiEH~nBT?Sggv)$i-e|xb(n&mnE)~QSk3=>@Vx4DZr zemlU(-%`!Qz_0_fkj|%<#R7KtNc%h<h$?RpNAM7`H$oK;=mrz;5hd+8JPZtJojxjh zX`Ll1I-nB=K~t}wG9O$9c0;1r@W5*ypY9Nq1kYY4Mi>6=Au4*Hqvb%c@4~-5MMVd6 zJ_<+!=omSW0WO^veL8P}*7AZv%%$@g%uBwVAACDsdRU&Q^#NT)=3)7Pzxg33)Ih63 zJ-c}h`hXS$g9;Q#0r~XLe@N``w^slE{~uShPT=2GF5>X*7$bj6BqKC5__voEH9q@a z4?2`#ySsr4<1r7$<NVvtd0L*}Z%^fBV3^>edC;Zvm?!9ByvAb;3?Ke`9Q<eRsrbRG z*MyyaTeXP8x8scbEufQD!NJSFz1pbpIn+RB0~f~Q9*l?ixBmy-nbXb*_5^skY74l{ z<fC~Uv}DA<weujz2G7QWAR9an{<Qa1JmJH57_@;6RL~h7cx?r0MFn{FIxvD57NBw! z6ttiaHvok*NEzrP8c=QR(|ORR^OR5LZJ*9(KAqP=A?e}M&2r4c@&|u&4QRZ&6Vzns z<~a;1qCuSj$c;lUX8(qUWa&g)p$HXcM9(xkEEu3u#t_z`fB*kOSfGPhA*_bKxMQc` zw+Mf$J7~lLR%C$|#DF#|ECZb{0&dPjuG9l9%IoY=0q4-}DUb>lv=pdQM&(5nR4wUQ zyx;*+7M}tsG`pi5K!*!}lBb7doCAMrKPVG+#;8;n9srHs)~G~y^tv;G*11%G&YJ-# z1Vs!eU;1>O^62~xI#$Q>27j*|NMm=Eg-5p+_)72QkD&7sds&YAbo*I&bo)UBuY;B{ zbna0BHK{-im<Ui_1GQ~Hc@5Nn=;k>NiZM{qf3g2Lq|^h?Xo4g?dPNR`TJoSu2-L<A z0Hq$#kWIJALC_34=#HTmolso@pjEV>CJm^>0x1IZr(Rft4n~0mHTVcF@J<~_vmVwa z5m9*|3{_VEE=l=Y-9d$RcMtgB9gsmF#|ylWy$=mi{uVW`0@yhbEGjP+LQMeg&p_A& zi&U`7Iz?1oR6^CkY&r^Rf530fgS0CJUTnSxw+Xz+7rbm9bhZQo14FmZK^9Oo018KN zFo1R}G`)lb9yl04jXY455dl&L3d!z}Q!Joz2^^B0GAf|mRxkYFT43kNL8}K2P?Z46 zix6Lj|A6Kp{?=P~(mOOCVN^Z@#4++6F7+Tsfzly(ninOTfC3G)eX_d;S~Y{Nep&b( z>grNS!vh_ViV;1FBaC#g03{nxa`))=1W$QD3X$#>sF9#b)9*Xz&>9yNiP!5JehZeQ zHT)LhZ#4sVlUty<*24l6<X~r7dRdg<v)ao5GGhmFJ*bC-V%6hsFst?>90}SEg<L#< zbYl2><u|CmON>A|AzpHD0C^AMEJp|aDYyc}@WAV*4Zp?t+ZThD_52nq^#_>&*4GWX z>ZS+kO;7MB9hM-{hPj(?h#P>M1aTft<L-Qg1_KV`8h%TZ!dg8T38~??B!4R_v;c-! z<l%r6Jr4Y>=fS0z6WBK|_`%1QfQ~>STr59+1Iccr7t1$qL31{!<%Lu%g9>3-*$gX; zJ%}ufAxHfn6~<A_3=BTKEDk7zu>(l36MQZp>>5KC6%SBJ4C*s_b+a4?H$FL_UIQ0o z8K7bWTx3EDGSK-D-E*KP!JAwL-GBGuI&@KH2DI$-0vC<Y`V3m62)uZB6Y6jN7SQs2 z(1>CIC<TCfTHPTBIY8wT$RQrxC5Jgc<r=8p!U2jeNKpj3ukFldXyWE?IRh>?LB4?< zQ{N4$Eksmav_suPv<JeV8Xz7h1)oR;_AcD<Dlo^FIDp3XAlq8O4v4ud0E#t;!$YnK zcvxQMZ*c%Ei-#0Bq!x#ys>ew6xQfq)-%|W7ph4o7EsP8dP*oVZLHDGC&W48PDh&64 zs#(xzR5v3i_P|9$Cyxqv(DEPnb{KF5Vo`Z<0A9Uxf;N>yMlK;|`hg-9Y=#gh(!p61 zRw?a5F{1`*h66Zhl`4X)1a+DbRdUyLSh8wu{EWLX2K6t7Z=m8B;ebp0MN~Bd!;4T5 z5db2*t3iuEf4*?8W?*pXJmAs!^TiAZ^TmW}28Pa$FEqi_E>Oqgg>5wh1B7J^(k#~l z9@ZC?MjF<a1I=B#PW=mB)~Mb5KpvbYOD6sO|DwL{@BbHB5Ix(F50H@s>1k{PO$B%d zGcded_5pev%o)@HcTk=KZ)Vi+usp%v`v<g5rd<kD1Zo~cTGXR>5VVW5?K?Od$EYaq zZ!_U`X!v)aDAl3i--F_K572~}3ja1AZpMb64MpA!Klk%bJJfQiM4<VZ{K02z%@6H4 z&o%$6ua`RRqGIv)KLZ13<r^dsz((>rLFZ*OA7BEtFu=pukRf}+ORu+sEa7jO%D}+j z(D3gAe{(k|pEdtts@urFErgq~;a5XZdBd;$4*b)OJGA^SnGJFX6UZU4V22!Txn0uU z{EYwLGoI#0_6Hxaaee|h=v3pc|LhD5{Cye!|Nn3N#c+dxp+=+e*Z=zr4E*yBf)02B zT`B=y!vvklKz7#2*LMwXzg}wi|8)=8QB9!R{2Uto{oro~AJN$Si<!TV4}5MS#EJY( zpu;y2&g5_T0GcP*R>KWC3$mf8+@azBen<Xk2V6mG>#u<2e7KoG-iUyCgTG}DXm7(a z_Jhxaz+U0uyw?2O{@`;q&VL{;eQf;sA9Vd+-_(Eq|AW{94E+6|=?L($$>swr=z)9t z^(t4x1Fw4w|GxyC@rXNiKqmoRe34(l!0_T!1^jaBGiT7!6X<Tj=3n*Y;L{H^K!?${ z*@6>|i;6-A_);NI$qn8c1c_cq9F$vuDisaTdNTetCPoH^&I<>Bu{ECp9~-35A=v!D zzWGE$ha)3s0t<AK391SI1sKYFcYu=>_zKA8pG^F18$nxn4j%l$(|qCqsDxJNVCp<^ z@FyrOHlJ+h@M44->cj*#6f_M7nmdO%3zQs+I3UTPY;of+P`H+}bY6Hp2j*1fGIx+O zg_=)&00}8{2zDL<SqF0~NRqAjk$v;2hK@icsBMnSVB0`PRv~QL0kZssP&oqwXatSn z1qX=52+G3$%0TyYzW81S4|2r#7<j#{CFt_s<~I_cky!!IdOq+a@CwJ689)P_8lIgW zJUWXxe7ijqd>GFe9xyy<c<D9M{{v}`mJSwWt}guBPxxk^v~cSd;d5;M#aOD;a<YVd zC&=p8w|u)p3_1L|efR`?HBb342Xpvz1`E7?<k<X+sdTR=NYaBjnB(;((5aItpf(lg zwvT8KqqkbYqqkVXr`I{br`J29*>;-|cqo<|BzfE!yo=T2xU&Rkn4BMURhe@F$Z4*I zmtJ#v{y*Z`dB~^pf#+_}#>)Tp3=BS&H~5=D-Dc0uBcOddKHWM@zO8ThTdsl1fo>Nj z4i9E$0ng^YZ1v%u&3{=+nO^VoZ2rqyD%osn1G2f4)1%W_!Kd@W>xD0Dau^t1e*q~j zRcf}Cg-c6-q?<fD|9W(Oe<7X&I_dxY>(@S=7r=+odN%(3`tN^!DYpk`*x>?r|D|W+ z-w*%)=a;HB+g>vS4V3bDbUG)1bXfcc9sBmzr}OxWv)K@nUU)YC{R2|Hw%K+CTy+LW zH5W|v`4{tHsy&*k6+nk;G*?S7l<@n4LiRA|oXZ0(2TH(a=0K9EM{{)s1Aj|1D2sts zIDlGC-G(mRjw~*n&Jix%jvUUtEDXI4EFQbSfe9*jEidr5e*$UHJmlGV5p?@7=qTlb zzw9B`t@?oGks$MP#U4JL-T_X%B5u7wEX}qb4M3iZ_2_hV@Yshi?h}7|KiIfy9-YMo z9-YM&9-y;szuyB5B6%o&^5|s|Y_{D3)-3AL>8x?gIfn5VGk8NF)EB+g8ZVY)F)+M- z;?Y~}@M0#2ImfZv$hF&vrPq%M+3^?o+aXuV{$%2B0=39|dOiR7bb5Pu?)(dO6DYX7 z13Y`3IGlP-*m}#Dnr$t>c87r-Zh4Kr{S+wKSbpSh2e%tE&w<?y+CLU-0S=CbOdjCi zxair-!qsg1Qy=7SP!iI3=>i&%208aDXkOI}!gF}>Jd=Up_2OpRb6};s9><-*S1Y|t z2OYNCdED^8i=!a*;H!RHPl9&+nEd<y-|)bTb(x^X!Vizm?;f284G+ASmkDw|NW&B` z>%8HC7hPaA=U?6gZ==dlfdpFTiO!EN?2Ew-iXTO=l0&bUf#Jo=B2WS9(fRR(JXqeR z^VEy4MIehVzThneS#a~k(jw64yS_IA!^>5_VQmA{`C)MRA_$6F%=4ZaEExD(9)rTM z+am*1x<<Tanc%^AlYe_8Q`+~#j0~lM-H|-qMFN(E93^Ikw_9$PN_IyIwB9bgdE7-M z0Tff9;tz826aRKc7FE!2B53RrAq?tEf;Jf`v@mtcNO~}W59v|>bpu|gt3vu(9N^Le zw3nhAyx$11C>FFTyE~A>qgxVG4jOn^9x9Q5okG_gq7nhRq1i<x;AJu>3OhmbNT5^i z!IMZ{XQ4YtK&5)b>(d|ygGwrd%U*o<WMFuC3B1@9Q6BfYs66lhPgazG%DKijpkYeT zWIA}z9CWx2IK1Y7@Aqo3X5ep;VrGC%q=SYwLATd{ECMO(HNETzim@%wso6Oypn;n1 zE#Q+OL8tbDdgIkG4E!zKpcv{lH8~Ets>#+AM792}iwBLlMi?G=&F;~BOu?t~fKRuZ zgiE)dh)Z{vfKPWEhflYfN4K|!Pj|KhsN`4!YV&m-a_Kzb*?G<I()W*@7eFn-X3%J$ zYj;j7gNtQ$M46;-uN$MM<&T<Gpm7thzZ#E#ym{ECGe#u<bSiQMsE<|vy(Yy)CBvoD zN5us+JLmA4%cVDq(WCR2Yv)g&?rIH>Zf^sR&JW$i5iXst95ugsTAt-^7H48$aPBr) z@7VdZ`5dEb=XuBGlZ-Bw=Suh;`FA~KDBk1IdF*A`|NsA8I&)NPK#j9b5fzZV93U46 zfGm=5>8w$a@d4c$0~)FTg)C^Y5k&vL&`_hI!BA=kYWEg^8Y`gQ26)u0yGA7fH0qq; z0=kd^v;rW&r#nTZ!lgS$#m1+*M8(6UyGF(3Whp4Zbb~vYkeCFGj7ESJyac6dk8abA zN5N6C2t>7h<L^@j#ZYI7N`&Eom)jW_7+kt_+CZr?0;Dy;1$5ZFPq&W>!e$S!%^))a zTwC9k#De^4;?k*u=}{MuM?py+wkf3-GM5kYs1m||-Uc4s#Twl%Dkh+aZ7To&|Nl$K zPGZop6#oxHTx9vVwj2};37)+b3ZU^`aNu;_@aa74((Nwd)9o+e(j6|~(;d&@)2)t3 zK_#^wpwx2#IrS8$fD&N>C@loIfF@Ew6QmiSC~^QrmIo->D!_^Br6@R5*Qg*TDfA@f z()r@WyIfHD1|qH`{QdvpK8SS-L>x^3^>yOi7#Ln=ftEdiiZU=p$)6t}`4ha!qwx)> zzX{5ppqvFdED=n1LuO??ntv#k=7Z7?D0zd{ITe8pC6WN0qlmh$#UdXx3kzD;0$$1h z8i8|B;dl}9?f-w!ek}=5Z_T5Zx8$%K1E@sfcroi2c$26A=zt3eP`4G_Q{DnTglY{q zn|m}K0lDokC<MTcg7RO~yD~6<4-y1>4NQ1+vs!|T?&h@uQLQKIWDGC8X7Ok~py1IR zA>q+24Z7Tk&*T3g56e?EFF*&=fKH2IRnbsn@aXmU@A3VjhvI1ua9`Z=W)YtU;|&kX zgZz^~8}0-S8y<N59c&GpK!hjge$)iCyBE8i1iHN>x}#*eixj%6RD8OfG(Z_q!=pP0 zI)LK>S=Q1SqaxB-q9OsxsxNjlfPxUTB@Nts?*z9EAXh5Cc;n2#@VX0bJ=*#)J@oFZ zlYmFJ7Y8U*L0uh=7jMBv!z#@e^FXHUL70ZNo)q&uIk0&W9^Fw2pxJW;kUzSGKy?t< zcu<52y!ctmzyRJL1*(J~j)$Hnrw_6Y?|E{dKA}hR8x2tVT>;d7SMcZt+sOeo8)S>b z3yvD_s6h8Kq-BHPlaTdWA&W90Yr-xzgOwHCLQ)3a%g+H-22P7FRyF_q|H8HI@BbGD z5OW{OB8@hvfVv&-g`nJ2=)}PA(&zvG|G2};0jt{~;e{OKP|w7uNW6%C@e6!oDP-l` z3mzv1hS#eQHlU_2ZI9+R0-&(r0EG>QN4FD43xh}Vfe28pda=M2G`*gq!r{?;MBwm? zgN_UgulFO20<%alF96lNLW1V;w<Um<ouQo{<pdsPjDRFWAC&~~mGmMiFC0ywtrk#j z698@c0i92w65(;&okNO&fuY&9PZiYE6!GX~?FH{+W(T!7AUiHSdRZ%f@H4!qiDzJV z{Q{IO0ziT40SX8ZgX4vg4a9ix2~`#lJHUHDnt$-}_g)88@XbGX_<O;lIL$w}`CIRS zDyCg2sY#{j&WSl5mV*4PhZq?c(i$r{a*X*~L1zUwRB{<XPU(a?1FW$Nq!FaN0;b%I zzcm?jic}?+Ny$Zz<{vzzd>)p9rJNw642>*G_JR&B;qMoRbz%@c2c6f|Y&%5-=JO8l z))`cvmv9O&yl9F8`y6})XE*q4vj7iEF6hM>pcZ8(sBQyIo5K!E1mDlb^rF)dT;Q5C z{{WqA#K6Gs0^UU}0XoCA`NzLfgj+xxWSea{;BI*a-gJ)YmP^n18D4yg1-k`wgzO=t zI0Eg2gOqdNlV?B$J8U@^D2^mReSdK8_r+9uu$weMZRY^+)v(|)tCux<3n=%3W`96B z!3&&s9)dPVUmgan8*2W+^Ku@H0UGE51vliZz2+a>FXJFm+%H2x40!Cm1RaLK-vYW+ zvb59#E)0sK1W+UynVRvpfDUB=nO5o!61M?~n;IJNw}6hs@Bk&FR#T9npqSSIjWAVm z<QN(l@wdwV`~Sb8lE;L<<<j5(|6dA#6gB_geSIFJ>GesFk*`-HEPXv6>{(Foc=U?; z90K_mb_@;=sQlT!_ZRdSoO*sxQw^M&9bUTqg`{u`kb$5C?(ot8G{V->3mUg<0nJc( zH2>h^Zv~&)gyP2vkY5bVj7u{?$Mjg3@V97y3~T<uS1Jecs}D%t*vP!p79?+G!Qa9G zmFI7D1hGJVw)p%1KS-UKsVRS}_TT^iL28Z7_*?G$`Tzf=6i8X~55CuDL5_HR984|* zle3Zh-jD3}r$><e4!#Lu_a2zv`TM~)<AM)GZT`s%N$CiaK=a=Y9+twTIgQmEIYtI1 zrLhgwJQn;dexQzG^H1JV8IY_KNY=!_vedYtn#YX4#Q-KN0g@F4$yyj%mU1;z^BD2B z2!UifPk40ReCY*ZHvi;(eboa*o(Gew!Q@gjSG)!vB?yhBN>J=W=Ses`dPTn+#_;3y z-7r6*#BU6^4Fo#Qp!o+cB!1OEM;5%WW?*>P{0m$Wp_b=fsQZOMX+@*Kf&nr{0^I}& zx$K!m<;BBHSZxVz?ZBM)A~KnQ;q|!}N;V7(uNR=2?}u8y@o#ey;NRvY=+PY|0csCO zfZ7A#yJSUFI$TsZI($?(Uz~~o)k>f_56FFr;MNSZwv)4FV0b+TTroijRQoW_vjw*W zAoXcyj0(8@f!6wnPJ&nmKB7v&1Eu}3-U|PDwq;r9;pYV2PY+ri%)AY><c~+?#VniO zpe>Wdw?TXsl^0f`f4~<UbAVz<z@w9~oA>=zd4|r81E6{DUe;4vp$Zx8KrVxA|9znZ za!dn?fBD-cfjYZr<ChROaDZyI7k9sc%m5XKptWfFSO0;Wk^!^fzcsQfqvqfL6Fj<E zf8LU3@X%&G1fn`wUxTP_)-zxVysn1Pqmy?ph}+952Qw+u7Gx5<omghc!0<8<)bv4d zZ<!`$d&Hv~bmaky$_u4mpm2e=MvN^P7+xPlYp)hzw3j(Ny1fLz>8ZO26ulZ?^SV93 z-Un409H4|I0P0mkS8RHH{{vbIrU6amkan_1FY5wzq-LBps2FWX2Q}kXSuikwyRG09 zhvFapHb|NI24z1ur2PVINP|MGSM=LvP@IaWyy&(1{lA;_vXmltzc2?VwYjJWG+Qv# zvK<E<2JpX|qmy?FNILnX$H9kU9v5GF?BKq>xWJa{`eKjo4?PaPV)f`0y|zM@;S;|A zYn+oJ!zX@07L^xQRQ~+;=;iGNTg0RC;s`iu;fd#|IRgXuKz<bWmq~dvzv1xc)KT&1 z)lqq|U@0gDbW}W=k8m7*5oE!@@cII(`Uo89oqrqeV+jR@4jz>kr$KuFA$#3H=MjQ@ zC@P;U&)}hX!Gn>N7sLYHXU_UMNgg~40V!o(xR^6Ayq<*KpGZPaFQ8qRpp^Vo6H*pQ zv@m$|b`*fls|BqCo3|I_0~ZyEh8+_?P4^OU@L;@0uV{><BEtv%gIvcNz!IQF2ejFn z1+uvV9J%P{O_i0Q`WNY71kl=z2JjqpC#a|b9g4A&iGhIuJTn1ad=)eo+?`McU(~>& z@?sKb(;sBv6pM<-4v<2S22fL!<Ao|naW_1=u9-0~yaa6lMos^aSs5&O0DL}PH?MgF zD4BtRy<1cn%nngeFueU*0yH?m;Q?K>4W3*Q0JWSHJS<Pw`hYSBhetQdEs#?neVl0; zh~Vvp1aIrf5(AIsN&$vaRgdn53Q&kQR|qihPdU(XpmaXC<~YUxE~_Cq%J9JJXFI?% zA@^UTn=&xGGy)X|sQxK4LG6DYV^Lvz(FNM;2u?uStj`kU89W$SuY)Mi-8>$kJxeck zm@+WD-Uf*=)by!}o?bv@A&bh3GzU<+fR`=TO&Azn9|aqLqMyI*Go(C4$<M46@t|O3 zEldC{>b8<nWMHU|nP7OpqnDRYT#=!jv741mT#=#q2V<!%*vB2LT#||mEeA?eq1^8h zp#07{18fe9$_sZ_h;txmpMM+cZ3#t&*VjSEWKB8n`oIfu_dow%G)?>iIvs{Z^#^!x z2b|zQtL$1BJXj$K9+vQqyQqK~x(qMuE3s<<R|SXEAaM%m?3V2M^Z!Nuq(A?`@$w?o zgn{8DXhIbwJjxWY#LugxAameFy*4N;PlCe|M4;-|LXQtn{_S>v>>z*m9#UsO^Wh_7 z28P!MQ1xq|>F;Iz1Kk4q!Wpy|up1twOF+60ojC)kRJ#S?CxCQs0!?%D*8T6?1!6S+ zV=NVH*bSQ9WGLbAIPNTP54^-a5VX6jL~+6d(BXuU94$;BgE$NieDL7k*cBnfzyLX1 zjqR9=iUi|f5D7W40>!=jZGE7gUGp0YP@mfX)aNz;k4HcTQ$YhOutBa}pu7(%13>GO zIbNuO_9KFJS%X%(cO-yv7ib~)Q&4h*m2cn!TX<AJogmP?QVcHw%0bBpT-rhWQ|-~q zS{(^Wtf2bT<oEyAydIsRJ0s;8{<H1|iGyqaCx)ZukZlVbprJXF2@^byH-HA}K*Q%A z-MsA}wXG*h_$EvM9W~V12$Flf1;SxXjFe}1z1*XhRRE+6R2qkyf?^W1_Xt!Df|4W1 zcP}=SLEQ(k61~JTo&iZlkkSG)bzP$1(fpIC)C88ip=S?(_p+vz{rUfTGnx!HsFL;u z$;|ZVWxXedUVk5m2i4!N3>X-|ojFkQL@j^#+dyaVp_Zp;Wjv^H5yauq4Jqp_5AnBx zHi>o501YrU|7PN!0zM8|p(92`yybSO1Eg^YT0R;)6SCq&yyXC7008PySQMa#hw}8l z|6gARr3Ucb7~tKw9%xm{|7oBwb5T(^)&N?N$_`4S;4ZMl>o&vNFN;8@1O3)#V0bA8 zE^9#^MoFJ#1jqY$Q$bM%&)n<u85myU8Sk@2tslW@9W;IjI_3r*6rgHF;KkvhKmU)p zs91oj2uQ*A!XIS(T97m07}dSusO1l6{0d|m2WWr#Do{G-QF&oy2uggcmxJXQJS<sr zR)d-qpj!Z@GI;c|)`C^BsJz%>1X2a}*i}6ShS!rZ>U-4oP{Bk{V8Gf#P9VpkwukuJ zmO%15%Kmvs`wz5^8yu4c;7(2_=-9?y*|#e}u9i`G(P98{59?mA`&h+-<ryY`8gz3( z{BG7dMMY2(ykAj~fj|6Y8o%DbQk5ztk6zZbVErsAFP?yX?V{oUt#my+nvVn=_ON74 zUM0^^d=(T*3ZFoGhBaPvrh|ruSyVi<S@l-QgJ#S=dGKo;_{1;ZqoVPNKl0!wegRRP zAA$^N{CXGo+x9UqFnsdh*E!(P&C3RI4rnP8sMrFhT+0*XWgfk(sxWuNK;2>C0XYIk z0o1OL@X);IVR@oV9dz_}>wy|g{_q1RcE%lq+5nz$1Sbio2RaXWTzugHIt7XI1VUHD zf!9YodPUc-0EM223dmT{=*NqH4?r;lst3Wn{7y#j9MUKLm_rcr`FFjr2VY(D;)xFE zykzi{BRs8v7^vwj*rWLk%H1TO_5)~Oy_eS*Y&W#{1sB}Cyygm^LnlFNqf0MB9D=PE znW@9T@OnDPGW7Ju-v*vbM>#JGt^9$MJD}sB__uk1I>_=3KN$=!y-om?6yQO14Ub;d zbzz`@VS#K>dvW6ts4u|UxEv}7nH2!{M0i`|VNMRZ330N5M{fWl=&V6`P;p}5(Rl)N zT#vj*^Dl>5g@&IDC93?}W`OFKmfNKQ9^JA|AiG*`^S4%k+a>Trl5fAh_o7alf#Ibj zyzs&FFR0=|EpI)#qXfV?q}%iYpCW@t<39!k1_u7NTu{yeoetVipUzO?0-99waBQeZ zXDG3lFkymEx4(jK=PA#F4|#pM<0X6<Z~FA6{rCKS#aHp+1mErq39nugJ&)e9|2~S( zJS{)+w?gj7C{h5K6X3~Qpy1J2q`<$eD8-e3n@5TZ=Q)Rtq7)Cy>-?>d%M>>oFf%Z; zuz7S>DR>-w&g;QkB{9KsCuo=yG}G?c%VOzq@P!oU(qIP${^l#7db*qC7^pYm|KH=_ zOJ0x0hyUe4Gtg5`Ffb@EfZEs^EzBOh&ftzIXi|scg@HdL1_F*bBt!PGYj|`|%ur=u z@X(%U0irq~yzYqsV4i?SXCs)^oudM&PCUB{Bs@BcBs{uzgB{F1VS*=UFE#^10|SF+ zFN-0<mBpazFOIh`cpQAk>(TfO>begg*S(AdM`ewQ1NeU9oeT^P3@<JPf^Xq-V0dvN z5VYtaMnwX&A6wzY&LnWhM(hA+TnbuAgHLsMkPWGxAbp6YEU>bW<w(lFHTF)ZGRO%9 zuQUGsfAKBl@BbGMLFR%7e!D%u*E1-1bUT1Y;{{&0g5-KxH}6L>3~Xl()G$b^mJed* z>t#sFz;?Pql|k$*2ie&KvNJd7@BbGs62UIrgM4U*IH+yG57xzM!2`-OEGjSTGr$T3 zFue2(Wbbhn6;RTBA({F2{|gn6>HH8^E9)Y)Ok6+)tA&GFCIK1@3@>k^Ot+%9Pe8li zQOD50bzr0I0&Yc6%rWq{f%e0LihBW2;)86L1y75D3StLPDZ%mLxDO<uS$K4NNPxr* z8miJ6_*<TXldWt7w;}^*U;p>Z9*Q@?V=0~IJTz~DlX0gPXdYC-<KSan59SC756#aW z2SKgM2nCPM0`Td40*JKdxdWa-7(aL(d?Dot+Gq{RAfWQYtJ~&y3%gIR+kel4FL*s0 zAApjeSLc-DAdh%-PX;GorU?@~K-22r1nj{GvQ5gP@i!<%7AJXh^W17-L`lFcA0fIy z9Scz76MSF^xNbNE?PNiQ4n4YM3%Nj3wmgy^y`KN!-hIgfPWtd;_B(GtQvVe{P?|pg z;T-n^t@c@{#=!702CWJ~tv^CA>JQL(rv(4DC`k{{_*7>J+8AVqkBUG?jEdk3mE({o z!d8K3s4+0So(8Ev&>KWi93I_8paN84!UWKI2nC;RchD?5zaTTHa|3G7`*b=>cytyk zq=A=J9dk}%@Hp<A0g^f9oXl{{Ih}!D(7EE6a|%ctau}<ivxkRfxq`>RXS^QF<q{s9 z<q|&KO`r&JY+*nx2a!q`{$@qc*$mw*2OL`%Kqp8bN*d43IpC7Uqk9v`lomEn5$+Bu zQbFzj6;|G$>j9C9RLE`wlVdGxD5+)7F-Uw*0viKLE#1Wu6TEs^_z)!>e>3O|U5{>- zLujQP#0~u0+*3N7Q~0;Jr*}A~gR2+k2_D_<3LXa^@OpInOL#Dz@aPtG;sDh~zd%{K z%-ExsM-Y;3PI-L4<e_-cL-CM@<;7wV(81}9qR+%Z9eh~p@|b%%!|M+oorhlEfux^y zA6QXZ3uhJkfY+p=q#ypa|DYU+(jEZkcToKg>KS^0w=65bJGUO)(FWkEg@0R+L`R5< ze8VsBg}pCS)j-WlP>-y;BLQ5KihAiOGI%fta(Hx#+Jl%-iQ|sou>%h%w_Eg+E?Av{ zN2lm>5VN}hY`}2`@XQ;yp<u&M!tU{(_lKAwL$mE)R?yU=IcNk3yz2+liU1ei9N-&> z7#Ux5KpRJ3=@(k+Ag95a4WM~hQ1JumMh39{`Tu(R3rl4NhL=yE`3zTjuL8{-D1g_m z!4tYiXR(Aw=Rc2w?|D5st4U1npoW2hr)GtMNB1sp+G6+V_Li9730e7B&%of_%ff}6 z#vpY*s7Uktf70{dOJ2`LaFy@Z*>WC|Mxo|_k~+vFc(LZ)%fgLp5@>0a2e@!VGRCWO z4%8TEQupYtmhkE2G56>-F$cA5CZIZ~*cfMmcjVvZ07~&7I=RC+88r9_Sp^A6o-aIM z$@3nZb;T2$JW=Z-BKnVyLB$v>BfQ8{#NU4em6sZzu@czY4NzMU)S9URjpcKAbo+C7 zblZEho-9*>bSFWZ^FZeffL2CHyohH3H8@~px8Z>od>~i9_@RI(FVXTBxUxjKj|QOt zJlWqJX#gszeY%|tK#epFpKdP)(7LMtpY9+HQ2WQgg@0R=g-b__in?RNZ-!&dpuJa& zkXEb{hsW_o(9T>?q2|#!6SS4xqjx80zbMGT9?iCISimc}*MYKmXCp)cv~i=;MCFAc z=vs8xAy%O5qyehdIY8q*;6tZCLf})1Bwm>HL3Z(}+c1=df-;<gM|YsXu_lmP7+^=5 zfbUD{6b9!Ju&bJFb3xXZih3LeTMc$4;;_%|8Wj)2123ZNz;pBP6CA7N{r~@Z_6uh_ z28NfQ9UU)b$}=#4?<)t@NQmIGP82A^xt;}S`4YlEojxiOFS5axe8J-Ig^fJE^(<tV z&y8yS9XWjF;~GCc#tBJi(4ordAVaW>AD5Y;j#r}h<v_!?ps}zQW`Zc@xr5Bx3JOlt z{Kntb4oWDf>zk4x;|uWlC5aar;oxRz>1L!>FSv2+p8&E3+BAB>2;%j!?w^6A41C3k z21o)nGw~uS?(hE>sgZyGzX$-C3%`))#X?z7`v<vmhu*&{(?Bb(ds#PcK(Y;#j9+N^ zfZD!aWEmJ<A3~e&;co+<`G<8qkOOQzP=H6TsGBROYb&Di!d4zsnSf6!@KJF9t!?_r z%HIsW^RZXN)1y1)LV`!H$pw$zvH*{ZuRSc|IQV<P>q&cMxm>|_mz)M)h0G6bxOiwZ z+j=pAbLbt=fmWS7r#+85Xh8Bw%!LS_Zl4PYKHVV~0(^R-8GS8Jmm7KX7BhNumRyMN z?6tYoY|8`EUCQa($#Uyu9;jsr+KX}E1)DXfD|q2$G+3xa1>Af002MG19=)s<b==@u z8&teNrYk`ujs$3tr^1WVs~{t_;8`03P~+VKv~mG_eMW#sXUM&TX4`2Dpeh)268XIV zkIq}5sw~2z^Ry@EUQO`k8i$u5Ae*~uR1#iPf##8Kdi2_y_UJt9(|O`WrWL5GSfOEf z;6<Dj$elM{@_|(Mvfg(F1v87vi!yL9!_Uj_Jh77%)Qws13~Cp5N`vkPhSt|8<pF;i z=xRLlHgS}IM{*EoxShZK6$1mq4p5U5)QC~=umv>)`I|R^620vYW(J1xDv#y^>>dYS zFnid7juql>z7LY?6@7Y8mVtj83+PHIXMV^<F1@@0hh-V~x3f5cvY1CV>q1kI>s?g9 z%a|BnYyyt}x~NDr+ot_jU|;~<a0*)b3!0(KQGu*D1h=4HM1ZEAUwN?BgH~`He8KKv z`;&ozp*Yy1S5)^B*j^@&<{!?*79PF4a}Gi5eBI^I+W~4TdRQLhZvqXCOz>a@b#OdX z86N!q{}QxG&ZF1K;HA+2|NnP7gF-LSiGg7!$mAF4AQo867sLYj^hK}}C~S457#Loz zfQBuofIti1Vo=)-eas3pAI<^Zs>JNmd9#JtqxlCbe=~R^S+9wMPq)iwP)hzB;L{!Q zIl=SdD__eC{C%MFk9~Us{(}x*Yi46)VDRsbIrzi7*XE!{Z~c#ETcdxVFbnZM?s5=R ztucU%NdPHsKL^e#A)g~WS}&Dow=g!_vVqld`gBHWyaWY>M{lJDc<0ZJ7k|t_DeMGz z<A6`+EpU$T>^xw2;Kd_zaF6TtGEl820P47cTagkTy{zGmpqOM)dEqAw$?l+O-)_)6 zXRoL;NUmGvRI}}bzaST?dvr#?4?sNS(aS0YQUGdRZj=FOhDUX8g@#AxjThM<2ld*V z0@bbxFJeKH!KZvc$Icvj!QcpT(FLE*gD<u^Ffc&U{B8$OyiAo~V0c-8et$!m2ikZz zeC^Cqx4+;OSsV<I$pFXzxJNH53-Y2=Pz(D7mpiC@yduHC@Olwi`3PQbg3?}sj895{ z7eRoJyORk7*9Fh!BNe;gby3byNOb|ov*1;h951w^{{DYa7X0`Bi$suCL~*}KoPpsr z>Uxv15)bsg3D^`3k8UsUSU05A208@vMX)EtK5(NSWFNFO10F>N&6&Tr==B%05JQ5Y zRO~p53V6oI&kr<I4eJ}c;GgmD|LeyuG{hMgUKT?t9<=liU4MaZ{9OZS^>L8~Xd#FL zc)Stp%C#PU|2NpEfY*Y6_QA9s04-+(Eun%ACSbT)+UqZPCo#CA?$CiWcD)lc_~Fqj zD*00Zywm_x485?i`}_a(+83!}Sl3^uptcua!Qk!-2?l6$<AfLk!|P+9+>f3fs!+>T za8nOd-Gdr$JNZGkzjJ}E7dZHe#iR3rN9)NNdC+`b^8<d5<{w<eoXrOeI$U@pUf*s$ z5DsQsLM*ul&EkRTYjAT7#CWmM9~7go{PV&H<fU9ltU%lbB2nU}%n+kJ@J0=3EDTh! zzOb4NF&5Gzd2w5mf#LN|kWpZaYMvVMdKFNj5@@hsDCP9%hE39}ee(DJ>klucfebkU zG5}S-F<SnFuN8-GI{+>3XMFLa2;w40CVjC|gn{Apc93D{?H&F$(57nC@wsj%9#8=$ z0h$H_=g2N!aE@%d$`7vOLE*^(I=S@4#xRgIpvenR=@c9aR(84#Ng1eT{-O)23^J;! z6Z-f6i{t)(|G(G-G4~qsxxb*rz%NcZfeMh%!VC;A{m`Qay?qI;PBH3_ZZ96t(kTV- z67&!iiS7o_bSKDL0xx#^fZdt24auG0#q^Ir9ssZ72YII@1gva-1(Gsw7T*n31{sNs zg(wS{h@=cWU^@k>3^J~322sYg9Z8ues1D5q1;+}AlT0Qc$$}fU`yD|c@luF^;Ux<+ zBv8`>=K2PP7hK@g{_rlWw=e_4YaHtv_}lJ*nj`4p$KlcKQ2-7=k8W^9CIMcQ1}^k! zyusn3cnZm_pvCJi7K6M9DI8f;UbqH>mF1NqDFX*z4OAH<HON7f$sj8Ot+{y-3RMOP zzVAU`(+*%nhF-|u|1UBjPSTi$WEXfDaf1UW_*MxrFo2I#1m^&h@Z)b2gS0;!Kz(=% z(0T_8k8URgSak$icnMzU2^ydTpC6{+(QN=Kf+5TFK*L%uvb?~K^Fcm&9-NLRf;<Ng z5#yl0|6kOBVj7;t6hK1RP$7s<c_BVED?{=NINV&IhJokRUpxa<b-k=lN|BU-!%Y^d z3|woy*bh<GfgHSG)4qXhHiS6*^im{4z}+qndr-I)2{16cJd4zHBRc%xT|3ZuwHBb3 zkcLNhL;<9Y3<*DYiZXbi1*&L!S$UARt$@QX5EK^hZr$gAzyDv@fub6Ioc05dkTz5Z zk{{0ofFt8u36h_{K4yU$21$3zAj*~?D+7n$T~LU@#xP#gLzH=7#KyM3zyDu+^Z?t{ zy#&cFaQJc9fx^#-pNR0o(mw{3>7WS!Sepc%_9R}!p9R(3pp#U6R3thWTMm>sG}vl> zkY`{h0gqpTR>PF?yq0@04O{_(md%1&ncaZ~-IJCuF)&yLh?G16-53b2xfsGh>*?V` z7ma)b`^TX55@`Ezz(-bfH^7Gmx<Q5>X94XaVt6qx3}OScAt2Ak!0;O1dI=}gx(K`; zqtitNR2hMmNAg4alF+5c-Jtv6JDEH>y*NNaf{=-l7rS^F7+$XcCsy?Ot_-wZ9Cdyi zv|kO}!0Il7>{3J8-UX`uK#5iYl;ag%d^``T@8Io+Ado$1>&2Zh+z(z)EddG-4hDu7 zek&oJVZ0vr$-}_#dO6esXyw&RNO_I2z7Nud25pQ2Ra~$6K)nPP6^?EXf!32{68}$j zdO*sSLIIErY>g(u;BL@iTcFNJcL0Y+=fns`28Ib9ouHXG7L^w^U`?P(zPAH(k|=2R z!i(*Kzd<XlL88YSK%$`J^m_3NdmaXcmtN4C3gQ8THK6geAoRI&r1kCK2&jUtHi4di z4XT6$5K#acgw%NP(is$puo>hRr??pyUeAEohTguUihY%+_C?{auh&Io17v@x2xR{^ zBulITpUVu|UkW;%;l-JofBzqMQE>o;7-)TuB&azFI%T^TbR-fe0=v}z{s-NlQv=$u z)h&9sT9yG+gc=wg0A0{}z@zzxV{LKgA&=%?j{MEDL5g}sf;@U<FFlrH=oY!mzv~fu zvH1j#-hlt$V+;<yvi9f|5%A~@0`XZrntw6zH-|GZFm#tpF#sLa&|C4JfAhc25)p&f z3mR;9zX5eZr#IMc0W<o(gHC5<C~14Wb^^3@4{BnA?-k(yH3dN}YX;E$)!???JQoIr z*G|N!EO7yK5m>*fKzha?Lm^$27pJ!T`VZP`TLIZ?i+t$x6=RU5Tn3QE7N8JJI}W)j zkCDNno3#;a7U%}WUKbS|(5Y0Qi3yMr&|)*Nk}$B67VyEOP%B%&`|&}X3Q&g!!~hRc zLwpCWJ6<?}5*(;s(t4oOwL3&br}Ywl8)%K%1draR{~iZ_+j|^*ZSB#^BIVKR@ZaO$ z4^|J*TG(dLZJOOaEe0M3A29tt#lQJtrw@z4%e$ZrGR;32`CE^H&Te#3(P%xu-v$~q z0mUtUGkDieuZV+3uk62vh&Z)3JlS%Rzh(Zv|NrsEZgEVv4<u&)pWt78u+vAx;AIwQ zgO=_6R|*Uau=8CuH`rbT@k>8IqL_cm!PoOWdS$yG$}zmO0$K7xK^IiuK@)J}5m0(Q z?9q7vyr}O*vH=6bPDfB1HWI`F2b8}7XmA9ozzNC$t1&eIjXLb-U|@K83T43nO8LOw z)(<J)GC=LI1W<b{0WvcInN#uUc5?6mbxgXwK;;DJfT6Mo@F=7YcsCDdb_KL;4wN)N zWwr#Uz)%3?9?0!`(Eg<bDE)vA3TAjQ7kZx}_zJ}c=<b;WkLDv8hYb(BsMiO%I+LA& z0X+T)Dr3OYncyuOpwpf$0}M)JJuCw>N(4PDFP4H<*9s_tR@VwJfJqxLX?GZ;6N1tF zTLx)=CV>14xepp*frms3V|S1M_)h0ulW5QZAqSr^yYO#wF=UzG+Ii5i`2oLU!w;qs z3CC{-`AdY3wSX@K0PjeE+^OYw;3bP=!%s&37A{5x2FHe<Opg3hL5E9~UgqCkV#t=( z`mN+7s7qwg8KR;BDrg-*p#?v2<0tgQ4Fk}`)r+kUAz1)+;s*F?P4I1g;Oo7>!RG-Q z+^ql|wSmPspw4aqID>&U7l5Kf;KhSZ=t*rQ=Rwk-)g1|-<L0EgLsV2+FO>*^ywAU# zC$jM&Xwj18#Zu^5WuPM(Ji2{Oad<F;?nHo;0Un(k&`<!6X+S~&DuNU)u7(F*ego|) zo5ae%@G_S``!)^|Kgjj%4p8c1VE9lE^398w*T4RM;ummH;rPTa$lCWp0aOwlua{$J zwmt9?v=FQn6#O2YticMPg`KQ>z*0*gQsCZKC#wTQY9m;x3nB#`L+)hNg-E4=rSc(C zppj0GPS#KIU<0keQXwFz(nOC=*2^H_Ue=bWpd-&&R6KfFZwf=|7>*bGk)R9>s<t~> zH$PVZEinBv1+H!-T;1~sgt}&sI{3~Gk6zm%d3lBxUzI?Wu1BwJ4v6<$2{f7r9&@*? z1_@jP2|y0Zu}uOA90du04@T>?^#loQ0SUYT#ltEV28Nfduy~j-!huJ(jfzKai3-O{ zP>Fixj7R4WkIv5?&2Q8_nxA|C<yUBXsMmI(wmgGJ?;Mp3hX4OPI^zXAI^!igI^{h& z(>cItlz&m@vF0BPCB}`v-Ba^QOXV7WW#*-NmWnn0%qvOFDdjuHdgh4&1LHB)lOSpb zr~|~msFPLnDL4_Yk_KJ3a-hV}qt`S{ih;r7_#sfr_c(qKME$?u(aS3jazQtv2WtVS z#nb61;L+(Q@mklT*H+h9p5es-2L^@|kK>RXAmCZ6ZdMUvc?J(#5T}Io1wY8xUfvs8 z@(d{+ozQ!Pds#D#K#c+pk4{H}KQFA+{{07a0-@uX;PrGIFODkw`~Ttq-{1c)x<TW@ zy{uc#2r>j@F}yf)`0xLSh!?G8fB(POAj!aR*rS&fbP)us2!_#+(ir{xI?&9Nf=73- zfJZl1ibto2DrE19vPZWwNLa$7JKMnHIOw=G&{`wVa5snsA7tin+!-_x#Ng2#&GG*+ z%=j~)85s_wc~sC*LlF+3tA02<IwLGRIvqSbIx{j*SHyH1dsrST<@D%f1&t5=e~{wQ zX##ahnni#|=P!?L`~TNLQ*XVYfB*k~faED8CfE(=_D2|aSUU%lRCsg?dstp5De$lc z&pwsrd-R$f0OjD$0tb)I1SE&SLm|q-qxm&xN3TXH=w?P?kIp+VryzMS!oZ^&Y-EZ@ zCnV-Qx)Ursjyr%GY=@ybo`desz4Pz?{|jfC!R~|+=mC-9VF~eiDc1`F&|ukZaZnqu zH~?8993*iFECK5Cc7pD=0he;lprD87_W&)f=>{z^c60FPEb{<0^f){^-7Gvh^D;a- z!vZ|IrBggQVb|^^TfAUg|L^~c9B~H7Mj5CBcYzZB%P@E!2Xy9#NApjGa!_pjXJlab z|G=a9rvsQ<zy#(t{sgr{!6NryBB09wz#=uwP&Eup3=CiqDHfOr3seNO*Y5uV(2WKh zP?0cJm>L17$QQVX0#sxZ8%&J@RHT3%Cei>E(c^%LFfc-Fe}fQVf{3i*gsWkJh-7fV zMK~ZLYTR%U0f@*Ggopw}WDyTsjRQm^ffp{)01=VngNraQf<xmDk_Z!6WEMX{4GUN# zLI5Gc0TvMxM2HB0MXn%;Kq70B5JC+kz5;~7BE78TL7-d(j&ZaOJ?MCe&KeaCQ0o%B zmQCWtt5!(28Z!KV(!MK;MAP0KsQ@~T#}l-l(E)Ny#q-ZgK<y@r|3V<j0F+<BGTkvM zJ|3OVJQ(kLFy8afy!isOI|gZ(qxkRt|F0*4I!Xo}me!?fJvx;^qaK*{dw|-O4xqNQ zg9ozx37|8lJUXHFM?mZk0ND?g>5fs!L9zc6sN2$=qXId!?ZxLmF#7|r+u!S=@&nW# zF01uud;>nlsB;bEG_zhP4RuXA=(uFi4lUR%KM*%f0iOm1a@G`Z+ZJ?n29m1oIVx*F zodx6|@&`K+YI@@lkfn!TfX*>^3BF&acMrrMOY71eP;j-Qj1Tp;s8oOoKx;<<u#-W% z?LfyvfwC&7`wpg&oea89rMpK3be{?|7^i@5G=n%<0Mv5q-UGg>2CAxij*1RQ6xqph zK&M7@uTcS;-gpFL>ERdCfB*mgG703E-aU}(WZ+Il#4pIbApgSM3mOXOT%!UiNWks| z@lo6h>h$-hXn@3FK@7SR6Y5@&Fvz_KRUr3*M3LS52DGLC<X(_O;}H%f28P2gp8rC2 zFGvQ5dqJAv?gbrP3UV)q?p>n-+IkF2px`8-z{0=)3OSHG+`XW}Q3!Nev;asL<X(g- zkb6O*$nLcPSqXA4NTTrw2Mfr(<|yt3$>4DBkN^MSNtgqCbHy4J1`rK$FNj77Uk-?S zLE>=tf{Ig!dqKh=_aan*+zS##c5e^(3=F6(jYmM19)8jO6FGcATCljcyHLQR@gHb6 zD1Qrhx~sQE1>7#v{NQ2xLx_O^lBxwjUGz073?Lfh3lNRs3jv5PK;m#;fC^ZMFF?W| zUm#S0d;t<g_Qf&qo>ZtUjYl{HK%su{$N&G}^Q1gLsT!mO?h9~H3nmch8^3>)VE$2n z`UgaV`~#v<{G$Nz4@eyD9|Z;mXsQPZgZzU~1@aF_6xl!DeuBoa*MRp&cr+g2PyqSo z<9B5LfV5EHA19c99H9OI(IEeTXcYfAK>Py|hx^9??jMja$Ug{GApd|wk^Q3v^AAX( z@d$?l$UllG{sGBQ;GZU#e?SMYfpR&B2Kfg>qxh!*;vbMW+&>L)|A2%+{z0e$`3EG5 z?4K}DMg`?^kVNAVjs}o_0>2^04@iar|1dE^^A7_f1GrWI(IEeTXcYf2FoH`hkT~2w z42-b!1`-DO2cZh&ACM@rf7-r7(;G;l@dyV4Bg5erjbD-d1CpV{KTI(HFhTvp!~m`} z7?>DPOJN2kh<`xpz>S3|DiRD#aQ}dm?@>`er~>;3q!_8~vJG?^A}D@9ri1*$#Blh< z#xE%TVPXKaBj6P?xHLc>&&OXLFtNb=!vggW3)DX>=>B1W_y?p8?jIJoe?ZDX{(-9k z`v;^L$v@A&!s3U80aP9^urM5c@$fT>e^@B<4+qRY98mvoK>fpk?jH__e?aQs{^5Z8 z2c#V2AGj*8e?W?n{38bU4+q3Q91MqF2%`FjgEIdJ!2AOmj9Q}ty8Qr>-UQJ7BLMLa zNFCfi0&xF;l!N>OR|WPDNHLOs+`yORLTv%1HvxvjFC0Ii#E$@F{!xJW2edg7WD{sO z4N9Yy2MQ4XfYibLqX730XsiX~AGj*8e?W?n{8RQBmfjQ~@uR?S_(kDI6#pnt<{t-` ze;lCc%>kO;9MI#(0pcH!I=Fuv;Qj$A2l)rC3hW<{VkG}S?mGj8mIK5;4h)B1Ec}4t z9|y|((*W~N1JpkaQ2#Wb`=<foACNk@e;VNa0VxOh2d)b2ACO`s|6BuK;RW(f1H?ZK z42NG_e2?Ov2Fm=yzzAu-F)%Pf>K_J1Nd5sGS_A1;LR!rX42+;wGguwmKMah}_6|rG zT>rpTf&BwA6v;nqaQ`qcg3C7s#=|cdQT@ZfNKtvszy$LT6VyLUQ2#KY)jteO5dSbC zdRYt%OmP1&fjWi?3~*Ht|1hESUClng@(%+O#6L`jUl_hai5~_g%KXCu^A8KuKP*uH zu%P>g1>zqTWdE?h{lfzF4@?!rKP)KzNqZ0X4-3RUEQentzD4y93uXS{fcb|5>K_iM ze>l+n!vXOR2eN-S;Qrx&`Uj>8;vWta|4e%a_YVieKOBc&Onigt9}dd=1MSr_FbF{X zBLMY}0J?t!ApQ|R_KyJEKLSwyz*Ir}BY@(cW8jO!K;;300K`9_^J!nB`bU5=|0uxX zM*->|1*m@%(EXzT@s9$se-z;UQGogfrV8R81r+~$1K$7!_KyO@KMIFme0+uK9|g+% z;{fxI1JpkbQ2)S(tzhMw1H?ZL$o_GF`^N$5ADAkLe;iQ!qlWO01H?ZLhhHe7`Nsj9 ze?SL&eV)+#g0b``c&HsrK-;Py3N(nv0h&e-@aRqc0UEFS;nA$4!s^j21)dd1@#%() ziu-i)sQ7kzIQaDXsBrjpdRTZKcZdKDE_-%nWcYS_1o*a|EMa}I$L{ZcWII3;rBL%b zAHfat1kLjl`*u4Rcy=44cy!x9W(zF;mBe{=7X)}%{woRf>^68&_y7NYl*t6}dU)`} zQjLm%M|VAkhvs$2G;rsAkItL_FM!4a4LrKbIXtvWR5VgNI-y2)e(7{kkvI(3f80d{ zGX2pRqN3pe*IWYPK$L<)2Xwwwj0y*Qoc-__xQS3to@IXh526x6B4!pW6FACQU)%wW zidid^v%a|W|NsBPXBupIPAM=jma_7%d-0l?f87UuLyv=x6g(I&fac0L4nxBWbpA_k zh>F5X9R>ylh{+HVD(}GoI{&3NL`C8yXyq+L4n%(DuX9lmNaH_pkmWOf!2yOe{`?bZ z{O?(hoK;{*<F~kTz@z!6K#@vGJ!lf33`9Ecw}E!bdO(K#`P=*%85mw(1PzL{o-Fb6 zXg(m2w(<jiiOy&Ke2)(vj3+&MS;bj}7}EG}^MFh~0W$R@GI5RT@Mr#fAC3nQ#jn7M zEf{!CyuJWZb|8)a8WTtb$Lj-W{O@_Kpe{T4nLl6PGk<;z$A>ij_ZQOm-`@l&zXT#~ zg9uF4`^#zk*MttI@#kMm<IledGVRS7kU!sxeu2=kf55cG9k2@_CVb}4SNP1Ip8>M0 zgyX?y{`?xSl@HSR-@i=bfBz|s|NU=}g-<}lTM+RLMEnO4#EHFsmd5}7eH#D!r)m7x zl#m>l|1ga||799~{>L=_{GT8PTZ0|=Uep;v%X&j-)nEv%8x5gNlOeQiHkd~75H@#x zcHz%w01+MnATmG!L`E2Z$P|tPAS#FB0*C^IfD0rDT=?G~NOR$Te-cDo0ui@C#1jzl z7DRjl5&zR%LFougo&=MZz~pT(`2<Y91(V;vBt6u>Ka%Fk|Nbn9xCSEbgNQ>Q;xvdj znC1dXbBJ_;84X)bLDJ102rYXALaUyE(7IP3wCNoPZTkdF6BP|E91Wm=_TiWSqCz+p zfT$Rb4IqlrxB%}qdC3kA=mTJqs3`diN*w(89-u4`0Llyz25BzfSOt-w__w$NN&psj zZhz*_PjCQbmw?aw`2`7|`SU9Zz-mFs!r~4nU0B=!B@Bx@|2<eiGlw4kPx7~B{r^vo zh_|>yg0DeIfvAK6DoCLiT;9S8RVyV1CQxQ$Ejs~9Ef#lJYhjEQFyk7ij)CfgP{{f{ z_^p%}7{LaZf|Xm`VYPuVoWTqX13Y?JSN<1d@aUCY#301r!Fj`DC1{@!{}dM$4p0>Y zUO53`K&(46;f2+tzyBvdd7$+IM;bsAY89XfwF=PPCK*1RIVuGyKHWOtO4qkL*TA=X zEoiZvZ}(OOVFm`@-ZBB-P6*R_tA;QGgJ-AP2cK?z-_E=rCE}oaUNU^Tb5sgEI@v)D zh6<17Hw}k9I&D<IRTyabD`ct>v}#HLH1ntdashaMzXfQgtpjM|tp{kkE%*wa2%k>S zKG{W=Kt~zasDMKZ(joyLNZlO*I>QB2F@sKF0`0Z609`-h0J>(~!w0nK-lsbSbQw;K zO2W%{_(V4}1U#_cYXq`V0Tdb<pwKYz=}b|v067TeG#}9IK%ix=Ag6)60AAq--V#s& zy3iY(&by%#eVsijps7313Qq7uMki>UcQ<s^CTNN5^_8GIBtTRBtp`e^LF=$Uo&;~@ z<M23s0JIeK%*%YxR2z7&Me`4Za#4?7)(apJkpA*jzy7}j)yp2uKODePtf2ZCBozaa zG65;H1-S_<X#tb82T6kNFz~Pi&DVh?yJ3<_Ajw~#DM?$<6dYI*G~W+$-V0Wc<Xwm) zXj&iS97FJ;85WfnFIN8g{}Ox_uPtbD39PdPCV3hp3En1X3!1Y5OJ0Xbt^-Me*Mr%D z_U@JodGxY+f#$P7UYWS^*Z<c!9<~gi`G1hi0hmlVNG1rfT^X#$40MeJNKY(C#sYLK z7$XB%W(`cn86+d;(aUPJLlChM4776!7UHiNJdPg#tp`2>x;F<LbKTIG?1o%R1WJV! zpd?-ZngGuLwJj4s2@tfMR|T}4R|T8^9Y6`t0+b~TK$%0sr@ID}WY>Tvggu})PC?Tn zV!jCVlmX}voRr6LP{1;r@i=|}w7%&K$acs~J$&l?4rng;Iu`S=%$#F24>BVTG7mfz zj>A0oe7FOF`EYeiH=|8ym*4&W|MgPPG#WUREv-w>fc$VA=X^HEO3>6YxMu}Q+@P5Y z5Dg6`tX6`OIH+^P2%1b`0a>{SWF>fF8*U{c{E_FQ!S;bO2KM=A?Dl~)z^0U^-1-0i zHN-xUFb?}ble?h#WUzhU)D4|S2Kf)f$Ll|k0@#$W3c@~+Fb?}b(@b#tK=ZJmnJo|v zvJXV#wGX5KHl@4eHr#(8VJ!9`<~vG)Juv2S!9E7fp@LihqCqYI(Rf_|QUIHdH3GR{ z0ysuN!f+QrGXj)C#4m35faYSs?g7n#g4_e5LGA(3c-;e10Gm!-bnE~B*AVxBgeh<j zXf74(9?%>n$UPt$<Q@=>*F7Kwu<1}CgnK~36u1X87YcR{XbuwO9uN(34~WL=9*_dq zbY{~{c=&*XDR2*HE)(n?&>SMjJs=w79uSS!Js<_3=@ig(<fj{O_ke_PxCd<mHEcU1 zsJ@2m_wYD=0KC!_R4_xfP(xE5gn~AKd{hKpeth}o{~09y#8+TG=v+|H#f>iwU!(Fb zqVWsgpvnur1@l482+&TimmAUef$zZbpmqM8F(CWV_!Hlw${T(F^WpBf2;sxcFZ}rD z{~3Nkc78#30e(Su1%5$y1CQQ%hfyIsA%WBs2PX)A0XC23V*>nw>>ka>1x9(JAu*Z? z=$RkT^CPGY4;_y-JmArKo4;iRs9gy<TE1;MgvG+&HWk9+;BT4&zN!n#7vOK}2i+jY z|NekS^KS+IHt@xA&A%P^+rZb_HvR_n!T8%inmihRgBqm#ZJR;&%-OQ-RA69)+-LTg zzn=Xwf4#c^h)@6#1|Y%#L<E3{1Q1d1nZLdrWE_YFHJd>+Xgw*225k@o(V)Hphz9lE zLG%L#1_lrfIup;M@ioW+9*xH#6xcb%O)uI1|NnpV@B0HF2Qn})6}uh%SI>U*U%k5m zh;RTA2_B8FK~{S-9*0n1e-&Rl`mf$a{sEXg045iJ$%dmp$`6A~0UZX}d|bh!`S}A6 z&3_(@pO5~1&VKaob9V(0;Q%6V8O^`$2iPnergyM+xXAxMd^oL>J+0G4{@>wF_D&c1 zKZiX!*+JN&(?$NrVQ9d*$bWz_!C~wo|KKx!J-VnnJmg*E4}j$_fJyvn-Lb@yi+lrE z=L9gh08DNGli17!*9r&%njTA31VEil32=)14(fM<QzR%pJ(_>B@Hf3=03Ff|-hkEo zn}fdzv{jS8<t;>|0Dl|kWG_gX{0I?q;BWf_V!_g+6evl<(qsxF1H(7gwvC`P*(wd{ zom>7ZvjU|E4v;l0ObiSSwqe^q6BUA;A2@%k1YHVI!sgL=^YfC!9-W}0ikUr{AG3e< zSo+cvG{e#P(Sz}(hvm<*-H-*~e~}b<G{5KYXnx1>*<<M+kLKeX9?i!%JT&inXx@9t z`~Uy{F19Wox&IyP9X_B4?q=&`Kb+Rh*5${P*2&)C&vdw(t=mWLPbYh)4=BF7*}zfW z&F0bVBliO|0@djQj{1By(4>Rh2WTSj0Ve{mpdV<IGeizF&>sVr_Xp*^5OB(fK~owA z8W4>$_{^W5B6r|3e}0bKh0px?C13+;Pz?wNC8Bsx_DumNq8xcpA}RqJTZ7ZsG|+fz z9%wwZ3^blvR{$Cbc9DaO63anGiRB=p#Bz{PVmZi&y&Po3UhV-%15T%;gVJa|s3a)| zl_d2b<Lg0*)P=v^M;>H+eTY2B`1%-mkn!~(x4ZDygB<U|Uk`G<3x7Sx`OqTdGe066 z5rKo%7j2;O0_3_raFON0pFa&;X1Va^&jS})F8uk+z@?T8fBrgfvE{;_zYUbmLAv&V z3NC02f@25~z*v0+DIi_=>-(YB)lY|7S3e(WUHx*Xb@l6^*41x^T35dxWF08ggYri2 zHgGxFyB|dH_kwN*dzlOBuy_9S(ER9Od6U0s4M-j6aBKkv{^rA=+||n?=h^w|Gk<<& z!)N~d!U-P74}d}dTmm*92PLFRP)O%HZt(2<?W=hi<VjC(WCmXF(Yy`fMuKBA@x#%7 z`D#9(trLfj{>x`O`Y+#4;po5oFo&c6^5YUb7>^zOThD&<Z@s_5(ZBWK4oCmi$0v9& z9{12ZTz=kz@vsNu?;{86>XnZEDF4h~pA9OJia`ZZHOTsUXK*}wgDkEO1|_2UXppV- z$sZ1T^zz7o6FI~KpZW7)E(SRc=2j3J*`c1DKVW|J?EK}cdB{ievJc}mk6s>mXx+gt z(FPj9;+JS^U}9jv=B37CprC?Q5g>0p2YKsxd;+}M0arrhTlv@h0QpS;;x|x8*B65P z26kw@BiL_Xht>yz{RVbued3419-Tiv^XKb*=Fcw^@L;_EnLob{JP+n(;L{uaz_ar= z$Xk96ATlh#v-z<+h!vLrBGU?d3_&|tj{eI}d*Gva&qwn%D4{S2FqBtAeR%X=zS{#( zJRJbV(*jUDHFz|>gLtam{Q)Sl4uB$S0VuK>JR0AFq@bG14}!b|jV_JP{PhK(0;vMz zym|-FG+n(1$X)dT0iRv?>mxvJs!u354Aspq(dO{)|9?>6{{8<SuS1@L9P-@%z|p_Y z!xtR=`#c`qA)BGWOR`(QmDtPQN5S`8f;nf-oawGn;n)E>=boPx-1Gu<oH>qz)(J4| z2a!8$KouD)eA;~f98mSqU82I#9ik!ty3Af=2P3G>#p+?p_~HNmGFI>z_u$EUkR4$2 znZahDD-Zx#h^S9Nr>*P&jiK^m7^MJG2{H}hUeMsK09ZN5g?N>pK^-3e@2&%l5$tqu z;%E5&5j1wb;{`JV!}mwfj{kGe^)3uMlp!weh8=SSvHZ-LGdn;=@Uwyr&;dJYhdNlL zW9Jc=%rTeF=iMPH96LZ&JTz3{+Rs4McOC}?;SM{nX0Sm}C7|#E`wz6xLt=*-x&lOa zK@>=X6@c!~f|%mb`4q9Fp&PVWQbfh0bFu}f&Cm;Gf)4M7?aE{aZPV;dvH%~m2U@1l z&3bs10)q!=xrYuo{yln4R6wgVK!*Z>M=IWU9B&300SbC>n1RMd4tw<4sCXO)oi_p6 zpI&b+%K*^?3J8zPOQ0b3=)|rS>TxJVvHO`}?l;;GN=*3OpLu}c)GTYnVh$8v>@-91 z1*-F*enK+<DSd*Y2b4b)cJP7Y2%7x4kF%(PntUL97_?pmwA-&6+@1_kkpNASDeM3p zNC{438lVL*5}?^Q0nmJ)04VkqK*<AqtCfLAH*3p1IR=l;$DqyI|1WrS-suFL&4`q2 zJwOYJx@$nq)e;r(-4+U=9-U8N>fgjdk_|NULGzDqkPnOOj8TD{T?U$QGXTxKX@F+o z6hQNF;QK<sH-~b7Clh;F=Y!7mxB!|?kpNAsD1fF|G(eLr2B6V5@VUGWAQt2<Be0zw z-K>K5<rqNMtmS)jv-aMFu#*vqxb;9u6!;LR)&nIz-5nN;3=FL&OPoBqJ3tKu(0LAK z9^IY=Acn3_cY_1yq~g{CC5qi0pgV0^PnL>%bn~vgC&%E^%PR*iyja!m$}#+Z@KWIa z|NqVZrAxnoCuPAmVfysS)-6+D_~6LDk-PMsM>lT?SR?DyH3}g8^Je}1@6pZr{0>Mz z#Qnz)faiBvq5F(MF~k9iA#ec&ihmgwP=Vvp?W3ZygA+L=h<bd#;PL-}$8i@G$Pvn* z<KDp6rD=e~z{{O*#(#H+ib1!JiV4VY3y|S99^I_*ci@S^qf-W)Z$U?IfKL8FN)ljq z!w7_XJ&qp$oh%41PSFx7R0C>x3epWrkE}0mW3wI2Vvr6*_;?&Y06OXsoYo<QJXju- z9lAqQL_oPg!Uq<78aqI@L4nm8z*8-vygUv*KEM+~fiy{grkxc)$xmYkXmdC}=+qpB z5>VM~aM+{s3wTkRz9;CCbxmmD?b+$z;n}+bG&}qviGhK^x6{!e#RqzFz>5nv|NnRV ze`S9@=u~D%z4-bQG+ba5*!|%6_c(r_89BOfh9`Ko9eTh&sJqqq&*S)UCeWP8@dNX4 znE=X0ka<R!%@BGA=&%5!S_jEJ;2INjZ3s9BA;Ar)|F42=0iC~i7!;m6K*uM56$ya( z-6bkKpxPcB9Xr51TTmc^O#rC}dlzInIJtX5W<+;Lf?EZMnhD}Qu=?Z2Ktu8##}9!@ z=pCSwa^NmT%m;za^TIgq40Hx9^rQx)Q<T7`F*+@SOxlA_Z~zxGknnl2^1%Q9*v~sF z!#EEPkNK0Fe?bnm?SSSdsPSGP<FTIy$KTch>U5yZ9K-GKQLzA>ivu|c3bd%;Mcq=E zAG$#|zx9G{BLP*~E-D-^7WqTu1VHy07<e=vgxq2TYKee~3jxr&!WXu113FP%xn%$U z|Cp;SkoP}XCkpVlO~dM53y*FNP<>|LVaZim1U|j88+5Twfq;i)1V@Pt=;WwU0mB2R zA>+{tI`o)F#iRKEI8Gq`1Uu8s2^yBK&wvUlNGO2rt#~0543YsYr|bsTWFaaBuNN7f zd_C{QqkaGXzw||4FM^t0GSK(KV-IUK(6LfNOCaG1KByQJo*baF=fF)GaCm~s<QH!j z!xW)~XUM+)|6ezug<l!yK4j$V3_Ix&6lF+p4YuFO5qdfimXHJMYd!$J3k_6*TX=vu zpsAkYE-C_Gr!hH#Ldr!&;`N~y$M*jJkM%y}Uaav6KD`soO`t3LUi@1G^DajE1BVGX zquoSRgpm-zDR~QA5n8}WgPe*u-vZ=20Z8iNu;eOL0^ed_>A_Jd(d{8%=_LTVQqN1m z!_q^7e+nplNgOsj@cKKXECG?I@lRxV0jlR-2;p*J<sM4Q3$Xb~_R#o2%@r@CK*nP) zFUp8azo2Hsi=c%lan*cK05$!Bqd^z02rU|>?1rRYaQH*BHwP$tOY(2?;IQ!G00q2* zfQO}%07}4<72ZeK7#LnGS^#q|no~GIPQiK}C9&l**!)kn*u#6(uK)i*Cs%+{3wnMf zGQEJ4{iFFf+z+|}30}WogePCO1Bay(2TCA9oB}3M!jr#^`24B}D#QHXZi41l70_vF zh%yYE1~gF>H6JuUO(>vIju$L&MQE{;z4QNnr1NOd+}lTV`2umT4YbTF2>}I^MYo3v zxL&dJQYp3T_Rz5O(kQ`Jk3d}9d;sZONU*E_SwrLe^%=thuTK~rczxu>t{wmXzYK-d z6DaO2BQm~41Q-}ze42|Rz9V-~T0VfycY?Vct$g^k9ea9heuL5xglx%60tKG}=wgW= z{%uSx2Z|LudReW*_!)KzgJK4J?|mnzDFJVWH~f$+zU|TcL$X-R<KP1pk6zKp1~~?g zZXYHNk8T#G*Qa+%f>PF#d;kBx=%4lrbQUCNb)2Pj=@if!uzql=MGz?AOG^3$mtlQ# zU||eRzXGV~7qpw=MJB4E=7SEX=~n^dUKh9`wDh}r8@}`lJ?|E+&PHkPltK$cJk1=4 zgPIRGAaWkqQSp}W<mu51x|@zi#iJK=S}te}2dE+k`_B@r3bn~I&G6*wNiW`S{r?~D zdACH?7vKZbm1d)ab@M?P)L;Z(y!m$)Oc7czrfh}O7bx*fY<mZ6e~<+<@8fRo$biP< zzy*Ux^8pz|V1k_{WC07zLocpv!P?#_i$HDv86E&Pa$f6zjFJE+_wE=K0}sX<V2eN} zMZSo(2l*I$JTd45*8iXbaM^0kftsYqorshz|Np<9gjRp>!|pdgIrACZ@b*!$Fue3y z5;RGu;L#h*2x2&ZI!YEEoi{u>KYBF(VypG`=)CN4@DYngw+WL+ca0#2Pd5vf2jd|R z%PNjidC<aa1s_mvr?UifB2<lvzzbe;SRgJv=Az;OK6eswH4lgjK9~~1eX)7-|Nn&U zHy|gxwCym%ixV@vOg2+Jyg11Sue+wihF9|@BEpNv{044IZ<-DYC{UULmu<}l(fSwQ zy15sw2ra*T*$ByRpxl7eJ{ADqlt65Ig4p&XXqEK~Cb(0e?nUcgg57&}8q8B@?sb8> z7qxtdMa_>O&tU6&fbBOjfkst{GDw{T_;O>gQ!E{!jen#JX?WoEyBFs-{Qv*D7f~6Z ztWO4w9}pQ|s-PN#3GOwprOgM`QOifrSjCIGQ*rqp<P?nlU<`5o2itFC4D-Jd*#G?7 z9MnO@mw|<&I;8c8?)?kv|Nnp8hvI$I`%B8I3EKZ6+6v+$=&(X>AfgI>VUH@;d{6;3 zNWe+r)D(yT;66T*6JHpv|NsAG4y+*tbtX#s;BNyTLyKj61k@u80I&P8@Bp1w8O%|V z3>sx9iS+3923`9g;n58meF&B)Q3i`kdUSg$cvyNUU=1pdP80AE#NazN1YR6B1XU%_ z8rJ|+G+VshZFutac8K@DrEQ3cz>E2q>Ry7*aC;H9?*IRnh9Iw@_=nj11}+~aO@@UV zG`(RfA9CS}(DK`rwbUpdh-?poE?ao<XcEl5Xio72IfbJB8`yj!15l*6s7Ro-hhMG1 z-W~?!Z?y9dVfDQ(q`vPJX#mBofk&^%Wk|cyM}_0XFVLZOkZUVIg)_LqXR0}iUf~ym zteuFKpYl=jli`8aoS-Z!;nC~J2s)Cz)*fmzPXnl7Zs5@?a|%4b)g7Z^;nB-;8e|K{ zi|e4{(rZ)%7)lQwb5Q}EXac(=1H=W_;?Tjxx2ymE2PZjb_Ca$Gk>wk>t*tZ>7Jtz6 zhHbpy?*y14v`9`_jg;QV9xoupy+v@RV0SNg5X={@2+h4)Rzcj0nm?0K;}2ZULOlZ> z+q8$Z^|5tAA>q}00JaYdHV{{-3r)C?PUs6ukkz2GA3<@3YJWVY{b=>!i__rb2|8UC z>TL^1p#&TI1DCmB{Wzj&@5=xGUw4BnhGJCvLH7wGpEceMx&a&1!UWp|ZV!X(ZvdrD zNHuKW(d}RXO5++HmW~#X!qoya`2e~63tSC*fGmaHzm95O6smt=`4iqAXM^NV<n}no zevo|vpvaK`&5zlFHlWp<0c9QJ>gCc3(Eb2a`wGzQL(ZRIb877%IrQKIHdqc7K;%%6 zLqKEg$KV<C04PU-o7s>Y3F0DWP@fh5|Gz8;)dY|LM|BSo@ooJYbTkQ4d_$5Md{`gc zkxc8w5#MK*<Bjh^)bIcWDN5hgmw&qlN1CM<M=2=fb6I$CVI6*fI0+@?gPm2cg_82Y z!!Op$|Nnnk1`iO_@E|h(gX_nl9+;oOi4&v!4B83!!WXUxJ^wF*<bRNtk=oBv9^D=s z9v}~cE|C)Ou=GG3-b5>33DqwSko1dEzi@%)m{B{FwwU#cFvx)u&w$+rO79$omq7Qg zfbLzftu^xKl?m!Lx!G-V!}4FLoJV)bO%9Llnj0J*mj6omL0r)C(;EUFmY+*bA9GQW z0AB|o!3a9<q8ob7Ie0#2C1?}~OZy6%=aJ?lPJqU|{&k^*dGAD!D5UBHWsCKO5NTLj zapDEgEG78NVp#D6n%H}>9o3k|gEzqHpqB`~m;o>1&?4>slK=l-TEWu}C`X~BAO5y2 zr1|HbI;>4y1qx_`Py7N6U@vw1s91dB7w80W()jfbmWEY@@NWbA$6^A=SEY{p+a_KV zU|_JA03xwu3s#7yKvy6%LYSZ+(g7{=+UBAn@cM$`0Z4@a&K4>luj!+B4dgwv^hRX< z0QZ-IIw6q(pT9!uuYo3lU+BUWp#}An#gP1g628Qa=YZ{RQiFyq?(rP(WoV#)2hCrB z`v;IYK(N!C)L@0jp%-k6v5)5v86HBQ{+m+={_p^o7qW0gXyMVa2wQlhW0s$2^)+}} z3~YaqDl|MwbRk~u_5iQ9G4QbTk|>q*=yp)>uyn%SKY76lvc8$z{wsKX!l@n2|BzA} zUXg$s4zh4X=>A`b&HqI9-@*15slfaX@4rLK2}?(4A&XRfgBuR~AWQM}-^m!y>(&MZ z18VWhggHJnWdYU4r<lkXpR!ek23qM-&;T9-sAUBizyoo?4GjqQ#pC%zj8BmfUeQ{Z z;l+#@UMcgb9$w63gcq+8vEj9O9&N%)TN5+9STMuOWFFPSi-nBvx~o8Jcs0+ZO?drQ z#|$r4%<y8FOZD(#B_q7n$`c!2!E=ZRFMagoa-h2uK}%^}R1`q{5RMl;;HDC6nemI* z+5i8)-VJUUKnRrjnZFIRTY|Vc30&RjHG%>kG|T~RL!%7tC8<H=poMgApaP<y=Y}eW zF=Y&H{a<W=7yz!=k&5;g7PJ5Vf9VWq>!7*+E7tZKa-W316|~9^)=cMb-3rnHx<0)- zN&qtE=OIy210M4$^62(Z@aT@x@aQg5@UV=~z%xaMWd<4Si9NEgR>&EoLBbdnfftLw zGSERn3vg!<vJwg0U4%@@_^1fHsKQhSUT9@_@@2&T|Njk7z6|{T|Njf+S^xjPd<VOR z3KF>(^*!2nF|5A~p7xgT0F7sXXN$mdShpb21sc-24!-08z0Ny@-eX=clhAl^5o+4P z9N%&RrCJG(UY-VzgAZB2f#T6CBM1%>@E8~4O^~e|FIeC`=zX9rGq_^I-h<|v`TzgR zQb=k+n_nv<+<ykQzaZ_&I#_Z9r*-6INiWKjA#&iM8|0+gI|E<;nIF783N=hXZ98mj zK(HI~q@l$}i5o~A=*Br{8?ZY{!J|7!!oxB`0ZU&3+=T*73WE*;c%dN;OOrPY54^te z;>Gm;|6e9ULI@>45xbrN+&)#Rg@ge{cI})_>3RmR`9)GtSEG&JYJrT$vEC`m1MO~b z$f|}YNZ_>|C~*cC1l<Ds+ad(`w>b#H(|kvaia>{pir|aaSuk&buSx<pYdd{ZJP<9Z z7bi-8{h#n+(X{{nUpIhG(Xjv>yg3K7nqfM5{V(u%>ZNKVi$UuL(c0Sy@(c_w*1{Em z9o7vS=Y1gpat+3O5w*uB!EU%I0gV_)cl5=~ssI1KCVPBRTLI#2XtjZT+1a)#h$4)% zCJV9~_xL2*_&O*V7=XuT(dL2>b3RBzI7s;#)VqKTo5JUEE{lUg0a~2H=6qiCPQg3A z4mv6gvi||J{lWrv@NG88VIH783xSaI$pE|a+M`$Wn-b{0ZqQyC&<YRG<^V{U+3BOA z@M3Ko<ep0n(2x(fSPuY|ml2@UYT?m*#NqG@sbWxS{WAIg|Cjlop`_j#6%SB??FJ>- zHq`u2Wd9i4UstMx#R*3F2rfiV@G~&H_*(%}gqB`YCS&U#61m<LY=4j#v}`1Oya4Pp zAu&({Le{%pn}mJ5fXMkQuzQ4Xd9`v9rR5>m{3KDRd(p~6DUk8l%R`decYfut=mN(n zO8c%!1|kQ|s3`5bG*mf^_8oYv;A0uY0E|o=F%f6`?hdH6hB4lV+P>QeQqv6?hUIUa z1Lk>2cp$g$;B&ob?K^nBcQEj<bTWWUM_}Z8_*^fj8FyP46b;aP4{gRl=XzySKqm!q zfHI)Ki_Mtoz!PtVC&3G=4NtxV9g+DWX2Spf;3FBJEjX0?$lo>{<QokCV{0#fZs>l| zR|*SaX#6=qDmc(cq7!Ny^#*z%<kV2*nhyp*N;{A~F1Q?87GBs7Jx?3zbTEbH{vOiY zUnd03(<MQmA`vvc>fit>+CYP=o(|xVRf{UKPy7N79-sIHJUzf7YE>#AkpPfLKpMZ^ z3H~Vu`DY(cJlNr*f*hGAU%z|trtkm%m*J4M56HnN?j^SU1I~|1C9tqY3zyD5s^s?~ zK~O@&oWIrr8IL``6T4o(2Q<E!R19-JIISSZIk@}=cld1KiqJf{q!(NHP2~6{*#1cZ zu(*bgZw5d*0G3_>pz%$w2oFn-2&{SXg(}E;vd1^U^Fc{PX#PiAF9GpCToJnedm#P? zCDVbQ4|2ww4`K#65c_<PGxg?!BtR!35LG^bW=3ATD?kZz^!cFq;t*+A6$73>ftOO? zR0*CBT8?fEWWEHpvk5UD1Wwt#6BWckmy|)J!N!35>Ivw^I3TNgA&Bal#)BSUY3QsI z!Wc=oF=%O|q3i$um!SD)P(cI5DCG@*TPbPb7sm_DGyE-DAf2FnJvir}_*?&j_ThE? z1d-dovrrb`5an;Z4-o>*L|K4mrTAMw^`_yamP@6vAhIMFWGpB=K(ksP8eGycRbwt> zV1)z=c-{)agw5T719>$Mv>1DR-0;Bb!ydg8;IRRV7+BjDtiBqo9(;l>XtNb)Vhz0L z%cFM!JeGSWLYN^c951B*{{PRvEd;b78Lr+8G){8qC1`rg@X|{SP^%XdQs9cj@X||h z(D=#Yj{pB(=HVGXX@0}u(W#^2(W|2ZYo}|0b33S=4w?7uW<WH>J-T&NJerSi9DcDf z9lFXLd|?Lsq*F)&K#6~1%WLpb!A-f4%mJUDLo2Vql~ONU5n5XO(vDPK@oxifzvHmr zDpdz}I6xEFiXPn_0v?uL0{l}Bwwx^C>voW^bb>CFgzS<sJo)+=s{4s-AA|PfzW9^_ zb3dBXB0)~0XuTKMd?zkwLPKjG|7yd%-it{0gM-!xm-}aeETG8!VDp<evAf?2WIT@g zp2+bmaD7*l4GVv8sffJ(;l(lmh#bav*4|cp<5^{Km}6NMprw`}Dh8HZr8eNS1#X82 zad=op2oM~ps^fr$!E4A!l^w|X9!SoCkYuGl@c2p*d|(GH{oQP#w7mv4{}4OW?P%$* z3S>Nv_#?8v4IU5MlnIF=c>WiGx6#3M5x9@l3s;2Z$uG^2{Er%bSjLC2^)0~mKVpN{ zJtdl;V$h=7Lj>Ghv-A=v74P<vfN!ZpZgPWGRab(n#y&nor2oPBV^ap2|IyYbK>QC^ zgzo<)Z2nKgOuyLt54QgiE6o4uVE?16CodHSA2ov-^`KFs7u6t(J0Xb|V|<=S|AXhl zH>IQbA8mY4fPs<WMK4?ty8j!o_@BQGbfN%e7$EnrOXIseI6(D7Fsyzkb@c$vpMc~0 zV9QA?!!NyFkcHjN2OzUj;PlA=a^GAQXeN1m#_;6pL!hNBh6i3BcoEw8|NqMb@NGXx z>y3yQuYd8H8xkzgHY3LPA-EY|mIhITG4yb^0dM(Mk1uSxr98TeI6N#1I7*B`^G8?% z0uo8ErEV{Dg&_VHczqQ-#{pU*2^usIc+t!Zl0h9;SpCAb;s5`a8HnyG+W0cz^8dwY zE{NB0g%7xH2up=1!U&(e^?1W47c+dYj;|_$!ly*M+gkv>Kn|^xfRttM(bZBWq|ktl zuI_!IU;qFA%POSMK%d_sXMLU5OVENz)X6RZ%>LBoI?(;`sNoOaZ(spBQpW%^rfUEy z8$3WQO$WpRJb~Ie&;mS<Zj&1x-8MIUx?OH^`1JDJ@U?X0DACvlYTh}td_!G`cdz8| zF&C8p@I;q^NAnSj!_ezAVnA0^f$rKUQQ`0bow4!4gAo*1B`Oll-xv|rg9>K_(0W3R z*NZ?Vffs*3OnULG7W;k!BGS`t@YT3T>r2s!Vem3)wqzXXDYq7HdMdz7Pbg)P54c4E zDvj(Q+xfAyD6pOq0d|!F11Ow8Bff~;y?1Kxt+yp(z42=BVnpQRWc!<efdO;9aZ(Mb z>y1I?5VziV8GN>s(0b!L)%g5R&iWrVP}C#)zxjtG=K743YO1f#kR)S$2JgS0{~Kyl zBp3*;m)cxK#QF?E;l&EdkEr`axxrVPqlOoj^<^eiSi|cQWPBB^`~eS{dKg}M%>v#k z+Wd=&zZbkS8N5A|)uY>l%cI+d$*0>z08|cffy*HWcsZorUBV>LUBe|{`Mp%SyM#%k zyM{{yx=@%2H2=&c;bD2LWHG2zK`EcWMHA?{9`Id2@bYQJpP&Evw;{?Wi1U!jr!J65 z$mNq;<^TUL1wjQpYI`*lwS0iBXV8Rn!@EONKs#g(!E4qReyo^@QL*MCXoMcA{&{h| z;{X5GQ^9RCQ2EILD!v5}#kXRu#3z0MmJ6Tw1$Zt($3dQ=tda(61rw<5C8s`;`h-~@ zIbzmF${;tR?I+@ITMbDMxb_b-d;AA22zRV42h~TA1>ruuEH^Cwl}PLcRYNVeOYVSH z3WB2*vQiMVIvliE{3dvbIESZYg+K{ASn=zN&?*TuK@tPoQoQRID7Ir%B$_{iYa?)M zgC|N9UM~Qd4UTAt*)L+t|Nnn!0g7mF=%Lr=gz^&$W`4TOh#BP^nB~utGOYP&D*o`p zyZ@SljPj@VC$Z&^aTyWi50T>;tl;tgXiz|b)^eeB6;75S^@l<E0cm`jpMRSJi-i*l zWK<q9ECh`?2!)#diR|Bi&o8<Z1#=I^_yoBB0Pf$dg)2gHv<S%280#O1TyG4vpXmoQ zDj*w`K>LNk1%ZKwr6csX6{Io)+_#%wf`7j-f13|zl$NORad1E7TqH^upv=E+diV1` zI5t3~lYvKXU;ygKIJk+^i)O$?(6J_{>cE}ZRCIMSKnWkbYzQ`b3!bF0MOXI%LmhbV zLlj-z4-9qSLl@pgpm?zHAn15SNZTJ~ZvZH99)+tzi)WqU|Nmc3M-1Ddq&NPy)i}Z% zGAL!?Vadhc+5l1mpD!+AWMBXv)!1^9ztxD5fdSN2;ctD<0AsCzv06Zf=XrE@frG%Z z14Qw+g7%W}Z|edbByG_FB1;@W24Lw6vO>HH8YgdpFj0Km{1sGef*PmCJ$gGJ!3R3t zqYJ_WE#?BP{{V+#I9M&TuV?_ONG)D>8=idKZg}!_vqx_SBr?IeAxxNVaGXkF>V7E; z8YA!RfTR|%MhFw85u9iqd;vKT#oCv*K+WA=56FCRuNQdr31m|SI6bb#qGj$s@G8ch zfB*l#h%E$_|A^>B?Ozc)J}wAKGLynkk{0rMJ#hH}&S1H4MQG{jN&%MfkuoCZGr{&B z`V1>S;PaWFwvq}_`!zyA){{M-3ATSyD1QHg2a$5&iqQR^kInz7sO>FK@q=xA3~c|Q zPcZ*$gVZ6dRRrx<5$|?Tz`0u`9AtSbxB@}R&&0+**lUwQ(EJY>dO_W<k_%Ua?*BZ9 z|3OX{051^(%}QBvfzFKs4}XD9%D_Cn3@yD9S^qkM((9vOn0wKj;t6sJMe_+@^Nl`& zax3QgfmgXW=R^40gh6E^YJJsQqhi6p-vVBV1DQqR0G*9%>d|@1qxt9mlJ_2#Au1O9 zt@A-8KImA%ZqTX=(1t+J;MNOmaDNcKPVrAE=)_ylOdUwYaTgU(wa(yi{NR632?V;= z>O~}Mpt+Ri#b226*BzjkG4SXG-FzJaIz$*W4-1+AJOys!gAaEE9Zb~;5(lkY*~I$m z|BJm~{V>}-dRb-6xgobf_Ofnt1zijYQp!H{&wr0zRtAJzD_rjNlt2F`yx5xa|NqMi z|Nj4fDgFBAe{f2L5HGdS_}r*`M0)OZQR(oob`;=mt7m6m@MwGkDcIMjfNBlU)$w4u zyGI3d|A0s9N&c2MYzzz&8f-Os<ro<FTQ0JJCb4;yd*v9KZAAhU7#R3lmw?2&TT~!- zC9?AOf=<lsQ32g=0J@D7R7H7oL-`;RGuapz__qa$cV2FOB=6CA&4Yi}EB0@P6+HM| zK24Y~!K3q&NAojzkLG{k{H<TXH)*y&F0t(FQ32hs0J{1VbeJuo#s;0%{X$)vfdLdf z5+1Dw_*>?JG&cWW<ZmefvASDSz*<03vLJz8*6%HX3@r>Gmq85WQF-x%2Vw+xn3BVz zSM+j=AcKeHMgCTOP$+<MB>3F1<_Zo5{uW)(9gEFBIrv-JL8roQ3lML9B=6DuOM<`U z7AP5Zwy4|y(<~}4tok7Ni~|%R;QbE0yk!x*3?M(eh=p#1=J4p{-Dm&`V2)<n?S2Xj z45bwy_d%*pP?`g$Ef0Za+nHcl1CT7l4$!u|9u=_3ogNAxTfkRNc0*&f@dzlQ4}0`h zNO*J}1YI=>()J>`<Ntq;-bw|K07&nPAdo=kiP!r)dMh}fDnZu2u!Kmw1f3KDQVkLW zhewaf3Xmte1Hi|SD0p-`2!J}m8Xmo}SEG0sK;7UMHP8*sAP;WP1$z+GepK-2^Z*C7 zhDWD|M6>M?UyzqoK%N4d+35ieE*u_#IS-`t#jN)K|2;ZSygrX`8{|kb2M?&rEI@bF zcebc(0J*kTc4s8iZ4bI(G1JRiq62rE1<Y*@5VvU{+y*v*IJbe6zWCP$b6fLICjNFv zYy2l8f6FqEQ$S*^7r=WRpvfH6DugWd762vn6ChR4P_6LjmHi(Eb-5an%TFOf)dS}8 z3W&?|eBhx9Hi|fxgOt8-fxEmL?l$nP$_21cjev$K=vEVtUfIXtP`7n~cL0Ifmc6`v z2)9MR+*SZ_+a+(f+rTCe=Qfbi7b{v3p~}MF0%{<8fHGri3+TEOgnPj&Ap<<R!C?tH z6CIL5TU5Yp%U;<Hu;9E7-Bk=qq^Ch;Nhi4H6##K^4@5mUoys6w4K|QCSA&$k_|yV( zHQa?99-TcZ;8Wkb0|Y>085!W?usU5-5<GfkCx${p)B?$QJqYI|K%D2Jk^u?P1}}Js zg3Tn(Z6KvD!r*S}WtHj!S<9mG;vjf$G3Yq|<L(?{3=9m-wz6O=_&s`AUHL()!r5P5 z1|`v6Rx^Hnh8F@Y|Np-Pom%eE%lf4VB;TTfJqNR%#V6gl1yW-7vi9{t-C~OD7SLr- z&9)OgLEh!@=w<zXm5;%rll^tNM=$H=t9%SE+CekEk%k8hPa1xExePSG*=t+e209fI zQdPZ3YySWLWe`XLT6w*6{rUetR6{99{J1-)z0Lr-ANn@5#_p_9;dl|#3%Vs7TBUTl z3wZRhYN9Bx1}OkF0(w~mY(VY;=LA+4BT$L&q9X7jDhjk7{z(ex{OTVlcb9-_bWk<M z!M}~;+d-yME^xmAbTP$Y{_P%&X$KE-@NYlq(JR8}(Hq3<(aXZ<(d)o6fqxqp|2BpR z6AnJ);5@;<{Q#&82H!;jG7nMzgU%PqL*2i}AAW#e5Oib;=tz3d5rQvjL9HOr6$>7% z2TFwy@~{nk6TpQx|Moz}w1bB@__tr0;L*#&<<aZO3L4V{-%tv^8v$}N>5G5K|Np<N zL>gX0ZLjjTfev5yXnun+e%yMX1QH4?a94nLz(D4Yz@gFUqap=%^GVPV1>0Rzq|y!^ z-~jo**Mk|<C*|;Hy~N+w1WuqVOdh=stjAqcKudKPz`k(s=oR7c=#5d4@vyvH!rslo z(dnWBK0~tGMMVbGY=6N&71CG~fChvDXz7)h2grQT?g#$u4vZi>K>@A-ia78t2nA3` zc!0zLK)WCyE(TRljW6CO{r~?GbY2rEW*``(je~!ihsd{sjQlO2<<|V$Jj9zH%6l~b z6e;)eX#OcwuI17EQ?Nt|#4h3TfSwvFaM%NSKqr_5wh+YnDO?It13pb2#6J)Kates` zQ>+|hhA3DbE_wj2@~3!-fJgIB$<jF<%?Mp^c~I{koE9Mthmt7ii@y!L79MR6l#7Z* zw}%EOs=y8MtjVZ>3Tm{Kh<Wq|FoQ}!3I6Q?;IsweD1cKNC@v*H1FaVP+oDCj9cC)g z_h|mfSY+$b>-ZnkQ2Bnt<KPc_kLCxA(3?*jAW_hrqXHS`1(}5|23{}mLbvn({|PU` z6aW8zX#`HD;P?R7Y%VIGOa$ihZ*vfDejpDCNT|o56j&Zf0xdkiEl;$QZozwHSyWyy zf`%@jd9B2Te|rF1TI;1!Sx_kiaz8XPp<aLjY9KJ4@M!!6TFp?d<k5Ms^TO+kpmG8< z+`#}%r$||FH%Q@&v<{Fr3ljeSe;Ez-Ce-!l<J|#R`rAn9u~gWj+W~wiEcnPI$o@xA z#J*sKmK)#(Ad=*ZKk@(nzn%rR4n6;`1?5i6^a9BcEG6||!*77Abx=79x;v#Cbht0* zrU4!ma3+<Sh&?@dFoQx-0y&c^fMN^0ehIuT1U*SWLk~R43Q0JaA}_S#|NnmpTHp!s z6Iy(O`xDJ?(9fiT`6m+WpA&HZfRAnK4g3!}Dd&_&>!lh_{%tY>4ZrnD--BjKEKikN zdT3ts=sfN5{ieskpY|S#r=UsP0CbuvIG`a1S3zA0j(LcPN9TnXer=$5uZa8q|79>F z-qGA^2<dO5oW$&+qJW5Vq4E?^=~Jo&yRt^wqnCGkIjHCA16l|G>Pf@TVm3T!c;NLj zP&vil3c6PcSx+-AJqJL`Iz5_yih}L102Q$yJAw(R7Xzy|fT>p|q+T3V{pT_qet@{A zm$gV8TJQI=itB>Zf_mz)t)SkxdhGxIFE@cl4N=0g43vLxhovAQ|7d`!21q68(aXw? zu&M@b)u$GaReNIa<R1d|N#e3^x*FVTGI0Bv!1no2V4rZQF#mQ3@Gd}9|EVMF+oJ;u zN+Ga)ccSt64|LuqN_d8V(q@!+^J96B=HJ4lcKq9;jMBaz{$F1zXb5ijAX(h__<ubE z1OIj>gI*B{{_UqcEKihkcxWC3)x}p{7&n9ZzpOo~@Sre+JFUDK6!ebKgwOkY4Jp6y zxRJkg6?n0Gl*qTkjQlN)pu2X!H^jhQZUHXwyF*koJS-0t=X!LrT!bZRzY<VrfxG_T z0VY^843g1c9xO6o{OrMah=2QO56g4q<{p|KJ3o6Ie8%k2c$k6V!+(#1zwJE~?|CqO z^5{I_(RuOpWbpMt;Dt<}>a+90i(`%d|95_PA>RZJlL{4hnCNPQ8~{rB$xWaz(T)25 z|K$&G%7>JHpc0h><Xj<-Uf%h|Al0A&dhj(lAb-7n4^amrQSu9a+et|Hp_P}QOwHc{ zE=#*Tz*DytpgE-f7d@J5R5(ORV?BCVjX`#SXX-3ZltM>*K|^|wBLG1zE(8zFxPbRn zgUfVSxd@tHU@bO4G|rc+!5Zg#{eFQ);>wiaA)u`Z@)O9s#76KaT@*r2UJEAY+6W3A z{>cCTUrIp=TBwK7{JR;ae@p5-dU@lDK!Z*PO9Mf{Y5}S&KrJ8*P({Y^!jO}J0dkTd z>S9l*x4`$ypoReGVkds^;a!)(X7#ex>LYx;Q5ELv<Gx^DHz>h<?WzIuS#|@+XQmPV z|GzYVR`ML+rKhb2N_aiM!(mfF7nuk^E;2#*x3@(l0Hgst<QT&N9&$7QRX3e$R5U<z zFO=?{qGAD#Dp<Z2;cvYQ8lr5ja$w+Z*#%;D&rt!*S@CZx7KaQFitxA2VP;?eCoy@@ zIpiK5y>5&i-CMxwJUTynbYAp;n(Sfe?7-g_3c50|yU4<$yUM}C@+W`u9+1JEE-D@# zom-&8XFe(s-7M!oX${=8*xvzaTC_ln1gFFR@CkVW5DP7@@i*sy4Vi;7O4%&}zKF3C zG&)=ZHo`>((uHVIkpTI;^+1UXq`^A{EP!b9PEk<+1%+S>bZBh~#NgITAPrH>9-VW* z68zhv7}E|O<^b_Q15KboKX6mC8zK)12arJ?-Fv_mcvx-$kACsD$TPv_6+xGTgQg1k zTPi?SZj<_Un6bnN)Yy&ywLQA$fMdnOGT4E?fA+us|Btn(crY?BFnV-D<E`-sC^`>= zLhFSpc+m)`i3V=cw4UT|6$kmWy9HuOw}%0^h0>w|8Vdo9-^+OP1~PkeP5}$>Z}$Xu zZxQ1mJz#NA41xwIKn-{UP%cOS4f26~+R38wV*N+ZQWfZ0Ku{^f-#QVL!MdkFqbv$$ zD|mpq^)@IJi<v?34HDqr9t{sg$T-Cu=y=5z$cT1#4QTf4cZrY(EHt`9R3cvXf`{xt zgEXM*201webeO%v4d@IMf9qCo+aBVK*9Sq~03~0K&chy^=Uy)dO<i;ze5nS?9w;Uo zLrwMo4TOTqziyv{EC}5qD&QVeMH?ttx~N2eRDs5BA*w)okUFP82CHDEcFI7sxPrAn zN4_jU$#DvJC>R`+-H=qqAAaEFTaW|5tEa&0X-ZTCUSwSd&EtRyb=XKclKRbm;R%BQ zGhxW6ypVxL5jb{Qt}%lu<N%hm)=T`Y8$kK@q~Rq{ylQ}AxB}FVDu9Kx;WxwoujN1& z-#K{p7BGSs1wNhN8xS-+I<JEgYK05`b_W(8%VYe#-pmXPKHbh1KHc69K9=YCoA-gT zduNG?gHLA*G<Vmi1UPoH`~ZzhgR}R87DzaP2cjK725Nvt{F^JlTA{<9&|_gLx?{Ll zI%8B+x_P)JfU*}PK20FOI}K(MG?9Zw+(DHhJePw6kaIa`j)h;aMFlcL0ttW6ttT=b zy^vfEl0eSo;K`Xz=(tn&9H_=Ekja|vJt`mzK)D>Gj=zN$p3D1TD+*eQ(Q<hJMlPQ~ zD3{y6!^m%<*mF5(iUB2;g9MOsIe3bwyGI2i4ocu)ekXV=GepG#C6}Lk3$YbECMy6k z)e#g|79N%tQFCU%%X6UQ+YL4aIt>R(R{}4VU4iFJ#{d8Szdi}7UkgBsG9XzLREB}G z*4ZX#l7L1eXe=BhB0&Pk5eXWQ24zDC-J$}Le;EtP*qw)7YJ+H4R_qQ@33#asb|ADy z>10s>-J%J$cnQ?v0FYtC<>^AO7Q%V@KgdqhJU#6)o;-aNPoC~B;P7BP1{;6K=)CCB z`RS$gAKZliu37^sj-}85HBn!fmV*Y%fB1Bse4$nT|373DV*yz3gGcAZ7ouS136IX7 zFSyD<ecUPj|Np<N|Bf-9ifE63&yU4<K0oO0Ldz5UE#Tq8P8O9H${+s!2Q@bZ7)m1y z540R8;YU0736z8kU>)Ud&=mAGNCU?5X6Y4ha|_e}IOd`v!1&@BIPYQ1g@cR$xAI<W zeGjvZf9iqP6G2vimnlMqSYB-N`;YB>e*U&*Q1)wn;{jU#?*Ll=?*MA=6|{g)8gfwy z05u;IJS<N@*Qr;4x}6Rl%||>AgI(ASszg9#A;={e9-W}^>8{71v;kTObPgmM;L+^? zE*m32ndJXPkQfI@CHNF=@RShv^4<iG?kMnuFd3k8$NpaisnhTPS2ZnrL7g7(^3DS# z1>k`<Xn0nD*Lgx6)D3p8LjuUn5un*o(3Q)eCI#poS&(3YN4IAJDC=h+oC01B0t#e? z7oe^c$oHTF_mMpcF&EO0K=%ZAgfYRRI}m)CZw9Dp_<srHbOn&pIryg@0H1aSvK@YI zxxkC?3(z2H{=f*{-upt-7qoxq-~a!J^w#U5vI8>TzMmW1_yrXW9-V6-6F$9Ax_gca zr0Ce90xk+IKl8V2;$mQ!z`w0py!pAj3;#AZamVHd@;;Ww`CA*f7#KX7|1%bGc{KkQ z;BSk7@Fn<L{2(kT{uUPqOPIg?E(=(-Ab*PkgeAn^as@nd{a={BbrTb)F0M05v#d7a zZ|wo|stwXC>kRl?>p=C#f!8vi+g1%cdfgd84N0&&JAZ>JKaf+Q4)kaS8P?7PGR)h+ z!}0`wuL(G{SBp4&JI=`8BE!hQunW}VO#`(GKZ94Z8D8?RyujaV4{~a^%|VaW|NJdr z<9m6dQw15|p7w11A<W<Uhm!#;B;xq(03(0PTn4CtF8tfw4ANX%50n-fUUD@&05)?M zCukzqMa96gTjT(!O7-*UWo1hRb!R|TLJf4&3aDO?;NR8)UaQdIqN4C((VzdIrT7{Q z{H>tT%wv$s8C*bto1l$HKoNKtY}4yaKD|6fo{c{k7z7yj+x<We>^$V#c>+91z6*3a z@5?yQCUDSkd3vB)pw~vqqwyz1c>pH^L+2@v&Yv&8{rmqPqWV5)Q5rbJL5oXzoftut zA~;AoFC#)c7`o0tn7?%!2PnjYjncj!`d`oA3Yr8pJP8i|PEZpVyr!p9Mg^2pIbOJd zikEIsLm51`*bQpUb^54OfGh#UB`80EM%W!dqlz3a#G%R)V1)#@WyXOLT*bfP!R7HE zT>gWaEEvHB4MuRU0XY~OA3+9Zstp)_fyZXf^S9pt^`$g_f=5@O`f!<tA@0#!XTi+h zavhYs4zwJAoU;hZJD_p<<{v`*tuml4Xt$dM|2A*v{E85N>uZpemd+L>l7<I5ATbVV z#v;2ER3^MQ`U=tp0grE2fQkd~<Z=RX0KQlNRRnFQbcd)UKms;Ir2>@Rz{MuG#6(Sb z8oxkC2)L+dfTzl!KF0_#usdwwR=^?>Y#vHPzL0<`0(ZuUcE^IBP<Mcu;NY~^4Jnmg zLN*n-Ie>c7go0`oct0C7t>Fr)Qn;dS&=OB<P6Ta9>2y&+4XVFCpiTq_l}Gb`QT{eb zP~q0={>lTi=BKR_)Oc_HFUj9}5!CMKhB%tPH4-G=?F{P>f;v~wh8<|40J6LYF;@U> z{CB$<fLiI`E;gjo4p9seKvoRy)ONS1fKBc00r#~*Ndvr8#uD1cZQTbdd%;~ske|UT zmv~RX8m27^K(gIapw&SXI4efLvcBPg*Xp3c*aA`**QiAJbVJq{`E>VywfKNal;1v` z$2=@=@b_AS4Xd*7==O2|6$;JOEDQ`jy(|ts-F_Ax-F^_kL>2}HuWp{>(8ex!Sw!o} z5*eS~KxQ9kR}#6=4(cs>ck>(sg$byQ3TlhP6@vtj6@wP%z#6kY-H?8%Pxl<~)V`19 z9LP9EYZW5{gHNZAN`X%&sQT}$0j*|mQL*p=uLbu3mDZqBub!TOhZATJ0#rkT+cp^< z-603T_bL^5beA0F@aVObiU*bTpbd(k6_lMdDgvMlt`hv)ra;R25EX?N+rGgHua<}4 z(OHOG%Oyx#uha@u7zThwc``h@OYRAPg1*3`JLbNChvhx~mLf<;315MSSwKOWA)vlV zx5z<Ix$q+40aBp?-m?iVR4PDCoB)su3Ou?^E`yp=FHE6IJwVM8ff6BbBMRmv@Rf?7 zGN}U8Y66w`0xz~4M>J7hUxSt@7>*(!j!^~?P>*3gxXkK&isVgP1wsm35ora&n=jA; z0axkW{9mNh&ZD{7fuWS$qq)w3p+tg!FED)V(d`Twkp_2CdwF-n2r_tdhZ%s@7qlD( z)waF1OF&|vWgp<yG$hr9pcP&YU!bY36i;o_-2%?epqlrE{}UoYP7A7t)LLiLXK2Vl z&V##T`2VFfsL67`@Z?K5M2(IS8l;Bai_Fab|6inJ{{P?k<Hg=g@C<_(4}7FqTL_e0 zLF4O;S%3b6`gWF?|Npym9^3_LEWPl7Xn4vE*YHXZrr|;+L<2|W|NjuxauC(q5vtd~ zRWAam?q!{gkZXX;wL#?S5pp4LxvWgk0Jw?c|Nk%Lzu@m*mQ{K*zX<^K#XLZLF%M8N z6#-f*+3moAbfV_&YoI}RQ0W9(Qvq7M4jKXj?|lbdcWZSLBn?`YbKFJ6fDg1*dmA_8 zm`!k>3p@dz0Me2H>dtw1G#?2#{9>{L=sY2mxd+e`1jq>z9-u}LcuEF+{{0N3|A;pK zVgZ^Kg6a|Q0JWal!PB|TKLq((z;#;l51~>Ykl7j@%@!Q|t?6KMz-wb;K&}5>57~=V zLGlLRwG=EWFN*&`whw_DLCDiFpf%mE?|AgGE=-3m_VP#qt;>WiJOc$!v+WXP&|)vp z!m}92Vy~CG!TFBW7qs4MdhGxIFG166AR9GcYZQ<zY5pOK;@;UH-QdX!@H7)YrhA#8 zH`U>CZ}SiF5+#r39}@hn4xk1;$Q!WLd&oB95f|`)EFgQa1)8|QE6jeSfv1^1b3g@q zSvB}!DgGWr?m9y54<AhKP#P$m_SpUZ|56+=L5Jv%g2z9Ofc=en^RkbM0(iMM=&tSw z4Yro?atsV5H6ES37V)5^-kM6FppS$EZ6~XCJZOzKs4E5Pns@W4fEoeDxEFPU(kXaK z*aB1ygF^-~?``<a5H!_(-=o*|dK_qNo{Ngci?g8V;00j2Ue5$AYcT*VIRdv&P$ocM zEU^XUM~vi-n!d}lQP;b9^s>%jhX?CJURcnzr-Fhm(DwiT*ZV=41_`5tUzsPGdEj+( ztp`d)z%B-@nd1UwNr(qMdRg@lwl%|TlLXuL*yjKL*9+0y3p$?{WiC02!=u{^e07+D zhvkXlAdha5!ydghhe1sf4G+t6#TFjjJfD4fSw1)0HYk81O30&=2Q(-18Ob4?Ctj39 z{r~UNc^tGTBs1#&|JM&aIzPNPpZx#-i&M#<@v|+~(DQkb{0?HG_@BQGvdIE9{h+zA z5^^tbhy;g6w~2&DuZ;v~=w8Fq@<ef%=fMXop4~hWzTG|&96p^s%pAVGJQB^eN993I z*Y)V+VfN|_VdiMI-3%7t^XfEVMtH=h^E}AeD<c2@fBn*<^TdmJAl6x*&I2!4KwkNu z1o8^##-*1o(4>JLVQ7xk0S9Pz325&9T(KA^FtLT-hZjqd{{Me5A7nl#R1lbe`5N6K z&G5Jq?&jIt$<y2^vKiqekbgWtW;;X7CSaZp|8@~)xPfB)+j)x9I(eMaIz@_6&HR%1 z|No2kiU0pkK)4meB4EB2|27e3xcMUd+jxvSc$hmxj8RRW1~Ppj$aJ*y@f}jWpwxj* z&@>z+;n5wW-~k#!?ygaZ@UZ;O-wZ0WLCGV*)A9p<Gw2LJP<bH%8ip_cb(tYc_gz#R zCV-lfAQ27^{`EgSdQI3t#fb)p5O^Ve090XsmLh?ASKZ)+NuVwkc$@>=x8z@c3e>80 z@L&XQz6Y<-6nJreKZ-u^!aQ)P1}ba7gQ0uDDsogHm8?fEYYa2I{5Z%7D?i*5LFGrG z#sB{=-yn)!P;jH=ZzA_+f%lU+$w3?kZWn;dP3U0oN(-d@(J0|x=7eSa9;hV=DxSdW zJRw^n!RAle4ecSI9vbz+3uHW&^TM#4M+iQMN&wW276&b@p8#uTNFWX)lo3ZhjPN|@ z%<og+fPoUI?ngVX0Cw)7C&>MvCOBw*8nhV(vKbE6MTGQtl)gh6%i!<=uZ)9+*G#cr z|0ldCHV2)b13E6>0Cf8JT98Xtps#-<;{2K42O;ek@T4J@WAZ`!$zHTU&nyPJ1v&2C zn&CZvhKA?GFWw1?ZqUFVq(u^=V)1(Si&!(f=fx8a4^SNqnmcEBF-aPhUb{gHmQc?3 z+jbNdXy9>4#I*r;P4R{Y7W*xrhesM%fDiZsRgOU%9^DZF9+m+d&}j~&5C?U%K}8A& zs7Mib(R&gU3ZTgi$N_(``0Y<YD=vFk|A;^vsf)uw-UT&MAuEpDIht+%Nr0*`@O5Dd z9-ZtSy{x{_bu<PZoi(6oP1pi1P=Z!?aX;w)|JOHP+%Wn7|8*NALx3bWz^4pB4)+8P z?@1hnNMfAdR*sh6ds$;@xgh%sk3+XMzKFU7$rs=RE8)@YpaB{sfX%IdM+iGvzXpJs zdUjy<3wm_&z6}7i$PoJ$ZSQ~tI#0aN0Xg~U3ssZ<|6dlMpO?npb_+D_)cmFb)CVd6 z^??dNQ@Nmlr54!YWYB~Xcn2phXc<KF&;R_b6G4*?f}ruAZXcBhk6zJhojeSnZJh!9 ztvMhQyCwOzd2)34sOW->0}Vrg@;rF-6+GnD8KRQm(Q9fy15`MhsDR=cytF?7#1eQB z4m*{Qe;cT=+s)8&vLvhJWQixJbJBXCgww;4mDx{@p;R5zBn7QV=z*-efi4~Bm;xTH z0@Z;99?eH84tsP*aDe)Coi}!YrnO$51Gi8igNDZ-Yj?miTM*U!+d@=yTP~IKg3gP9 z>?Q(h`@p|ZkbladmP`CC1)xq-ICwXPgGaX`XthKMuSe%0kLDl$`CIKlvVtz4!^A<$ zm3l?DbwI+$fxlG>B)1K8p9Dik2q=7dz$0v+G@k$($AyGX3^;t`r^CVrRLk{(SFnJl z{LEpe79xd@<Ahz{EwSBmz$;2V@E;WZf2#Eof6Ecj(iYH^T!)W}KB!g(O>@2o5rgcX zGyoZ)|8hQPPX^T6+tA_+I!xN>qLKlU0VNI((A|Q)qV>~2?gO2Z4640*eL&;qpeu={ z!%h_h8LrUbqoN0jxK2<l4INknW%Cyw_&~c$!LlwY7Ld`5ONIwtJ^{J7+Y!9O3fy`2 zc)1xQ)XAgrqDBbhZb+oOtO8BKoHV@sauRsx7_^NrL<M}LK*Y<$zyJTg&@=r1|0U;N zq)`Aw{nhKD@&Gd5*9zKY+V}=EAPSoA19zBv*FaKKFYB#|AWyQWyhwy@z~%srl7eR( zIystccZq;^)~bTc=<WfpC-4C6<m!g(wrpVpCpFN#ZFeAOhYKi~T=r=G^`F1>9t#5l zXg^N`D05hN9EYqH0d=5`LBgB?)CK}=Eh}pSrJop;2>#XuAWhqfI6S(AK&!DpdCQ?= z3v?tKVgks0pb>9SngY)>fQP%G<HH`kvL~j1d<30q^5}H|&31s2)dTpce%+vjiwX?< zt)eWTHG81>nctw*KL<cYg9eJx^TTn_LLo?g$iU1G(?GI<p!9>)k1i?&9=)QIT0wpc zQOV$MjRlYWOYm=V;OKAxC0fWRbO$6<KyCne8{|C?(5OAcub@er?m3WUXq}Ku;L&S( zXEMmIpdtpGL~B5Ptx*wp@g)UR9e_@=f%&!N4X7;)s_$ALC2}|Kd{0o{8Ke<3@B@mN z7jKS32ewQ7CxFU+2h`@;i=5*>|L=fgL`VYoz<-eO|B2QEr2?RdDsb9rJOWB;he5?U zX!P;L2_XiC*AGE?3*r+{gmgn!-gQFPi*!RuDQL!H1N#ao<5hqHL*T_baKTWcBGJO$ zY^xvys@-^@u4I4d10D8ocp>id|NravXcj_O@O47+7ARan5$(|(CE?L)I&~5(7(wgI zdSgJr2pZ>K3qQCI7L2W}-~!G?MZe_$f6Hf3n07<A@^)hLSTAd3AINMLl@|;FkT?My z1;GI-F}guxZk^yP&D3lgAPCNB{U9Sdy*NC2S>;8cmHRP&kWNtL-dUq!&}^#;Qd$}V z@_GQMVn+?V6W|<;8G0MQ#TGR59tprh&%mRT{iQmn1Ohe1UflG8gr18^z>BjW_5zRI zDh^QhcE8vE|1VR(!3>SAP8O9HoS@|dI1;`GY$Yf($1{5LvUWB>eC5##&CcLNa#;!F zMX0gRQw_kotf0qMfZ_!_MHRL0|NoaA|Nj4PVVHoHIL{yX`Tu3yU+~V!+b<V_x3xfu zS@2qtf|oHMAy6?Z$_puGK}q1HGDx%=S|Gv`FN+E&cp<%%7op%;85b3SmluBj|8Mxs z@Y2h;-=KC(pa7^!j{x-q6JCmdgb=&cLG$x3x;;TFhfcga{p<h#7j@eI|G$j<g|)l} z?T5tDzJ#0~D*DA$4z&HhL=Ws`&=M`s1Pl0nX@M8!N1&Ou<Sg1s9S|2>^F#X{f3<M7 zZ+l%-E<oyw37}IH8s9)N>l#Q?qZdj;^DVsfQ~_=qww?qP`{460_*<<(hbjny^1^XQ z3j|cK9D^)+1Rrt$u3>vc8yg@6Vg-Nee^yXU8Oh<%%?Vm^3o1oA=0H|S!Z#;FcP4j2 z+Y{YWpmos{NZrsYdl8(^;Ke>7aS6P577t2X$faQ`FDt0z2bHy;+5^43zX_^^aF+Kg zK(es%9^_b5A41CeMfDKxW$?G=gJy)gVdcF!Xq7ZbR|h0aKyCnWK}B2ys9b{7y;C6V zrtUdVf6jsW)AVI8s62re`FkMhKvO&~{>7pDvn3fc65i>e;sG!9S2|;q`ri&h6A*vv z9#BdL`5YR4-Jlj8co+jV5d><hK_`1b6}!NT3b6OUp$lrx!d8Vs&&U9^HZ^ubs*Ek* z>gxmlLAn2@TW^=DgGvYRBvxk+cm-+46v*ix-O$w5cm$LP4}(Gmyg}5WBSgg<Gy&Ne zqr&l`0D49Scorf6WRLmlwV+ZT5`ZudKo&uQtOB<^p(z+z)6D4s1tz?#geETVxhsv( zVSjLCvzZ%STzY_t%bU>R(&NP{S4eT$0$E4}^F6$+1ewYQ#VaVGLF*e;u(hB#1eI&O zkoq4~k_f!8ibapZ*7eXT#~f7U$burY8{IZEPxi7hcYr*`qVl546Jj2C;vfN(*uYCE zKyABwT;Li9as}uV70Ak)08q`dln+uyzwiO;hSod|&9<vST1vsUy(d6x9*DO*Bs_XW z{klPxVhv5>M36I}l}{?z6b(=T>i{(cY&mr80I0`v8Z_tAdE@0FaMRaC#o>jc%m4qc zuYmL<yf6i^o4_Z7K$GGNC71vIUphi7C$O73SyW!+fYK(`atPF9?SvFi(838+IlZZd zcpOwYK{6$1D$oo(egbW>;H#YWZvX%PWgMupfs}>t=N>C?e1dWhqyquYLO!5oJ~RO% z=Ob|XhqnS{R9=AA$$^3dy!yZboCjX+2Xz|`7~X!_52}x#9Svv==mio2)qujFLcSZ) zD0(UQ`~QD%J04~wsPaR}?>#Eu2m)seaO1Qa<YLH`kT6Y<ln!!(MnjE?CIf%V>|dbD z53;BYRIr0qDB#HBhPMq*zWo0aRHs7vITaucpsM2KmY@Iszi?Ioo%i??sp<reK_L1& z;Q1ub>2>ILUV^)293I`G8|*-J=qdgd&^{5+6jLh`DC~P#ueX4bEsM&FI5$ut1s8DO zLz_VTA9ipa0nayfhk!QcfR2}OQBio!3JQ1df=$R$OxOqqq)=SE2U?_-LT}pyt?m}p zg*c%^3p7i$AKa4wRe9j(c2S8q=Ax3oc+5p55xm$IWzTN_NR9y{2d>W{av&FgdyoMj z7I@D+*bJ~bBs0JnrSlM2(TkrBph&p@>U(*-Gz4cDMvrb!aQOh~T!O43#ThS_DS_?_ z1PwK##RvG5tY*yf6hIdX|3ByfIv=PzKmxpQ0W|au>hxJ&<nNgRY6Bm4Q32h61M-Uo z1Gu;b9dh8&%j;1A%A=rNbI`oP@nWh3B;p)k2V!AuI?8YP|G(Mx1uLj1mj?xggU4|P z(8aP09>*QPc7cXepeH1NkL~KMlrTK-;<!C1#7?}viI6tD^fCx^ZO$4+T=&%>*4r|H zhKw5DfSQA#{x`UGg0HuQ=CbAr2?qYw6QF_~RC0jFRy@##rKW>q1;J9F3s`$a?aLue z3<v(!5>QHpBqa?{(ry7wdwX;Xdi1jHY=xw5P#YC8-VSQ6yfA|HMkJbTud#sBIQXzL zXc6qu=_mmjoKD~mKj6_@{{S?A_5n12_TdGW7buhFGI;c|#=(q6%yA$_NemNz{eR5| z>VJdRZN9h&?Mo$ifR4VJ28v?v)(GgSNCx1>A86e>WTX*%Wx|U)4B$<M91Nw~KoJJs zCl5~S;5pm~P;C#c&L9Ie=fD{mUjKlz6==X_8~B)1Xyx;Q85IBEexn7bDvttZ0T&gE z7xzIkuJ1wpSa2LcSJ=X<%-%|g7kfbSypKTz?4_3rK-5WaUj%#?L?>veY^RTk$IEDN z>j1i>8fwgoM*08$U*7qPT7seEmol{bp+P<dwf|ppLs<f#U4*SCOHIJN0C<7Z&3n}n z(xwx5p}hlI?v$K9=AvQ&E(9Qre-BIEb(V4r{8PXMB5W-6#Va|S_e1ly%>pIe<~JyJ zwEC!cbPF0DFuV=k5ycGJ1JzlhqT$d{q9WN5qXM2|Fz5_XQE=?M(ENzC`4=O9iy~+N z_obFg{H>r9NnJV*IX0hQ{C)^@*@9!|MMr*@3ogA*9FE@)f{x;K<afQ$a=V1fk$=}i zhGORCQ!EZG-%5D-cRga{Z&qhyU}%2y*R%70OXmsrh-E2zx8Td7|Ns9(jo@!t2TEU{ zb=>zrjLsjR0dEbD-ar=6&>ScQP6ZY7pm7h-i0O-#?7#oPi}gWGEYK~D;1zA4K9)zf zApfpMOhqEDh6g$igAWA<Ex*%v$^8HS{|*-w>CO-pneT^~85kH!d_8(uL!N?`%dn_` zW+FJ`7#J8{RCxaZn=RdPpo9l>n9IQ*%*{U-OC@%E1t&cp6%WuT3aD^_+)=yZCumd9 zvxC2wTQ8NYd42drSuJQA5U5fH%_VA{@UV4o;%DHWf8Zr3@xBNLOWS}utQuf9zvTV@ z|9@wQii$@s>)|Kp4tnkdIy4A0KiLh6%n%h7{%tX!#bG5Xl3>5NfGYNvQ~&+{4+?oR z!%H0@DpD^D)IhFqQ8Do8bzlTr(=7-($6N{&aFU$Iz=nYJzkL4p|9?mRT@M(G)IgDa z@CUPN>wyw6P>MLk<kEQn6mgFHQx3Rv9(ujl@Y3t~hL>K?1|{kj)~cY001b?Q1|z_> zfXxM21aq8=io(kc;PFqH|NmclB4?=-59Iq&tWoDnpaWMvDhi<R29H`HuaD@2p5Oth z5nexkaZ&pJ|JUomtqcT#Vm^P{8BpRvIbQ=b+0+}z$iM3m1AlWjs57<eAyZK#sJ7L3 z&D71+dE($t=GK!Xwktu$MwOcNdi-cU$@GDLBNybJvAZ6<PAs61I0l+90VN{Hbk^hy zP~hjNz;;(7SS~6WEesyb2jIFG5xQbgb@j4dd4!%AZh8Fq4{|j4M2;7Cq(JL+po<ky z=68BsR9<*kgU)LN@1$*f18E+wfp$wFG-O5rH2eqaQ3tZ{PdVh#8_5EiR@l$LzyQi} zph-!OURHh`(4kzQm1UhVDh{BULIC9R7w%?%{<j_|tpk<rAhVh+7)rz@ya=!ZC0mF^ z9*svp_8&g(qT&HM8Ox)W_og<;H1Ht3i%P|D$iN~fbAt@_02}<y6l^d*s5b^0`tj&y z`hVf|(g`oV+F}|#;l+I{qM*(@xB=WLqw?Y$sC^4vL;O-1)E<~4`TzgR<DixhB+a0u z7tpo{50vx*DcM0aBIxL35D$Db(Tm04F=9{|1@gT)C?h~lHuh*eS<3%ni6TmJ0AHxX zq5|qDgAYFxc(It9f#LNHh&E7P@6#W728L38kO1gFK@P){hL>J%hBOQhf~>W8@xTny z%y|MTi6H6h#TkkJ|6iJ8OAp}oHY2FnfmT022Mk_31l1kj^Mt^YdZ22)Tf*ZwsPVzj zY?}mf59BZkkK+!Y1i|3Zdb=b5)Jg##CZq7;fC9*mE}-RL2TCMCE(cfokTxpFjgZn3 zB;n!F9jE}>U2_v0r5@dovXbNVIZ%*jctFGF#a`%;0eJEe+`Qrd&1!X?e%<bY80i2N zvY;Wh7kZ%V4RJkaj`j*9xQ-bfc(KJ46jmQzg7%ucST6qm|I0_<NJoqBqmb}HS$_hW zQUuMFbb_vD=#~Wa`Yj9(yq170a{-?k09FE)j#07ju)I*41nN|Pi}nPMUK0+F-ZDmy z&JUiQ7d)GP{4ZhmnCbcb$7@!P?>7uDc^rJi?$IkE?9m$}0IKU=WP<$++P(lDZ*IL* zG8Gia8K96U00kp-F-dnIxY3;8VR@Oq`4`ydg#r^iJ8yU#e9Z3C?PJX0(=B4`(Q9Mu z(Ob{q+j^<Q+~YWlF(^KpZ72T%B`;Zz<1WVFxby){f%<?J(j#mzJn*6xG`0K~WW51s zsf>f+f!DI2mE{T^z0Tk&B?44X8i0br!KWKEA!vD_HWK7{1uyW~dnZ6!t(*UY{p|7m zKZ>7u#5{UE!NbKbN<m}Nkcb0SC9RiAW`Zn5_p{*vk9J21aL*Ssd(#bDSc56@;;`ud z|1aghaSX}X5E3Q6%Ru)(A+23~aZVPLf+1;9!vhrQ-I5-b7mDRTgBu4QuzN7x0Qn7k zlmK{%2qf2mW=>uhfnxwv0D%1gn&AY+7?QFV`XD#qy8j7LUV|HP*vo6sq#URq1Vti< z4H|9(v0u2z{{3%wz@wK}`G-6MtSlGgp90#;)S|)!F2(~uG40XI>Y)ZIctHW!%lhTJ zJOgN`4b*W5mAe7pa<|L?RPOS(US(upc(GFk7AVkDV!H*wR|>R)&aMRohX=SnxE<vG z<18v*=RCawS+D`_q&j%?^0H(?`+(qOQECf8MnXp<96<e_wz;63hcp-msz*TK3bKIX z#nLq(^FV$4Zs;Iv=P8eN&{7^y=>^&g7z);Z&;t}p-O#aE#tR^?fx-(kHUSE&2`_e= zW7ZrV-J&{Xatt25QH&nlrkZAQ3_jhgLLd>#&*dgy;Z9jDGdTv&UYiTew&CAFd56=p zljp)qE>MfG^AKpZCD0I5#9Vm!9n_iVWi2WJ`Itoov@r!z#)EPYcxjr#3sq<UfQtxd zJ<%z;-W0To;>S0T@$w#>to^2-UcxC)(5VF=e|AG60DSYhN3U&xsT{+L+XnytzrF=e zpICf+(hSqb6JBh_BI?o0`s6DpJ+P>}So|4OF@gs3IxoI>W(k_3d2!zov_3LZ=>Pwh zF3?6CN`B&R^8>{K%1STZPbQ$HAfk^=<QNPOyyo^e?hI<LF?jqx;9+^9R>z}T=1>cB zvn><Y*IXXQok7h5hS%3UdaDIIIzeUk4}DO;et3Pvqnoz{Vp=KOG<Q&<hM5-S(QR|c zqg%e&cJo(IAnJN_#tV3K$8&ge#!EEY&I1c_dK?Ee<rrQc^5{Hlc;LlMu<<uQm20_x zC#dJ~-LvzcN9T<f_4=SiuP1yukH6mK(akFWwwv{*G1x=DKvbuwFi5bISHuJqb+%v& z>^wSIzZlCw7Ob#dG6u;ggJncKj<aqv26Zw((^=39cRhM-ml=Zs=LNt1|NpO_d-U3Z z)VyE>@pixXCGh`0xM>eAz)-@wOv9u3jR2^t&jIS{g9d_Ylt6i#Mdd}X%J2W6qMXB{ z`G~;b7h44W|9^c5ZM_<Q+Z9lzMLS;*bOBPg)CADN;a(GNpKd1(kAtrjK>L=!hokg5 z{#U#K-V+Aqf>z{$O8Rb2P(vpfRJnr&G(37`Kc;dsc<{U4^z4*j*a<2XKoy692fyoS z&t4k_P@U9l%ku>kP0F5~J`5Zlpg~~J!4?c3JopbX!F$8d)zF<MUcA@+|Nr$3kOX*4 z4I+WYePLw|N~A~l|Nno<{~xvUj?z8`w})Qg@js{{eXU@5gTG}t0|UeV(-S(6IfBF< zD|m2z{@}sCQHp=c;g;Jamp~x}I!n?;@qkA+=%&~cke&o&Au|t?M|TVphexmJgA{HC z56h3GtdNFNuL+Y!ZyAS2uMJbPE%RqkKxla!X93+1&fsy}g$a_dJHd^c7!?DMKf$8| z5N{hEc=137lrO%&xS{j^|Lbd@0om89pf#ZeNHtO*y-+a&g~~d<|Nme9M6MK3(i49h z3nc#<fYOu(C{2O)=397x(gVovknlJN3N_H)%H9G-kApbF0~Fs9iZ|e4vJj;0-~$$q zZbX=zNk$5jG*H_LvbGi!Dxf6d(QCrgZ2R;hD0J+QLd8VI12&xUqGK7Ta)9m_bpW*s z!NX1-@LRbcgRh1MUaZpw<pOX>O@W4#14tD(q#&wZ{4xQBR15F_|1UwCs=>JqL7;^f zAE?dTjCIcr=)8JgP;hqos5rDRg6lGH3kfuR4r(=JfLb^LFR~!}#zDi0A|U16-~mI> zm^vutdqut0g6a_wl^2EjkTFvYkBbjHY#A6B96-6s!GquTpa*!<8|Z-fX4{<~<UvR4 zuLlKoH+Y0APy<wnDtPqr&Wi_`1>1Z9u8L>RM5>AvK<+Z|==2is==73kw#@~ZRqF52 z30i{<o`3=GC2;Tn-;4_CzktrD;#dj^fACofpx{pc*~bB5foCxUUbKN`G2pE=(DH;A z%37dN$r_ai(3S!*t^faDFZJlH)Bv^aK_T#h86@9%0XjnjYv_6OvRbVH`2n>4Ne>bp z;5LSZM=$SzIFJmF3OHqeau0|h0Ajq@Is?g<79PhP!NMNL9VI~0&9*J?K?zL5qtg-W z><Eue4v${Y!~t|{@`cJ0kRN?iEMDq>tM^Kd7m1p1ADnm*0^)T3czyDP7x(}FFRwzY zN3`|ZRaoab7+&*&PZU=0==RhAow3(?pu`dsgbLusm?t>!K*<{v%lz9yR3ut1m7E1N zF2QpN9vz?+De%K;ai!}`T>t;S%tbE|(b6;YJVKQ5LvYIjJhsi>vIUf?K$m2Ic7uUt zR6t90!9`TJBRJC;fYSMkWiTg$7Tkevk>O}LS(*e&5#VLmj-Uh!9xw(aI1Nxn0LP-h z3z@~R;n2kp)xDsjf1z;-szhGQTJ-b(>o%w_A+s!?dJfvRgsjeSQHkgP4J>r$s6fPV zD1R}R6YV@gl<+81MXO(rvw){KUR;9?(?BcNaL)h#Umpb*5~%uZ@Qi0U3V;T*YOoDx zi8C-Tyng<I0c7ktu(5Cg)%;S_u?f%!T=S3rrJ)|Zto!dE4IC+ghBX(O{edk7^HI_G zz<<zD1muDeunV9anO;W@P?|#<%Q_zV_y6mgw*UYC-(Rxi_3jtx9RL4=M{m*E6J_40 z{`Kf()yafRX1v&}1<kF^w&HI<nN856ll7bus3`?s)ZA;kS4ocH1&7-I|F2)XVC4A! z|Mh&h&mjy{|6^T$1D?JG%}aoX1gfWlGA=atU|DYuYHhv{dkawn9t%fW{r#er9q0NR z(E6WRwDr@V2mmjz0iEaL(H+I%(QV*iX;fkeIw-G19o$f`^bjbOMBG3PI{OgGM$o-% zh6i510xg;V<#6b_bJ5v9|3mW<co;ZD#p3na7x&rz{|9#lAYlU{Ne&;#(F{o81Id=) zN(waemjFsS-(KSgpIA10;ltmy5?o%q(Ezn46hQ3>@Da)e*up3l97ZJE(haMFdY!-} z4`iwg?A-4ipwI%10G)x|(v23LM`wamAa*l=!n5&3KkNVhpxs|c7v!LXC%k=xTt4=) zp4bOT<DdcyJf{oZN(~<N5_q8vzRwXfDAw(xBGFKzqQOw&=F#mb0m^3}9pKfr;93V< zZ3;k+NCMAOgBxEGpwbasj~0Lu1o&hkNTCMb5{z;T6U3AkmoGtTJP+_;n;^SEMJ?n) zWzcX<08)s6PyUNhk$^1fM_3IWzJrdzbb{Bj!phSZDJ(>_k3h3W9?fqeK=B^{ihuCB z2k;_b@SrSc@)+Dmf-VyWxhw%RC6NIdO)4-v;A(i%)$r2mDv$0k2@lXAWSWOPJ8yY> zzYRH+_OK7*VGq#aBJjDqJ}LsBaV&}dmwZ5XsrrCtIl+4@!IQ&`CqUH>*mCf2M|X@$ z0_cDYuuHmYR3LVOE)ha;4QL6jhvkJ@G2hmcrF@{CPg~yq|Nmc}1f^}u3pHw>p;^#C z19-VoCv55e6zF=m9F+i%<|7e@Jz5XcCHh$M?oyCrD3Jpvb^(uW-rowKB2e^?f*ix^ zT|S+cd^%6Q-UJ$mvjBO;!>5x+1;pU+=w|HzDFrv$)Mg+hUk8vsEkHi@c)iN-<m+V~ z-K@PJrQN)BAnG_|nKG#I1Pz0LhT%c31rM-v!(8_wSqs$c?PbDupB;bOZIGW)&I<!2 z;Q){B2ni3+8Uf4G{JqT}HJUdeQE7RuE(etGGCV9V^0z}Kkqtb$D>yv5%ODX7-pdEt zxeQ9(;5GJ;F_Qn+Kt_Ytih&16G(g>n01w7saE5^91^9C092M9pV4$rWogZJ%@aSgs zmj{I{Zvcoo?xIov2?EecNBF8@n7$XznqdDk{{R1y1F4Y&as%jwfzlul3$(LF0OTD3 z&_+N@4}m%*k8W15`Q5yZP-`Fy6Tpok&>-Mp(CsRq?QPH`ssL?^XdDI|I0M=G%i#f9 zRnZ-zBH+=>BlUWzNAnLRgbX;-LS(u<x>-NUfqnG|L>-5&xd4To2B^tsfY|B-st!=w zpZsk>pdJQB{1te#9;h|+=w_7znc2-N52B8{s8m1#3^FqVN;{C80t+)pgmil=cyt#F zcyylk(EJD*(%J68_`yT-I5htM)m4K6EW^X{I)D2qP}1n;_UMl0fE0l;Dj-85AXyl+ zBob8Efoov}NI3|Oe{eYnIxeF`9^}yq&_)Ar4u+2xBAg@|B?}53)@WHdhSwK8K$ZnV zEfY}zxdgoSmjg5w2aZW_FAUj615hCWJ~SHKF0BCN6^Lcf#fIH*%Q}C);86!9o3Q`? z{=b|E>Q}>3iGqhVqzebS1Oglr5CW|}nGWecBG-?-tUv0Jnr_^n`ghq$P*(#wUMk?x z$-7ksG^lj$5xA@82r{ITb)^hw2$NNz8A&&Ir)CCJH+T|7!lRQl9jto-ME848lIY}( zhUh+@iliGfNB%+!UH4CEkljHL-H>5h-nY_VyA8XLbc0Wxcyj{ca=6{xV7o;iy1gNG z|A**4`x;5NHpt)W&~?uR+kNdJ*xx@vsi~89B1Cu2TqND#`#uZMb*~5Oo&nJf8r1RV z<XsHWErp@m2wis~Sa%dicWI1ACvPM~=ZgJE_R4}>{o^>ApRY@SLQDay6SO<-yc8&j z!d7&6^xEzN33Q%#!3Ubce(KR{`xh$kR|<5zy+^O@AE>|^(0ng==R~jV45-)*kQnG% z7>{1tEl{x|Ah8wTuBvSSRBQuCEDR!+0~MPC5@UggWk|^}yyylAYz0l$H~#te|D_MC zBMV8ssO3o+5$*5pE=bc6oB*)2zd?h+FQz?&C<5n0q}K3@zrX+ef87nW8$_YyA8>($ zdfy|W4K4sGTP!@fi#a?jg-dKeRW6o$ZJ|?*9=*=s!`UFsNU*Cm)PZVQa5EA#F$XGo zAmzr3WfMR$h~^_`Gwh-l0l)uY+>e6RAp*BZntw9#_bdP<{ciAm1X3QorYzfK82GoD z$T>9p`@r8E$;iOa`MvoWyTgI^EDrqJ{(D?}?$gWqze0w=m4BO$1)~%HHXC*i%a8m$ z;6-2{6WcOD%gweqF*;cMD3(6%qGIv)KLcnW5qy?4c!Ucwa_DGru;_tD^FJp3K2Ud_ zf14Lm!_Nl1S{i=tF9&I1u8Zd17R21}i-7W9`^z6RKW0DpSg84d{lN!3oQInq+8=z# z#(BE=kv-?FPS6p($6ZuF$4VkOtobqj!N)vc9c-L8njhM8o&Ybg1*rrTMWAjz#0$-j z<qtk)YkpwQdAJia;|fv%8aG9#z~lde&*TsOlkfcS{hH!ERZtjlet=s8UVZ?-j|9aK za9s$O0xvguaZUmKfCOUWTVyK{@y%aON_-oD`~n*C0-c7B6s&mS8<!Rw@r_eC;rKQH zJB$TXV#C7_dwfGw;)`#H3XJ&1<vQ%~4KV~Gz7d9Ci|>1K_~RSY?Qi~7Uk;wu15JbQ zx7mX8xr>TIhX5!DK*xR~#ZBX{|LhD5<yN3JhX&|YD*iUm8t2Xn2Y<0Op8_9NuFxUa z{J_5XL_>!oc%hI3s>d6D{r@k(Q0BV>9Q)Ang$Z(#R_DQkKX{r?JODL&6grqdOPSc3 zAKEvcZ0PV}gc|C^1a=l`csKrHxWT|s#L@Wc|9u9Avc(`v7#PY~IxoDQ19K{KnLEgt zLd~Z>fP@q}1UnCbtb@4~B+1tN$iDehLq{MJ)HX+Eux+U6U<b(Z7frJN|L*|#?L`HM z1&Wy$d9vVn$8=eEu%qWM(5)xkF)9k6jn}W)JV0xdKY-40?FIEz_?ub5WughQL&Lv@ zVm6Q70>;<3J$h}YZ3O2&bBBh1A4?&^M;tppG(Tha>E&Vbw7ggc5nS(h;022-|F#33 z7a#ca^41s2Fu3z?3t?n*=ikP{=+hg)=m}bU0b2g-!oMwsnbFnaa4|1r%^~A4@Mx>6 z#jT=upwhPFtxvB5lc(i{;#e2{Z7vc_jtxH=irK-6Ute@=__4qIvq$qk=8~g6y$;ME zby{F`%*g6iLe#xp2&#<0OA8<cB6v~f#TRxmpb5(Zpi|I{!OR;VriKh?p6KEW1sQlS zf~rz5rcQXeZ6GT=^@|2oc!Dp#LJ7}zQt<G63}%AE6V%=Uh3f?=tl^0<dDeP~zX!CF z!lU^YGk-65eQS4&3aEeN02=BD@aX(uc=9zf=yW2>pZvX`h4r9mXwCDUoj*MezPI;b zJnwPwzfUg@zo+Fv{$^06?cXcX>DzhP^WY;Uzits>&<KHuU$4k)-`*e=M}7e&&^B%f zm+lZ15l72U^=y_UDiXCqE|%A7W_k4T@O$)nGWvENeBImaGDXG~RDRScyJ%i(e)i9! z`4J=MHK$${G0^$2$6QoWz*CNpsWC=SJ(++e*jb_i76KP)5Ov30R1!d?5lAJHut(=X zpUx96W=SH48cKT(eEuEMU5=oMpynU-_0r8h5^Fe`e^k{oHy?Na+8hU}=%MTWp-WD{ z1D4&aYYJr<Ji2-3f+^8H5Cz(W3d%0v#-+lGsDJ<egO1!lHRi?SkN^I^1dZ!}vjv<W z)_sm3_eFu+r+{iOXpjz6mO<Ue19DL}@81HDGezHlC^Yx&`is?lpFa?GAAg&RALz(# z<ngu_S@NKPcNXwT&K@4UyjJ0$(Ms4HV6Ui5D5(De8Uj4-0>12p;l+38wrzzLc8_k> z!X#PH`oAmSKG#iO(DB%yqe(u6fy{xdmGS_EFNa63sCO8$Vc^ny6^dalV8cWqhBd(r zTZ+vv228_ZQ4IT^2y)qp%V3vj!wrkTX4qB~Z-QMWfMVDsuwm5@!>;(iyvdEtuq;f& z4nsF<L&9t>*f2eaVYP6>_Jv{zvpK=YVFpSoFUn91y8#ZfhnK+KRD&B<h|RD|A;^Y- zy{U;}*lDm~Qy_+&_l9{>1)E{*n1<a+1Er=K6^J+6z=pX)46A?}c0B}(H*GKt>qjxn z5p3A6i(qdm!VT-fX4prRFavwj3B@oLuwiQ<hMn?)dD9k~VaqTL`wBjK0_M&A;Mh!n z7*-57>_adXZ=MfCjsS3Mu0S!Y3v8G$#4s7SVT-XD#)s*$XcWV0!G;~X01mUGo-l8Q zVKeM-5VFg_VaA7Im<8CdN{C^3aKkvU8CHR5*g^0S7O*f=1{<aWF-#n8*q$IPVKyfK z*=67`D?u@g4Q$x`^I&ft@PK(UADdwhP$B^AO?4E*P9}g#jY$y0GU0|PVKZzhreU|h z+oE9JoC7w@6=IkW+_0;GSiI?lX;?3cVc}rIew+h)bGJLpn;qB;%k;+xGY1sIPJs<u z4KXYQZkRPT!#1Hr065G(Lw8d{GWuGuVQ~<{c;SY<3&7&dbWFpRp%|6{HcSv=*fuwq zHy2_vOa{}iNEE}A!G;|<3l6h*xM3mK47-RDW?*mfpcr;99+Wi7A%=0l4P(b<SR1Bc z`=NWLAz`)>Y?v0ru#K)TZ|?HP5@yzzh83Y0mI5~H?isK*qu_?+Vl(W6A9B(Fds7v~ zFm<qD6Cj2$!wplwW*Cz%vSHx7c_RV24iEwx<_s}xjSI}1m;JDKGXm3P-6)3LjRQr% zx6@#6hQbYN!)6#SreSs{hMfQ#wi064KWCWBEU_7O2*sP=F#8BTgaVe)o56;~Knz<B zH|&is7H^hg8ny(*Fgvhe{1C$e;D*h|W>}LqMg)YT81@is*r8M4F#G8Q^JWk>!yfw} z8wU0!7m8s^z=oAV3|j;@j1`+<GcXO?3qH^S7H08a!!#g<dBY9c;e#d2d@&6xKru`T zY}oCSU~fKlgm@FO2ZVJQgB-()MiEf5gfw(POU?tq)*u?XUMP7Cv~lqTABqh>!a#|y z5@JI+)P`PGPOv$UhA#NZXHY|T5=s<<#xq_V1Rn$e^ZRD7VLA}Q6yS#K@kaO^ZWvo9 za;%7g<`7Cy49f!>cK-y}?<XA~e(z<?$7Yx*N{Pt^GE5!CFio&wlOTo_!3|TwX4oE- zCOBxV+>2W=pkRi1^GPTu%v>RcNy81h>V?IdK`3no&>HL)y(osw2OIX|IM|y<>|x&Q zz-E{hrpp{q42uFAwi;qsF5EC{Y=+sP#3ndte2zx;rYP93IEZ0laKqktV(}(>BywzW zf+AoUieVQ*Kw%~bF>Jpb%$p0b8MYE7TYy~_iDFnc*svqVz+sjFH!K93VK-2c1~>wE zPz-Ye8&(c6Ob~7uJ2t~KBQU(VAG&QDQvWf64by@cw#ydg&0QW?!Yl=)a{x|fMJR?H z2?mAP-J@V{Cc_QO#b%g2N^F92fGUb%^<cv$Kn&x78>WEGu+=D~1~|-aL?R~*E3jeC z5W}|Gz`S|c9g8<xQQGrhZ+4>?_B9CP&2LA*-i(7A)`rcn$zI4208ScqD28nS8@3W+ z7(3iBOKgVuRU;cF3`(>gBappW3^ptVV%P?2m^a_JVe#e>lqw4x0ZUK}(*qmE4>2qf zZrFTmhD|6(c9{^!W#K4>y$l3}*`dSWFk^xn7KF_(15B53p%}IWY*;D8u+>&DZ?a-D zY?3>&%fNNOUg)W=kQ|T?HcSIzSP0y(9j;iytO})b08SbOD28c)4ZD2^?9IQHFqdUx zGb|J(X@Da@8O5--0iZDJgBZ39ZkQZ4!}u@_yB3C=G**BObA%Y?4>#<h3l?v(qGWV% z;n;~{ST@+OF9*Ti{9ys}W(zjMWKjx7aNe{*F-#3?*m8(r3*m;DV>65qrEmmC!23{S zZ$9(~g;^BDFfX`aubi=XvkN7ifnBx;#jrJC!+0TveKv=Ab1pW+o`)l+GcHgL2t_e0 z9c<Wv1K=>512-%Hn_)?A$cBMS4Gt8;w7`ZHLkx3;8^(;yu)8QtaB!II4nYpHr+%O? zQ-c`x&J5<wZBAIij2op00<Yl6LosXt*sz=X!QPw>H!KsIVUd^-pon5vG}y2nh+z(J z!(^}-#)nb|fW3JIeE2G?6(j~W%pPLcOH-IP&pTrA=0nUdYezBcrY|VWKJ5d0a}wOJ zCTxbyLumzplZF+FVN<|{Erl3n4L8gbn_;g}k_NcccpHQqoBm+KA|Qr6F@bsWg##9E zKJ!FQXW$&L0L3sauwmQ~!+PO{&BkWfRcB<wz=<{(#jvA3pfKCF7aV40aKrqt8CHPO zJOIZg8;W5KV8aR_hTS)Yd6N;FVXxwmUB(N_n>z!M!^|3Nm<q(O4!B`k?6HK|J(Thc z?6Mpb!@hZgym@^O*qerM!_u)C#^-?SGVm0uJc?l(!G?7~47+6n^QIIw!>+j?8wO4q zm%!%{!E!(j*f3j&Va;&E&e~z|W(|0a8EnRZ9~5S-D2Azn4g0Vg>`fiGVGY;}YelJq z!RgEb#jr<SpfFnuG3=@#%$vs84Eu_b&cNP$4L!;gQlrlW8x{sJtPXD2Gg~a)T!(4c zJQTwsz=m-`3{!_2HWQm+r%@_laMB1wF-#h4*q&YBFuPy?^QI3r!{pMDV-vgsf(6B} zZ=Rqq%ZC_N2{-J&4Hj?4pkya-jlSIvIR|V68>R#?ObKq-CTxZYRw26#+!M}1F)Rmc z*wvk2Z=TkNc{3H8VY_RP4HE;UGg%bF)WL>zKnyE^8zzCxuppH33>*O$e38BR$O9B+ z))2#F;f9^I#u8@p9g$rIPG`+1hAjmf_HGB*o5%EE-mJrB*bJ1s3C;m#D263~4O<8? zEFW%|AvVK`J&;`n_U20;WN%7?4GV!7CIL6>i4_)a>R}o-2gR^!?w~MZhZuHH7v|0B z*bLi?QVD~rI)4<yCV~yywH+L0S#ZO=uo>2Ai|kEsg~Ehlm=D;nT!>-9aKrvuV)5p6 zdt}4FvANY7In3C>hABV{+oJ>X<_2tr$)jX+aCXW-G3<~VD9kQz1A8+SZdful!^EtR zT?VcLq)`lO1sm1|F^msxm>4$0qHK^21Bcl;FJx~zfDN;R7`9y-=FO89Si)?j9kOBI zFl$6H?6)h(n{T#)y_o<vtOlE5N3D?!1G~%w#jtH)!{$Q_<AfWgkIk?~S7gJ$Ip8_; zP*q4BPzW|G2x8bKEtoeSnPc&$4W?nUPz=)n8^#JTEE;auRBVP>St7d(+~4#?G3>bu zD9m<j0f!k2+%OMphUuZ?0B{5_pcr-uY*;qLu(g^nZ~ivJ;?3tMNds)yW)I|~(F-<A z4q{js+^}`n3==>p&%hCohGJL%*szP6!QT9@0drX*Hp7}w@+P=<eL%8*Eq$#IO}` z!$hzdHWj6T49=Tp+>yO`%o!8`<`BaI;f5VI#S&)kQE~v-W%VeAHG&O$wF&IaU+OS# zR$()26-ucAE*y<e46^|nHWy;pVz^<t*bIxoblFqzL94LF-ghUEHv=Gs`M?c(V1mV) z*HAkA;0E#x6vH-w4P%BF_Ein$&B@pdvq5QVfVW0?qZn2THf-BQaG1@58|H@1uzr*d zKX|9aKUd_~v;Z5H2{FtaZrD#_EZ+QsGO`Eu=0+65K0AWKOa@}u2UVCi*I+ZOFBUnY zgWG2*D2A;A8+LvJ*qbxqhQ(tu>_axPVc>4HIErDJV8faqhB?6v6T)WLZWCm~z(vq0 z7vwNg0UKrtG3>Pp%$rAzu!LC=reU=xhCO!xh1rYsU~f)=8&-kMFea4NHaKq@pcu9Y zY}jmwVYYC?w6PiX$_Uw;;Cl11GqN{h!G`%k411;w^X5H6EZ!7Bshz+XeHw~k5@5p^ zA%^wC4V#F~uyZIiI@mBz6vHmtgTidfI&hd-zzuW3X4rL<W+*t!{x~6fa~jyNbckUO zm0;fdZh*y`t5F)r;JmpW#jr@QVNwvoy5NSb!e&@BN(6w@SrUq2B4ESLt_6G37;acB zHp7$*F=A5`#jx{spfGEI7<NYy=1l=?hGiHd8wPG`oNz=AvqfOTj3I`#!VNpDk0s0$ zFb%6lF)S8r*t0cYZ|cDfE5l~k3zSM2TyN^37$yNWY$n98>k2S$YGO0Y5~Z~bF3%o1 zAbaz&Ehx-<Aci%-4ZEX<#hYR%H9EL<nu20jAK0+}tHIvXgd5h6&9J%A$Poa#-uHz& ziea8$!!|(-11(Jhomk(?>V(a(Hk48W?6P0>$lhcD8<q+&tXduxW?yx&cykL%^8lO! z)}k1;-v$(B5)i{w;D)WhW>^uXVF@UPRe=pVy$T#=XXRini^gVHRTM^;38NTh3O1|` zVptj6Fg|RC#W*1w1`e}hcF1A&!5ZXELx^GWaKjGjU<os217yR%*{Kr6u+?D0o~#6W z^MowSn<dx`yRDC5m=20zX<);qLkuf~8>WuUup(1r!@wo&eOqL2DuNC3f*2+RH|&-+ z7H{gK^n}4}jY%kmseldpy8`UZ!!j^$_F^;41tp_{i)~jF!|q#wB47i=upGEy4%iG6 zMai4sMEk=A*_*4th9yG`6NMZ0SqqCdYb}t&3|s`QMlq}vY?v6tuzk`nZ!W`Tm=MYU zCODnNp%~@>HtghbaG0gT4U5EPm<!7E8hFf65XCTQuwgY2!vx@l@nAEI3nkHlyE{j$ zk;CkrB`5;)A%^Xgf_ZblCYCVM)<q68a1JO(F>EE+ut&?l-b{iUR)o#4LX_qKIB961 z7?uMzY%0VsZn$Bp*bMuM(hmSfz+Ee3Z>ob0^MDw(MH1%C8yZ-=nTb-PgR|2F6vIAQ zfWqweQm{8;;f8f%GfWxNFlQ9Q)`AUN2QiEdZkQc5!|tLq55O+_W{K?046tE|5X06> zz`XfU9g8=gqa<2zc3O#Im@?Qf5r|<CaKn~hGb|9(Wicp*-7^P;+3_XdFk^%p7LLs@ zZcM}YQ4HG&HmnL_*eY?DH@UDG){Ihbg3Gf*7RX^%0yaz+VpuTTu)S(n!mI)%JAosh z6vZ%ouwf4tgT46&bW<ewa?}EBhBcZaXD4tD&_FTll^H0^CPNHc3O7s{n_(+ZsyeVY zZ<`}~b1B#`H;7?=aKo;tV)5o1ZDf~$ON~Ah!xF%T{agh0=66w81ax9EEC{8l1BaO- zieb`V!`46yTL3rA2Ag63P_h%a*#2UM?9FSYpfHPv80HB#?7a#WZwg|1b2*A(6TyZF zK@9sO0`uk~Y=-4vx-1ICFdwjCM;C&_Y&P7mP;7>&V;aVbVi-HvunLG_E^xy*uo+gT zjvSldV*7w8a+n=50fm`1#IU!*FmLWw#u8>`n1&Uj7*-25?A`*fH>bf3%fn{aG)-ie zfzz29ieVOD!zMxuvxgg|h|Ms6Ov7%PAbaz(G02-P5W`-8ZbJp<%_~Y+yvc!SSPzO} z+rWl>pAYutM7Uw?*bMVfLG~uNi)fExSRvT3RS?6h;D%XYGmJ|I*)VW+`ecmkO&zdd zu@J)^3&LXats)k0o=3@>;Ow*%#jxi_pfD4F7}f(fYymdI%2Bct*kutYhAjdcc6c5* z%uL~i1!FVJ7Sm<iD2By?4J(5fc25B2O*U+Xy;MXFGiK2A+CC%XFjD{<rU@~u9d6i8 z1uS896lH81TyGYl81~o@6lQnkg1u<~H!KI6VZY^(T?Ss|tb$_LGO%I&5W{Zr!@Mbv z%`iulp;ho;+;u}_Z)SoGbAlLF2sI3}b<v}fRriW4!;2TsL8n_l7AAwvsoWtC3IN2y z<fkZ;1mL!5DT)o@S|Gnam;?4ZE7XQw)&j6OkcG(>upM}^D5Fi_DoX>!up+QwlOcw! z<AeEK8Jl5S6p#ZFoX2h(Ap89)*f2MUVc~GYuE~LXh~_del)(;gbFvS`unAzpe$EDa zlL2m6CpN>5p|qO8)x0B$VbNg2)<6tf3A#QMTrSyQGt3MnkAXAn7w}=LumNv7uwn5K z!-C+3y_dz}O=msiFax`6If`MKV8etUhW!Q|_78U1B5Z~gC?gvNF3Y1(4ATJ{c61gv z%$C3n3&m#G1e87yc#S77ieb++LFudlVwf-7Fb-^nwW4f+1P?1803XN-3$sOF!?YoW zeFL5E5BBD687yHIiPF~w=l)_8!(zdP-J1#a=6twedDsk_kJ1SR=KwVn!yLedO@tWc z0XIw$n_-(0kRt$eFz1V#y2v?TGT1N|h+!YOVA<)4G!}18#x$%4#jrSVY<`~s_U0_O zVeQxqt3)X^z~z!Xiea5#!&X5IbA}sch0U-?lpP34ptAgv4zf30z=p*_412=~^X6MA zEZ$5+Ss4yq#IY2`u>asN6Mz^t6>iu9Y=&JxS>FR5?u$S%Y!}$D!_&cGW(PMc7@J|W zD3hMx1FE=D3@Zg2Rt7QbIS0&}Y}gDtg3{Fk7u)-^k;BXYY?vm*unBO(c1mIiGeMM^ z8C*CPq8RpC1C%%KOapt<5^h)yHp472U8aI!*fOwT{Sd<*vBSJ6kIk@fl+h+|m|X{- z<qFH_m0-i1Acl3r4Z9?P#hb<`6L{bvs0+m~6R=@lr-Hp{0ynG`n_<EE$azx+lxS^H z3^NBCwgO_<UC;%Z;3CKZn_+b*^(NSxA2g9;lL>5CG{mqrxM8owv3N5brD+6iv@J$4 zY_B>fHu)fi>B9}1ht06fC}W4<1@K`ghE;$KJ2(X#W;a-2u^EWXu;(blec<fGiDFnX z*sv0aVU2LZSg;vZDuo;Y;6BhE4dgHr0~@9eF-!|?*mf~2VYUM$2Y~B<d=$e3!G_(M z4EE+_&^@u>*v!IaSTV}<1-N!nLNUw*Y*;VEuo}2wve*nef-=|v4zsK3$llZk8|DBp zOcid}1yL;C<U}dYz_HnZV%R4&P}2B33GB^tpj)ND-fYHZ*esN`1~|;DQ4HG(Hf$Nh zur#P)-JlBvJ6StV$}+t0xr=C<8hG^b%7Cpwv`zmDAxANI`{zwHWWO&4+u#DR;TQNM zLeS|DS40qg2Va*1+B9b>f@~PLUEYIYSRB}}?-Rj(Uko>_9h+hAgfR@WM=?wiY}hJ@ zVLouftgsoj7}Ky%s>t5Fq6&(YScqX?L1#LFW96+d7H^&rM0OcC%$A}U)(<vJ0AkoY zxM2&h8OD$4vIrEzyugMXo&XLrGpJ$UL-0CTryZANc#(M<5ycuFy}XuSYY<VaA%W~% za2xZR3bNl<sDS*Q2(bZtgeTbVAB7Np*MN0Dw8fAO0~ZM^Q4C848zurWth5R;Gq(b6 z5cq02&=pF(ysY4sFX$F5(6J=oW^@9IK}ukQPWOY(5|;!S1Ul5kqmy;jG0@Q}@N;c< zpZxdVqt|u{Sky&D<Hf3z|Ng(e_hK=KYI-r}<iGzf^+7kmO@b&)hAK38(Fl^-_wWDz z7v&%p=#J1AxgZwkxWpI9Al6#YIf;=VRv3uo4`TiQ^Z)+~SBPP1P{S-<n1H1EKvG&D zmNtl`0AgMF{r~?9F%YX3#Nq+5)IcmI5bOM}|Nme7IPvfQ%L)+d9f+j}Vm$$|PJu33 zz6D|xgIE_pEEy2%7>ITB$N&E?_JCM<Al4=jOB}>n0b(8a{{R1rc_3CMh&2Vo5(2Th zK&;*0{{Mf`0Ai(pSY;p<FNl=`Vr~2S|No055Gx+UiU6@VKrBBHYvY&y|6jO(SWzIB z6^O+QVi|x~Yd-(~|3VGK3I(xbK&*eC{{Md=1Y#`*u{c1i01)fn@qhnc{`~m=|BEjm z)*=w=6^P{xVm$z{zI^!q|HZZA;7Hzo1Qhk4yT^}$q?$oeyFn}k5Njidb@KiH|1Xw< zSVbV#To6kd#F`9Z9eMZv|BFr#D;LD72eHIJtWpqb|J(onUu1(=86Z|7h$RSOg@ahT z-u(an!WYC!2C<w$EFKWc62#j2`v3nI`XE*uh@}c*v4dFBAl8Og|Np-b1hFDPEOro! z3B>w)?BD;Ft6%>A|Kc-<6#`<t1hM|U`2YXKeGqFIh;<dj@&~a_gIGVF|NsBuAc(aP z#M%yGd4X7KL9EZu{{Mfm7{r<bV$B4xTtTdU5bNF3|NmdKf>_f*tZER;0mLc<v0gs; z|Nligh&2hsiUqN(L9Ad9>&fH)|6h25SiK;YJ&0unVwr+i_aFWL|3VwY>Hx76K`cWM zOB}?y_3;1y7rY==Gl<0uV(EZbKac+V|MKdC|NmdS2eIlvtfwHBI*4@}#JX_*|Nj>k zL99v;>o|y|1Y+$4u}<In|Nq5i5UT{lS_xvwf>`rGtYdfo|9>$R#L5S;x<M=n5UUZy zI(P?^|3R!Q5GxnN5(cr7L99Ku|Nnmx31X#!SpFauABg1&Vr{?m|NjeX5Gw)1G6b<W zK`eC;YtzmD|6j<0SkWMsFo?whVsV04Yj6Dj|Kk6VfB#>GfmmNbtpC^l|9|ls#99Gj zJp{1=L9FW_)~{>-|Gzj3Vl4)-4ue=eAl6P0>+9A3|6i;JvF3qTOF=Am5NkGw_2J6@ z|1TzjSTjMab`Z-6#Ht0cUSIzI|3xu~H3h`V1hH&EtauRX*`@#gUxb2K{UDY%h-Cp{ zIf7UZFaH1k!W_iv0<m;KEMpK$8N|AC;s5^^k|0(qh{X?L>48|RAlCKs|Np=EefZ!1 zmkl7+M-WRB#Ci^5T{`#w|BJgIRyBxq8N^Znu}*?mXV3os|6)IgRR&^h1+nBotkoda zi8KHIzgP%j6@pmPK`bc{s~5yNeER?Y7tJ764v19=Vu^xS`5@N5Q~&?JNCmOdL9A#H zO8~?Q1hICW{Qv)jJBXD8V%dUN+#r@Qh_&U!|Nk#EL9AF1OCH2x1F=Lwto6tL|9`;^ zVnu*hj35>xi1q!@zyB{+9sB?P#aj?77{q!EV*NS#|No1dAl6b4>pY0%2VxxsvA!Sq z|Nq5q5NiR5wGqVf1hJNbSf396|Nmkxh&3C;nhavOfLNU%*4sn>|G%gQv8I7or686) zh?Nauy*T*)|BFNrYa)mh4q{n>SiT_E;{*Tyzi<YzdO$2o5X%(A(g(5b?f?J(g(`^E z4q{1zSOy@LAc%Ey-~azF*g>o&5bN(jaKV0TAEaRSc=6`ozyB`<K~j%EtaW?;|9^1< z#0m$o&Vg7AAl4BOYvrE*|6l9^v4TLX4ItL<-T(i;SO#J(0kP(QSiT_EBoOP{uK)jE zbbwg%L999u%LBwJ0kJ;r{Qv(&7Kk+q#7Y3MoI$KG5bMp3|Nme3fLK#OEGH1l4#ctm zv7T@L|Nn&^h&2JkQUS3nK`bc{>(RFV|6d4zSlu8N8;E5BV*NSr@BhoYTmS!m@d?Cg z1F>F!So$E=JrL{0mjC}>Tmi8fL9A0CmKKP00K~ey`TzeH+d!-u5Ni#Hr3zv#0<q3* z`v3pM3=pdv#OedF6hN$&MgRW4oWGIK{b}GUy_(-3o!JLEYt#XBvulb+x0FY>7l%i8 zk$^|HlZ1!miSm5VX?Wm+RW*-+?pgSL&*R`fdk@899*mzMXTWP71hpg~*8sf8&x71y z0lkp|e6U_8=#u#E92My4f4!`E+@KaG=#rx2E-C?_7AN9xz!$wI|NWovV*A2>|6e+R zPxXhL&(7hY?I8iS>M;DQKM(^V54+&@xC7`QXoh1B3Jg$XDCYt4x19lXE6~o<k5LH# zpE=!KE#aa0&!hEQsgy_S{}Ry&9><+QW6KP%D-e%4D=>I;2Mc&~S95rFUhvTT<<sq> z65(lik-y)LiGjhhm&ek_@*sbEEXbBlZ;l<HzC_Cb{#HSdK)1C|x3hppw>O6e=-%`x z;HH0xih^(FA<v61JT*V~S{~%@2OnME?F<Tj{&s$lshuZ0G!J@Op77!KKj^7>$n*Ox z&x610eH1VF^om$`v>qtcfjJQ5IL}@lL-5@VTfydggHOOt00lAh!i7#7$ORRkdoBfD zygC2xKj_%)0FUkx6$P+=UT^RKU*!G&|NnX)%?m!7Cp;|=@%JBLU|{g>WeEh`gYsf4 zXl$SxdJ7E)C=E$Kj>hZ;-QQTw;nU6T)9o+eY59@A-H?%i!AJ9)hvf&)&O;vj{>OYY zPeU&R@l?F&-7CZBVR^IEz^5~u!=p1?pxJhLGpLUs>e0#X(fJ$Uu9u?VyE{+3n6dZY z|JP4IXJ~?ZsurL-2sA+VSV58`_{I$pu)lm%pceyl*Qf-5Zrm^c-!t)j7pQpR{Q;st zH$%??A9L)$@e*`HqG$6@2mbyf@Db~*bF;V@KpqBN@Buk#+yOLfC8h&O=n@{F1OV}Q zr$2|sad!cbe6#J7CXg4zK;0bB>6+jy1iy#i#q~Y^{=a_a(OIK{6fuVX4G+9L59*d= z9Q*g*r}KnI=f@Yd$Nv2X*$+zj952ov`~Ck#7FhPs3#VhCtkN^@-~X55h(q7e()$mP z&(O|W2i;8wPszm`pbLLBKly+rz&tH4@b|A~U|{g-<ze%%{K?-AzLgG<l1uWz$+uhB zqdQu_!}1(|JNQy<&5u5o2R-@yPk3m4hQ_Ri;ysUE79&u~09~+LDh~2N0Q4%22vBlV z@Mu1waTp{Fxmu?abdhkUkBY#Hh_j$H>7yd?`jkhf4CF%A7sh`;hxC`IfDef`06X_( z{{R2~4Zj&4c$oxF86RHw9R&r~uNP`ZL19xc_uv1Qum7QxiGw<PJfPtdfIoaJ&R_{2 zVel1h1j0w}2qb*ij)1}^U=G3X0iAa2(flR@bRSRx=sutXP=Q+jDqsyyzGeemW7~NH z6o=q@h(TvXb1*P5FuZ8}1&S@uXh64%N`XgrfB?8m0v&np(FwW+Siqw@LcqiFCV#sZ zxSRs#R2P*BkM05qkM2Dx;9?Mbf6!^q&KvMVb=rgR7AU)OfJ-kBApyB}23+Mp@11Eq zz~6HSl<>P_Kz0`kfHJ6s2jg)M%kTW{kjpTuIY0$V0O;xyOVR5)WEo1d!M7r7fm2e8 z3izUe&M7J=cLa6ks3dqaAISixTJXIjkPH0~w+(c&PTnEQ0J_*k;Dw<9^lH3PF;Jj+ zK+6iy6^|f?z3zvIc0w!zM?K``A5iQ=?dfJs-UcqKLO@jO$r3@2ZcmQa+#a1jJvu+V zusZ~bPw3Fliw%c<{|8-1*m?7X>!E-DUnm>`#d6lHfB#>q!g2vx`UhVs)chs^6u%Lm z_>BO?VF9ST0z1e>r2>9sO)u;8rI4!I0(A2<<g&v*TYvv=Jy7cH(aTzx3Mnf=-U8o$ z1-&Bhr5dcT>;$I;&_&jrps0pk1~lQt^}Ue0ZzDXKk0czP@ZvZM|HX=#|Ng&p1~&&# z@3Y`<n*)mG<~Ir;_e+4>558hu15#5LbAT#DNcrZ`{EM+B%A?nh(Zlj*dAtWwnWhFS z(=5*w+wpI6Q8DOXF@pLYd}KHDuDwnf6;R-CfGYJDOTL2=I5fLyyxs#UhF)*-==|^^ z>i{TDe!T#-*CxDpGvnX?m+la^gW4--;m-p~{AlHKh>F26Cs0eniv!#wgEhwv)~8PJ zIPL^(k~l$HI$(vM8%SFZluCn~7l0IZ$mMJ|>(8y=l=KQjftp?*g`lhpzR(O@5kZS& z%M;~=poU#{jf#VZ<#`W&|DPV3$2?$-zYm~W)w@9%*79JfiU(trgh%WDI+kNj3jaY> zS^zZYkS*`5QIUXD(4fIW(7iz~ia|p_pj*E{nN#BRju(^mgHr3B>Hq$}ybepPXU<@r zcdh_wq)I{Bs0Zt`JS<NXC-QIOVFq1M-RUF&a!7}diU!mjNT-D32tL;9TfiZ6V~Z@q zF&7mLaQ=lhE#Q|QyqL7_-~S0`K#3c4tCWOCw*!ZV<-r;*P@scma~TeMXr2J)d}z}X z6x}fO4jfP!wD`XTNx#VV2|>anio?S)N`k)^Tn-&~Quq%lmp~14P-^hvfO!4{fBR&R z0h$Lvfk-f}q``63S)&pF4H^PbbOBVGLYz~hBJuj5M>p%%&9b25x|e|{d}-*#k-ebU zd^h#q|CgMI)Q@)mB!8POmh|tU65s(U!jFNx)(yI_v^$W)qg&FW+fx91RX`I+Lh}%) z0C(`PyynsQ-Gkr%6DY-gzv*%Cr@e>b3FIVd<YD=*R^6kU^~WZ#<6nZP&Jxf~wxByl zJ3-}9H|%mB!;^*wUOxogHievEOH>4290Jvy-6bmEhOWcwRUX}}%Rwe}^UeTK$6Zt+ zU^xnWrC$c97>DMlUe+xokiqvC(c3|z`=D%a+<`*{<da@r{sK_B3T|IQ%;@E1=@4K5 zWlnUXUd*2I@Bhnf@C<@2f54NAlLRcUSe~d=F7`mmB-k^EM>p%~jo?5!vk_ljXxk0S z3!ns!mY#Vb@hJf+j|D*GG5G#r1&`wn&=d@c&eoHq1)$0hbm2xVsOFen3=JH+ZJ2>0 z2A(wr2aW|efO~nLw?P62TKmHDDEQ{KP9GHuX!-`<Oa!?g0CZPJDL?GmHVyE#Z4DmH zKbcB}Jh}roKwTiP;L8k9M(br|fjMdQRwO5Z?q&7p<-L^*k1v>$LU1_g#p_A`{=d8i zk1v$)Dholq4;ECdYJi&iFG3Ie{_oLTqr$;Z$^}YC&?=~#)n*+ydTc>d>wyv$a4`hB zK^1&?>bhOO|4(>PG3np`*V90;gOT4r*R`YFf!fO|58gMx0;%1=OJ<(MfND2r_YQOe z+0nJ2DJ8x#@T3*=Ca#@pWf?qrS?~6N0t2es4AeVXfv$TxSoeieP@f2N6IVY(XYn*7 zoo*nVdFVQG!8)gbb%Ji<N(JeJXI771+YpdI=ZP0B>;L_K4Z4ZT7%ET<5}4)DYbyj5 zNLmlN9t$*c6fyDN|Cf&;Q35T`ah1>DnL}*j1<o2Ctq1BNK?4Uq-OS*2Mz^;CxRJXX zlm{$N@VBo8F*FZ)Sf2CX_y6djdC24YEsul0>^&4Oc=d`ffC{-*E0CJ*U;_{BU=5GX zU;~fi&KlrU-W|;0VHs?|-wV2PZAS{|hG9-fZ>rbnKS*oeX;9$-8chIo$3TtTY6B0; z^Ze~XAS1yyBy~GW_;foffNm7^0i_Ymf1aHm;Pv=F55`a6wzP-lPf$@K0BKHN00jwZ zbGpV0ls^JI7@a|v`jrZUtp|0!KuHretPpI`Z2Ptt6vccVo!;QA2bJorcJSyt@#5jy zfB#><@aSeuSPf3Yfgq~&WJx%<NgL?V%~}qMs&3v45Y_2z(QG>xW}Y*ec`v4b40{ds z6{O<`Dx&50gE~erDgiH7g1YaWu!iyU?VyJ7j~DveL8Ftb9g)z6YA@^E7*I*#qQdc_ zc>C}FFZj0q`~Lz|x=wgu-2d<Y%Xf&5H6%Nsw?COciwDr|laEo!@Myiw-`WU{A0L$n zkM2MN5A8q=kJd}|fgas~4j$c+pgYaMWk<8^jv`Q?X?q-Z1dSblZvcZ{<TwY~4VnV! zjv!sgUg=<X;KhVB|Ng(e0BV1L)q@K?=-rP9rQIbe5kB2+20oT<8vLzdpd|&}ehwbJ zbq*fgVHTi)KUa_AZcwM_!kprU?vyD|ry%ZB^zdjt5^&h?zza^0Gp>M|ehwa%aUA^p z{GbHb?Iz*T?FUYK;Fe!ExUTh4fn1ObO34MF#D{!`EwuaA9RsSGLCI-eAyRqc?cmXR zpr)=)!=pFgKdAc1@UT3^-<$~^TJup!;NRxM_}`<`+r#6ya{#2d1WJX$79Pi)q2BWY znOCaraoic=JxDJEm)9U|s!os>)mDSr^fz9g_2_0@v;v%ZCxfWgll(0oz`ph5c=;U6 z0JWJr4}sGA$5kLr7hbyl|NkExH*Ojp$f43L=FuG|;L%;j0S+KYGOke(0F7cH2MhEz zO-QhSaz%RqI9QB8_H_n>f`z{a)R8FlLU=V9q^49G?p4I?pgqu-#7I=2=Dy*97gnqO z{eOKPoY%o)oyH#B&Ke%D!tFUIQG*J%n;?efL6lO>qgR9t)GEvHusl~+0V-20K->fm z%WEaVko$|_gFMB%L3uR<lslp{K&mV}dTr!9I;%8%x|zVumR=S)k6wrW9+oGHvz++1 zxv>BD=ydY%IPL_A-Odt~3UIJuB>B#dubVx(SwAiVN7N?})q0@B6jW8d)`!OGF^|p* zFZ@@6V(7!mUEtE<^Gj|}?XYUozyB{-H~;(p;=v}6G-w^Tj|#_&pv|B{<id+Cuqdo( zvDpl&aoM{6{eSuJ_y7Os<-=}JC^f%9X%B^{D8M}NS`ajJ@S^=Eq`Fn`=se`n?WEyh z>10sq<I(M9;n5xC;L&=r<c7y_Cukz>%mWn{iXO+Ez=;^zVF9(Pz*p-b8biHRph6;h z#lQcrZ-E1}TM87Gs)$yghDWED2lkfWi^Us34S3zIfB#=<AT{7o+AsWV3ZUHA{08}c zZO~Oo{M$-Y0t^qlRt5EXG(dxRFBboR_|b!Z8+b+_L`B1+^Kj=mkIoB_+7mQ7uHnge z0G`x9V^5x-qz0}>L09woXdVJ7ht#4ziibQHe}Tf!2Qmo(>0&u_l&DC&NEL*%+7v)l zRqsDgEP&kQ(Ohl8P+IKK?QG!D?X3X{Zp{-Omd+gf{Xw91UuUp}N4GNv<Q7^1k8V@f zC7?89Yqms|!Kc^Zzi;b-8X?dvUmX8^I-L<EO(&?72i?E~D$+7QMPUJ`3xRz1cegRb zn@D*H+`$2Pa0keJo!%M~e7c<-e7e2iMHZ+}qj|`)^Ae)v;L$5$&}`e114;xk@a)}r z!l&~X=t8Q_i$0x~UV?InM{hMa{+Ipx|N1_t{x<MnJPgWc9v<Cx9^GLA9^G!BCNDGS zb}0|bi{&n$86PW;PCpHpwHFZ9`t<TxcyxaD0T*qC-wglzbe??q613Rn*7|?{Uu*>t z7eK`7^`OD)11}DPIP=zn648tHfB#<|hE%19^waC2a>B#fQGmZ~E2vUxd;<xEH7XlG zq0tMWLD$3?UV6>r(RtIO6O?B`vrwI&9wH>jr>Lv|sqUVmasWhk%Bb*f+oE!Ufq?;( z4me);{)MDE0nn|$;J!4ZLkUWK-7%m+3D6K>>m~jc0gxl$mw|&WrM48cUnI-G->M8Q zXGK&%<r(N&Z^K2f5k7wz=m;Nw3pk1OvgQUsn-<+ZD&Q-xIY7DuUOW|skAby7?-_^I zq?QLupyS`5x)G*L;6?B@Q27YTD!qY>py-S6u)I|s=+WH*@p3PZCnz#O>Ffk(j^~7c zN3YF^X4|YRP|6Sl-IER;-0L(s@e;Hs3N%#P4YB)0_!3aTcH-qSP`TI38et4`^cINO zoh6`Z8Z>&_2@azW6@?edA`l;duSzrkImp5T>@pvf0PsCrpk`x+M|Y13_`>pTSj@zz z1b~vuYhj;WH%6aco-)5~pHmz@jOTqik9k<$t9ju8$u~VJ;Gx!DR;@soYe1ug;AH2c z0<KJ;VL4L>;t~l^oPzz>-2-uVcL-=IFGi&TY$K<~|6?AE_kB7KdstrM@9hUA5X<}g z?Vu(%XiQo2H^ez1Cpmm9FMD?0_T=}!<@x=#=fU6ho{GQydPOAsx_M6eT3#&K3d+qM z9-XiTS&fQ=Pp62A52(}S(HWy6@M6gpP;^1U7ZeDPWC?Z;R8x0}iU+vz0nJ@hyo?8x zHz4PLeb9N+L-RMJQDk}9qw}5zzyB?GL;br4Xrixs3#1ijd9BnH<ns$4|8(}K+<?*# zKy<f@N&-j{e0^OvbU3-QMdbrn5|XDp8jpao+F?-93Gx@DMfT#+W@I1us8qb33Cevh z4MB;d^M^<0%@^;%%^)!I$!bu}=4<))|E1<1q!|`aD&PQhI6%=Va2UNlTnEZa&B*ti zfixs|bVqP_bQ^fIe&cVA1}W+G01XcCx7dI=0Sb`Wf{Xm^>0p5Z(3SKS@GI#%W8mpE zL<P%)Kz9siqODlN!}3EdCwQ3uA}BmS*?t{}0q!hy`lxt-if>SRs?HCj)Dtvc>(lM6 z;Mw`fL-UvqXuj(X0|SGv<thGlP#NQ?dC>FwP0xcr?L8F_`uB=(__m%b5e9WiK$DZ8 zT&W@eX)l0>OS?l<5<E1$z&Xglqm!%Ic5fOev&w?Pz{8`{37o*eL+1sco>hQH^O1<d z9=%l@U<EH0Eco~T^&MCb5#08Hj$J`jcJqcV0M%EbZVO}?Udq7Qov4aBOH?vIX%@)} zA5g7v>ct0ebLgW_=cyM@SAyC<D?xFr)%5TGOH)X18Dl&_4^sa}fW~_QK;u0DphTPi zDjqT*#Zz~UN`>Kp*G8Z^1;qByJPx`n@%w#`ga7S46n}uGoHIOoWf(z>1fS019^f{C zPv<F63i9yi_LA`Fb`tRE_EPZa4$|=KJnf@-5j6GK_Z1X%%~lNjt*=3>&KgiDQ35J< zV^lJHTQ8NA`C8uMZ?6ZX7|V|y{Ql=aWwC>==0#|v`6{0BWxU{HdAL;9gV9R^lpkJz z?#~8=wgbo?9v+N`Ks}8D56ct$ZC3yP|9`2&$iM)KU{DBxqf6k$$_=25<D&xBlJI(q zN4Jv!r0wPa9YX{sPtaTeXdL`MMh6?5JUfM(ZP%rMk^?7ba^htTsC?+H<^Z)(I#0Y< zG7nT_UwD}c67H_z@ab0aZGBQ&4EACV$o~*8qWI3c*F?a>@-t|V-b=%S@dT)308Olc zlMZCs2`Qa{2F@Ujbg+9tGqLB^gS-Y>s{v2IuQ$U?@#$OwDUmw&K+5iJ*dkt^&I>-B zH(wlB{_p>b-OEAk0Wg2Va!`7@RsZk*OVEYo;2t%iJz$*(Y6^fN3(NWxaO15T(x`L; zwXY<=u{94m=@|fuG7ZoqMdwF&v3U$6=;6^TV*p}+V@CoUn&&`#@a>YI@lgqn?kWMF zZYKqwZZ8eb&Qm^`CqdnpI*(>6hSCaO%ZvQ&;5)lJOH=|tvF_mk329Jb((u(h;racB z=fNNLzKRDy_kLRbE)@eOrd}Hk(0$)+{-9KI927yI*-Usz^`dwkBxu1)2*6!D2T-o@ z0Ogv1*L!@rqhN8I>1%nhycTLJiiKXiCj6lIl>^%dieDF%2yo<rssY5Jn@%4U1+<v` zvKGmD1yEvlc)b&p<05=IQ&bXsIzgita1(q$?aPZV-Y*3uLh!<bCrd%mdcvpk;)|P0 zLD9-w_wWBpJy<aTj$06c7QYstRu<}fS1+pqc*ibu1W^Gr4w&WvX|{m_N5G?#_v#GL z_+wupxa0c>ROocFo}3{I8h>QM(5->4dpTHl07Um>i0;`C-3g*dW02t89k1LWb_;-V zK4|RI60BPYqT3Oyn^za2yBfT22<me1#<?}<y1l`=uOxt7{vMRPJ9+IPx?dI|*{u)q zcOJTKkUh<|(;&L%LUb!abcYrr={5lAHbB??e>y1CA|Sd!<A@%eyq~9oL+uNAXC2h# zvLM}`+|c~J5Ug7YqWdQ}1@lgY=>A@bWVbm;_ZD>B&S2el;=%rgju@LmboYYKd4}3; z4bokPu6ye=kjv+RbeDogjMq*ByZYsCUhr}p(3lqoc>9bEy3W~Pohe|Qpb_KAAf3n~ z#!VoB&J!<!W<p1d1EB(rAOX;b@dT)V0chUY2UOtp+RlNB$$-Ruftp0Uwkx1w93Zh3 z5V01h*cZ^eZx}=@04nwXBnH}y;L&UQW~wX$Xpra(NNf|Rm(pv?F-?}?#cq&5GDP6{ zR9S`>t3U!GpmjkDsz8g&ky~45&V1q*a8cpl4?mg4uXnJNjlX*j0|Ub+egR%@7Xb#4 z7=PO|1_lP`O0*JzPoSHI!8e<O=Z_Dk@#`HZeO2`WR3vhE@bCIypXR}zcj6Pjfapyp zun8B-LO|v{b{1gx#2<Ok<M@Fy|NsA=kmkW(cM!DH5;WAt-{7Hm$^$gm!N9=qz}|xs zyhua9<KRmZkH&|fE7LuCSvWj;8<;?=csgBFBn}%Mc)c5B?qO%JEhqS=A9xx4|Nnn< z2Z9O_B#c&`OadiKl=1`|AO@fK1zA*H)U$xY0yNnMTB`=Kt_I?%gQc=n(jNa$@P{Ax z<iW3X0BkFGvL8BQ2XZ6CkX1}zLs%y{fdc?)m;`_LNs!JHpZFsVqU(O~t>WMRmw}*R zlLp&rP*N=6<KM<w9xu<(!CDX{&j9lMSFo=@Wj+UDy`4wrEf2**9-#I)0|Uc{deD4l zAE?a%ij~744>*H8pns6RU5^p$Fy5bzV27Rf#IJkv6TjB!23wvec?L%Q7CVRn(FG6% z7eDdG90Elw2MRxuRRPQw;1y_x85F_$rwze=fyBOo#6Ez;UW3H$Kw`H**atyQZve9+ zPJogTh+lxlPe9`bpztGDE!qSa8V~<xW?-muZTt;NRkgYv2Opb&!sax@P(c?Jfy40N z`|n|Sqf`V`SU@M}p(*r3J*XlVINbdEOwGf_!~Z~)60$iS#}9)RdAwc(3aumu0fr-w zKJEnv-C27$CzADrJt&L>cyF|V1b?pNJq}}RgE5xE7*k-3W*8$0#z<@h854Q%=<f*L zSR{5J65ADtZ3))+aV4ups{jM~`Zo0Rq<DkBB?VM(90v`MFnCzr;O}<<@sX0)4gNlD zMg|5@Fdl{_MSd{%LK=U_g-`qftgiN;TpD)4<KSzP#>b$bZ+s03Hc&3$*E$VS;sg$j zLx)&D@e9cMg4KuI_{1Lrmb3*+M%?(sA9?u`e+<~nK#16(G=7a+pZMc$r15J!{=^^o zB#mF=%_siIx1ab0OndFXVe|D9e}w5oB=%e+_C^T%8AyF9gbh}oiNvmju-|>+k9Z1l zY$=3)=o5eBp-=n~4?gin-uc8Yp!(GoY~RCA{1JCP@y8s7WSL0bP_X)#6QB46eLyJ; z)aO6!0WMV;7=F})8crzb4zvapybR=J^e?2|@tHF(twE#2;H(cO5an5~i%JJ%JnRN2 zej49^*KT&Mf%M&bp>%hP3V05;8?qWY0KBxW6Ec=R!J}99jXh}e1iDg*0aQBn%6NhX z6b`<&2QAvZ_#2e;BS3_}3x7uVND8zz2J7f{0ZqVwXMdrc1W;)Rsv!bE9#u#??xLch z1X?Pi#qi>G5(5KB$^g_{0<X4p@aP5gw?WILz?Z0l)^vh8))FuL9YFTMeW2jc9iZXS z?FnA9r2rpY=`H~c4TDBYIz?3eUj!KrZi{hv^g=rty{4``pn1C-l@Fjk=Z6<Y3qY;e zTn3NsDbV1N^5_oY02v7xUV)89!RC6VK*kHZLqL80Dc}J^kIo(y@EA&Giwb!71bR`u zM=xv`1yuAOcTo`lZMg&$DiR>Zi(OVAPr0aor%W|Kog>f+F_38jpm^+tMqJ|&P<$SK zal7c>|Cem=b}}OV!Tq0FkQTJ@5$OB|Xae`RiwXz$tbwCZkWhy<kl{`hef|^FLITaQ zfLsbX2Nu*%kpRcri}yAVr)q$>4xk7#0LfZ-^oojrZ&Magd9iy6Xym*GG@w!g8n6QI zJ$C14wiOHmH|5TN(iCX1FlcRaFRP3I54c74q8YBQ8#La~3DR;e6s)BStOY#(#}Bf! z!lTn)qS^KUNVYTtBvRnf=`Y~X%gdJvidKJxX4}PJNoi2zdw6uZYj||-fpj+EolK8j ze+>`NxYT)2rw(+n=!^P(Q2*rm>q{QJ{sJg+1uv4Jax+0jCcFp%P47acutEC|T|r!3 z(DaXW;lKYc(<qG})kqxi^OzOXa)!kZXm2_w2B7idZ;dm4O2Ahdi>SPio{S@Y3PZqw zjTS#Oe9-uLJPD=`5kGbiEjZ#w0VInWKY7Wp_+bW1k{CbtdvV9lVW=E5ezx@f`ww2< z4T>&@7fV50aQw{9#}_}opc5$2=A%Gk=&dLDTmQ4c+rj*;@}M&ux<S*At(QESe=+g* zu!2OIf2r{I-(>+E$#B7=^E9Y44j!~k0BzZ5O=4kS*bka@^JxCX#osE(!oc9UW7bSC zko0K&@8BzW(i1dr_0tiw2!z4&@?&4c)1JN6;DwO}h9_MOPrhdM_<zvH@*;olZe|7s z7yfNBe2xvj<4ajRS`U;wacuZ)z~8i$iGjhf;kPA!`)UxY`S1lti_84Yrx`(Gj|cgi z-!U*S`0%ek<;uV9wlCvpU(3@)T%MM{%UOLpZ@ymO(aYM#1C8~!6JW7^+?@loDZAO$ zGYFhcW`Z(hFYm^upz;EoEdwCgQWGR!nh4649^h=*&6?i}8dc;?08yQw+{p@7qY2KK zkge;WJm1U82TmWLaeMG+Tz8I&g-7!dhr^J(`pcv9{EOS&kTh@{BaeE#*bkNK@#tlh zc*@K0VjXB+H38fMW8K6J^^+u~pGpIf{dD&Ux}QQp@~D2A-2?Vh4~PQ$$q=jt(@$1O zpfr!yPwY^4WAoGNE{K0YesX!j%kbhBXi638r+ZvbKe_f}#M8n6u%E!QDZRX$7=CI5 z$(JS|$J6z0u%AwVD6pSm!D=+X@pRlpB?DZ&_p+uZ67-S@)Y&JnM3V$mt{1fE`!O%W z3wDr~6951I@7R1=!Li|YViCV%!|$qcPRHiMD_=T*JF~2xIH7)x?8ETuR)1u_%05Pq zzo{U3RKLFN0{it2hywey5Ud8nuhj_z{p!&Pi6U%%)q%=^{M!16m*ItU=fD3iL4E~I z{$xN0+rVS#(4^k{OQ4kB6WY9H5P10nG)4)UYFGl^%yq!y_yJIt*|YPa;U&-SAANcQ z7=1bq_;jA~?Y!`E`|tn%Yq&r~34h;okj7qC{zstDfVR&-Sr}9zdVp$h$Vw59&Kebk z7a`Ck1R9`*2{fL0>*GOw2hT);*4rbpW9udU*8QLYp!Fnwn;>XN3pBvmU~RzP3Qlj$ zKbdQc__q}^HlI^)X!w^@s_4;M%;eEq`ELj46cA9!*!k15^MD6vp!d+rTcF(@AUz5Q zJ^7{la6O<U>#t9FfK<pJR8%0T0QJvaukh%t{Esv_(EOayqu0cG2S~e5=keDaJ3wt8 zkIwTRo%dc=KuU#=5AcMJ4`_lHG<iAg8)R$?C3F(waE8vyyWo)<Ry%fRnqAuc^FL_v z4z$G!T8=XMBB$9*use{;(T6^u!F=TW$*bQ1O0%p6APSsj_kz`6q}k)KAeZ4Sa;CK5 zPO}wIIZ&Ftc%PTyMS2@F%|eq7Y)MN!D+2>)!-GKc2S!ji67cdN=)8#;O~3ye9(b{^ zA2hD3o$>Gg%V|Ggofx$CssU&pAjbZn3ec*Hmam|0b+?a70sl6^hF{wJt(!ptpv7CA zmthMFnjbNGFrG5}2AZw62My_iIwl;TNp8?Q1tgJoBXvSv@IaT5KwI10H7W)kpjB9& zV6#PgLF@S}Pw}^wg6i<@cny#4as>}d)1_^)4E!xOm>3wq6?4mN{uao5@G1UQO_0*# z?i`?G)@-Zg4T`pOk6zJ;+n}T+4jLP;@aPPeXtw18%jttcvB0C#UBKfwq-y{!R^e+0 z_CP22;hTke!y%2)>mHql!Fpd@YXQaH{nz_EdRaf-;$?VowB_Ib*Hb;Z=Rg{{t+)4q zn;HBr_dI&N|9dq5bnuY84O$Sx;BomGXm4F-iHZaNwip$AkXJ+)85l}fJuE+z>w>2F zzu(~BcG;u#k_W%*2~a--G_ejYs9K<N^9Yx*#;`zB_~8zu6b=arc`tAZUkWNHdU-u> zfr0~^!l4cL|DK?r$_1qyNCUo`)wLCr!g<X=6gY+72CFdz*FfN2!}lAYE)vK|9=)vh zqCg29>a0%8fCIJg!L5d+&7c6g{(3h?byV=86Drr>(aXBzCNIN_ispa+Uxt9n2g?t| z>@BxnK7i!r0%oXRueW3P)fnVX{#K}8V{fASRTv~+isIME7O-EvKor=o?>#`_f#lc! z;2AflUq3|>>DQf2xcxd8DhKlGjvKrTFM2?;vtYl%R>gRLDuf8cbWb<yhGx)Mvx|zr zi+MkP{0F5PiRRCYpp+N@iaQUFUeQpH<GO29B3?cOjnMXrx<m>v7~Xz)`Op9V4Zn0t z<-v<C(eo`hLB4bV>F7M{(Rs@7+e`2^&0bbkCTLLSv|$AG33qU^0&hj{<^6OWJ*ZcJ z<V!O_PJ<>Z-fvBypl1CJqQF7j0#;)PPFBd7u$Q$vg2-SFYJ>#yek@7K3@X>+(aRcm zotNQ-YU98EFC#!n3bd%Wm(}$#XqW{wAkfQN2|k_<6ukKnKmUW*a`v*SASsA|EAWf> z`G3NT8%h8EzuXKN7sA}Xp#j>e#^2)(8VTr*QBmOEX6(@LPnW+{lM&H>X%PfT|3Br? zc@3o~z2(vTkBPqrw7j9g%7DKWv`T@0TNGpSX@!R0N&Ky#C1>DKtCj=&Eufvg4Zkb+ zryK^?>N`P`NTBY?0np-ydj4k60(1UtHcSo;{|$<G9UA^yma{_?-EO&E66x6aqxrmo zL&N{XB2R~g|7i~V(~di|{4c%Z*zhC1RJ!@6K`Hyrc+kT2HOE|33>ZLD&5+F)%|GKy z*mr_9Q@oytCS~~l^=`+`AFsbR|BUCK>Y{?Qd&}^E;q9Gq3=9k}!~g&P-|#!1zr_&D zsNkP+;H5S=a;|xF-g^1t-~ay(4ga)3!WTeU9~6wQAA$B$pY4EcTmg^1VXS8e0o4U) z<1a3t#UmXGpbDmYf(D4{m2K4l#lMV-M{gvTM=y_{N3SOrxWx6p=>b~H11WxePJ=r4 z;4v2HT7kQ7|NIAKJn*<pf=Bm6u(6=lp)|-~@QDfCGr^X$-sbNIjo^doAJDRU{<byD z3=GE@48ZB5Z4!v%z^LZ|RsQ`pxVdF{jlVq~WE3bJG(~_|y<Y!7Ybv07qnaNwc`zOX zr&7pdbcu?<i!zY9ZWk2^k6sTZh=rheZ$6N4=VcE~<aDNZ5!4X!@abi-1TWd}?Cu16 zqVXq);%`3>YEDA7Jos831nmrEVe{y9U;+(2w}*fvVWU-@;87;fI3sA8*$YGHrg~`A z4&Im532M9|hI~3fI~_YqR4hPCFhC7(=o%X}(BKZZdg+b<C7BY?zW*8(kJn8emY4Z^ zSwWel@i)YMN+2hIcePpG0%ae5|I47!Ne2(hgZ!=F{qB~Z_?tg6GB6xt5zzDKjba3C zFw?x}iL`a%Gk7WGMo>KYK)mk>^Zshk0uj(kq3Mha44#@FeJxM;^7|k3>Fs;)=l_4u zS|K0Ba~_Q6JS^{(ih1>#FnRQrF@nxH0Ihua0NyF&16hwGqXJ%?1>y<3aOne$aDrE2 zfHx4=sDSstTfE)_+Ajo3W*i`22)vL+C<KkTb%Rxxs2IFd1!a)NUywj}3#!w>VTD+3 z))@oZ?s>2@!=rmA$Y&mm_dT?Cg4BC}_Dw^A<~M))Y>-~fd!Ut=uq~nYJQ$CGYaGq{ zpxOuO6a`SQgHu&EXmt$Z3DD$(0XX%5cUB;|9JGWk5i|k>8pi{b{0Shn4xsTDgO@oV zm-n(t9Rk%9pn-<tE-D3}2^vs|0$uvldC;Tt)(gE>P?>(iqx0trXD}1IOIiZVytoVG zl^3tUO|K6=ohM%?w1U<!oP>y928&++6%s4J%$pGL-7WwALs%QZ!Y4dBFTQxz^6x*m z%mgXF2^RnO0wfPETR|#PTK@evJOE-vwSbz@Ph$T4f7y>Z_75t8K!pKhbldRKYxZL- zf(9P{4|!M~s`&zH{3;yAtREC!vwL(lf&AjpJqt{=-ma7K=ym?@VGH6GtMYFv5e5~2 z1|`=#T5p$fdmL{9#V5Fx3SS-$j@TD_oAH;2;B?ab#sIXwO9M344(>sLdTR{e7A2_2 z03|WQ1Fv~JnhzUv#PA4s^g1$nv|cLH1hqwXoCW#v%$YMDpvJ=^kAtt6J$g+hd0c!3 zIxC|2r$o_-*R`M$$HL<{*i&Fv_D1}F&F9cz!sPKEEa>t7v`6dh8X1q~-x9@+9^GI; z{%s{P0uVnJU4E?y74_%@dluA}c(ET`_~)o-cr+g|I1KUeX>beb#!k@m_ltdC&It%- zV-qN%tfT+^fB6m9`0rv+lE$*0zdJ@n1GHN5wYW!bIV1lz8*%?$mIkk07I%+c2T-E& zX#VZsA^8p5dA$khIbVL^(Rz%(RT^ZVB{+fA@p&}<2gP8~?w6o_vA(SbO8H+tWnf_N z?`7%qy!gQ5|8d{WgPxaP__Q7?dF|8d{@+Iv>{&-}vh%$Bz*F&q=l>Ii2VP70_9hy* zbf_?S{6FsT|A2q5%xwQ&o+#g5&kG(<+bp2A@i%W~01rfpi2HV)_PqSkQ}Ls(;$djO zZhzSg%I>{3`#ml`_vt+0+4<AA^<<faPv?2h%P)O9PkAbS^iezxQC%xg9Ol`4(7>gG zhsmecgYoropVn(-?8ll6nHd-uJv$G+-Uds$ogykP6hH$*@C1n*|8POy&Vw(7|Ns9F zi97zre^3@HxPkTqBK)HPl<T3K*A1XpERFp4|D^*s`ax$@c7ql`b%RFTyJJ)Y48MU| zImbLKkJZY1Sl+7@;ooLs<ifv=#n8p#c*#@#NiHe|he7QUMlcCGN(h{g(fYpvpvnYo zyqR~xbr}YaZrMgK1zM|ad4j+B0Vtw-O&mPBZ9e;eN;%JNNSgvyaX`-N0rh9QT|NtV zbccNA0M&Akq8&7u14<g8G9Efvpafdpxee+&1CQRo{~oOeJosHtfr=ClkM0Rz^}RBJ z9{*2)%FzJB|F4BS|DW{fya+lHq4O|g)Csg4wg<d`5L!1h%Y$6n{LjHx@_-BfHXZ@b z=7Sf&quL)mFF%AVa|0z{c+U3hyy)@$IVflQ^vX=~y!hBh^FE?DsukgHJ`74i&BqO# zI%=5zLq={uCm?~QUmTA$fD;Gw2p4b{x$}cZ>;Ezj(6kQR5>QPIvxH@m=fwv;n#YkW z;Vq8vXg+S>&{1Rj|Md=!*8e4&kF|jf25r=a1O?+Mk4^{+;?o*#kK+xXKmuV8#tu*! z396D_bbyAl;Dcq5G&ZFUmh`&nK&kBlM7XvNRy$0t`}hAvT^%U#{RsQ_|K(-${SM&y zJ@9g4wDJQqb=bTE<OqfmS5VytTKZhC{@NK7_2BKm9-w(Y8_+_jT5y&DMS8Et5l}=c zcr^dCslN(xH%K|hi)gTl92H1QyGH%>Cy+RrF`xrWLAo_OdOd7F43IHLY9PjZzX{U8 z@q+R9|NpPIywC#q#uT)c1(X5M(m%LSfZ-p|-q>33zGa9jK;^pvthTbeQTr59Toh;W zZ{uNV_-R@i?a^Dn=wW%U)W2aDDApK2dm=zPE<igoBSEPLULt_D-Kz3$^AY&peDK1z zgN*!B54M~vy*L56U^)Kd|Nqx#3{Sp(+VInqfAYcCcOkKLz6KOMk)i+ozchh*2(x|# zZPTpD05v}pJS-=JqNOg%<Nqm8k#WkS^-_(V2PF9v`|)ox5q4<!XIcET*Nw4*McAX) ziP5988Kec&GJ*L07_*_raj+P;#qz%yoXim3L9jZZX-X61N>EsXFeq|Bg#l<jmI>r2 z4G+*xq8b$m&_Jo?caP304$y8Z1&0pk$q=n4`TH+}f~@nr$M>6{p(F6D)FF?{FMJeF zcwBzqqj<u{@<K@|XqOXsVCewpG$&8Z8y?+49=$R`9=*|wKE3|`;d5A?iidqIZ<T0y z^s)$ncI5eVo&+^c3_uNV3(&}&18CIF1C(k4K-`GKp3OfPOD=dEe8l9@>-68FH~gPZ z=Sk1bi?5G+bTdI6(#Zrn%!GeikO0^~P&x<ia_a!KTEU$m*dY@gAu17vJvz^Ob{>Kq z1p^8m?B|0-ad`9=>wy*mXMdCN*uf?ik<iF07U2OZsNR83usisR)kE`>NAIQ>P+<o; z)9&CqTaR85F^}F!5TRep9?iePi>o|(O@ct@5l@t1@acB>&f(k3BjMZY>EPM?^GGeL z$NxjGuX{HCd{D;<N+V}Hx@Eq5bTfiWtH&M(U)Xyv9`fiE^ym)c@ah#|^5_k6@aPQW z@aX*Laqt<lNAI*HpgQV1c)1+-OlGA1u1DvEo#CKNFHwbPq@m@%t)PfTJO2heW6z@U z;vvZBZqOJ-H)tzQ^Uv_&Y7fx1p<dBr6F@bT47gs<@aVP!mFJ*SmY#d`%B}*-)tu&l zJ73I$xsJo5H{8LaGmOKdTLe5UYT(h$=JEZJN4F2EN<R*d=7SC%oqpgWn!ww(p@$cA zo`87Avl5gGF9(9w3qx}uI4Z#en*X+gdZ4K16M?6%y9Ge?R?BBl_1hhzQUTg<-I5DZ z%)j@R$MIvJ85R%l=xOmmP&#P-2WovynBdXt%?QdBmmhg3e)8xP0H6KPD<bUC8+6d4 zGk^nBj=op{E<!;ajcyMPkZN!)xdh6693I^app9skUw9~9=mhU%xcuBh@w$iRKg0iy zJ3(3eHJ8W#<Nm!oot_sTdNltR;qP^00?*r)JqOkM6+V{7`TJzSb3s2rl^94#^HL@T z2A^KhzCY3op4~RTK(%8pSji#J&dY|EzJKpL#J~Qyqvj!KtJ?y!jMHNWXtDHb5trT~ z1E1a+6$`hH5{v&nov(a4AGBU7({}8<;<y*o{`Tcx|Hkv+TV?m&l(h_w7oWIjKJw{J z`0v^LN1^D@OL36ho#1|Rh)RKH=aJXEt{pP$p1rP&KHx55^ACkub<fVDKAI0aoBt~C zH-i=^`E(a?_;g#j@o$UKvGB3{P;~kw$osy%IVul4zu)!g%#!e7JnhjLrQqEw!{X5! z{Qwk4eNv1J46dN*Cr}5@qxnB0e`^{ebX@DTtWR&5fqRFEj>qL^K8p7|H9vU%KkD)S zG<a|036Iv>b%7qeCfj^^SsFYqJ^;0aFFtVG0}6D{%TIh2@Az6iD3|rP_zculzx>We z@t#k&63CstmS2mOy!_0-z;Fz*m<Bu+72yFoZ3^U2k4_O4&&!W|6>oWReu8e@0dK?x zPawIdD7<iGWMF8hQPE&1@d8z51s>f|5+46ggDM4Z&qN8b!Pdyb@-%-l=zJRfZ6<OK z4gU;E?s@b&GkSoIC4yMZ2&x(&R%1E_+>U>l`~UxcPtg3@WslB7u7;QPgM9ds>EHkV zhW}sg_zT`m4r(V9@C!Oh@NaVv=y244nuTP#N9T<f_ew!M+!sEbA75N9{rBIam$gR% zG;qbD0-AVG1&x$~YS{9!-~T~s)<cx<1SP8%i@=-*5YE)nfB#=JWPo-+|JW%4DwLWb z=Gh?3n+P{gy$rM|`lIi^|1Wh=mn)<12Xg}zzi90T(8*GW9B1Hh`LT!MWl*SVcv#-z zZ~q1=^14e@96-Y|7oT}p-s5iv4QqPznplClm6rGTo4`Zvn!h|QKJu`<RaOSltN@EL zc8~vFptu8dC?yfmR$R-!%>*>kYFTp6qxE*J7DP(QqxEE2G`JFXk^sen$A2e<V=gKl z;E;w?@eq|l9+szzHTk!(2>(CO!6Nng7DyF1p+QuExX7A1Z@jQC0SywouqXkwC~v$l z0MWgyFU8<dl&1oVqAMl8LD6>>A?E~_+ff1v?k1mq|6k69cU-}xDaQDw5tjM75YQoc zQlQ+~G7mKT*<Hi|-iQajWdnK?pHDZtr{xX))<lp3%W@9>)^HXE2L5gF0v1sM{8J8t zW}z%Va?lwpA4_KbRt2y?IfsX3F$aIE5LiRGfP+P`fCK-OV-79f_**zZ@qgS!#RJss zhn8&t9^FzqK*!L$7WU|^2DRyVJUn_G|AR&lIzM@|-Y(NY8(FyK@%=HV|KZVVGs)xP zbI?{w(8$8Um!=>W^hUe{ogdg;qEcaa@-@3l2MfQ)|AQWuH*1YNK)ZB$>luAOtJ9nR zOYk>;1Jxnjc0S#H96r4vDjx3q+gt<~eJoEF9e;TqB-a@y;n5kV@S4S=6Li8woCK&? zQu65b;{a`|293gidVBMkL7`J){U0=TUUCIA48!4Z+zphm82Gn233RxDax5sBf|CU7 zv_EFh#Az$2GJvEhXV4L2b#kD+bKo3tz@ys;JOOyjqtnO(v{N0lX|UU$1I)HOh^o{a ztn>qDp&nSNJBJ5&4-%*!3|heYV*Pi>w73Ll$0~St^nXUs5=!vgYqu0=)TL7j)OG>Q zF*N@IRiPf;oZvBxi=gJ`<@X+n-yJ)Df`$PuKLm{%bRPEAJmK4U(^K;{sJblh=~njD zeCTTU#Bnbu^StKv{C~v1H)kz_=f$U<&3{DrdpCd=HC-)x25JF1fSZ({gIX(G4d1>N z1r`6GVO`KbvxRTxm(J@Rou_;)pYr#DPI2_@eCDh9#<#ad<-aGm7L@_jWWBZ?U!@uR zyHlS3aBY3U-@?Siz|i@_@RH;AFP_~&9KPLLo|ac3{qf!a1LqDAO-KIqM>LOj{_+4- z<==f6kNa5OFKz+Vf1aJ7BeYyp9DEo*cy>A|@NaV#aO`l>0B!z-40J;7W^qvw0PUEP z@VxxQQ}GLE2bBV-Evo@qEb-zbBPcC^junK=7K17PNQC=#CP{eq+OT+bRw?jrixzO~ zh|&O80k7FSJ8ye*{_yUVY4EuC*rV5`0kmWJ@@o&p&%WJB9KPLJp50CoK9&#on?a|o z`1B?lxOSwlGI;)Xk^toZP?`DJQ}c#T=WQSU_4j=(ZxpWuIT|#W%;Ceo{yZq4A#Mg+ z=yCahhvElN6exhSch;zAyZ}uxfmR0?bfCN2)$qwnUr_6kfA0rR=yDc*mk&O@ZU;TT z-|%ey0UA4)-~l>p@%v41kK=$xCo?#W_JSt^4tjJtbG(%J`~Sb;x0jzm>s+Aa#>*dn z{{J_;{c;VG80<LEmv{a^t3DT%3VuNc3I1(f0v#S$GLlc{!xxY9z*Qe;1olSWzyB`| zCxYrYXytVbByunhRP3GrjRbE4Gk-wF0at=K&_TgDd7#Fsn)|>1FE@f_>@fR_9-wmR z;v>)y3+OaD{wB~498iq|9_dy9wfQt&i@5S{i!u7|`2VzPM~u;bU&a$Yo##9|KYCnz z=hrL3=E-==)ACZWqz5=FoBMQ!OL&4hPL?N%j=cmmCwv(Xf=+7!DZ5za?`e6XnE#lI zN&r&z0<zJ<L-UrW<%RMm9?kU<jHMDD-Qr*qTThl*Hdq;yvV%)lcL|VIaJd7onUGBa z$s!qSc;LnK+<*UHOv(lILeSdhk3p3&YWuvGHA4_ufq{lC7(gnzLCcSNJy<-Ne@g5E zjl6pB`(Ff&Wd(o;ffvUv!KTGvtDIrlz$?xLz?*t9z}svjKuNNo12kv?HnkHp7}5=C zTY=KA!3)TE0eGqe)RX`XPs5Kfhiupn0UfgAq9XBPpCDwxEo{mOw$cQ87(sWA3Z$j> zLe=%(|CbE^|Nlq$zqdu@11JxGkFfX!y5Ozx%>+<&*11Nd14Q>i>FySl6Ci%`4+Z|# znH=CvJ1r`pa_<v=)PXeqx&t1)yiayXGkA0hdUQu}c=VbGdw@GE|2>+2fwB^4@EF{Q zKjqQ+(X;cg$K@9upe@_?JTJcn4b^tuL}~?}@$8LJ`S00z(?{{PN9RQ(nIoRPAt0Fx zpuSJ*aUaXm{H>r(L_V#@_`wHQ>;PQ~>(R?QZ#6Fis2*4Va(1r_6MP+g_ZF2EATi6& z<$0iNIRnJ$+@lfzrnf+rmp~3>0gVPgPGot}Q4E^9YJsY1QMmw84Lyqjv={``od7NQ z0WUr4Y*7IZm3Bizuki>dP!EIDfvcs?9u)_W{$5r_erPi5Zc%|a1k~5<1V=9Dn8wZ+ z6@?c$7eOftIv=P3vIT5PH`J8wDJmdOzBC6-F|{5q5!?Z~G}WV*H)u6419&1CCSVB? zc>eGIf8W;A{H;eoi-mi=89iE$^G`kC$?PS-zip1n4p1-QcM^Y#0UHAYXlA_?d^~pd z7O4A7RKUk_fSeCLVgnYOoh6`St+%KgfXYa8#Hc8AxTq+CatCBs0Msk7cwwgiSym1{ zOBlSPIRLc42OOZCEh^xE?3{u%02_Ws@V69#=F4p}HcB%v@VBtCg0`|xQSo48VCZmB zsRx+^YLR$Ax08Siix!m#Q1vC)qLKlnD?pCyhB~(O8-Gs$6Ueh^{4KFyhC6>dXp?Ni zZ&&_SUQqwMw~i6iA#486&))}H!(jN|q2)h+3wUD&=(rG&d7V5epf(67E+7e~y9bie zK$}N=TMzKhIoRQ&BGKWZBFQfRbCZjT0*C`~n2U-5hy!vRsBi25nmY~Xh*61nkqNqE z5^@Z+k4grpoJ;_D5fW%U&_KhH>bmzpqs~SJl-?ok15I0Z?}54x+)pe~!5L+*K~2zZ z&@4bV=zs}uY1BCdk_SMicYuA5!}iWCkfmhDAqRLcFfcIi3%00$Hj;Ss&Vg(U>YM|P zA<$B^1V#o1aN&9!5?dfPNImF;kYkWdEes%^gZQ4^QXZN&K~WCc^U?v4_2`8iC&Hrw zVuPX{5*^?pdlTOM|IfdzMg?31*Ms5<oLssgyN_TQ0Gz}@3)H(?zzN!?8<NDpn_e1z zyO&CPw0?sOm3VZ1_vCl^2}&KE|6bnu3+ncM@aa4bJ_f(xcPf9&{=cA=9_gjeJzBrL zKIPf@!SMgfeV`t&V2cW9o6WHn6-ckFcMg~jI%*1(X&}ojI$TsFKp98j#nxAlmaYTn z^eONzB?HhpDDbTZ8sHgekIpTSJwcsQR8BB3Fm&{&Ku%bJp10Gv2YfJ5#~c;V(q3rZ z0L^fNLf8Nli@hx>AU>$10tejj78Ov8fZF_^*a0O|FuNDxbkI-}Xm1f%EqJ3;Zwu4~ zpd}H#FndAul-Vax$h)X0fR^nn0wsfOJ&@Aor+ax?!%x>z4^Vjx9#i=b8l?trbOawF z1C1RYwAcWxHn<0>O6x(p93b`G%alL=|2O<}2OT*&2Ry_6`T%H2BB)q;y{+MAYRRmI zpJ}CXaI3VZsDSdDN9!fHeXsi(ex{e~2MzSXN@dWs(k<X(7F0iTfXZNSB?8(b2jYN? z2gykAZ)*X23RJm+odzxfk(Pgg%WrU+1Dys2(hDlPKzc1+G=ri5)K>tFjDSxe@c_jH zB$rQt=JFn_MI$K1LMjl73+TVl)(&)G9Z9*pdk(a$kx>DKI;5-t9mUZ-2U^y|s0e_P zG$?^9fbyjVC~+IScp(m{6~H^Xz=_)fl(8XR$6qV>a6a;AJ?_zY^Q9?h0eSNehf>iA zpnwH6Pds{gb2mvdyi5Ug6MI<$*`W1pFY7a5*tmpE%J2UZUa;AK&cFMHa{e7?+Z<?R zAh>;W3)FRPeDeWBb*@o)0it`M^l?bz4OF^;cKCt%q5R<oJbLRtfL0wqclar!fZ7nb z44@nXuE9YK#qJ&z@E8NARrkW_J}8T~s2G3?Tn>+3*j_&oaBymXgf<W-2&dq&)%k zBu?@R_Nai`JRtf6sK+kYqjCe}wC)xa0g!soG1M<X_1?A~6$uswhK8T+{O#?aTK=ai zf2%1d$@Rj{Qv?;69*m%9;dpWF3B)ZhL&6V$N(qQnEh-SJS|C=z^+TKjDk%;yGcbTB zk&Z*ug4x|YDhohP>+Dgv0HQ$^B!~vpAt1WDN96~IhSsDEAm@X^1yoFPKsf@?YLtIl zkBTG<14D-oq&kH-3|gH+TnDXAL7@SyPF++2Kp7Mi3>_{i5if*5bvtIY3LXUlHPXO# zwy1c3=x%6KHy#0{fWx3N#s)N74fi~c3TW_{!GquBASgRmfC!Ek{f|+C5i}Q8@p3(= z(cOCB<??@^!YY-&r4r0YD-mk=nGW7Y^?JES>w%Z;Aa$@%LQV{z)o-8!9Su=Ue;EeS z&<jb7;KI4P1)97-?aJ;J6>t)Qm0I9r2HF?<f)lig9$yT@jBEiXHrz4X0*&DotTD{L zZ3-j}{&Fw3ZTRI{D(vz91SobPiR|RdpP;((mwTxIvfvZ&Tn0Qjzq|rglv;AQ;a6Iz z66nPG)&o1iS&!fU1gO}7n0DKv^TbQgJV?W@bW{T;qZ!x?I+=pa;@|(5jG&=jME?jp z-+ddDB%6;kfO2vLX#G$HC=G#{95(L!?HtSu3^uO(t+1vbDC>h)CU!$AByeT|`3BN6 z@KF%}rCiX$VNhcb)Z7Cdvj$3^;D#W$Vits^Tu>bjl5qg(2eo)Wh6R9A?hnu=LC7=` zczGe{Sgy_~D&S4Ou(Q%SdmzoM?i`g0kLEWGhi%;XTR~F|Amcz==|Pns*jUR`{C(is z!?Fk5aNzIn0+kD$r}?)*n{Tb(_JMW^d+@uS164I3mwEKo{r718|A)VK8K}mvyah6r zHr@xVZ|wE@5B7fZfq&o-_y+2}kQxFWmgj3YJS=ZPPM3sN%aH0FRx5+6cSv-(fTF8K z1sq*2DiXv-m*po9kdrk(d9+>v#VCUZzyBdnc@Xg8JrAU!%K+8!380pI1gJd=iMSqU zdl=e2hDRKDv(m+vd7#`4OIN*tOrW$5cBkd7awAZ*fI|Nm3!iF-3m+q>F$ghr3e?m& zXvx8&m$i`rS|7rL0#r_bIxL_{Mgm+*zj$*9(*M)|6<)Ao69brFxd0UHprCu*YLm*} z0vi0XNh{&CN#}2w^7sG$m)@XmDcpE!hje!f^zc9zm4FwMxglW--mnDjB_V|^r2heG zRDk;)@UVTsYx3{^OAb)R$Cz*EZBcmvYEyvg{}NCw(D-HsDBX3gQJDaud!aPwL`x7K zbQ2dNNL_c2$_|h~cc1`hF(kN0-#tfV14zvBa-9Jvwid84F!*%0ffiHvXt#lCNZ-r@ zK8zqiPSE{g*|$?b6BfPTI`epo3TP!XxR~qRqmlrs>M>dv`=Vhjj2^IRa6tq*roA_a z5fqmkFCJWjR7ViUfm;|LV?ncrpwa;BKFw1e(9Fj1g5eITdhihbCGZ9$*rZmE$_lWr zZt}NX0M)0URa`Kyf)+)CMv+0C1_qDb8t{!KXw4!{xT8S>VxTrrFOLxTKvz)R|3VM! zV(=nOXqaj<dh~)8!-1L!pzSH3>A#5E5O;!{!oTYQ11M2~!q@PU;qBKf9=+j=pb&2W zIkfXSXxT(JWaV=&ixG77v#;d|{@(xK(4V5>!OXzm+bbjF+j^V7=RQc#a*m1vD9rmV zg4RSs7h*RDF)=WJrjKP5Kpp&^RbaUvps3_;)`ZBhF#2>aQK<mMwdHgE-WpKB3Rxq` z-~5viylQcc4JZy=Ee`Xym@zUiIPL<?Gql|1Zv`!!bnT5`bhUWR-+YaMfnhgjG`{6F ze;a7-0OU{prg;nu43Nc>t}T~JI9yu}lxDcLTq@Of1$DHTK)DYTP`xZ-9=#5XphAe_ z#VK%TLDO#I5m1so>;Y5JdZ1RoqZ?Jy$MQt&Ymd%Gkk3Gg>V?LY|Nmd#1To?IK>fcL z3vPkp0abIuZ$|$1$p8QU`}D>$`dU7A<ezikCFo2!SHlC~dxctVm-4$>yeQ!Urz`NG zk03p*pjDHO4L>YPBw-#rz~A!k-~a#MV0iiE-~a!P4Sx+B`KKOu{TLJ)MUuX~B0|2s zL5x0@Q^4`%$Uo)K%Z>m3|93UK1U^yUaW80#$jg3^-W_0OEQq-q%rplVu&AyF7108q zk`|mdEN}6*NP(IUy&{|*y+Qvy{-1s+2I8Xy7^wdNN`KJhdEjh|uA~#X-1AQuXqf*1 zWPR6rFy{t@^C%27a-XCB@Bhnm#JX!l`=r-J<p<=vl|E3)ZhQl(06aR^K#oW6h0vfu z4Nw~cG{0#JQU>azfokmDVn*<Q5QuI0kH7s2Xkrz5;Oa3J30;roJ>b>=1AohUuv8Ct z+yERFAU&Xsw|s1%i6Lpw!ML85Kls}XSs55QK&KVXQ317(Kr^y^qO72MB|(eh{_(f( zVqsu#I>y4F2kOm3ni1ZNo|Y#(`F&1!H2-Ae?+0zM1h=GLa~)$bFaXVSc3$(ayjdUT z(Hq9-Vfm}<1-Kyq8XYU}=;ht}lam3Q5J3qLBq#uy)`Heu27CU1H>-dTECtVIAddV6 zc?9gp?h=)P*OS3>J)jE%pEH5{1Zhllh!}v%Mes1n|5NY~fsPfpfcD7n_pSjId*5&E z)&+%1C+I+i-a<wXP>@=HWI7>(${jKs;4>F`L6adKy?#ufTfB@xUTi*O&=F$52<q#D z54nXk+&cF_PlAC&q(|csQ2ZYD=oLNr1LSAu>Oy2c`=}_qu-T32=jMapJuwlWQV`T+ zc-`*N{40gO7qVH<j|sG`v-5%{e66Zy=S9!&FMJqJc^v%3?Av()yy-*plus|uB+rWv zeL*LV|11{+Ib6ipu{Xe=BgB}|qw~f~3vhW8qEY~wrOWW>Wt9iJ6tr6xGz<V9B?g@! z3W`C67n62@TpFVSYgyE&SipAg6u?{xntaN5J=LSvj~V7j?(2&SY`Ly4Ms_3{Xkquk zpY}eQr;vSd3FM1z7nKMf#zP>l_-LMT;oo-3HS^>wP)U36C69YAPp9L>hn}66T{KVm z_wvj}_)p%o*9)`|+!*A~5MxHDN0~q#_5b((KWONppd&=Z9p+i1@1SVG;n}4-v3Zu6 ze_M!(JBnvPbH@d*n?X$#P*ioqsJMCbieCE$G8-P{pyhCofGkl_c;N{)8$68!Iz_)5 z9FT>Kpjr@Q1gH#)01Xzpz0CXj|Nm}n&<?a9P_YF~n;yNQWvJ$ZhVvojgOb$C9U!-( zn*aYG%+)W?{rUeNl%K)Jo_9}y3;}|6U%dj=cA!&<eR_EeeS1Cs`@pss|33wqkO$o) z)BK3hhw+f7<<Ig^&<Q!<j#MXfx)yZsDfkd3(0o6rVF7ALz4#poYUV&o7|4(`R6S^# z0+b26_kc&8UmgX`!9q?84pFJ_=;fX86%>lln-35f2Q-ze@PZlaOHkN#L%r$&3b_i< zyl4jav};gACwTPodLkPG5`>jGpvc&>9W$^%1wuE(#h?V8@bbqmQ2IIcvJLD)XbAz1 zY2G(qaJUh)iYp$|aGzdBMsVc9<D=@=|NoF0Eg7^9yq9$**ho<0y9aX4EvRV)YT_fi zn+4No52(9AiRdM`DGaS2U{=8@keBCw{{R1?C;+?|NIL*jZEe-~_y1+UPn7vaczyL4 z)Tt(1U-f~M;i|7x!IF@e2iI4y#M})X_XaH^2Gu0cdV{|mG=2>#aN#9?>uvr%KTsO& zErJx-;01Op0(yogUvq-W{{IKTXT0C!@7)MaS5qJ(>WrWPYW^P3v6LQ`kimBTK2YHd z$_1bvpHFuWc&y#W@(_RXQ_u-G{M$qXq19yBQc%hRpRFGNDn2}Vd4GJu6X)4mF%lFg z&OtrM0BG?6Nm`(UTJX~4|NsBHK<$~={h%rZ(h1xG?F8<Db^_6=lupoX0lmD1sLlY- zLxS%;1f}aITQHmfNgp7+(8T=`ykDvF+Ur)2US4HX(-1`p_&f`2rh%>rfaHajA>g*y z9B>Q7!}7ETzt3q<O$-^gm;(-6@KsflLD2^)Vmx|93_+co1P{w!{O$Wd(FNO%4=+wc z48T1d$gWY)>ChWOK}898du$K5$N<>^>dk_d{&;{|AWQ}x{||d~e(<oI1CIN;Fvvpx zb7hY~8OQ_FqK@$Bl{Nbaib2qZM^IS>9%AbRue}5X-OA0Npab970NxJ_n$!nv7XZ~v z5Sylfmn0qb=)3^h{tMm#(Fs}<+{=6S1IS>EA`9eIg%<%}gVBmCkUnTh2%i7-=w+P& zHiSR{zzjAFTJ*shOQ2e04tQ4l<#f<kIMiTR#s@WYLE-hX4kQUWOXc7TZJ&StU!?hf z3V{tO|Ng)HjcB`qy4Z;JD|mbjw2s&V<@^oM$O0%cfjTfRK+y|cCD997uLvCw2h}a$ z1qz^BXpgZl>p~{YK-W)zY6zI4Kyf1h?tg+#T>+o@$H4fah8HqSfO6blBqL~>Z98bQ z;4v1C0z}OT9RSnj1D(;)a}<=UKn-WhYvsuvttXGMNN9rxTEUayV7tMw4!Us`WGDX| z7Zr_TE-IRgFIb`0T7dE`c;edtH1Y##nuD?_xbEzPHL>BxTXceNl7iky(aU?`9ZF(| z0j&ZF0UZ$oN@dO)L8%N8e<7ge6e#|n+FMV8(pm(#&jmVv26P`Ss3BJ2(Q8@`HrPZ3 z6l9Q@M^FNj03~sS7q2#8F*p)@-b%&Gv!IOHD{BTe05tCdKEED({RU_fuoLV&P$Oaq z*c3!-59~Zpq`Ih7yo7YUKsT9m`>0faav^An<fRJedX{XZfB#<|gKS1RgRveo5;QlC zGCm8^4JjvtJbJy3ATNK1796d&K@}LNpavZw1RAsd4jImP%?4Vl_Wztm>+KSD5B^<` zZHnK4(gL_u(t47==K*MyO!H5E{@!aKR_7^?gAbWNJ5)R*|NHbh9)T|&JL%bZ3vA|Z zkAsg{JPy8Q@d0goeC4Zn%BS<XC#coKdD(LpsAtH)zyP|wgTJjBWMpqVqsQgPK8mM7 z*4y$o$AJVdz6Nzq__slBH*skA7s20Z36kh#{jveHrx==Wz}FLZLVU@;ZI8-I&^0ok zr3&xC1IBy6wZBiVJ0qxq0@doEVUPAicF>>`WDeZ%7>kvzXKw|wkL7v(_G_RHBlK{5 z=VL5P2F@KNOpKtFeFtCLcr-s|Y(8w@+!4dX<azKh3uygd253`5Z!{|d18D4|#UIph z><wr10o^z8goS|tWW3&MKGzNwA&-O4Sv)&`dvx9cEA0elttdvH&ikGRU)y*#KjwGi z-^L>0V|lvl0w^&ifckYAE*&l^?jF6Y$6kYS0*eZ$5f0g`4Qj+e6RhRBKmS3^Xh_Eb zy!6teHv)WK2smqlVjk4AOMrzaX#P9{bSsjI`%BQlW}Tlw$3|J)<8M`EVPMz+x=k9i zjUKe#sC^qV1A`;T{jDeYdqJyYJ-*-E0qOfeW1%+~;$d(L1$qOwV+V_rNAu4V{@%S1 zk1~0{dt2bWHZMIOy)95haPX%+=){6vo=F}TA9`q>_pv-(ZrJN&;Ml=p)a!2G*x_Qt z=mVYQ2d!)Z^-n=fYw&13d}tFCUJ0O(i}2`Wo%RYPyh=dJJ;C)8Xo(T$T5REk=rV#z zcu)`}yetQG@EU)DW<U9R|3G}g47#y<AFNjf+L`(N9mF$ipi>*5o`L(;v-5%nNWmvi zhYaLRxD0rA(<_KpX3x&k9#E~2aPaB8<*9kv1H$ppJO>ICImccHP^efr_Bw-t#fq`l z4NNn=yaVo`xj-%ghyb;?0z7(I`(L62Lk&tWFs;EB49uWrRRE~M0|f)P`3~At67jm( zqc@7hW5>Mr%a3`RcRE62@!&5GP<TL{z8@AWU|+unnF3CHjK4e%{$le0cSmozX5NN$ zM_==}_sYz6y!hBf^A;j`ID+<_zxM!ZVa69=XV3%ek*DSvcz}UZkp?utjGzH##OT=T zq5=vsBPM9dVt%>sFQ{|kqLSbO>U@IM0!M(-5-9xqU!a5^YBD;s3S0QGfXZ4>(1B7= z066?y!2K1U?i7`Xm)W2c)j0=TGIhA9xO()mzIu*gNen!aKn=%OY?cHtf>v9&f{JQz z@ODGY1Gh?cfV;c#;P%NBWNVsHtqFl!1IiNLR$}uDx-}5<UcUYP|No8vP_OzLs6W@s zs)1@WBAJ1FFdLiE0jQ-DqF{oS+1)WJ887#Nx^u0U`1>qD&7$6TMsR@y+ByO*R}KHa z7If{9k@EO|-1FcQ7T?at;G3ha^Y_jMt!C<VX7ug6gH*W6NcdWwC_4+P<iUlj2PnSX zLGcY5x=DG4H5NeKU4<9dS6~E>4`_TDGMf%92SG~{K}7`Ub{K?*YE(SH@$U|b{|v|k zQRl6foglyUvc7wYVk;u#K#`J&X)Bg;eG0fGkFXFq1h0TvE1kbz_xiv)1+3M`R)V}I z0If<urxLzfj^R;{-U?>WTo_VS0<jX*uLQN@U{-^AgP?@}awq7>w_a8us70V;3Tohj z8ebfsoCUEEWVOPJT1*S^ge<J8hcpa8#S7R%vmc-l8Ay!|a|Nu*e<=rX&FK}q3@;CX z7RGdbe7O)z|9;sBrcZfvetg;Y{r~?L?<M~If7u0E76WR!gE3nB{SzpLQP)p{r)8Ue zr0_R`=H)?+&H|6--(02X9?d_vYK=WWO?l9zf#5!MFYonFybK<UCqP|w@V)gFprJ2N z^AEJm0J3`7qnC%lqt}zkqwyzb(73z+w6p%=1JHGU2_C(&Sqgj%9=$BVJ3;d!uXn@N zN`sfUfoC*9^GBe?w2(dk!wc}5FT|3@PEeb(6S~L&zGNEg@YkDO?6&{+e;3Hw7lro! z{=Zmb59--m6aV-B<www7CA9DWZD#Pm5+0z1IM=~p^&^G97kr)osP_U6m~6Cwfpjx? z_kAQaVEEg?;nsP`0}?m|9=)=@^2mX+9kgf#dVCRP_`v%s3@<`KZY3B*tp^~Xv<5;g zebHwJ3oIAAfB#?9+kpaWrP#m!FF{!klz<T7)$5{i0WyE#4QeMgz5!(((EJ6sQ`EZ# zdL`Zz$Q&=^h+~jK@DazqLG!G~T~t8(i(x0ZM1Yn{WPpeY&}t=69s^B(fJXU2(;twX zQCq<Mc<`3mUtIjHpc55$ID*dj;&AFH;rs`x#+(18@He%90-*Dg$HjLZod-R3z$$WZ z`{tR)!B?yv*`HE8G{1ZFve<*?)@(s(gugig<gkm+!TY^>P0!2nfsROaF=zB>{^7{q z3|e&K)9a%W?$+VL`QM}QKSUD`NK>!lKmKhd=3s?Qpra*Tz6OnP^@^BzfM#$Te?ioq z1POv1_ROQV;=fNXYql&OXd2RojnSj|zaxM1Y-Z3_+z^l*J_4W>_+0!=;H!Nb|3ORu zZ!_)<_~*pG&4kUP`IjSqvoA9PgHuO|z&}txZ30&>PXEC!X@0=Oz;Mh(Bup2ye&-<r z14GLt{uUvS5|EGDw}U1*x_cm_zMZGQJG~A%g32}zkKTy=;FJ4*+j}V9@aT162?v#t zAV+vu-YhQxl~>^U8hmgQY`CVEM;tMs^Zg#E=_c@k%>^`41HGLPcD{W#Xp01Fy$g8d zWG7_p1UO~GPU(lHqsAkk<aHQioCB!G4eI`Q^s?68hg3MAK?8_ez$FHF><fH#B&hHD zVj-vp9HU|ZYQuonaDsKi#=1d!IUx)1!67mm6gJR2=F#i1-viW5{Aur@cmfgx;F&7O zj(Gu4`&{D1kNY6=K@;HJJ}MfZ^;Mu@J5c8k>J!Ms5Y$JVJ&={c-RM5M@G|n>|Nkww zJvx8AGy~Oiy;c7`T7U7kwt~_!|DInS&Cl6E=Naq-CwMW?{=jgjjuOHD9-Tiyr}BVq zU_I$!d96qrw4zz@m`C$J75-)wMo@b4{O{8%s{NLi0hDUQJez+y7VUot=>j<Z_vjTB zc+1PczfD98l4!t}EBBVDgm;7(f?agz<&wYu|3h*bw?jt>PZ%htb^Zd`W(CS&ogX|p zuNz+S`2HPKxbv?+4!R5tY(Kb6>vaSr_0?}6_OpR(DcbQm4t%O(ukGYFAj?hcK#957 z2DH>5+yS(e926E8UN?f8prB3?c%cW_^DmxS{`(JM-G;LYE&u%ooml`1ofj!^;V7{1 zhn*^*UBj_(VP{Lw#O(=)A!f*GEWw-$5E&UT=fh4=s(&F5=KO%jFo8K2ATq3Qb6;D4 zT=4@U{LTXA%qt)k*pOQw1--1zx1lMwmsR5>Ke+1Tc#&rbTFq)9`0xMA$)Md{7~>_4 zppph-yabY*A+2UmylCD6<xOxoZ26172ehIRltDlxsz+}yqle`u{`Pdp>F+Jz!C03L z770+^291=w7W2?N@7ej&19nB?PY=e^;H!Nh?PbtO^nDva#YX2Ga9a&D@dL8Ug?}5j zW8+UyeDn9(F)=Ve+XSF(2cXH}R?ww3j*UP6{%2s|Z`}q?mR?MrmLK`sLEEq$cg0jN zFr>M3iU|1h1~I$vZ<BUB@R|j5#=K9j>h1Zw4DS5fWMn)+jt4D4cL9%F^ae44?QMR@ z@6NxCN72LbQ@M~^2akY<<xl=LqyPW^zjOo@sL+nQONS3=0D{*Q9Qx34S<oni0H|bv z&O7gz3(3#0d1uh>9q3iF9<We?1+WWfyc1M3xqw#oyMs0xfZK4D|Ns97H^@DDd2ieT zMK#<q@a$g+Y-fNsre!_|%b-Et4Grn;J>Xgu+0qylcb{I>J@a@OUcUMV>iL0A-RtE| zLADcAOhG&dY8xxOxIPEuL6EnQ=E?|I1saD*co_~Vmq5#WAh*Srs0g@#hD<@@Ix?V; z05u9bTvTL1>m)aUb}{&<$h`n9kO4Kh6+nG)1JKY7IAn2$<x6|8H6@U1U<x4P!!;@# zFV2bl|L@Wb3czmA@CT&7g6?pTHuQjcSqhpk?e${xu>4rA=F-6ezK|JGW56mu$i2;= zW-DaHrAM!<+)Yr-f#wAe)e3lP7pPj9I2#m-h!I;*c!K6tA?|_4(d&sGy}Va%fDD2r z0Z^9>5*?t3QF!5j#UOAJfaYkZXI}b(dcnP{onW(I6V<&u^5C|0C+M09(Bi)rKW9SR z1wN+>d?PYA<6E96k%o+RgGSn6D-ppu(rrN1GpcQ%2m@cC8u0Q2s9W550(7cjt_i3` zIGyL;|CdSNi2+1=5Ii3MzC5@2jR$DGtpjMitpmuM2ymwXeElqF@E=?qcE^C$bC;;( zICPjWPXKi~Ky7SLm&2Cf1_J|sFZie`@cc2zy`a(gP8Ssk(D^<xpy7gCNHTL#$?I@Y zk$dq4R7ZBh>tOKtn!Vt8fpXA!gkWpH+W`wep<e+SyayFjpxAcsXg=a`7}Vs6@aQ$w zz7C2h9AoM8pg{{xzu=T?;Q_Lx+eanh^$gqp_Zb-KxNZOc7hvG;bNK)N{|-=D_tFeB zNYX2N@fyfv$RK+FsJH>U2hxTB*{<-y9&9|;LH3B3=Ru?CvfTgvzw7{YTtJO+%<*(t zZUzRA<~IeP@Xr8+f5vea6&G+*WLt~M6b1%{Zb<U9yujb`iIah$`Bw~o%S%qsqL~(z zsi5ZJ0sfXI4hDwCU!d^e?}_DLU@$z;a)7_}Fgr*&Cx8DuRuF@ozeNnp;NWlRV*!b9 z^0%;o84CP8{Y)SuAR!S5I$#A960lx-_Y~+Nrzj>+-402fpZVLtJuJ`^!v2_228Ogw z8^K*Xptik02aCK%Z{Qyn(24&)eL7Er#;JSSK~WAmjQ^rz=Yh*Ve0seZn;-D|^op*Z z$;;r#zl{Y{x0D?L1#Loyi;6QS;z5I{o>xKf0Lnk0jdY#hX&7*E49e7drh_t14SZD# z=&aXX5Aev5GidH70n{Aqj!}tlflj7_N1Q=@UT`H0uM%Nh`W%%EkLDu<he4Jnc=WQK zxdOEu<V}#%Aj99F<NzK1wgS5V)R62hQL%v90-6;8#RsUPmH@sTpz#+210zE%d*_9h zOF^Y2YzuO41ZtRqPiO*Jwm&8m9HxvrWFTQGBJa_B;Ezk^A&*W-m>%HoF$N`7P?#Qc z?7VRK7dT8Gfx<L;1~^Pbggh-jg2EJh!<LJRM@NW?vqvxM*UM0ELC(}g4bzNiSi=-l zGI)4&9t6#TJA*xj7N(%tvtHg=V533%GeN6BAoUJ-ZVFrwg9es3z~+OBUvT>y8WPZi z4l+36<$shQ0-Z4oJ?Y-^CVxBRLbDQ;iv8dgNT&@OXpqRU^TL5wEd1Lp`SkL}P6sz! zMYudH@0V9}gcvY+^tv-THb3BZ=HJG{;bD2BT(E=34s@(m=RJ?k!!KWhs+-O|;AGz6 z0}3MEOP4@F1gZkS(`(>;o8T@AXl01&R8SCsE*=A4XVVSpto%O!YEn3ZS{k6Je?1Qx z)}3I3K<O835U4f;FVzL#!|`Vdra`?GjG*Qp$PjQ?!-CnTm$zjaFT+b6aHNCV4Wc?= z!$Dh?N+8!+!wM;|Q$aao7T5-m1Hl)iK|>pyH=sfOatlUiLk6=vEH9SJgCeA2e@qED zF*1XitDvgoCMeXlf-1O9utQ`QUjzjgsI&ylh(jj*!O;$%k`x3x1T+x|I{g&pL$E_$ z&xdot2TEgFpM=GFGbHO(2wFdBGAN|Uv_27w^`N^~KqUfbOl;`|LcSNqXMOW8ZvH+2 zMo^*5!`}ibUz>mN^0y{|MrmOYh9h8#u((VX+yH>Y3pYWRRZgNHVA8NyKM&+=XtL-z zPbgr1O$1pFu0+9+0~;9hQAv0`zxfweX+5E|k%z?%Qb=y#An1lNup7YEgB^n6213@C zVX=NTXeR?S2s+OZ3WDzw@B{&p^^Bn23@CMg#&fhlt@DZw5jN1~6G&wST~*UL2i)rb zwUwZiS+6Jy*b$&|0<BbrmlH)`N1zsIps{0U`h%7gulqVhR9;L2pUvc=!T}Y1+4KGX ze~?5iXwzej3I_v!3uvG94n}YbrIZJzE(bK?-Rq*_0&dxY&ieqLp8z_p7Q}$IazR~` z7foEC)$O3Y2hBfO_}d|CQ=k#kD*~>D6A<BXt2_}L9-xZ30yIkt4kpGAV0$1Ig1ZEu zbx0b9pyo5^h>=d{RU+M>MM<5|@h<rO8R(AcZbX{^)MoGH-EjsKp`aQLJdzD=?t+Ut za9DySoz(h45eg1VaKQ_8cDIj8z{@D`IlL_@(;z{^Zg}A3t$+XjLmLjAEGjP|!0WtS zR5)H9L@k(+<Db7Ba#lo%O2si2wixgs+LkxURXarZ5D5b6Bg_QR3EhR-EBg90$TOg& zu4uIrsG5uGgZl%#lnUgT{|7)bDxk^<8mX^)JbFc!z>EPMn+1vljCx22i&>cI>!m(u zK^-V9yeL!$^<8fN|NH;tu`f986MDh`Za-^)+RqB0_Ok-0l>%P%WAK{Iqw@x6mb>); ze;;V11hm}70#srfz(+h^=&=3&54!6aR1Hahy1EwNg>E44d4R4lfZsf%2tNGMz=QD< zXytsJnC<_63=E}nkGZHgfDgZc+yiY3YBrasgHAwXZT`Vo%GdlOp@g&fM?HTlXowk2 zH5M-Tm>q~&po#6yi!WBGfr8=6zrX*%ts-bY0!E>pFT}qcT84qU?iwDQ9}G`|g5je_ z=RXh2kF}PdGw?Lefrc9ozO(mXJmzunpHD9fpQq)4VjVaB?L5wDj+PgTxjcGJ_&j>c z7+)Xp0d4$02f8%?bR<90;j;&QIuE>9rwTgb2j&(Kjq09m{LV4Q;~XuwZk}dT#~gz? zW*;aH9YDn!<UAsePOxJXL5@M|-~IrNd!dZKL36k5e+CAIdY|SW3N@_FKkDiXY(e`D zYs78Wf=)}A3R&n1vH~);a10^<j%gGTaC|{TY(Wit{$54Ucyu?fbF~Zu$ejTm-J<$n zHaIL~rNQhN(7__6@gR1$Z2*V@9iipZ&1zFE!vLC^jDVcw4es%js0gHi`qD4>!Nmc* z(`<R7MhMekpz+-12md@k$I5*MyCp^?f`7Y-b2sEh)HKWQMJGL)PceE}p5ku?A2zm~ zB{<FUN6}_b-pK%$nBAa7li+pB-61NFLzmJ(E7V`~fEvoMe!GQ-<%t@x9iT$K0}?=p z5aVyo1Y7Q-lEJ^7#}Rt0M4IJA&<3K59^Je~RgeHG@aPsb1G7Pw>vqd3f!UzDCAv-h z!0Zyxg(|i|RiG1fS)D-?_&A476BSTMLFRP9;Zu+7I0KO52!s!4XBVh_o6v323=JO3 z??tEKp#!?~vYTbH<&UE6jsF=Km>6n{L19z?3nK?m40C{r4G+-JkU;Nr28RFtq37YG zb%v-&yod%hQegq+0CE$K04vr4l|coVfprxc$4E)-g{cx~)cV2;U8R5jUub{`WvGZW zh$E&1Dl-=R`uqRoYoyJVnBx!Nb_2?N4WI++Z2$jfV5m>`=;n>B03G}*>IbG|?LicH zh$jSezO)Z4U6zB|n-D+pN`usNi^_l~%L}z~#rpi)SsX#>Db4aA|C9qApetFrVRtMT z9(er@UJ634T>zcH7N!Vt|AU`@AzNBO1q&3T<!|tyQ}Y`Qr1HO)HD@wtkskC0L?O^L zg4+>DjSs##L&Bqz^{9j_L$ht(T{#AZ(io3U-n|mC3?99#mMus+`9L~(&~?rR>ofuD z6!hrioea|1oudLRvwLluKmwg7UMPwG`~Ui>N3U%nR6r0UFzW>u$XD3UM=vY#z&M*3 zauRztXr~D%KfajV{Nq0;4T8O*;n5o?09j=X+L&37D%X5a0a4LCZiDFq-xkxIqXIc* z`9;-_zyDvB!VXqO3t#ZW5^DH@5{O4PIMEw;bVqT3j+nHJ;NWiowMPt3wwx@f@#yvt z03V;)T_oUP86i>P1{SyR==RX?=#J9x=nhiwunbTr5io?O2Wa?pil~5wULdPtU&!@> z?jLhek$8Q>@WAUU;45Q5p#V}M@Zv)iNESM<3_i!i;Pni{ldq?ssXKwG?qw+G?&kXM zfB(Paf_WXB!chEQ=InvtcLPY?2OpXZYEgoku13rZ3@<j_fdnvk7#CbSLA>-r^84Ta zua|>ON1Goib0WcfCa^DDz=v8P8^7z@-~X>yK#fN|FPB*N3xZPmr`tH(9|^L6BKL#M zcd7)%7N+}ueZ}qmc%0z_ub)8S54wKvg%RBS;LOr|kOPtU(;6Ut10NfY9C)=L%e!HL zhh9FGC1Bcb0Z;puT&18C&Ee4<B>=uX-ZDY}SBeJr5kQv~*;GJ70g|F${Q2_t|LZP< z15ndnB&z*}2S8PX#cN$qOIE_8SHuBSc^P>0id=>i0-$3`c7YoSpiw69RZ9O4cr^d8 ztvL&-sgQl%46=A4YI@;sgO;br_xFHj`a$Kl;U&=V)rUNqf7#YndGyKzd32lH^ys#^ z;nT}<!^84li3E5{am($Jo1n9c!EGgIk=|W$lf$FC=B9v0cgzhAPs<8{5_YiS*Wgnf z6(AWFylJsUMc{>aIVg}~RAAQ*A`U82c)b8*Hn=K;nEhhc=fD47;wVqa3O{g#jT(O8 znBnLA`S1VN6VHH(Vh&KO2q1zdyw(yLJU2YLZEkv4{wr1T=q|Yd4gwAkSJ>m=V`lzs zCCmc++hVu{94zjY969EqBEbN<Kt_V`Ft+r7*njK|YSd!i&jlJR0L^al?|Q(=-^>b9 z2s#J|)TZ4QDBcmG;t$RgpcA4%Jtz1u-3ylupnV#U*<}w^(20tmp-2DbNAez=hd`c` z03|gAk6zPTLIMmPmLK?A+d%ERPH^)OH00OI`r<z?LkojPH|zcXplZ|wG#x0T;sNUA zgJv{d2;_t80Iv=M^|!%;zn!2X_IgEIe{(Z{lJJWh5zzgQpn?@NN8{1S(QJF-svKwn z`X9)_-5}+i9^lSp0VvoLJUT&j4P*nD$HAXm9-SUwrv`X*Mi?~Pc0)Axfi*+7mvj~= zfCgznbKoAG72pLXpgCYj!44e}0IwQB+Cdwm0y#nJMRz;I6BR8Cpmi<aDM|3WW+(JC zwr*%3Ha|%4Xg(nfQUqE@0NUjb?p4A!7FQ^EbRGjm7^rXh;xixUy!AQ_(A5f{6D~kS z@_jzgnulW^oyWmf7<%+paDdisfF|Ew90sZHJn`}qD7%AZczanNya8RJ2@1Pj)+v)A zY=IYC&whYT0zbS9)X!%D9pv6|6t;e0odjt8LdA!_|6hX6={a)-lAiguaeO<-RLTX4 zI`G|HhxxaAFs2<m$ict;q(`p^qepKLvqvurqerg;%LM*yT>RS@CQLZ^kc0CC|Mml* z!bt#@gi*`0Jk;(XKj;)B@PsU=PXikIs09segYTedJy0rykcZt*GXWIm3jEsx8Pg6P z;^5zYX@W;D50^);Co8CY(*RkGbR))#dGG)Je+in}hi51h_wcv91f`4SHx{7wqXB6A zzyOri9XwhOlyHDUfd%dg4^ZF6z@zzy1tc^&eN?1CN#@1LfB*mUZ+B6VN;`Of1LXf+ z4`xu8fCDt^)d$&t&cfu;>%e;4MFljM!vOY$g9m67t2ahP#>4V*341pSN2iO53@Cec zyQs*3(%*~y@}S`t(78B}fKmXtOUwggey5L$0{?agMv$E#UxPPaXn;cp6cXT_asdbz zdvu<7-T0#a-QWK&e<96AqP0i(+s=VX42<=Z1s>oY0e?TZ<mrZv9X0+0brbmeFN4Z~ z-T-D$56A(uajUN!EF#0`(HqUmzikWnj^gHXOrTbq2WUdr-lLbbcqcSHLdqae-T0vj z;$84%mmVOK+CV21f(j!04gt`x3x8WE$mnj+5%isxJr4fj=m=o+X#UB>-)01o=yXvD z=!jtQ=neeqfw-;l`#ta-F3q3|RAksd!-N^2TL4-YgCZX`x7m8BE(=t|Ie@NYXukuJ z0-bkx4z!^Ow7o#_rbn*~Bj}*}Ht-Z(=XJ34A{-vg{}}n(KvT629W@+`9=(45JS=~L zrXBhH&m;C-GkGxH0-0aoVR^Y!2vjP7%N)e|SJakoiwva45&&xTf)+G@N9RIR1YTr; zWuP;%t(QRQ6>@suOKVW(>AVaJ@s~aS{{IJe&Ox^hGK+w=3xilcg+YDC8!rMypqCQ9 zcrN@8qVUCCVUVg9*FZ|(YwB40cS6c@4sd}0I$s84EBMeg2~cWNcyV+aB<jE^5Yj{j zwbNhlK7lp7dU+kUfnppqp$6)!7>oS=4_i76Izo6a$O=$13DlqiUG)k|%NZck!3}DK z7fCp*SoIiT#l5Y_R$LGUm2R_M|NZ|`6t<xaE&qeoFL<Ee$L5d$?(`ahDbS)v%M-Qg z{LSF`>w^ziJi2+9Ji1+&z<m_(dD9V~jAr4{e8k}}s6+sT5(j8Q;tRjapfPM0&}qG( zZVEg^MQ;a#ybJ0@gS-nG?gV9GXkb>LZb1emYEU>J+490w2-HE||LX7mms%+O7f{4- z@Ne@F`F4<zzhyeO;`b15ekkwJ{8Oad%cJ?HP`Q>z^H0GN&;}`?5-yMC0|p?w1rB>O zA8>%Pzy%0M?5A)kNR0(t2JB<7j959y3{kK=T=W23<xlYvP^OhEo#WAb08<|1aZs}W zR#QNVXtekNtxxhm8J_@o&;rzt>|{{^CowN6NYWF4))o@bLK0FSN$_tE0M`|u(n-Ms zcCjD0iD1FMEn4K;VWtv&P}x#s3tmLrdC=qg4UdCA>^+(vFnTav0Qnm{%mXg}A#F~O zS?FTmjP~NM04QG6U;h37610{LTAzY10di3RRf=f-y8<d_(9$0$#evSY0&OFiz`qR^ zdrU<#9?d@m%EdsY9eltHau~RTM<l!#`#_C>ZfJVrKx8jb*AvL;O%-G-Xp#kFhQbRD z=vHL#9$gJk4FnSBcoFabk+WJhA?K_zf#0AuMLt*%+@=WG3u{wE34n5)%8S4MU-F|Q zO>hK*qK|`rn}aCG3W3A0_J)HP8ehEmfjm?QtPe(j^&?53g(rB{2km|sSla@8Q#rJ4 zQDVctJ%BB(^-`%U=o(H?_JTGZV51|Dq2+E54iCl?9+1Tw<w_o%2Rkpkz6i?T8lbcb zO>#(0j@_U^;1^>2pk$!%{O|vl(Wqg7;@+|V)czgFa|VbQ7bz9?=ym|-NefU30~t>M zl`t<@K}9_{qG9fZNWNI}?C<~AvtXfxT)+3as5C&<|NDWqr#8L;FQe#O139Ch7e?;^ zACL?=Q1t?+Sp+>$bp=1DLds<THCRC>DI+`64df<}1ZWBy6geC(Qb1?S_CgHv=q&+l zoz78#8g)nrW)#%Y#v>rNfXmJo+Nepmdx{F!(AG=*J(ZySv6hGUdka8}gO6CcV+46R zV^mVQO9WXuOH>lNc?2hbR^EWz2kK3L%Lgqn<njS}aCPf}5*bK4sz(L1_#4rbf*xJh z4OQ5UI3^G@p$S?z1Uj@CeC9308WxpqAC&~KRs1lkK-D{_XTZPR!yv8oB!8<LD+7b! zNsnIEKkcA)Idp6il!U-XE<yK<gBSO`DulQfe8~!AeD)_Jf71>Y28Lark#>(>*>G0S zak>)Cwrfv-yG9kDRmR<0AnVjS3phZVkU-YEf+_+>a82ON!oaW}bZd?)*iEh=M@h3V zFc|)KH9QI06_w%B*#kaC%GL1FYc|jShkQC8fmYp3U|?YIwEV^23%VoTzgy;_Pj3>V zr{$S)OOJz(SUfsq1bljR1blmQIht+dK+Y*;_vwrg-~de-{qpI2?+IGve&dA*6R0Z# zT6F$;F=#U_sPpC12|aP5SN3TeC|E%498mWKw0IDdB_%++;}l-pfQAUPaRHiC^65=v z^yroKV-a8gtw;mMM0br!2F&N6?N^|UD4_MdV5_>JR&`zkB@mFOUfO{2P$z889CEgy zBmedaqqOhG|JU=k-eLj;4`{VCxZl>x>Hu~ma@>KBIkzl8iZD>H^KT0j`F4nrzon0f zfngUY(D}DV8l`<d3^EmTN)_m!JWw4{0Gg-<ITPdukR_nlIPjVcd~xpu56kQPy(d9? z3A=fI`}PJfdRl%ecl12?fW@a*HiTJ#!LL(>!LL_^!M8U>g`?Sa!7<QiHoIS^4+F>R zJ)WIEd^(?lZei;@@nRYSDD+?WbRK`b7~~;vkaR*i%|4x=neblTq*hQAK$~YELCBI< z&|+(a7oku;!lD4Y%no#b2yF8qs6`GvmIT(f25mmA07V37K;k9npcMXXf#RSMh2~$v z{H@8LlfSlm8iC>iyp<Z%0ESK}g93*GBmwFggE-)UI|-1h6hM>98fo7TGBof{bx|>R zVdVwskXwK<9k~1l-yYrxTPED1Vgc?NKyPs96|Gzgs>(!EUNEr0#u_?XAlsC>p?f_# zc|e&u1)Qn7gdou?&}?gW6cobgp!sjm{sxauMo^&#wzF6C5F=<@<N|+dCdfL_@#)}N zwgr4&0;t>oUwQ#LT9T20K^P<lIyD-kwi9yjJ7|Oed|W21IPBg7cGZg;|NerujJ%%I z`1pT40|Wo|LIcLn9*n2>x8DZ!W7@&zN^5?0?EC<_B+|3-00YB^|DFeb*n28|@B-Z! z-+9ZU^YhEDzyJU50$rE+GWYNQ|BcT<W`Ros7sm7a+y8rlj$;EY%k|Mb|B~(R|Nnly zBJ7@xKN%PV82H<tf$n_nJn!3i@#VSS|Nrj?o3jBl?*kr`0FPsUa^VYYuwy@fQZ$JF zvH+|`l%*Mzx<sHyUclOZ;7ksxO+jTU!;AO1kf4U8vJe#uaPfE<)SK$O`4V))tOwLZ zZR>yi|NlB3T=Vp@KD`Yx9#nSpvd(ORumxW5Uj+|+oLC8paBwr_?G{)wWi$Kl|Da7U zH(z+N|NHL(TGRIPg&mlAau>+YFFvyU`ww9~X9JDRur?sfDTSMp%?@hrnBM>U|K)@q zXy-3YfYi5#L7nQxH{fN|pu@~TaSuAo98B*4*Ke5htpx|Hl7w!uf;R+3_*<TUlMRc? z3*Ik~4h9G4BCr<F@jTFW4S#D6BWNM8;Ym<Gx)0pd?etM8Fue3y2()SjRN8nn9~J=3 z7K2tvKv$@AhNx74=60aR*LKQ4+St$dAQ1y;V?%GfL9RnVc>uW%1r@OHya8R+1Io{! zB;jFsu_OT0)T!_Qtt9~+scd<Izb6e;9QQ(k!=u-9@@G&?!P^XdT#(>62FWW7pv(fQ zxIG$=fWj5rb^uujYDj=vAfTir@ZxO3kN*udDiRE(yfEwe!w-PAC_zFMoP5A1-hc;O z&VBp;A9SWXxXFRmYT-e30VBE#P@0PT?VvrUoflu$ftoW2U&*5SY6mB&zUqcu2H~P2 z@M1kbXdO7{;?dqc;8TM@EiDP8Mq32be1xAM2R<PwF@-AWfCMbMuORJNHx^KPHsH?R z|1YIsJ!wcA6Qw=N-v(Mefu+9&YKMF~$Wl@d3hx&Y9}$VRB&wSM)GM<9bvwXuP{o6s z)<C1htp`frgI*GdR0e9-gQqyaow`oYyfXM`D){6wv~>=i^?-ETFhyQe-~Rg_yy73L zf57P&b50iKpGdHOJmCHTZ*}Pn{12Ka0i9Y_!^yu*Mxf!hUg>+#$;6hY$}K%KFM>{( z{(cjDe1zgDXcyA}G&lxs<wK@rp)LjYEFdBtoflrrV*<s)iCcgFzYK=-D^S88+@Ao) zzW`_~Qvj676c7z{A#i^J+&|X<^(P=*9FJbs<xAiNq|F*owgU~&Okx5RY%#ZR^(U+o z1<FED$8*u_6D}3!-|k?Pwh~fRquObVu+sx>rySVM7dQX@e?1Lrd>DcXKFt0dk{`=c zK%*O_S{~gF2B47uX^&oB^<N-QftKil7mmPJF&UmTJn;G%XiS8^6?8ZdvYyXBap*Z< zcoM8f6l{kD%#Oo^)Qf@D!`(EQka}@c^~w0v_p&}(1dqh_)gZTnBJnH(C=#dN`1}9m zCh)i~N_ydM1D&nvfwDddv>g`I>j3vndLc(ULTaZ6NTtR!Mo7U0DssVPKfHF5EcFFt z3XlXi^MLd8HRwU?0-!b;$aGMF1a8~)f_DYLi}{%>Fmqw&=zH|Cav*$mcNNTMpa1{* zKjFpR>wo{h)PQ6KaDqYjuh&K81El^1FA{8g198q8@MyFL=(06X{d>Fxe9kV+x1f`( zFnzm%0p=>m><}WkNtWiqd<*st$hRP`gBsYNYDfUY0kwZY9Elg_pvRIafWiUPRRDzp z$WBnf4PL|o?IKTPhS>;pZ{rb=^ACIUvi@2Ck7s>&XgvJ~3XS#G@Qm;Gx~OzO!b296 zi5lO4+nSwgAZHc!Lh0ks3z9%#)4K<JK@nzn%=izw1`je80IG12!h^pRwAT|BCgAEG z7AD|I6?9hLi*`v+y#;M@fOiIV_CTX$j|#}2px{tIN^VU|u;75I>+As!Q-FdG)O7Ud z-2&0q2~SD>P%RxTDh(k2c0)bbcm(8cP(W^+4-d$1D`3fL&R<YKrd|E}AH4V$X@0!d zMFrZP;{}<Aqdj*VQa{7e6Ufh);THjFBX>i>59At@@S6k*deDSkH*5nsC?mJ<f`Sax zQv=sA@b$ZpHGQD)0+;*H<P!wXp3u1(*d}&(O9V10gA~N_P%RxT;G3M#+X0~a3+5rh zYdI{ua{hqA%k0YE|1U3s3K&HG1Me>c-))IjUdN~?@NX*?Z+<54(fm)iw4Q%kv53RB zV~iz{J0N{!Z_t=YsRejot{ZfYqvfGu7mse1i?Fgb{0qo>@RBIdIvn)!obfQUlTa=R zYS!O;y>kbsyYhOeN9RFME&AivUueUg@AqHOIOg)XaG&YGeKzSg$Y(K^|NeiejwoPI z{8yHaxBi3F5bzRzA-L^_r51wJD7~x-2xn|v2J#^&;<>@jxO(aD|JThJ>r+7Ehv0a} zTHgzT>wEC{A!^;beGc5eF>s3({Q~(n2V@b}@k3C3kKaB?T=uym?EAA6<}o9%eSa?E zYhU2EPq<W=f4c*C_5#&^*Js21HwSLtp`RfC_29Ly8a+NkKxs8fy!o-bNAqvtQak?b zQILV0Qb9xTL^qPfu->_oL9d7e|MpX$^Kv*q2Xu6vczxx?;~(IpW`l5=Alzx{V5fb& z@b~}A97Iw>tIxps={2ZuK+8|4Zsc!W1)lVY68UzRk-w#pfq?;<tza%k%~-j}8SCE% zQ0(B!STGM3frlRs@ozuvVR^3H+(YwY=V#E!gGb|G(8z<wLC^st_dqM<LD}!(>&f8k z_p%Dq!Gq+$vhRQYcYb(r{yR8KG-ko0i+2gg0ibj*`~wtSchCR*4?b2E92n^7pT7;% z_d}n*1I?c_|6t^A*#Zu%A58o$-4GUYsk}!otF{GbE(CPL;&B%h(D^aol}d<N6wr7C zWcsduJEWrmUTZ0VG@Vjw3o-&Wea8+m9kc)$)aO)qu@k&27`&_j8j=AZ38d*ek6zZ_ z)*usLjsRcx)19LNbwn?#ixqC^7bnjB{r~basI)~M-v_4;@KH;s`?-5-R1zRn5b|*T zO;FH-t02%aoz?@jT%aC-17vG8XrGElcc6ubrK3aLbI|ykM7PW#a1Sj;h2zDIf4?D1 zP@$a^jAaRswIU!-Yk>N83ZT^x;A=_22P9^I7gjKq#DTgC5g-K;-986dK#Bt3qxMH& zc7V!!J&#`A<aeNy0jtiSLlEF2eLE5RbRg~rH|sBh+8{4@?;*t?c*3>-)Do-kXgyHs z3bH@Iquav(>JI~t){~%~W*~Seg8~0`Pw<)-kUt<hN<dBoZy+fEg-Hcu!X0#K(@R6p za#Dk{fB(PChQtdjy@KrEfX(6v97b(VK<|?P-`s~|Jy$Q}1PROtl=uc~gH3@neOfQ^ zw}3}FyQ3UHoyiQt1FvO3C+H-A_P&A`;L&kV^U4RbhxoUL<qiJccc8S}U1Z_W?FCw# z&fg4f+;qBtCR2{VW8>K$)EF-S#fq2*bkRov@*HagD3A&uD;>I_3$83b^S2g(b3bSU z8l(*kiUtmlI|W{7_`?Qi1VF=vpoTPm_<@(84f&wvGswlD$OHvt0$AG%(C{Rv?FVjV zqm9#~fC}2~T!v$i5gzb34S2K*y6_4r4jw@wM*PLePk;YUcoBU1@Bf!}sF90V9$g1D z4V&KtfErdFpgy<<s5HrdGzV)`3Lx=_+>`S80*gn`nmEvz3S}TOz^mV&S&+Xk86?yz z<KWR<a*G4B2Bigjk!7!~?`u%5fHnd<p^e~flUtxuxhy<dzk!l-9W!Wt$AW)*8DrYP zV;rFIbC5xh<CVbo-e!Ol6o3pD;NSI(fqzm9<RoyALEFqgZ8LuGQUXwPLC#$9=w`VE zijx;I;5%sGGtR9CN(4Y--QYeRd_x^*5C=R+)9rFlz~kZzQ11s4b*yi|D>hhEUKGE9 zOg4iTQzm$Ha)1<pdrr_U8hGyOIAoC>nBASD;^EPJB;c?|x2FNf!y3^1TgVLZqXu&R z1y5}ofR_GffaKtZ-o4cP|Np-s=vMh{;DI{UIg{aa(Zso+um#mc?H@sPQQ*nH|6lT; zr2s^Gu-8T90i=I*4isCBZ$Rl7biNumEPB^K2I!7Mf)<h>AmjU(X~N<YEKNX)wiX7D zUfv||sY8(IN>JE<sy@R5ufsuE1UwQ7o2u+~2mlq;pvdC*e*u(hz};@}BE(*ZmFWEi zrLPDJ!Fd8SFbkd90bSMf22@JGkL;TQK7+^uR3(76)>VUZHfRYbY|^w7Ry@NdPoWnf zbWZ?>MJJES|4SatH7XopC1IemDnKJ0u>C`b4j$N3@bkuER4QHyf^33r;PU8p@BmH3 zKuQN56_8Is%ZEUd;-G72VNUP>-*gL6C8F~GA}Bn-X-vSQy8-T;3t;C+@=rPNG8b$K zG`bp(fMW6Ri_qhL|GyOYhcdq3qEZ1$GvNEm=5jGGcr?D@0FCr_u2EqC(Y;Xmc#Dbx z=%_?c820W_(E!bRfo~H-E(TmbKw<!Nk{;-WUl0wtK>-xI0wB{mVYgVffbY-+$%77M z2hrf$1dq3XFMWk2tPP+v*jtZW<v)85u>y1@>I#rFD4G}<7+zE?`t!e=MWwSvr3G~I z2Pktvj)Ll)0=_HS@HQyDRDdTZUxIqHpi8zuR~UOXA5rk^{OQ<vz_ard=$z`#%g|Y4 zkSuIM88qDsic8R;L-nA&sTz!+i^S)sKxX|QC;fYLJ0VhOw-*Pf5CQk>yRASImY}(J zpI%itbuI><P8AiO-ZkJ*@afC}ZA1dC8FyBNOy)^6STOLnfW}rpr_O+Hod#JB8vO^) z*>ywh?cSmSatCO#5<CO@|2Syw7?k*ZERXZ|NdEu-zvVz_3~2rmRI7p}l0gGq9-ZeM zL8qEr@aVkh(fP>-<l}STDV8?S3E`k11;sP0f8IF-I{OH@UC7n&q^sd2SHs&cumAi1 zzoSK^0~EF0(1g->1eAV2Llb6d(5nJ_d%*q#H9^4hp`dU8wLJu01ZzY6dyD}*3kjC# z_HY0N9C$>jdy0w#*mPI`Z-E4O_a3mvLB0hA4EP+ZdeEf5jSuwLDqql%+TC-&+Cfnb zGR~)a4S2-GvGXQqg)->Kk<UJzFMK*5dURd`9TshQioZ7>e6)Flg->^agRkWy{^lxn z1_u9Ll_39aoyXut7GyTmxAkp_oNsR_vrp$1@bsuF|MpzQG{=KSIea?TsDLI)L5T*G z^*|@bf|4945r9UMKnH@=ff5lYhC$Qkpeh37IIyN}h;jbiHID@x`FA~M;BUUn#=zj; z9rKvOm492ZxMTAZdC%rQV*D){piAO9=cqV<6C-FVAt)(zGyT8NP@^Kiz~6cbbOYVC z7?rw~+a;>tYzWFTuo>d+Dd2HKP<sXBf|mzCMM3u#uyLSz_Z<JWI`QV`@*d6qB})%_ z^s*jSfktU}4|v885~ZLO<}W&wpi$}3Y{9_a{}CK(Ef7_pg<zLJ0<cpUdwDCtCP63a zLFpRg1xTW>(}bCH95gNm+S29?Rt>p~0we~p7nC?a-T|$&c)@{WKO=uXsDJ|b7hGYq z9^h}k1)51bX?O{gv><tQ3OEUYnunmdL~uR@FXM$SOA~l;^dqROfz)-7!=#|+ih|CQ zvIE)NJqKb2tc}=dau}2(LDA~l>%j=hZ=itn1!(|vVnG=dlmI*rK4A6g^kEn9>I`9* z@avUf_v=+*hc1DbyA(7*uLDZRpg{5KlwtSkjA7>hoq$~j@)Ib<gOATS<<oiXB{yil zDCjVq`=Dvi?iO(Dy~w=(_rFi)bC1p&FXHZlX5KG&be;z-XPE;TD(!^UW}Q<YReCS0 z`+G#(g4_rWW6)v+0nq9R2_Mj6?H5A+pn0tt=t)JO1M@9>p!?s!A&oRk3a*yAq1HhI z2o^Xme}ht5uk7XqP(uZD-U_rJgItOMYKejNor0X8@M4}H$WHK)7U2E+pb2#(JE60a zyy;-GcvL`bRnT54*a@%TRTl~`BEY7Ac3go+<GLZy`tmI(^m<ueDIz=%30`nDJOvz> zkYu@B4UsHCHQ~#-pi6)^z5})RSX5prKnz-lWDq3FV;B^NW)M7H!Rm44O%?DG1Xf2R z^Ks;ae{wK~Hd`?A_k*@5fohRD&~aMpz^N88JPvC5f*K#75(*U39523nhIKSMTfo&l zsCxp=NoX@1+h2onMlJ(ro+Sem7@*M_(DMEkl>$&chlVa_KEIuj^o-~84rF`_ByV-L zsDP{hxfGnlI(wjYKr>_K6qO2)ouDJpEH9V4f|gnIRx*OhT8LX9(?1@aHYY$q0bcuu zH>7ugjy>r$6|V!OO%v#1574SMP&$B~m&N4+N|W&65zuNb&^j})L*NdET=VoY57ZXx z<vm^tG7mX@3WC!)=!lBl-XP;Zqr{*+YM?w0^6E>_rVNj6*0t9_ZFuw;F`Wk%hR+o! zzXAmsxXTEtkilI;a1#z%BZDd>P=5tfU4h2yeL7`SUL=990|zZR0guRcH$c)9s3i)z zu>gFrF{Dz4u0#TrxuDPn-Pa0gBXn<pRv~-93->@792B_V3b_tc{(({#sA>aS-Mt08 zP!rTKcKr#uV)OP(+n@hI3s-zVV``ukJ|3O_Ug~@Yi5+;k;5+DS$qPQ64_|OT0rg)e z?*a8+zrxPZfQ?Te^<P?4z&&nodltM8r11@?5CwH%KrJB9lo^;l4p}JxYiWX7shC}u z(x;Fh1=UZW_AF>GOiKdP`{2%Ncd-Mg9tV|mpppL9!d~4q#|1!@wgdm9;~xJHOyK+p z+Go~l3(k1e7Czn14nCF#_?to5%BPpb!LOU=IH>LoFrlb|L=ATy}p01|KocmEs@ z9^?SELqSyus3`}M0R=6H?`e6dv>Viug0$-(X&uzw0Cg5RdQ?E`{e3{!xpudJn<U_& z2T*}7@S@BPHe%rbN^u|qKqqK|bih5_JqO&h0ksf7egxHDkO+nJbU+aa+IkGq4-OCL zln=-(uuU%(zDFuj5<r2_yGI2S+Mw1rc+?*{+mrGf64u9BR8BB5fSQAAAg#{EBcKR8 z+;Wn?<t(U}5rj;3f{GqcCV|8ttL9t=q^)c)zd}0NpqvR(3O>@a7pfgJq4(nRr~m&! zWn%?s01w>f2RE;K_dr^iXr<o4XAmcXiXyPO&K?z1FF{+UhzYztsFn_huRs%0;N%DO z6x6feyoxLiYLI}!)9~a=7SJ`god;iVJOpKcNjv}kf60rwdIh#Vzx6<gkVh}?|C^xF z0<=@3(?vz$FgRJhhvgIqjg(*D{r$C|Bf@d?_n}+)x;=D2D{i?!DtmdS-vJ$c!K32Q zE2^^<v{(%^lH3Ve(Q~#1G|>qgFKMVz(O}?jT?fuKkf8uj&GX{=Iatc*233*0;60ck zAmbEdLF2|C<3LO7Ks`B7^#t8PqtFa0DnTVL_~xQ+@aQz?v|mut1Fv=5Cj(ha3EqJv z07}ZB3}bj3w4UX#hvnhg0`Q(+%P@n|cOJd0=Wm1j!2;S-n6d@r4^U|cYEpXivToi8 z5s(0lw<x?&>4kU<JP@Pd(JML`ByV|<ztxI~0kmth6S{4(8@1={(aWmo1S<WZ{rTgN z+yhR!u#o`h0@lRWpoR#K$_tHKpoWEu3TTTaIDnwNv1Z%a+2HlkkOruLN2egafCC4= zpd$yrfP)0TprZu8fP)6Vpd)xU9rTnB&~ySQ#e@4VE-C`YTvQYoUK}|Ai30HP3@^f7 z{{9c%S<np`pzd_kIPRzeawPvY))n>q4B&f|U}+&h0JPM#7jzXV_;^HkR2_E#_pumW zq%kruK+e;EnJ3U}dter*aa#l`FhH^5(dlU5(JShG3ls<7$bYa26y%`D2c;#CURM1L zAOTQn0=GaHbVK3*JSY#+%MX&byujZ&2Xqz{BdC23Di}do4P0bFM?XAzD-B?_zVN>C z_y6m5k6zJFFG0Z#TG0tggCHAU%(wmtnKt+672Uj^hrz@0LTN<{ZgXK<c&<N#4ljdB zW2`O!r%;g3dRcdgf$Rlk7Kc?o{zFE(ATkT!GHPHM(2<J}nKrl#&#E8)C%kCe`uG1! zL(s`69-#3eP!T549iqZvc>6U!|27i|kN<}=FHL4-U^M*XVR^ddO=pOT24tTSBL9Qu zTh4$ga*Xw1pebMemdPNw?hbHBLRvZC@h8x@mq=->M=$GytNh@#Z=jQEO0_{Qu>fUn z5JLk}1;~NMinh6^2*6HFfh`3Cl^v`fK+y+EnjXEZOTr;hE$~7L<o90I%iuN`IB&k_ z02OPXfy{k(eu3t@w<F~Cz~vU*0S$8IZ29~Dr8r^|63xGxL4LyO-;z3yUfz9I_!&Gb z50(ak`~dX~c)}ZWFu_Ak1_p57x<q&fsANL(6Zl*aP&|XrxCVLag*+o<(SyKi@NAAp zFY8}WsshP*^s;UYgLzqfC3v0h18_4D>}6KCw@%*%d28zCzyDtv{6`+Y1IJ%KZvRAi z^zy#D4DpXHl7FD(C&!D%642RW;K72apv8yqBUQkW*vlG-<W^R=TdP-q-D-kxYi2tv zdgQ@weYxrH|Cb@qC;(Xunm+>XYUA~2uHazcpL(DJw3*EC!0YeG^GPi#0ifnGxcwFb z8jNau0~&?{wco%aUA=1{y@Kv3;MM{EHrTwj2!HEc(3YU)DhCGsmR%rbH)LQ0u}(vT zzjY2XWI^$3dC)kzhexj)BdBo$YGQbFeg+-11~u8k(%FH(FBDYQfoGPg96T(4@;C1R z8QcjjPNDNcojxiN-7M!o;|QQQeNq1iR1QG8;U3){79QOJ;1ezcAQoC)<8RIZ8v?l_ z6I5P-+G^b*A3;G5DobD3fQ^7{nTGb(AS;zY8v>_*3s}T_)fC7ICqZcC+70c}w_XBm z4~k+24KRVqTK?@(jA;iCbAb5Z@(DK12RlfidkfegP$LiA=C|Ae9wX;(kq2!eIoWcO zzjX?@GY4vmfhKABw@H0F%vfRsnk|a}tqtv-1CA9B%U}oo{@MTj|33y9D+AA8f#a|7 z2q-!agF@?t=|M>K10Bf*wJpR!EyQl<ZU@+;CbY%YdJ^Ox(7YyO*b3Y>J_tG?1=cr# z4)(+D*ys#VF#xq35<ulXq)E!6@?!r1h^^rHaPX4z)`_4D)D7+IcSk{{QXy-gK-W6T zc=Q%CgPQrEVG;iA(eO|N^>jc@H3$vey3}2xV&Gx<y9B&K9o(qv4pE7C*$WyUfE>{X zD$>C_p=(qGUVJcuw!-;aw}J*mpw4)G5Y%viB+J7do#$RJ2lZ<^5580bWe-?5gH1Mu znhc*wfJ{U~z0)ZIS^aMD0F*32^W@O8G$5+LmzGQc9~lht3D~``1@o+6EzlKimZ0P~ z<sdvLp{Wei19%H^K&K7t%%c(&ffrInpu<l<jZj$o21)(qzwm?sZuz1n3>lRde-1;U z2pl^t*Fa;K+XGnAS}*apZUE)qlb~TdaFGl?2h>HS0OoeXZ-)P0%YioSIC%CJFoGBb zKAqqbXEZ?9@N|c$RJibOcVO|cJjUPa4Z5VE+u6dW+uOm%@;ra@K2Ub=EKzX)^`Jl< z9nhR&fMYky4^ZC$oV^#^gM=eE`G7BF)c_r~*jxeD3Y``{_z=7jprSj5i={J0MWvgE zYXYK}F@eNL7|bMaqYN_93!0LaflNtHfpnGOJAbFBfcoa3t|EAV3L2gs$hjOOft<@h zvumK%2srI`&jD`|1UUm_5Ga>}h5<Y*x2S;B@wf28b9p~JmluO8FGMa6z{uqj2<3AB zy^zQR2N*a;TSc+wa`3P$axMo6Am?)M97lH#q;u9i1-#P_l)*DVBQqB8q}j=$^5W(m zh^_FlrNj|bN`Vf>M9rB2FVBIJZ#Vc%7T9<+sQLdQOdp;z8UO$P|N11T8Y=*8EJ4d! zy?3BV0veIvz9w>U4H7^uuECv0*q!6hv9g!3pp4yl=%qG@M#|s;FLl8Vgw`mXETCOo zkQ9^xwKxFOBLyW_!g<;dtc7r%{tvPfHBY<g;mOlS@#N|50uB$xW3c_l8J!nBIzPR% z{)4*^z*TEN#jz9`CqM`8J-rAT=>Fl;dGf`ri+}$^c6|g~0ttQq$sYyFgRhj>brIB? zc3JiJ|I7OC=)*}!?GbSM3UsFkmOaj(1~=&NNd6Y^@hG5F*9_{@HiKGIr4gVx;SzrM zxqy(Z&7dR%-y98UPs188mN!eUfa-rxO6EA`q9VZff(_bkmVmV}5k`Ppr7uL`wt<eL zoCvZCe5Mpc-HWu9p#A}<35M4GoeIi+DC?_0V;}`B2TGhl?gJmhk>Fu@q6BiXT?Nvj zDrnO-!UI$mf)r<fSOPDs>_BM)bjIB|kZ6EMcL!(^1eE0>Ky^KA%DNN0)D?6tRVS$Y z2H(!nJqx0=L?r`sgx3GdAQLn^z!gplXzM7rq1|$zqzuLYg=z(O!5d_)6i7pW2Xycf zyyyfpI}ctp06t_1++R=d=<b9Re4yLsJHg!;@VWP$EGnP?XLtd+i2@W7pa4hqG-z>@ z2ci#z?ji7j3<)0H6Cs@>(5a}MGN8o);Lfk5iwXz-)B`VF!Py!#{{R||>jZWEzG^`O zt@#7vOBvAOtIf;*{(tF*wmJiOeO#}L$_~i-jQyZXHyYo7iWX4g7&N^J8r%fa-DtC= zmY?}sHgPd9OyJ*E4Vuq$;os&a?%4c59yCkb+5npNZ~o6%#O2ZaUx2?Y0>YQzZ}Ee$ zr1)E0AS_}2_Pd~M+Rgt3`CA+yEFu1uE1<ER=KsR{t(!n;c6*&snq{>Se`^nzS8b4H zS!clCS_i6Mpv$=oAj`R+3pqM}gK8ykX%BIr2iUN7F3>(vZ&1&Yzt;p@6IF{id^^s_ z-y*{Z-ISaLYBhdl0BuY+yyRhdfxp=v<kW7PgP<#;TEHc3FYoJP{GcoKAfEPY{vpiY z`iGMNEF|Li?EoWx%UlMifiC>p-3-!PTMv{Lf+o2SfX&<mx-lHI?Z&fP<N&D3_4Dav zopub=<pEV0H7Xq7i84^;l>psH1gfYyKm+?tfBu8E?rAXax3c~R7kHpL8eD*ZW2*58 zC;|_IZF;@Qr<cdbv+)OL<0gN*AIO27hkQFvysQ8nCv5?`EH)0Z(&yw$Jy3G$wUL4@ z66bFZ;ACLvJmt~(^X0dHur0Fp|NZ|DSwI(};^5Ki#0Y9rf`g><G9tu-5!;!!aezWR z*eLD$A<#DOHQ;as9m3lSzH1w@Jq^0S{e{{UNEHj7U`qg%hTx-Lz*n(@;u4haK}+bs z3;8%+e1{$*lK?K};M)~Zf-CqpJh(jY1lO?^a8m}{t3VFM#z&y-!2H{*4H$oc*Djyu zZ@&ZTYia%j?~a4&!w7y{;vUU)7R>xDpc`U9r8$2q=+Gok-T|HK(fmV*zf}g*MeTO8 z;NRvg4mqDjh`;qU$Vy9RixNr0104{jf<~i|T?%SWzIb{PDFDGk(BQ?L37}Yl1>lPV zP({#&47kJujl6+cvY_+^F5m<}tsvBt$MFj?w5<U?It=PVj1U96BNlE2XvrifBEjaN zMC1z#xFX0*QHV+cB!a<BaL@=9s7%9jN5fC3J3vizaN6sJjFP`x4esu^Ie>cFgo0`p zbfglT)^G*YRJbDWfGM`10@pk)DyTtq_XpI8;Gpto{x8bkCJ8Fsdfi`nfEHo5b%GlA z&Hp9&TQ7pzMcoib^S4HV#Jing9Y#<;9@>KUV1{+<5qmwMor7*S15hg-+y#fU5+RB~ z0?3LXwIXDw7}UT6$%B#xxSFwq_JLdXfy!P`wG0~j1|<lA7jso$4cC?hAldFI;4Szb z&^5IYu&i%*;I%qvNv#E>Fs@OF08QV4Ql1aAaq0sqQGWY$9s@74wFVnjg;-`=&BDL{ zn#1zx_OtNl_JgdwO=MwU@B&S0LFR)&i;+M}8fAQXA@f=w0pvzKsB_}o&2tbGCZIMf zieiuevSQFU1}H2*;-D!okYS*O5+Fl-EFo7$@V8chm&5p|6!<`novHz~EL>D9d_Wlo z6iz;%3z%M%D#ODGG}!`eMSwa2-601#Kt&g5Iq6{zk6znV2S8;#sEZ3u>EL^GN<hg7 z(h2DR-Ip-!8?5kZ0bO|ty80AU542o@v;|A8Kqdx&Mu9+AoZS-u1wCku@qGc%0SGNc zkd74A`T?4KF$ykZCyN{e<;NER>yZi-4^U<X7b@Tt%>f`6fM!1~gBn^dRG~^ez-_G( zA#n2w=IobVpc<wdd^rTDjsrDVT$B(Eme<#CIf{TdMj1pvJ*KBSWmI0QJc8s+Tm?c4 zToGvn!kI770s&X)-TYsq)Xt;1+JT{z-J`kAfuTf#fG;q7?a}QF8DR&d5szM8kA0wV z^e_YP95ZO&y+^OD2}lguT!fqu56a-Eg_pt?XsRp4Q`>Yyj|KttQSzaKD|m}iFQ_6? zYn@4-p&|FO5Y$q+WcVMvzW_7|`BDy1qho{ysiF7c=i$HqUwk?I_kZV)7rsZpJ8+hz zaWa707QL+6g`n&Tnk<-j<kx>t-|yt%zyDo24?>Q@xN{h+p%kIvRRK&x0#w6{!?68z z>maJ#5vtd~RU3g+_p)ju<Qm{|Vh}lggj@(*?$=?^fc}x$fB(OfhhGZ<nVdygkB?~Y zGk_{2?Ct#*HYY#>5TF^XZqORJ5ETVbMwb93rM`urDykE7?*;54yv`7n2+%m0tKk7x z!;>#RGchpufQ}sk)h(cM6;x4!>lo1JB8UU2h`<*Z>;aDmgND@tKzDO`fXZHw9?%L) zkRIR8zn-1vJUb7824le0A?O?%U(0L!y`Uz$Z|8Mi%}=1!4WI(s^WZCckoh3C594Kz zi@*JPMT~tdU-38p139X*1XO~<_65uV4^o1bE>t*ovrP6#KH$Un02JRZdN)AI0%&4| zSBCIZ4_apf8#V%+822Ju^2dMBVrd128h%h23NAnjUVa6)NT8<xfvOgdULIkOUQb4l zZ$Pemc^P!uDfAi$-`*ld&<eN=pKi#q3sA)b3ItH03mzGa@a_DK2$`!MoyR>vD^EXw zjDrNu9O&v9P~dh#0~d7u1GwVywS33l`xCV0q4_bmMuY5kb?#=_4D&s7$RCui+o7d7 z2gn(qO5DTJM@4|Y6&!n@FhL3qju(|O@VXClz9Oij?%~lJ#R#gN0z51~m)n6H32t)q z+FWS1<){VC+X{k44Z(GAr_BZM?k&)6ROpoOi?7>2LoFv>-UbC@FRR#8(9{+vK|;=G z0<{gnHy%OGWCAViS9ozy4K|$*p2-K@J_xzoGDOAWbqmN@3E&||(6xM)xA=QO;R~wx zLB8^AJ|qEJrQ`q#V^DbdfOb>(beE_EfT9HCc%RM(KAksxIxmA4`2P3p{NUU95@c$G zPj@hfPq(y(<vIRl&>@AOSrL$-9-uSWK#Rv9+Feuvd>|1LqLKkR>kE?FRX+H1E&;Ec z^zFRrYWVG?B)DA&oBju_M}XWp(gGc%gl&14q5>LhMeh!P%16UXuP^v?e(>ph`9fwt zXz}I+5L0kJC`>=R;M@-yu$nym@Bf$gexnaqA;x!lTU0;?2|$Jj9zuo)z$=%aE0RG& z1fWI6(2+{eqI*yWYyu+#L(2jFmaE{ta}Q*J4yb2c%LP5Kt>qGbYYE7_UeSB5pmG*E z26`Ma!2?<)04hHq6Bbjz-7`?u2Jc$$HIeja{>Q@K#{)9H`5y;=-+fSry7`{~f8Qnu zOM$<y6~c1h?~4Gj8vlWEAb<ZOkfvT%kE5U&a~72s{5v5FBRD*IJuG&C)PRP#AtO7G zA?_CDX4{A=@FIz`;QpDhN2dY5fHMcbpaTcLfU^X@po0XzfU^d_po0d;mEiSAt+)C6 zionXZfLHZ^cM7-~o_wtjN^hVk9?#w)0S{18IuA-g8K6=y0hHN5(?X7&;HeRiGkm(k zIDES0e0o(&w(&FgT0Z7){R{F?=N8Cn=*}9I3g6xcMo-Jj{OvWMz9MvEJE&C(*<9$? zt8=i~c1k7K51#A{41S#=2amg`gn+`?vD-=D;0uWk7nKkQ6Ev|N3Sn||xTu7Im>n)E z;Vz)2L$8ZU2xyEBa_lYmkXFzN4G`nSk^A6#qrd}L6`(_^x~D**-tr=U58HoGRo<fl zP8%md6$+@?3cAGxveXZhe>32@1vGKQ-<k%#^ozGPmY)H1=QXI*>2*<YN7xUVG6yZt z1<fxqyl{cr-vc?{p!FnwpD5^D#O^8JEdz$PL46gF*`UU90w}~YUh9B*C!kqG&*p;y z;2z3pkSb6tAXI_k1(f)Fy19J1gE)M8WuI>WMaE73R)0_=cTQ0O83FP{g-@?Pqp#&b z{&odc&>}L(JRT_5CxD9K44+<=f6cb+72wEt4(jrBiu^-FhL^y>ml7R5pvV9*1v-3G zLLIw7OpXp8P-J+4gu<a=fio^F?qS9S$OE9sr5?x{JJ4N-%|DI!`wKydrn?6`A#}`9 zgrU<>0G5o?IwK9zIs=XPx6OgX-0c#s##0Op|NnzTK@->DE>SP<g6*IsH=rdLqPlUQ z3=Qho^u~bhNdg^X%^43_W&=(e5|A}qE%!ieiC)oI1x^N#vs%xCqY8A8J*b<|EdeS+ zL6dog2VTp9Dv|=o^g2kgS5zIO3$|e1@<c6o<ha12o98g7rwp#Jx*>C1pneRvvH%Us zGQRlt3f#h#U?^Gb(aWl^8Prm1Q2`ZQpehQi5wuB<k%3{ti>7VA{(}lvP#NdZcm!1R z9X34R(aXDXn+yYZ`R@n*jgtIR4uYnze=#vI9EbF;K?}w`dRZ?o2CY1UR;s<MCEGw@ z1YJbf3sDJfar|EU>woJ3{?<iI;3ZC=^zGx(%j*MH4+>M>n=zm;1vSZfeN=ovz7cq_ zH5N;lt_ICL_lk1LL&G!?lm@$zwhlRfM|dg>FTEB6Wx@iF-e5*(o23UbUvGH`6qw*< z3(G}NVF(#!nFCHApb;LB`=FBw8(+c#a}&tF8&LxjtPviVrdu%s6SV9SROW&TqT`U| zY#^pbFRM6cB?)wUUAK!0$Q__26DW>Ar6M@olEIz>FR*KYRE*%kB+wEHkUS`uI9~kw z0%^{Hw^D%$3Q&6rGPH;m2+)-g;7%%N>kfE}MJG5QcrJia6=-l3lrta>01aIFs6Yc^ z#S2(KtOGd_tP+$*p*KZ(^g<@ZK|At5?uED$n(RR5ZGw}V@D?l~)D86-*fh|DJ7}&1 zT;akO-gz&CcoDMj?(RXv!aI;lJK+oOzzf4ja#7=EOczafk&Q*vqnCBcW>ETOQF#$v z@bCZ2kDxn4dPVDHI2m4^_ybyb{oJwhs88pG7cy%>ou?Ns*+F{{I%`xCKrMJsI|fvq zc=WRBbVFNuknI~aDgvN_6S`&Oz6_{^2fjwqMa2MAgj;~NjDV5|tdIt^9KfY<H+Tak z$bxQ2L&>LCR)0M|!^<@AO{k|pDIwwIcks|AuUI&7wamR6kdpOf(oc}5-h+JPwdU{t zm#cq(_*201>>vjxxPV%E9=)tFT`>RnAdl>W>Su)){9ylpXRlz}QG9wMz+D7T<bjeK zxINJgt?s*PR4PEePH^e=QSkt^B|y{eKE0}8>-ZU7GW_`e-v!nLb8R^QYJxI&bY9*E z&Q+b~T|n&$NUi*`>&O59paFmkP|^lhioLuO#XxtCKn7@_JJIh6f%bnu&)w<nfvm{s z4pGT?DFD8>l(lvrv?+CDJ#tgZ0W`s|0epBMtJGeol7%QrK&R%v?1d-^-vd=rhoS^@ z)yB&#h>|TZi=t7KfC}4}?hqw`FpDfulz^HiFIB)w9RGWO&#RvTZk2g-%RtYq@170n z3xJA^|Cd31ISz6DsfS=82})U@SO6_gar_F(Y>-B+;iZ>GATFq71X^kZT3`AWR8oV6 zx3)H*F2xju%ghGJ^s>5yfs}&R=KV|nm7Jgv2K_C+{)1Q8_p+*VfYJ@9xaeiQp$B0L zyzp!M@gKC=!lRd!8A-u*xB}fq@M^kep>Wf?;ig{%<=kG@QwX_uxZKvwph1NCZqRvI zpOO0nDE)x~&^R7=J)H}v;nVmA)RYBH8G;*3y=%bxHo(Ob<f2v3I4r2|1EN7w79bi_ zFC2$Rr<LX<A8S!r!oa`)ZaaetXxQOL{4Fck;U~3#4?yB?t!IZUxC7Ne;C-E-Ok#Lx zA1Jl$X9XP$+zT=IwGn7UA;a_kK~Nq6se<?IKz8_aJ_cXgecrS4fKTTQpU#WmreBSU zhX?31ZE;Z3q!ZLf>*l!#+AIMYM+Fand<6|9^oBEeT7Keh2hBk`?$%LYU`TWAl(B%$ zheD<s|A9ACLXOS`4KsLv8n*%b+p9rmDKj+ix8#AQAh%Z=c>F&PI@Y)~j*)@Ev$u*F z)LR6nMa%E}?VO;=^-fS16uRqshn^k-Lz;6Zi@**&1_lP_4i^C?&u&NX{Sq%O%?G7T z@c0C%&j`DfrW1M`MmOj-DNujb!}3$P7pU_MDs{o`0$B>?^zvAG^m;Obs|xV`MbJFV z3(lROy$TS|fHDK9dkpHe1iYLE>YaB&s%}t&4OAL=^s=r9fu=s#4t&r!IY=kiXOQhr z;A8GUL*3lskTTr@)Zhl+Jp`%hL4N3l9J~5543zGASp%Tvf(lp;P_V*!|1~NKFAj_S z`41`^H9)OJ3sAcr6m6hE6_1zOK|?K_JSs2N&BF*I*sevC@NvZ+K8!!ng67?J)Sv-- z5Y$_LSq<vM!-vTr{_yB!?G1(n4RkjnB$&Z-N$?=~A&MR(kRV46l4S5+4oJhoqnFhb zYA!fvKr784K?4dTg%>MCk%I=*;Bx@Q1}I;E;xPkM&w%m;C<Z(bAqO%1rNrO=|2;c@ zfe$+M=nZG|044s_%nS^U$5<>h`M1I5(fgR;`4ybZL9@Q*pe1$(KnJRW8!wP<2B_Zg z08fUst^`d3frg4KKl8WyF@en#P%ymo+7C5hVg!6CXnY8~1hO{(+=Pul_-GDzFa<RK z1Wwf8G~XEm&D_r5JO!F@?6$cG&eWQq@vvTRCQr+c{OzDR({UFxQ;UH2YQP3<pc$ak zM&(8N9JFM_;ej<7@xqePOI=V3#!L=%fkY&Sm%@aT!&z`n+yib1gSwj)9=)uJP{W~V zB1Q$4CVW&BUQ7{2PZLNX2o4@lh;>6v1T~9aKKTbq2sd9o2iXOh%j^SP^5fC#%;;hH zq1@Z?7>k4^>`W-AouJaFvqVMW#neEMA3#gR!RMZILc1HC(2behkgCI@@d&6!IqcEP zn-TyD86Mb;wlK$oihhL`*M;DY2e+F_K<8o~0Cj0RUY3GG`o>G}`8vI<GGKF9VCI0= zsDd+EjEcgGek|sI+h3s63hfkwa)`&vl)wM~*QI#$Mlpc~H2L={XfQCOId<9zyz~cW z^ca-_k6zaG{vb!=uuKVjZaV0oc<_RL@KH_3c7U8;06LTJ^2^_UVCRv$@Nf4vaA7>} z!FZT|`*}~xll<+oKoy<lNte#^o(CT?do&)0oH6#_-c#|X594X@E!j6;cK!MPA9BoC zF1RhuDvatj*t8=kGb+4j6T}QqaK@UW0xuX|@_-F8k$|ofDYpQfX7=%A-Vc~f!Jr0c z=ZO~_tHE<3iN27~@aSbVi-0w8d{+O0o;A2=6=)cer}^*ym(vmJ=1|%jH$W*3+}`j8 zjTbh)fgIDZMg@FAR4<eUT`&i#PT@zc3iG%A1C8``_o#s8Aw618mIxw_+85z($p^`H zLc4rOX%XCv>4cs~&?|aiDX32a+Dar_x)szy1y!NFkTD%l`6Tc{&kxcK2k#G4fDCQ4 z9s{+FdRdv)gS4=yyjZye)-;BlS%7dDf6GMBI+<;e;@=J{@V6dkXJDAnE%E&@=m@n^ zRgdln2@lYc$L<ONpI&!HPs<zp?Pj16o8EXvkIo2zV=Q(I9^DZf9^C~3-4>3WKMuZU z_OSfU-<-(_+9_gU?b!T)-GzVkF^3Kl>;DY9K+R=PX%9LL1<}&F#m2y}4|3FGwLx0z zfBx3ZYzz#bc^%M7b#OJ&S)-ESYIxu!Xh$b#K{KdA^6KU}2I@Y5N?HDGk)ojXGiYJ- z_gnu@^KZNDp?KM&S419^z$!olc<xx>MK@^tvKul0;?wH@?(Bd}0NvsPDrUgf>A?=p zfdv$3i!i9*?%o0!hycwod0PJ9?*X?+JFkJR6>EOP?!ov8Q6D{JWq{o9;ljV&*(lBN z`vFjm)C;<J<i9KEu)-S9ln}IU(+OUB0+|%??7Zk|c<CiLpFvws-rbNv0MG<0!mA)_ zk-RDgssum-8c4pK3rY)c--4<tXpg>|0aRguRfAe;csvYg4uKpCJpdKdwygkNLDB<R z=L@N)J$hLMv_Sm?7L^y~i=Zj)n1d*I4hL*c=PA(LZOsqaJs2;7&XekfOeAy*dK`Sj z?9my((QL`U-xdR!9PAF{@aT>d@Myiw-}ae}fuY$pI2k-<DFc%23{U`dvmtrw5@>V= zG;+q@G6CFh7EyWOJ{49!!m2#*q<JT_{_mcm0!o0OvAGvAP^Hibw_eCv6p)lhujt(W zAP<G8Kn9$-LBm_{alH@~36LW|2@w?D3Ld?pS&t#gH9*6{2B5P9K-C5KfI0_{URGhS zG-$ugaTo9gW`-AaUXW@j0W=yA0cqTU7FmMUo4`j{UiL$L0~ukd-hdck0eQRAQNyE` zRdfre@64j|V$T9-(1U^n(jMmhQ2;6lcvN1@hfDVIDkp;mh&h^Ve<p%M7IY*MC`o|K z^Z?!H*$o*@@aS|>0F5d^LJV4Fb+<rDHqhA$;C#V)r2<sKwt$Da`2|?dR`4@`dRw4j zUw#4Bb5Jg503MWOK|5|hhJw=;XrK*j2<S9jP-`B{fi5-!MIeX|YTtn9Ue>G)Am4y` zu29E-#-v_sDTer40_1OSkpUUzf*xPhD|)#V6hffFbPm{&py6_k7qxJ0VCB7{J`12_ zE_7xT6u01$e!yme7Sn@9_MjVh?*~GPU+|(_4bXTZ$YY@44rnJ7?0vx&@bI-quc$xB z4WK=?te|8J85srXfb4pO9E=Rv`3lw24GB<?pFqRF`~pxlg3!aK1R&}_Aq^TL00k*X z9Vi|_<Heu^3f|S-JqI!w(!B+8m@w#WR8VMk_JDV&g9?rdVE!DH8(?~i3h2sN(C{<3 zs%ty~s`(DXFFb`@&hz5@Oi=d&nofGF6g)Z)dvu<7u>&OBdGjS`Aw9@Rkfg(+@?!l& zNJ@t8pXi+eZYe`E+mg?a+ylvMoS@7Gn&1XyAq9_K)~okH(x4XHaToA>2g8d1H&E^Y ztwRRgiTrXexP4Foam9rfMj%&!js*gFsP&RZ=Z%*aL4$q>p_d1L|NrmU_yDxh65P;n zWjx`*c;A(O`vLGAN;4A!gRkZZ-`<I!CJv~z<9YCxy|3a$PsX1<oyS4TS<QaI?p_fC z4eK%9^Jx4JUh~@K`1Ak&mp)7k4Ew;VT@}G6aj^2bLE9wo;YCn7gj}TaqJabCACMQj zL0iE=YwAIjC8!_i(HSh!Z0i>Xnz@$p=yc}r0G;@B%%k%LD6T;z;mZurkXmmw#|z6D zfB(O}@6lYz!NA|D_v8P6M2)V9rA9AT^+2u71-cCmzGDV0<Z1@ZO#Ekf=>j&IH2^$Y zioE3u$?=ET2{?XYEFQ;$?u7LKIlg8($nl1kUS0(a@EwPwf806hZzDHoS2KSr6DXFu z89_M(lA|0sK)C{(0R<c-Kx}Z~gOsB{(>usH3N&mB;)B$I)PpC0yJ0<q9&n-q<tXrQ zC3Hh3XtoZ#;EvoJg*19scoUR1K%;lxbAJ2>wGeK;xH}&-Tz$f)^WY1k1%Ll{{)DXh zc{U$(Uav>z%@=z>tRJ9jL^sU`O|OGu2UKD8vMRxiTag1941A&Ahm!vg*I)W&fF_GT z`apZ%K^x_fO1VlWNX$Y@Ik1aCqlE|aAw{l$M=$SJN08g0W4AA!&j)X7egGB(mDH?H zeL&R%NZ0B4ppm|*<$wRbobcuUe?)s5ygx+=G^>Jk-z}(V)Lg~Ez~6G41=OlUY?EzQ z29=}DzXkbQ&VuUx-r#p0&A%A=+Z(~D0kk=yJ4U78HS2#SkIvuSMjoB_Jr2HRw!FvR z3_2Bm!uMm~cCL~~ca(%jcaeZkw-bj?uOFkQ<;`*hkKQ;&kIpCo$73u83?AKH0^Ljp zUod-Eo+#S*vJ_-*FRMNHBm{VW3w*0yj0$wJU=s@@*r2=nA-Ng6qXLwbo1;LvK?IVO zZ-GWW3OqoojShhJ@>g@bD4Fv2|LdnP7b?PB=+Rxp;nV8|YKfQI!d!Ta#T@KFkM1ar zZl=y-5NGl?LrzTNVQzlL&cFI&2M_aqCeZ$u2#@9?35Pv;SsP&S(^&&LwGZKZ&~pFJ z%=nytBogF&A(-<!&v|qn2cMM<&cxd%|NZ~^xkvMFk<va;|1|^Bx1@SBJOK~iu<mdG zB|aR{ppDlbe<DEsz#R>5Cm~0Jsz-N}fJb+c1SA@q89gmO@VA3|552{V9-T!3$5`YU zK+yn6FNmlx1#KVMW&??e?+zU{%-vG|4|hsUfOfS&5zGNff*!qfj6U5?5<Zs4%Pl}0 zkIpKAV=Ts4BN%iL9RD_y2!1IA>a+H;F0qG%38;hyA2AD=#{vz_DZCJ8!WXwM!a-qz z61RuJYaqdKdwU`{ZhKjs;5L9$4{U@4H1eYGVk;v)8`{upI1NfYJHZaG=6F#Fw!!eg zYg<s=@8HqxW#G{*2^v-fZ>sX(Uw;FVUl@NwednVh@M1H#ZU^oA2c4A)Dl;sd9P0PJ z<N}S4^cKJNX#U5{-}W4&s`<BM3BO14Zz=wk>%ag1fB78LaDc8T2QO+a0GSUuuQ?Rt z0uPT~9tY3vkP{r9-99G-JV09sFTV6({0J%|A*;Y%n1aj&&jW&N_fZJ|CHo3+vWGhS zG>C>63|@g=1h&-6!Kd2^wDYcL>TB?c-;m7t;_1x4|6e=+5w}6aH4t$TM4Xuk8np;3 z0^Nu4>;HfB{e8DV&8BAL`_sWKQRvl74xo!Iz8zF3E%5*yDbBy^Av=FF=qz^rT@N{m zzy*~D$W!3cF2Ktrz^n+6SOTc%fLyl@>S({%JOxtvgQxVt;|~tt{!4d=iU+9CEb!vt zcZdoHkYy6Dmw~7Nke$W+Af|^$Hz&wojn@+)T+lYU*R7!OduwRg?PcBT2CFwF&ji&Q zv4wyCzvKXI1ca_IMbsaN`(^fnO8-Xe_shWc8iS5E1)b$64N}`Jd-bg}gGV>-H4p_l zU>7te#NQ0M?Hn>=$HVN=?ZV9A(JkfC9fWj|ujza?P|XS20uQP=1wp~z8KVL{l(|=Q z`3+F36?7UJsMQLZ3Iur>eB~3UOPC40CJ%fnbpYtp`4`zXkemZPh&=(+o&hb>19h6f zixs=k?w<k8%pZ4Aft-(@AAwZAOL+8xDnQUafnL$yP<KEY*AREW&!L%N1+o$`4Gd|P zzXaVD?9uJS;nB?n8&>t`6<x;)@-VEa0P!$rb3EvTCXZfL-5($igW9kl5A!iFFuYg^ zHXgcL(t(hVUi_K{O5r8>p!LKU+Xqq8ds(FiN?Hdw6V&Z~;T8l*dK}=Sx*{IrKhRue z>wyw=u+Y{-h!C_V0$EfH>Y8`ws6Z2WFYCi!5WhHp`tu$jzaa9!i_3X`|AWuNgC;~M zg-DO!_RMln@<MCRfbNF_Z<bk^09p{+$_N@f-1UH?xC&%Ev;YtR4I_h(Faj@3=ms^d zK*dV0XxA)I|5rri#l;CAPl5_xaKY2*&e3eE69g)|xjex8JUiJzWr~YRzzemWzyCds zyMrdQL1&P%KZ9m4P{seka@w!|FP4BxU+|J^&_W+X%dqDeC=6iH4e6D^5{^eN>p^8u zYJf$z6ezlPSwMmod_=zkD6~OIp5w)&RFHE)Bl5kx$IL+v0Qm{jB-}Ce*Z&vFI2^!@ z-vK=_$PNItTwhq?aDX6^16)xYU_KR8wlL=Y{r}PiEy<z9C+IE@%=`2_JRrO17)!-K zA@9Jy4RpX1_%d+FJ$ImLMB;@5s44=5F*vV4hNM6<Z@r@1W<cZgZyzX-pm8eT0gls% z0C=1#c);RR;YCmvsPheu3wHsJURI+gpfCls|7%n@UZhL`c}Xwl@Bf#UNW~>;{^4%} zk2s^|A#l=x_(!}X3gmKy7j59m3Y3Gu*J?mhBk0($KhvQucSd%(1jOa@{NXOw0J%H~ z6gnC&W_14j|2hoB1}BdfE1<&y&@niSW578|-9d^yJi0vuJh}roKtr{iped40&_q!u zc$X7A+k$s0cY;Gi;W2WE1Wg8aO1w>>g1xK_4zS9_Y%(ac7_$HVe+gRg4b96S3N8ML z46iU~a{yL3f>JuDeC-uIGYuME!o5f_4Gph6Kdj-E(SaIX6%!!EJg)F!B{RG}JcI@f zxL8n{1P(806R2P>Yl1y2yx1mz!s|jN!SGrN>XtRXsqpB`0nJc>2<T`us3`*3W-7wp z3R;u_Ibdjt3b>lH3~=CY1D$CCDmxOoWiEos5zt)qi`O$j8$>`SxNKus!N}jT7}N)V z*Xlx`Db*GpuvirM$WO3wwhP)A8TgxDfl6c0o)J*H5pp&F<d_NQ8U@$^GuOd(K-W%! zH{tiP9Q5dQ05@|4Kux;<(2yBuX$h!e22I+6sx{CO6HpOe;L&`f0(=ANi?<E%sOo|G z478J>%?0F=gAZA{LpWGELsWdaV>mcKbHv>&;PG<Es4|ZVcxdzI3{)Stf*KjUtWMB~ zd2zA}l#-yCGXpdp1k(;`i-DE~y$Az)$VEk<*_O)(l*Yh?bA|`x!eoeY@L?Q2prsNo zu7hm^6-}`FpSxXDGQjOFaLf3`wbsA?UmpQgx1gaJk6zZ)2cT*K<OWbPh!f;O*jhhG zi2+-r0cwf%vVP)1F11BL)kd=sq}l+t7QwfVf{GrF7t!$$-+@LM`VB#85tNxg)kb4K zIDuAy1;L{X|1Dq%G`0WN|DB*ne=)lcRQ0i_KpnaVI*bTu2oZFss~NIG6+sTYWr*FO zo8k}-eP)2{&>MZf{v(BzC23AL#)<3%a9D*yodAwSa2X29CLAyHk(`i>;)GC;6M9+w zz=GhgI&2OLE6YAmW@b(Uokt5gf)7;e!7-xz>vd7N0ojbP9h9jX-+*&g=Nc7o`xG=8 z3Xb(i1<<Tb>s*i;(99@U&;xdORe%O4<3N_FL@0pP?0^$rcY%aQuPxg-P$IHX0X4Tc zAZ=7|uM#xX0y;1eH0P=DVivS)1rJAAfbt)B7NHw-E&ya24VFL*9zil6cza+5sFML+ zdK&@CzzU!~3V0JK_@>ta(Awe`_CBETe9+W1xaHN$dk+*|mM8eZ3q>Y?24g|a<=+-9 z-gysl2bl<eD>LZ8Om>hY#9z)F&9+NCz>_NXK-s(#EC+HVXvz~b8wfs>fxmS%=xm|` z9-uorrZF-wK<;&^V+1YeYCQnCB&;<Atj6#Xs9bCN4>GQsfq$EWs7G%AyT|_%9^Wr` zC>{bWpn^=XfjVE{nSIR*AamPqfrB5^;snh$fHp9Jdd8qK0W=aI03Kimbp<<pRAgQV zq(E8}86KdMfk20tYF_kcJy{N3nE@)?jxjQV%3;u$KEyguHsk^y8xA_S3e*?rWnHBY zO8cOq0I38Jcp>Zs3R`GuX*>c-Jcl8*dgo;i%|jDFhJp)H#tSHR^nzBwfZCs+bz3iZ z?ID>j<7Ec8fefnqK?9P#GFG56odXmLU{gT5qCrs%ovHcy5|lajfcM?Kd<|-9G9HE> zPXRs@r}KtO=b@KV|NZ|DIxz#XbfNa&|Nr1Q>zA`YYfoUuS%3~Hbm=_s(&^v-|1VBA zfG%MMnb;kplEA;~F?%ta!?$A!rMfNb&9-ygK&35sO9MD@bjrVc4{ilQHqgK51?j%~ z_y7MEa3TS#>1J-WO#$oU@aSZJxgT^kdn!oX*1w=LFhE;Ck9C5Eg`rzOZz6Nfbizh~ zLGAcnR++<)*bsQJC=7Jcrc4s({zOo7t{1XL&%@H1zcmOX(5d{=3s3$B_h&YOQeg8N z15kag;nAA|IX&M4v~>>BWEA0V*$YZ@%|FCSbHJVbcu*`mfZA-W2TIIAdC$V5JIDZZ zS`a9L0zk!lgh%tk1W<<Jyy?++5;SNJD$o)@l_|6V4Vp3q72(j~j0hwt(B#kSMId89 z&EV`_Py>ra<;A9EP?Uq3(#PF7gcv}R$b7D#*x>i*WmN@t?bu%)0=c!9RqPiJ!wbgx zzyDuu1PQ>7zI<`7@;`WUB?m)kEz}zxu;wSYEKvZ7g3p2s<=++{-g&wCkvwQ^C;zTj z?B5P6c<{S?nlJ%$|AZ{a;~pO04}to8pu3G3c7s+Rd+@tn_Tan*ay;}>I`E!7_?6j) z-@uor?FCum;L#1S95ikLzPzv7fdeuy2R<|v(&*K`0g7-~Hz2kHRJN-p{QdvZ2;8$l zl;`01)Pck&_-<DTP<%>&Y_dSarzC%C7c@vTJh}rcKtoy%9+nXf{B4&(;=L@<9^EmA zI6S&d4tX>_1kD!0R-$tL<cC~VRiZs%f=9Q@ApuYp^#I*B)eSn%5R}nD7w&<#OduWB zdCsHxq=QHEIR%gInnMB}-;aZLTJgJn^yvKfdMhZXK=It%4UOkhpe4uM(0CRG$FrI< zD4uyhL)?ErRSNrS5WD0L55o(YT1XiO>RW+~`Ew09xb)h=O$v2Qs9-Pa83R}~Dbx-M z$~$p?|AW_aLTgC0@C02L<AHG=D^hq0mS%xM7`&%e!^6_afxm4#C=R-V3_QA}Ch%`7 z5(ni94-fwJhe1ik0D2#JfXDZPprivT+!%I2lMX1v!I_2gAn5j1&U2s|5}Y-l=h8O+ z5HC%G+h^cm>BPa`rVX|ad<jLgfk(Ho2mktG9?VSq+k(X*5d_{=g=F1MsC5THiJS9~ zN8>55rQn7%BHo&RNR&c5b>Qd)+qD>!M!JPOx@D8gWf&$v%!frhHY+@MK@}w^l9BxZ zS_kaW%i7-wjq_{uNO>C)=SGgOINt=x+u%4~2FlnP)u?gKaTOZ9;C_x(D>%-L)uDpD ztQ`8VIG2OSi6i9h=)vTeAacLe;QBVe<({>G(#nPyQ2z+^gmbj?vI-OzDCd`fVk-eu zcejXv#)Y=|sARO9EaCBh4i?3L1b02)<ZptMR6Z);=1c%+>K$4Fmu7+rPw>%RAO>_R z#bMA&pynDC35F6)kR1_-(ximNqZ_g{s{o_{?YyuEaBBc0@1p{}tg$;s1$tT;Xmk<O zWdKF2z>EBskOmic=onl+Vrb~)U3v+WC3zsNJV*l^G_VD#-a$<?@L)5v*6z=Mm<Mj> z8i2Bc#!F#Pz2D2)(hf}ur)!atf;c$)tJ}kp!ha4>+ZmGmKXdRhypXK~^#<SrVgD{c zBM+Ps44T0yK~ohf*vrbN3yW=$W>AdYivo?OfD2M+{s#r61bFxdG^m4opCq_^Jp+kf zT;(e`U4u?vY6n#b9?d@l`CGtkfaV`Ur9L3DH9VRvIQU!B!RCMt8*YK5fQRhGsvvm- zP{rEGqVi&~1SlLp%`WiKR)~BFIvDQt9gkktz&2=*&8Pt>g_fA05!`0mFSei{1J#Uc z-h-lt{U!J&`d-$#?|B$rJO|Czf``5!OGh2RcNjZ>>R@<5BZ}f)@HTYt;m9rE7V|C) z_ZqJSIRdwPn}3LxD0wvhkl=3xUxNYi1}vE(+l)sXR>n(QghnVhmc1ImvFxl273^j8 z(1yjb9z;$ZAtwWu6KVvdfIAVO^SBWy04+bWgX0P1zDaO%yxT(pbSYWODNuO`+6f@C z26AizXj*$FND!io19W#HC=)t(bO#84Y61(7ZU+heZIR*~F)HSumhp?5t6?DrI*<Y~ z=>l2=11U~-f?7$i3gQ(1wh$F_aIt+6WGZ+(O5nwJaOVs(Y71Ts-3{(w!N<}dml41( zbL?f6P=W`QuNEw*m>a;s_(u^grwEsOUJnYYjp2X)zr2G8DsYY=H@u#JPEl{JQNa>k z&;@ol!)qr<E4V{PZg?FBk0%iwUN02jVPdNZ3$M#{;P5(wkQ0Q<ZLb4`S7R9A@Pdv% zqLn8a9?<mF3LYB*_1*#m!0U3GEjjpGw}H|ZcsWoDXp1iYu1D;}Q6AmG;G_fU3%<Ap zIs_fmh-|ifWeF+?v_VC&hDWEPgh#id1UT6xfev+t#K2VWs`nffXy)$*4{cUTyx3p- z_y6m29-X&dF9DhTLLaWBL=se3LEH&S{#U^k`lx8Up6}7ix*fD*7}9&x(SQZmqFQi( z%|^&Ez~$O%K>-#J3aVdG6Bt_hVua*JjQ$FwsuV5F_2^}t*#IpU8_Gc$3sf#bCsYKQ zZEstEf&<*lx9|Y<<Uupco$lZxjF1QTL0yIy`-?ye&;2<(I)8xIXC3kY^-W%^010<q ze|;L%tdV$O`4m#CK{BHUbTA#XfOI|BB_b*>zLg=l1XPT1G}{J(T>_rkL7oQi1{vOs zm<G^315JG3!Y;N36j16RfB(OH1P&;)@Bu9{#&RAkXmA!ZPaFY``4eE*K#OYd7^nd# zdO`KE1E`1+c=7Ef$i1Lc0hz4=I|tOZ2L+1<|E?G8Ag_a4bdVEWLCMPjJOK=vbn65) z0KiJY!}{GhDiBpK@`C^Vf9Z*5{$f2iX}^&G^+yFj{TBgHkb^J4wg%Z@z`yGuXR$D7 zL<zJEj{#I=33xOgk$?=({>w&+3JWC3URLFLXsXC61^Eq>DvrBzKpGgw%s`0()Th|W zfM{T>WZ-3Zv8Mo~fid?Ka%`Nh0>#G6puhiLnm|2;79XHvDsj&*NtQypXWft*7&!rg zhFM?S1CMxtI)9*XR^%C`kUEfeL{whPE`fOml;0uqG~Y}?{=rCEAMzpXX3*>esIPS4 zB(i6iz@9l1`1k)ybEs!f&U*s4f7U?qA6j_@?+-!SKf?U&U%?UiL#Wga6oJrIPas$~ zxYgqZZudT9FBS*MLs~tctccdm0UdHET$&Av^&&TrN~lS%!N!A40<WP5wMXPZ@?eu% z50o&2+fi6deB{x~IzNsVbR|wV>$Esfbfc>3W&KeD3R@PH7xv)Q#L%Py8mVozooxaN zT2NB?_7&W)dhP1b%lhgo55tRzd4K=EHuvZacnw~(*2WBK*@BuqJRZ$Ir1)DvH_E?W z0Cyy2vkp`+fa1mC1aiDℜOQWGSd%FY6B_Sefbvk#k4Loq@|4Re%!bAAeAMf{O}l z_4PGyIAV_fKzb)FkfT*uR9<|2@c%!k&kwp0`hh%Xvt6ke=saTZq>DobBPgpuM|Qvo zSM>oXBVtRqv#UXYE28q^Q6Xl+wKWEXE+XMdgF;K;g?aAZ|F8M^cRk?XZ_fSy|37?? z1eTK7jza?nTu54!gM7{e@;NUeC4;?>K40nuPLas#Yd|&zKznE4!xF(o=GXgB{|A8k z2B27t1r>n`FRbr_e2OScL4(!c`crgc71XCc3XpsXaxzD=ZLkr@r@SEBKvStN+dvTk zYK_0}%K7{MbrnbgJQMIDssv^Mcu6~!+0<-M$(R6|$?@>$^asx(W_Wb^3pCrlH3Zor z1TriFT-)WqRzrZAr!Vej|NZ~^6(n3mLE$P1O0q~ZuIr8>hwFn<a7w%>4i)TW?NflI z#3QAkFz@sI`~T%kL~27m9~xYK5F6gD_i%;x>ASGt03|e7Z3~*ZcC3VkcL#VOD=6DS z>rswo+s6i=kiZt+x3W;f`&ALl0_@?<T8cZoYr(eQ4DWo9Rrtc&?g%t!!Rff51RUO( zVo<?eRy}!Gc!!jL!duRVKzM_9j9?kRg63~f^AViBMWLZ;0Zrcgr8=MZ1zc1dKJiB# z03{!T&-{W6U?C5X&}V)@2NqDKhc+3Te+ZPyfTbP4sy`vA7IffveFc<RKxNyUa!@3( zsJwWb3(FM8-8m${{d{|UP#l5E)_c%lK3R`m)=QvaKGRG@3E&M%ss7NE3M(^Nz~kbe z0td8O!mJn+It<=_|AQw7AXy(wqSep$K-ms=_<(O;0rkee-i9O!SorXk%0he#3JkE1 zLE(cEAbh2Q5G7z~P=LI?4-189WzbN#3tGwz8|)MVhk~UZEEK%KJ1E#+gF?anGY`WH z{R~7Xq=G^r6D<_}9fT$oaQZPQ0)@ga&%gg)vck$iH2;HcfWkJu2~8iDK*0m{|1D(y zL#uh_@<Na1A55j-DjYn|YXF{shIb^Ie=wKwfxHiq>|lHis(ojcLOuT=8z~8hfIV-c z3-i3^Ye@B)=+Vn+`<jR0g;Dz7|F45Rnt!mAf)7T7n3>ke_`27l`3GyMAi|<f#+PNF zkZJzG23oKTuHQjBFgh7uf=(%i6nHA20Mq~}gEpK%$#d@k<N$nM01CjJ9)JJ8JOVA$ z(8A9eQh#8yhoIs24i<h}Z(<2QPX2aqvETfI9bXu7V1!}w4^H$jIP1~N>QDj=*!C=> zfQ7VM9&5t_Hux<hU{gGLS>50AFub^#3SN%V{DTX77;=|_3p7Z0dqBg`qxlC<X*VLs zLE>(pW7)u!GzTbbdC<Z(aX)g{PR<8~ZLIs>|1VcS!xof5(Zcr|C;-vsr+idApo8c9 zEud*fP~YW6$PI9X0g{8PLXjvn1yzd?plZ<pR4q2!-q!+!0oXGE(Ap5%W&y2{dT}uY zR2zbZRU}@dUI)b&s5n7yc;7Ap1+s|B3#Ck0AVbp+N3(4$*anRDOF777e$b*^{$@W= z&;&t)2Gke;B|Eo$$U#$)2MQV|x4-{izC{WeQ1GC)Usi!eGO(=o>O2T7$OZXZ1tCps z4*uqNkZMpD7IN{I19<kw1GJDi!lU&Ye-ArYzD6YhwCA_yCn#-OUgz)s0AhfKLqVg$ z8sHuxXnooj4)B?_FC;UN0s^}8QS$_-Cjv?y0v=$tfJf_rx-?K1Q^BJ<%D|&r+Jk@n zY0&JGhDR?8ceAaNCMa@jJv!N2m_Sx!fF`sHKqf$2W8j$*_|%05FZgUw@YR&?HJ&f{ zlK=jHy}#M^s|Lt0a4`XDU4gBAy&Pni#*0@;fB(OJ>j9cd5d(SM!=qcyqdN@T(R6tE z9yId{9;HN#;<X;&?*R|gfRAmrJjCDg3zRIoK_?nm26OQDfO{jotPS7>2#d-K_H?8W z0WbXZ@UV2`sFMfvkimw4CdfO(IXoCoG~0TB9jgXTk)Wcg6EwZwSp&K`3e@FB^P)D$ z`QQmg36EY@U+`8?7L^xM(qL8|cjti2pnOmV1p=r#o%Rrt<w5MOhdc}~o+YC81dr`T zPJchL!R?NZyimbj)^B34cE=rv+*O3!DY)DLh}=$u+&sA4l59|#&T|Hhw<FJ>pyhXP zFgK%*w`O>(lm{)Xg$}pE(zZk?cpN7I>x71fNAr;Y@QMWRpa&@K6F}83bldnAa14UR zH6TN#4d9j%{B9QLI%34SS)=8kAOx*jI1ai22c#Rk*aDm_dRb$jS4Bd$3WIhby=dZv zENuW!c7O-i5wkH+$Mv#Gp9dKWT5NILMWuotWGv{QSg_k)I5-g;59<MCO|<e6Gy(&f zQoaKUGSJjgGk8J7!5>_p0?`8L<bqOrkM2MV4@*Y}{x((cm8geUK&2^oncAWv=rT2r zUS78(Q2c{NbzlQJ(1H`}eb4~ll}J#53F-!c!xMbIZyV@N3J=h#I2R5Uu-$OOU6Blb znTW&iUe<G9DNrsz8BKy(-plHj3o49RR9;L?MoRvW#Q`5xV98(V7ATM*vqpTkK=U7Q zD1$mDc0dz4xYhJ41DyIlb3p}rStUe34g{@@xd)NEj*xpJ43j&Q0ZQRL4uAi@3`dmO zXz3f=plW`j0UF;@0F7^fQ!wPTb#Q^x%X<rSh?eES(j0Jq+X6In*LkY>q5QW)3Z>TI z+6mlZX9oKi8sN|&bHrdBY={m#q68Uy10{aQ%6HI=0>~f~N$?`Ff7YOo0vX!Ny6F*U zgEeUTqJ}B>5U_`w@DMSB`}#~e$k)^C|Neg|2x?=Z`4>8#hsD1oRiOJn<01Ed`hv12 z<mM>tBv4F(oB-+Hfo4KL3G8tzEP+7=PC!`~>|fAI5>U$-)cA(^6zmy8B+op32=h#| z3D`3t2+vds!J_bg8ptyz?QoChsk4IaBt;)D0q?_sG&}hC+f6{TF};ERJeq&8@V9F* zF)&O3Cw^GQ>y7&7(fpf>zYSc$_C|g7X#UN}-*y$8m48X_w`>KmK&zlY`4Du_D8q}X z)1XH}^0z|vLb|AcQwGFRG5!{R(7H0vJ(w+)Al;yu5tx0=zr^@kK>KM8FM*Cq2Hgk- zVwI+W(h(>faJ&%f1{*KHPy!yVbKu|RAPyN)7bz9<=w%i2hK!SWfC3qw`z)}C9(Pdz zo%{hFn1w6c?uDrx)T^jZhQ%qgs}0$d&!Yl6+7ev6fcyd~I9>$cSFQl^4<xwKrh*O# z22F#3%MFkTFS$T%E>KFUOoS`~5@6t;0v^$al+Z6hR}z6D%E9mw_%L;laW8z36dweq z(wEagO@v<7N1m9T>Sg_dRrDTK(Hq!A1Alrn|6(oA#hPZy96X@urA)!2`IiKL>lM)I zvtHJ{*o<PGk5zOg7SZN|pFNs?GL{!&vzDdI#iKXsr$_T|)-n~3=0l&s<D2b~5UW3V zU<-#USVgyC5$*O-u>jv2@6j9h5oB_?A*v&q4}pdG+h2fIwe_+lVlkxor#OErXh|a| z0+FgXiIOr<TIX+B1JVmhbKIZ^h0Pucc%TfYfrXoYu$E|hH2>fz0k<E)-5!gVIUxPL ztash9c~%^Yi$HeGPe4oGkgfmV^bNj>51Ig>c7X0A_Gtbo!QTo#5(^YCh&(I~^T{L7 zdRb69c0@NFywU*Pgy8`7im~`)5lDY8Yc^J	|Qz*>yFZWS_ifwEX-3<sQ(cMsUvs zLZH<T;AR+>@fXB}dEi}$NacY5I9syDz-kFd$sy>`{EMmF3zU3HO+d|kgAM^u*nx^S z4^Yh)0Gc!hjjwue9`XRK<^%OHB0$9%Yy|5UQ|Vc-&a_T}*M~uccPS^B-zo5Vi%0V> zj?#5tu1BZ9>m@IiSp5C}QXf>~LHq?H(fkLF5;FX!8ja~cmQri*fRX`d%n3ByM5+fl zO3%U!5M+3L@Wli3zyDu?M$=%9$DHp0w;VCt?|^inp$DjF#)zNPC`|WrlzPFek2(Ny zKbU6$^^F4y%sY@3q}bvMynqxQUjoS9ftdvwY~1#O4dgRJQ0$<^$7xUt8>4?w07_G! z!o32NR5)IkgU+e|j~zo&7wFa!SbYpiHv%uVLNAz;0BHc%9}1wP23-aSx!oBwdH`Cq z3qE89w3ryweU<=qc{4yPg%<+vA(_eowAlo_02|yl2OXIMawd2s4F9eV-~s&z56%-H zWuVnJpi~R#LA;PP`}_Z;1jwV%I7IU=`1BtP|5iZ!3%zO;)XI3_0y@wZua^%&FUh0C z%OKAefIP49qWBq-=R2VO1@9(>co8)DjP7}GZbI~r6iomAf60yHdCc@ny#GN*@8b9W zC5VHm<p0N1@V^N`|GR@4gDC3}!M%zE*n;3r&^F%YAI$viM?g^k8qLiGWrPUO^eMIl zNTA#fO7k2bRp4{-!2>7Yb%7Eu<Uoh!fYU8_4M{gR<A8T4^njP@gHkXguHh>VL1V<+ zpn^5RgMS~>>n@L8){1y&uWo-Rs1yNB<Q#YBfGlj27Kiof4xIq?RoGv9c=WPvJHf;7 zLNM^}|JPRF0b)L=f%}=jmn(v5!cty{STfT~Wl&2HG&VdLBGk?FQUD|bZYx4oU2k0l z?dyQMgwLZu{eq1~fB(OngXr3Ua~xWJ3nwnTIr-ZmGp|3`ODPHOb)bWMNDXg}QZBH+ z`L{8>?)CtUB}0OSe>>Al&~=_3y{zA2p)p_^f)oRge)UXISPWb_1&IMSk6zXjr+64% z^!xw)|JuR>G?L5%wxXK}aw&ZC4{liacQZkje1gW0r-BuEbThqVKns7<mB`_r9tjG6 zL&LxSU-sY+e{KTd-~5BOv>cRlBR=yBFnk70Zb4Ex+UQ~@i^?Ytew_ojle#gqjsS04 z(g1k`yalNOw1Ej+mcxs2q@>PQ$_=*s6Tbk%>sFBA79PE<9Wl_*J`djJ4o&aE;0Y0J z5m;!?I134F&>&vVSssQLihhtmJPQv<nq^#p9A?H5pfLNZ|M&k((6N``#EMxyg3d_t zz*9an|KR0soeC-%KoiD<!;D{mH|QrHLmI!{iLyWfA@~tm<Psl(pZH@ALJZ~K^}_!3 z5|8E|e2~eJ2vCT=OaY}LaFN!_Y8(v>)T%(FBn%1EYeKL<bv}a#RP!@D3@^_3pa$xi zWypbgFANl@EA;;Uf4Lcw5Yh5K__Q`W;R$vexV$|J3RF;eTLw-M_{v-U@B^Pb__Ypv z;ui#si-7iSf|3q$!P`o5SbCrpyp0~ctp6jSVdfTq6lRcucab10%sdZ6!VFaK+8*X% zcrn8pTfqxHq8KzW2Ps`Vl9^sgf#L_X;AIC1Ar-tfOOeAnFBBBs7P^1`znqLUyxR%n zcW8KX^0%{rRsLY-Z=D7Tc~El37v>(V2Wmoz2zVaojUdD)Vo=q4@r6h8Lq-qI69^k3 z4!oWL>UBcPN&an2FXKUBgIYfOfP{Kk!y=#&HP;U*q9En-PkvZLnH+^g6sUaGILgED z;=Lzk`TPqsnt@tAgL|K-<?|JgDx_$dx)?c{jt7IHX`=Su|1V=8(F7}Q(C4e5>#03J z<DU+o@lOZT_6VqaE(T`^BFY+^xuN_Mq^U+iq<~5iq_Vjal)*q{^P4beNE`big*2oX z>F0xm^q=F9kOq~_ACB`dylC}6Ek;roA&1xWAW(QEY7t%^3>ua9Kxw~&!z%-nh#&)W z{QS^G!Y(QaurT|~FW|xQnLp|zbe<GT<pwTFKJg2JH-&@dA0VTx;3GRh$Ax|Q2nj-P z-v+W(1GLi@QnNu1;p}Wtfwa}(Ys*2)K!icjm+*;Sz=H!^D1)06;4#}?)_I}O(0uBR z6q=A3YDZpJXtsm*4MWE3tIzQ;yfAl%gr)<urW0R?9GaeipwJZ7{QLjqKD5+_vHqwS zTnZr1FG0f-6qsN~f(vKRt?i(t4T!og0aRGPrh~veCZpew`H>9BVllKZ?gpKq(+xfz z9Mlg4O&mdX#9#^Q2r|POyto3i%%6WBw6N%9wF!ZSaI+Uu2t$hS``oY)Zrck<ub?8l zYA+ANi>t1vMR<Y-|9&QL-wM%oP5>3*KR^Wmya-PKwcVbAgdjav@G%{g^O0j`wLd6! zO4a}Ve_8Mk<9xtoBI1LSznvAV3{-f-+9H_ovFInY;^PFoU)~K`HPQ__?x}MMv;%`D z?Q=lN?gUWTod7DR;bnIMsBP^F66$506$Fi;haN~V1Sz|1xnMC=u^$pcpt3t>KM%tT zV;9u2JE5ED<!?~P!^>`PWW0a~@sxtw)?lHlAR(mqxj7d(ez^TW@pD!6@BfzxnDGPN z6N=KF0p;!h$oK*H$QEde1U$DY@FI67q}TwTJ*EI^6-&TRN&xLl01c9Wx9>~5xbzVc zUkSMK4_G_+kYtc9$iZwN+rhm~@WN?uuT$ZL#5*KQ!DSWrKrW=F_!Je?UgwLaDu4fj zJAG*FH%PAxxqJZGh(3c1Z-KqAeF(7{x<d|LK!C@6Kyzn3pCG=(UrK;4#YOij_}sB> zXn6siCj<{qX~6vpuHGy_feaouK`oo1N1z}^OFk+8{r{5CdRS89d+iR0|A~lirZ13? zpgg`c-XK{@Tzr33qE3AKLRb6ZjPDv~s-|XqYrKW{m$dk10(qHGd~XM}g)!C-1R#y? zf=@Arjqif42?H%-DzfzGJOvue1FvgH0FCHnK=;Cf>p|FlwL{=hOHdMq?|=t2tRNeZ z99=-=AE^9*P4h{BN0(qHe+azDUk*|MTGQ2e%7cH`NARE%cm!49b=wO?#lQbw>Yxt) zp|>|dXaAzMpFsJ!z@xhZJk{6@9-9(T`F{~43^`qHl{3gvsI$N`GvFWqO)Moq3ZicC zDHT2{0>@lbBp6=&gkDIl0P-3*jx<2(z!|f^qk9(QFr*Td3ee5=&<nvB_*=niOJNm^ zgGVP<v#lKqsQAwYxy8bx6LeA^IOrN6ZUx;{i8Q+dI%XXddytYHdL9qBWCAZf1jhr? zaw+iLDwr*{-YRgQTD-Vy`}hCrK9JP~9=*Jwprf=bPm~&h1favkpt=yeHyAWA1@a+y zk&FX~CGp~j?2rF1!Mzj6zOOCPp!y3GioL8EhhUTM_e6gDpYS3>{_p>n!H}jJTKW3~ zT+t(!ztHv>bU_=mi6+9|UJX*&8vs2Z7`(T7*F#SJ<`!s}pqJU8*4K-FdmuRpTwF<j zN=^lEl7m!DptI~?<t?~=0hhN5FIIpiI>B>CV4s6`!^1+q6I!)&_Mo+e!DnSufPyc< zqgw!U+{=_hEtmLPzzqY4EuiCDpbc)07nh+cu<;ih0v_C;1&M@PBjCG?IuE^W^JxAd z0$JCY;L&UWn(~HRY17Lp=ml+vL^yy_Jj$@s7DiA?1a)WJcY`95{k5J)FRS%#9)=gI ztpEOht$^6((h6!`fHp+ThHP^w{rCSrWSa}Dxt=i<xxq2Z71ZEJk^TGs<$vUrV2~~& zN_r^sLEp;;$`ha!A(mbOB?5*AIza2?;M>bU@oC@z-og$_c;Fb<S@8WoXrqe6>&q_! zWd8ntJr80Jj6|`IzwII@RiT`3*6qXrN_3qrDlQ(qysVC(>jXg8sEST{4qE>RYS{P2 zsJMWNK!F!|FCZNiNc8}@zoi8{Ce$l>Xe9>&_-fi9kR6~soe|)BR(yJ)=MIC<dIg<+ z=L2431-husqu1tUv#mM<`0$~X;FJxUv|+gk%J`sJW=UaC^nrcsq5@ujnE_7J-Jr7s zU>O#C8i~M*S`mm^36EZ0O9z-=c#k~;`32Ny=?2~83ko@pUS0u^i{Y!>UQB%T_rFIk ztCbCCxeTnlfjI}!6@;Fa20bk3MKx#^I1tqI1)YcH(aSnZ9F(9yog$EZkaK-XK!<FD zx}Cl&L5&2^{u#)qHt2dI(4{WDqRlHf7(g|S2Y5KsqgS+O1qXxSZP0-#FTqC*gD2>~ z(ZTw?8kFEb&97R1P=OOJ_4ofvA#j0%65nOk9?htG4V@r$z%pA%FmZVF@~(o04Y+vM z0L{HYHXOcSl=}Ps^?F!Bg3>7F^S6N)siLg+;@`&l?GYb?M=$Tir=UOwr6pdsD^d&| zj3+=92*-<)(0P4u@haibD|*5Tv`Xqisdlri%s*KMhEhR~PTt4HGT=ov&?Qg3wwFKx zohM#!S%8kX2Di|AS#`xgfelI<y{r%Sz>48;aHu?#{0k|vp-u-=2=}A7+M^qM4p^xU zID7TNsseBY=+O&ZizV=4-eyqw3fu1K(OaWp;9+T9ddj0y`SnpW^Q{vF$^y~%9zbky z1QnYa9<2vTv_Rc%P$Ez91epvjp~1-p>;ll_6@0_s3&S7Z|4(?qE&2EV>zN>{H9%Hx z1zEiTY&Dob_z%1v=^!XiHNSBHjYnC4#-l8tKILx(p9TmzaSv2>w@d;l>}K-l=53k^ zI$Bt?7(`i~s8ud5g&rvkz5Elr`4yalyK_`5JerR<fcK_?wyZ)<^_|Ip<P}8ss8>|2 z5foXVBn(<(3OO(h9JHVd)($^H&Ka*~yjW!a_x}sq?;t;mOZ@%+QVEpDdcl`YTJyI$ zfq0$DFRk#kkIJ0U%U95>E2sj84E2H14ag}xpmXw!{(k=tiWl(wC-}q$NYZ;TQ~dA$ z*UQ17i#Fa;=0t+|O|zgSHEN;$!U|;k3aIf&?YrhT93GuIDjvN$D$qD9RR_5bw9>pp z+@sq;V1fs9R7b+2TSvvC`3T417d+p-|DOO#9Ri@#aUPU9PQlCu(J1MoEE3)Qko4&Z zvIn$iv&0B;>WTo!I&jtim$#t(F)t47hD0+syevTF1~j}nzk=c{S?urs*Aqc50cVl5 zAeXE_4?jxmFM>8pG3@6A+y7XU#`Y^6!sY)jVE+?ie=_>sX-N17gTmheR6f|?4*x|L zVfKSpB!en(P*W9Y3FC|ZpFu9UDf0LK>vm8~Sb);RVo*%LTL~!X0b6+vatipuR8R*2 zybhP+MfiM3Rsomb1|GeE0+6%;T0(4%E~kKyo4*J}U-Lo8zI@PdBdGWg0G-?PV%1NG zB5=GS=R-jeyyZE#yweA@J<v*aa4E_GX*_j<4p}wwu#Di~Zvm|zHv}&!1+SXv_7Z^H zT2Ub2VHqL8-_pmxzyOg4k34jHX?S!;DR^`jXn0sgD3o}C<VrvhWZ+@xWl(AcJ`mQ@ z%c4}rquay5!_vzEeAzwdC<zA-4@)Nx{wZh;I>Q66-x;2KeH(O`BPi}57azRHSOF?2 zzyqvcw}+@0yq;os^7RC8vj=h$PmGGd3xjDG>Ry5d;S5i{1Z`CUb;qElf>bkMQT-ou zBH&J;zyDuu0k<B`oB>5QM+*bErUu6eXfgH6HZ9m4$H?->TvQYoUP{Hm<Ur*MvOGe+ zn<h*RcKI@MZ1Ui>t}m_PVRGo^L+sDOX+QMrJ%N|s;l|_e-!`o7gP89XgUf!X`4TVP zZQ)9g{ReSB7sB#0sNoCMFYxj?cKwL(Q^V>$BzZfS13Zwcjoum+1^(~@9=-J!Kn;Ko zpa#H)7jjmhMtm*<$f*L5s1|@#ATN2~F%1gsGiT7v)4(+EoF%4t$6Qn@7~qDg8^WB2 z68>=iA2-1&kMQ3%cmRPMjhQ~#5dH_PafNt_1EK$z2FwEN`pvNFhq_PV<#xC<cK4eb z!;D9fN7!$s22+4+Kh%7Im+q=CX&n09)L{yc^&`x8Q-R5&l;^~@e@wvbpD#Gd-I;up zwtv9pH%$bURxT<M=<Oen@$mK!cK@+q4L?Z!oD~W4J1E6s*MAHiKG@~I8NtjzmWSlu zT~RPObn_whzrtxhJbufO(g$+>JPs;AK@IQT8Wm{9dVrKs3(YVy7CcWCL-Gu=dlBj3 z8N$D4_8EZ6b8uS#l97?^W5j3QW2k*-=4rspGr%-&qba8Qk3l-ph_Yd}DRS_lmNx{# zClI^&ps5gq`PF8q<|CC4koe0ALsozsp3wM{c$tnU!ja`6=|c-qxZx__*)8B!AnQlO zmzy$70S<Y%0qEs1mh#=s1T)y-$wmjBGC--BsPg@tF{XK_<-5B!%=swg7c~3?UOv~u zDv$90HFyAH_rDs#|48wN(Em&cW&w8nVOaG;-6!$#I$RpN`@?l%#-qq1><?3bDL}R# zYQDhBba|LG4*hA0Fa^l^5$31K!Q@fP_jvUF8@zuD8bk#3)n2Tg3@O&3ZT04Z0+3cD ztnUlD;`oKxJBT8THuqePzyDu%Ly`)ZM72L3oBg1nKhUKYF)9|I(^@TqIZBklZDmQ1 zZf^k(OAi684Pl70KsOVC7L>mT?gmvC&_=KUXk^Ud_3jtE9Do0V7jc3egTCIcjEL~K z4P8<S4-drI{gCixVPJTn_7+EY^s?g(k6diw0UmFF$H(p;(5+~tSjKlj(bs$cyipL8 z5G*{v99UE_fShLC1qv4z6^Yk}Ui@eK`~M~AWMx=rptdg|{$XHXczFz-en7^;+E0-3 zFDeL@wm_yJ%Omu+$->lNm){020FdP&<zrPaOfS0m5c^l*v>%>-%yr;Kpp<_E>IXx8 z%z_JE5E?`BG_rdU{#}OfFIs(vul_u!hsVCfQ2Wr#!&iS6VlfY+{)|SHt>ExQGauBY zW&qXqSlSnY*v&_+KdtrQVGlMRsr-e+pO!ze0_5<7#-GGXbpyB(WO+#Xcm?m<pqmfX zFYxj>cKwL>Vv~VcfNVaJJiLxZNk7>8NB?y2MB^K{O(542Re!F-VjgP!$&RR$k=+mX z|8jWqA4mN$4IV(q`VszrMnHa<H2&}tLxdkv{6pO*@p3v`8pVB3d4ZSW@a8*;Ji>iq zlGyBr>sOb6N#oG3CIwS~-T!LhFnQGai-`JSHFT{duKEHrHuU1#GaU6rEF-1$MfWGN z>kF{cxLXkQ#d!uI>x*gd07ni_XnyyBg(WBlAj>1-GYlTy*yY>c9bRO4NO|Dpi_3h7 z{Z%;aho={Ir1VBYdB~`VC*w0i@*uK%5&kVh_!rGSeD%dd4LtT0L+wK|4_|%Zh{ZgN z`a&B%6atDqlKNW@)iK?B3^GxQ=x=eO6z3@U6%v2HJaEM?H2x%Ben*&r9Nv)hu?yZ; z0(k&g9;#p9<#fa#7P34dzOISF+<-$KZU7PW#W^+1V1YL?_K3l30=br``l1etd8qZp zb$IgxC4AxjFNb$0P~;K*Peb?*sXRx>ZzCXIMj-s2Vbu?HpTx^_cykfOeNcIUm(L0H zm!1j1LKQjw;QGG{!lY5mNBHlXFiZimek6GTm^{h#MKyHs9<KTVwASgxu?INn3*Fy; z@b$Nd=wC#?A-lc+JMDHYqQ02_>ko1Li!`k90nP8Ou&@N>0PNwd29Gf8@?r1<fh-Rx z52W02nGdnw%MF)2JiT0p8&6Vscu@&Y#=i_15<qq@B7M0b{EJq;<Et+c@!97L$@3`X z)o~XUeD#GO7V|Lbi{B7)L2(Dd=;q_=Uu;yw3?Gd8;x>Hf24p-+euc!}DJKH)C-L$) zqDnvxZ%F#+LKK#`#^=(px4#kbwTvI;24wS*<lzROgb()q#XJSfV1d^cJ@AqT92}_W z5iv}RJfGu-#XQvdVmZ8df)c*)^zROD{-ekv{AY&nAJ+P!jexuxf$-agRX@~y5--i+ z%|#UVLFENrZijatP~;Kr-^PP2{^0tLbHk)@=s(5_Q-D4Gk8#1|Nv<!vq5I@<)fb=) z_F~#C9QDQDFO=37+Rw<YFThS)U5=<P^1l#SUzlNy4`_b3hlM352Vf8HZ}9NOF0Tep z5Xkb7^5B&NF7qMwOW~A<r<dh$<5BY`f$^w?GI%onVn`Pj*}aJLD+W)XDCNs>7ZrT< zg&{usgduqz-8_8t#Y1UK_hZx-ry=Ho;tqt-%?G6!T;oxV*v&^Bk6Mj70D~M}kocQr zgDZZa@h9<eI-*KI(=WjAG73>x;;Jvq;lrso%8N2im>ZDIN5p3t+yIpD!CqhFNnr*% zyuOHm=LAq_6V<<v!(tw4eNhf?o}l;-8h!#V#o^6=6nTXI*bx51T3>__kQXBmer;Iw zL)|Cwk{zi%hh#rgUf^Xry!nsA{cUX6;t#HWIx9>XhyH2oFa_A-e;Nx+p5*#M8hrBr zbfKt$NAp4S`3oinh8Jm9aMTxP-%(m${Ju|ieZc^7T6H0!zOa8sWPQPgH9nyE-4Yg- zpd5fbypO@d8@v2BT>TdThL^jnaG4LW{}oRA;pwFuDZQb!_wkj7g<^Ozez6GHS=jya z4B=li`|#BljQH$(47Cr<Jbd-VMo~=nW7HS35oHND{?N?F7e0a5%}1>-s!<1Eki!cS ze_1FC1d!#S@h9;z9Z@A9%R|}|T8P3DSAD?_A5O(lUbr!XBNI(OqC9nj8$d*TVJCta z?C|<R2c9xOp-ohM@lF`iJk<Ka9o{@a@gFq&1YSPpgLw}{9^t=h@Bl^*Uxd6G!v9F+ zIYRy!6U+ka`opm5hq_PV<#o6;iu<7Q0x!ei&3_bmg#BR**zAYvPyhe#KP0$u=uczB zrXOK`+CP{9B-a<Op(pd>>R&j3)_$3t!%<(%eL-n`ar!3N^##~z-r0!yg8v1P^~E)K zfFq{|Xnsd5#=@E3roqD-SwA8^j^XOR2r#_tf-IE665kN}cj2@jo?hIM(i;iop`!q1 zMulg5XGk8z?w@T4|DxH4ufDj*kH@~vQ2Wr#!&hH4VlfY+zQ~3TC4fU1r933i|5C(m zK5Bj8jXD5>9A1$4vx3j1f*gP>4~;*Gm*$8n0a+eWU;IL8u_Mbv^$Wbbj$J<@{fYgB zl?TZBk>nBW8RYQC-oN1E!xN2v;5LDLOH_Taj~CNC)cQgkd;1yg|Lq*G;t)r9xeOja z*!};Ffc&;U_`^>P5q?PZ4b*)SFPFomQQQZW7kH@-Z~mjmBjQKx7dHFh`ptjBq;cps z`wdfo-T!7k@XK$*YCj}>d!Z~S!ESyT+=1BTm%#%7Sss%9vrrb4pvfyRyqty8et7t( zBc%`I^i3%Lb7Lk<c=@Od>2e~w2N7S>5dKB84`2DXkqeJ~lcDyZnTM}@OvGXyM)~NC zQoN8fKE;ULeAMz$8`0JSl?ce;1xY_rh{-*)`V|^~5--K!)h9~&hNO>Mh{6H8eu0<U zvFk^~*SGKZ)BiWP0m$x$x=-MxIiit_ERQf>4PHTGmoFnAk9|BDYQMxwcSzld9==d{ zftSbO&0Uo6L%8qQS8V==>%aa5CXGY?wQn#5*!_3yGfW<}J(@vq{Ug|opu4bM96AhZ zbAk_vXg&xz9Rt1@jKP$L;l*6IBJln;_*VEAEcgEWf8B(>pRkMs`#&Xo|K9+*Umo{D zObPHVWAI+l<^$lhvCuswAg66gKr~Ft?*4&X+K3}O-LS?7B){B3S&)G}yxHIphF#ta zo*<CrA^GVSVlf3;euCJqg;O4$Ube%HC#gKy$cm@@+YIUQBD)uyHbDCcUaBGdi&j43 zD{md~*{2N2)9CF*eC6#&7EJeJl()Ab=7RDn2&0>iZ~S5+cJooo+ubO|Axip!#NR5! z<QQ7~LgP>3<#PD&8M=M}hL>6JzAM=MnC%^bm+tWD97R7OzS=&)$^-22*#<WNC48{A zN9&j|gB@N?=fF!IkZXx*kLqDD54Ano4sQ;j_zxO>0x#9!-6Irvg#X15{zD2sgnSwS zc{KvzhkZO5>OP5=;z;cwB>SQA0xzfkfkh7v_fLBdQ-B<QaQ(~Q!K88MU-ki}09il6 z|I6Oumsi88ACkUtulGWj{|xRx?Dk{tFG0%3TkyGOP>Mp<53!#Mr#w7-rXxB@DCwI( zdvqcLo`gOblID=zgYYjKBECo{9~JT0#|#-VL3cmC^6}z-&<$Xy8%Z(B$K4QfL17ER z=;q@q9}BUYk6J#?Mk&5f(kCSTx*!X2K$hbwAKT%>Z|M337+!k8`&u9)kmCa$|LREf zBU1iD#8(=D^q&Sd0402|mydD(FoPXlKKj6O0?4&Qm5+Q_%tI|7)8Wk*l<<N3pB>&k zLXk)K{~J7jk;4xm?}qR{*6?E^5PoG?^+Vk!@$x%d8pVB3d4ZSZ@a_VNJi>itudtP0 zaQ*EsVbVDCx4nib!0!LH7chC$_H+bld)Dv(_z;HII-u?O5|CqAVpI$~7;k_Zs^I-z zyJJDkYUr`I5+45#cr^czt~m!f2mtvog(H{#{C_<Ot^Lg320nfi<GeiZDRCBtmtISP z_F*Y_^aeA67!IK8B`rKUZ+LWqZZMLr_4eqz>~ZiBi$}K!lSg-rAcs#k3zrAuArH$c zj#7Eh5mE|1pxscABMfR(1YYEZ!W!XAkAZI10v#g)xmF9rMY?wVh47_6|6hVG7lov2 z7>OEQgxB+f<CGCJ=Iyi{6i}e^XQ2HL4#*BP&><FSi4ZyPQJ%=b)_W1}csLgOE%>)V z&YrN~Dz)(dA2Jcd;n5u-;9(iSf#r-Z@bO;YBY;4MHrS@ZIvF<%54^tef)}s-{B7V1 zn3~^2fOZiFfVTSwcyx;@IDt;|0iB%%3jA*9>3$p@-BSNAgVH0|mmZ*`B>_z)F)9k6 z*fQ|w7QO8V(p;jF06tElyF?|!0~~$bM*pwDHG@x42H%teG6j5O9{5%l1CV(FFP`^< zDmqY5ce<!(fYR!VC43Cv0|>y%3cw)`K70<8hQV<J>IZ-f1D`br?t3Y`*slQ6l%o>h z(R?K0@C$|ufBu8dh=nBv^!<SRZ4W`atFhc4+v~vyIuOJIo{S@2$9i--Ye3E_0R{j6 zlOVT)Z5MzX4+##T0FX8ZkTwmE&J!RGk{O`8c)>@bX?R#3te18*JmA`Lpme!MuRo)Q z<;PlIkckQ&o#$Ua0I@VYx}Bkiqkt^zE&LA(S%Lo-T)+pqfdV%G5(3~;n!00Dz!@$A zY|HDVpsZrx(R{?>@Qd%~{``N*1U?A~B|kuJy+pnL0Ay^!Yh4f(;L+^?zS$uH?CoyQ zhRx0x6%LT^3_$TO068~O!=smn!K2p`9Ap`wGv0a+gTl2}hQXsZn#sfRa!s`1H&9pv zcyw1O_<+uy2jz30ZXcBbAIPy^;1g>(9Qe0=^Jx9%!S8w+90FdwGMpfbptfBH_s98L z<3Wczb;IwK=medY)(LhU=y1Sp&^?{qf#5S`Bfv+ebeE_Uc=X!1dGyvZg09u&fO42X z9D)Cr__vj)fDb%`Mh?iQki#6|mb}<}_74%~YcoR5mk9vnFAq@u^6&tkbqLN>po@S( z2e$ME{0H5l<Nz)WU)g)~iZFTf2L1QA`0KR`NCLc@74Gr`P^?2w{{<gX-U&Jm%LH`l zAoz?pkZIr{2(TUCRM2{<cKhorP{3(`tdH>M4$uIV#gIG-&O*I5+)&R$R6uh+^n_2) zp;wR$20r^4e34)bC}+p0D7@GSKMt}t;y)+>W_YyTuAK-<Z_rzr+Ryy?|MC&|#2B>n zrVR-njQcRVJ;0|OTfh>U1IY0lAUAt}yf5(oA~fpvf)W<k-{@Kb;94AzwSX>rfgi{Q z)d)`1aJyg{LHP%C93Lc68+f2wfWu8C^FbkK;L+^>K2;O4+y->xA}9rei!TolOW^;7 zmqp-o3);jB%~)_ZV4D3>?EnA&FKkc$`TueUI3yv37)p4SfzF3Q3QNNSuWcb!Ke)>3 z4%7f;RSQ@iaPa80F#yFn2dIef@abi-03Ed`@c$CX2jI2<IPX}VsM!gMP3U=DJ5T-j z|GFJwJ<|DO;PaH6*+BP!9%%rj_X<#Yukh$@QGwi3)uXZi6j{9@j2;(Xfzow>N9Sn| z&4ZxO$na?Xslneb47yIV8+N2PkBUe47RWK8;3@=kj2K9tghy}ne~`N!Km_bOQm8f1 zlG@=lv&Vn1(H<U_7x{ZCSr`}$Z@1hoeF@5Co#$RQgWLj95dl`g1yb?fq2+(+3y|JZ zAe$1vGK-iQ7z`mY9+nqt!$4jFbt*i%BQy{$G*JP0gu~-MR3~UxI;6gg@Bljs>OgRg z>@HF902P1<U|U|6ffK?WNC0VG_h|ml%HLZBx~F{3VUP-kmfQTTji8;`5NCty8V?W4 zbC8R;Asz#{`WPsw2Y@A3fsUhs_}KDXtslbCpu9f^8muxZAPpQIklG8HFu~Cu;L%&a z2+{<Jybw@j0zP@TL?z&LgGV>?oY(Fk4UcYQ(?FZgdmaCSl3fOffTpGl&{bF9+v!2a zbb>gL^8|BLDm<FsG#vJT#X7j4Mm7+<!{0>(Tr9_cie*?hf?NYW8@angB>-}X65Lgw zbGKkg$3(@Ww-TIQI3NzX%)c#0MWXpLlJ`)}TKDh&e^9NI;oEu8^WYDA&x0@Qd>K!8 z9{j=NV|l!W6BIu$6Tu}eG_8VNjbtHsR2p1(Tmo0l9WE;REeH5pq(S8!>`YMyQ1C($ zQh)+VD0Y{qM0oVpfgKIL_?^QCnvI|t6lAD=^9M%$Z3iF)VGH=?NZ1jl;E=aKL=swX zbb~Ha2i@d_YU*XMQ6=Cy0^CB&@M!+Q$Upxu$eoa608O?IFVnz<K4dc=q*(wxU>(#R z?T%3a-)aYL8Dx0;KMg91!I%6&TL>1Qeg>$1dOa7$dg%hr1Gr6002u<V0$}AisFX?o z<*k>X2t%ZxNCnhf2~Lt#;J_64f0=(<4QeWifMi5?zI-VJy4w_2Qi8;+j|$AB=I<!U z2*a?|pzB3(8U|{}fr>Sdv#=)am!8P_!GR0Q9H5pLNUuldIgf)s?L7{@wDn;8=yC8T zGpHak@aVMxH*O?6dTl%)1!X<>EDLB5gKK!`Db3&}Kz9fz)<9Qubb~sHo!2}xZ-ELQ z36EY8IS@nPg&lqara%g?-l+eexDo(W*9IP)_dPT}gZL627oT}(eup^a3;4P)SXKtv z+&u@Z`{kdX|Np-TJ@V)O%LP9%?#K86%0DRgzd#ZJIN^g&FYgAmOF#|0*K(j*Edi8| zBS0<73Q#z89`@-x<<a@Wr}H4F-~*@943Ez59-8+&n*Z_e_k93W3$2&<TaJPlo#6A( zc~n5<1_#Iw0wC8*ykPqYIZLs^<3IS2+6qv!2i#%-*RUBNt2A$d>@V=_{NbT_-NW){ ztu-hN!RMhv4^RhPRRTF_J4QtS<Q!<Xg#(-uz|8_5m4cV1AZ}*~qyUC$jREzqzzHBk zMF3PLK((F)7fLQF86XEayaZon1U?8Dlm|fN5ybsr;Onsv*VO!D<nNmd@^Ei3+iO`+ zyjghkhA|?CEjU;~<%Z?2+F+1RASc6uQW4k>y+yx3UV!FJXi>5iWI_a_d;t4aL<QOc z0lB>!<fqOO6@mX3Uw4DMbdbyi4viWWi5KzUz4S0=zHm77=l{#ssApuOwtq@IF#2u| z9^D?`lg&LqZAnO54qOjF`jtK)UxUVwzySt!1n5wIP!595-GLQ=dlaC<WjaBpGN*$J zzZ?~4cWTAKKmT7AgS%6Z@f^dGuh~2<{s1*VS`XBJ^|<&DWS+ob=y)Qy|EvJwp^c}M z)uEs7>7oMe(m8loo+yrn4B&)-j>(B(;(!dAfbJZzJW*@~k@EowvoL|X-r$}Yq<rvE zk$9o(@cq9>bB&4u1OFUQ*B*WW!HdWjfBwG+dGY7}gctV?{Q3Vf6XFv{0}my9`P&wP zLIdrLXiyghe7&XRiQ+nlJ3#kbcEjA*Yr_P}gbtpTCyK*74?bY=?B)^h?e-Di@agnn z;_&U|5oopzUIIGES=XbJhsmomhKZxu))6ei=hX=s8_H3E95U_GdETS*!wWOeZ0<{s z&J!<mK&-Pqod;e_dj9ACi{9rTZ-|4u0Xp#)J$(Jp&sPQwFF?}=_@s1L`T+X}lySff zXK?Wd3d$F<ApawdSYEgP&;QqRARz@I(Zb6HQeGk5@3jLonQeITwE?J10bkY;07{sk zY!Lw}VK~4^1tcl)A|8D44(Mp-UPlo~EoFJ3?ieUjL9d*NQ9;oZq9XA^3#<v6a3Iyu zZjha?BSFSPj%EdU2vlkac=n1IfHJcLNKWC!Jh1mlR5U;lX5iT?Qvk6GR=g&FiZlxk z%gc3}J$q#gKs|W{kIvs7mX|?ec`=}|ntL7>AA=0D@aVknYIxxFCD8B<$WI(#3qev6 zAR8547=kTBaVXgM*K0wY4F`|rBOZre`0V@h|K)N-hX)b9y)G(0JgglB_}ex!Gcb5G zz5%zSI@dt%CF+IJ-7PARRwuOg(Rs*2^8~nA(|QJMe~SvJA^Munqw^4WfCi>6L?yt( z@(_P-FGv++F#)vV?JidE=-vbA)O6aY`1G<cd0u=0@?8apfJET`Ymmki_|EhSXd}nr z^-NGP1HJ_z;B_ykSp~T;4ALYAH?cZFO>$7P0Ma)p00kYm4GnS-Xn@3{*Xh59<-wYR z9^FtsHXZ?a9o$L-`NgC2u!rUah#$e{d3RoT%?<J%EG#-f2jN>@<nINYSPO~^4|wAf z&2OM&$l=q=B8cok0hr%-K#2of^+mj%4@#aD9=%@RO2gxIzehK;Z2|6e&VdFO$aA2o z2vTi=?<)d(4&);6z=-9E`lA>g>t*5gxcI`O*MtcaC4HMfnF?HIdv+cGRcHmEaIf&| z<ze#e_5APC>7!!tS_{<rg+%=va1?{mRskqoSAbdr7N9D+8y49mDjFV^$7<t1{xv{y zIk;60kCt8=Hjj(%K^A6sYW@US!vW$5{J#W=YjAjgYhI1ljiB(zfQ5&_%REpe4r%-( zcvxPm<@B)p#oq%y4&U-)Evt{^u^LZL%OAC@9+vlO1TaFN8*&UHI4?na0wA}-@)W2= z+X)(rx%=n;|JMiM2@6y^cTa(aJ?J2H@J$At@aqNEfm^zW@Bz1$D!_Fasu?{{Ge8Lw zRAYlujReT^3NO4s83Y!R-7vF2A=+J|0yPkHBezHAcW`uF@aR1E(hYQwaKWxW|6gkV zfv?>Jm7s|HZJj8<-}W11INEvi;Mxn6U?6!J)Q#}ay!e_G6ygaUmM0)5F@u5!Je;gi zX9Fr+Jz%3A0ib3Ihezji56wd$Z$O%Kplqh_VjcA6EO0AL!$b4L>s18w_KNUB&4ISS zvcX1x+6&;pCC!77TUa5D3eboKXfzU3{(5v?gz6LkSq{~%1J({ZDF21;&OiTOg6=Q_ zg$)R!h0h5{_!xl3TQor9E#S_Z2dGm7ayWPZIN)^vxLpJ7m4okX;PB|?_UOC?sqVnj zHQ-@s1#l4v9o~az1{GKepy6pL$PmaDaGJLKSnCFL^{Ln3)(zz17^ofK84L@LZl?bi zK;13y&8*<zaBv$Ld}9&B^w(=ZWj$mD=S9MfKmT7!Bey=#>TmuwW>D70NDmROl|V%i zq;3OWOapF6c7uydCdg>K0%A}a)OfbM$=|yM6nMQR3?98@Odgi^YWxi^fdVZ9JU<3% zes{8{@NfI&(fZ$m-xWL~rr^<QA_+=A8XyAfOAn83tN);ZXaNTPR>(LGEcQSFqySO~ zuInX0A(`RPE92<V8_ft#jSw~ys7{2LB?amUg8N{g=@0Np?cF6R1}~FA<p=x%g%_sV z|NMXX0P}vjZy+yW^p6T4R>Q}eBf$ML2anEs9tU6Bd-TdMd-O*C_qg~QG~NvA`*nwa z+7+P2I(Q-nJOl%7m4h4w9&b*8b~Fq;z=OWfHed#*>)-$y{@wmM9^`WkNXld317%0B zA3;~}L1F}YBN6n1739`7xFG@V4nwDp6kdD*H@QL0wcY~QAoI7{IiS=79b{J8_UHf0 zw}_SkD7RpwpJ$NpQ2^x^2~d6k5A#D0Q1nrO%|wAlxk0mC4K*sDXa(1k-7YE~kn$IH zHY2FO(E!hifz?4qmL)uzk0=}lB}b@gP|(1Vqk%^^7bMT&x3UD>ae}G=-RXm50Mq{q zucv{`he{*6+5qgDmjR%mVxg^n{=fW7AUs+@1Fp?vkJpB>fF{_WV_@LsGHeVCoMb?| zPCG%R33R+R0a9aRfYT1N?++dt4N*w|jb0*+*PaB8xk5%SJ1={5o_k#e>P^8~E<M>G zX~>W)NF#X2)d4(M%ZHEw4c59r5}*@!KoWdA8waRi0BLqX=QA<JXA?ll7(71f0IDGz zUblf7eBjY**x)RvVb2dAoGmQ`xesYn_C9>@734gmQQ3aDgoow1T1RkC4O(b|2knqt z2Tdv12V%jqs-QL`sEWsL0KuWxPSDUbs8t3ZrsDd45i~|B0BQt)n?K;{3o+Pg11gPr zBmaX-F-Y2kjR%7Zuox8qJj1J?3sON1F}%a8^FfIO<T-FZ7S&}gDgv+p)sLVI4VztY z0A-5^aEa6j8m|DgrMtI4n=YUf2{*>-|8>+MQ~?J57MM>XKm|8AHY`B(K>^s8IL!g) zec}d9&p`{Z0!TRm8m56Xgu#|!Mm=JfbTzaGk?|6CUz!8N40!3;4IO`l6)+B<Ho(hg zuu$yv`VaCI2dHri>MeM5OL}yk0#!CODk#wm?lFQVtRcDJ<wj5&5gZYq#veQc!SP(9 zB7klD6Ipu%SUYS84OKg+N`bXzVJR%(B|At5Od*9Mo`1mubrg(v?!j*W2_v3GP?I33 z40*(J*RTKoUnH;p^Z#YsFO<eG=K6$JkoFJSd@}fwvj|XHtpJr=8Q`wYYdKIJO8|9t zB7DF@qoA>qV?LdieL4^Lbe;s2#RZ@`Is-gJ`Q4-WKYaZ2Hf;Pe26Rg!Eb>4Ne^5_L z;>9+wUqE;DLDDa{69{hG4eIgF66jdzi(}tF<F~MZMUUqHjQoAAppv9F4m|!@qhjIF z8xQWv!os#hB?A<m1wNLCYh6JtHpnz;uk$ZZ(*{<v7=khtsOvAlP_hG58eyrV6Tppt z*E7L26S(k11gz{AWQV=*Tl?q#%b&<2o{088@<LANZDin9F!;VFA&+hc@F*wJRZogN z$X7jG@#s{3eI9-O7Th64Th9f)V~D@C4HQ1zpwY+HOC>oT-BKRiUf{bj!Gn`wkbyrD zW{>U?X0WgaXv`8eE(sE1VfFy!W~8ZH(70r`HuAV+0H{F+?G7Bg{OA9RJs={z8+0#` z<C;H^``JLp6I*y#TJyK&fa;-6WpEb@YkO}W$kAxyl~Dhz1xa;-Mk+zW{h)do$xj*} z$5%rAB;nCrA^}<>A^}PP2FT->629F&5}<KRX3#jMM6+#oKWrS6*{idJnWNdZ5-h^! z)d>n4lyS@pFY-?Q`TrU`j+p{tfyXgVUHbF?#ZeGZ&;|0g*Xlq2U#fyU3R>O?_O>gC z*Qxx{7PYSg3IR}><A6oJz+v?G1>bs#)_)2CO+~|FGXUiCERS9hPzUX@fJb-DWe$&S z5%9vV2#}noM=y(mM>o%5pKh1KpqM@kz0C=9VJ0XggX$RxP&onaX==Q%1h+A3R16R! z&yZ{2UfjR<=l_da7ePa|DXaecf9a3uA4GZwj|Uurlz%AgcW`4JloX{vo;UEYJi*^Q z1*ERm#KEWA<+FfKx6fw|pKg)QKD{!ZeS4!pQTf@YJ4MC9)A9^|a{?m+gMY71ieGoh zYXQIRn%5jYoj3iuWnTMqmV6fQ>os}p+grxr1M(V((`>uESC)Z+zx5vj1A|Ye%x9m@ zn$H}5oi48hnr(X^QU@3q82ma#Ui)?Wyyo!e_EPZZ4w3*n>>W7O#e5R*>2~?V;nB_V z$)nfilTR<tC*NLA4zPPbYo)xpeO?Lpb%(s-@avX&<<aT$Nx-X@=apZt$}8XA6cvtU zTP2W9rS?9ZGM{`pLq2hMb;i6BXtw1B3k!R7vb^%^ba}-A%1_WPN$2?&|Bn6n@6-7l zG+h4q*q{HPp8GMM&KobDfyK}JbY6II``DlV9-SXvulMQv?$LP=By<8K)LjEAJWssX z4dQg3c&YaP|9_Cy)nNX4pUxjI7J!(bQID7J{(&SPy!dzi&;J)c&x0&|@Zuwg^X5D# zw#!!h`TtT8)Hgwk-~UXY^&@X8K>f1<Q2(p|)C2_`Oblu6z`7dHrU<wh4_avP;!7&1 z-~@F~A${fsa5W3L9=N$ig+r9TwGm`C^cqeAriuB&Oam`K?B%`60J@40UJ-(>(%fVM zx_}U5V<)H~*UP&RrUo*30CFN|xa~zJvcd#V0}SFH&|naXf1m@VU`--HNG|X&Jo%ar z)Y~)w557QpqM&I3&`prL0>B3*a4_(<d<G{jXg~FZqaVzOZt(J6(D)yCX15zOIA{5p zzqyr>fx)MjB^s1jEkF&04DU{tg96^YHV6HCMGpG*266aw$EYOu_o^KB=}b|H@bA?* z?Ax2m;nSI;63}cruM1SzWqWsu9Q5w=IS48YAbsQ)U$NV50cxHkcyzkl6KJ+g0PE)S z=oGo<(dl!K<E0d+SnI9<4Lw|V5qt!+YQ07!05r?v2AU534Q|U`cwu=2l%B4G#PyE+ z`Tx4pqn9=GEa-+)7L^xsPJmY8f{G%@IFLJsN2j|$v+d_jkW=#^10kLK9-ZzA9-ZzQ z&9=9|5>}w1Bf+E79XzP%;L*#vcpV=DIF*3bNxazl6cU!;i{-&dt2;*pdb4Y<zl2BU z4-Zi5>JZqN7t;@e^3e6yn>{)Yf<!W2w1P!Wyl(e64(azoR@1@F0I!LDasCV_b3`uv z^Z(@&=&&dvfAqSjOn}UHB#40LJHS)booiIUYj}I1ba#u&4^S!qHQ2j*R6s|xg34oX z-+}{FD1vj#u_TChz=Mw9(IEwo&K~GY$rKd<utL!A&m0vAFdIBwyG2C-%qdY3c+mni z0lY%8z{Ao<MWRFo<T(vS28RFOh=jVR@d(JFhrz9#?kNyEz%$0+3AHtr&;{KkhbDls zI>e0!!8cVl{}AABSK(k_04)~+cUqc%u<^HV2IXVW!jzWxAm{XY{PSr3$->`$ObAqV z{9xv9p9K<c{=vlGY7Am^w?M+7n_&Vd_klgp{7@d`V~D9gnfcp~fkLhMCsSz}NDbK6 z-JBklCu*$f;Qj)w0|yPwgF_tVuj4H$AU{JQ6wS|2IjrH){FAwq4>T45wu^rs7wGm& z)}HgwgnaoZEFm9v=MZFIU}(10ZwIAeeve+(YZjp5i2db6K?VkoUe@ClJPa?CK(o*d zAc5weET9{%r$FM4e?Qkt6HtUS|70x{fLoZ%^->NZ%2wJA7wzVH30{5B{DT=}1T+Eh z?_+#<5S06RS>K$4y3ZKXef@1P_nAT6Cj@d%FRMDpeN6}c{C~*^5@`Mbb|1{}{fsZc zqlwKw!0v+zCo{hE16c`6#NCW9?ZABG1P+Z@NF&-uMc{?5>3_)e)*UV??x2W-BxOiZ z3l3=T(0g|eG%17h{4{~+akp?$ktnfgIe<vW_#zx@q(UPcYhvj2`03I7la;@n9g=`K z`P<7t$+P(fJAbPih($P|a`Lx>uf=Zu$&Q{-i>%6Ml~6fKd0{Dpe;e29NgmBVIT1;T ze>>O98c<T|Wj%KWnmR<m`>;X9@NstzA#my~Y=NbYHI~rS3tH3P%R1MRhv7vEXr8wL zB+&emtCSyRT{qWDMUc_WKe-VWdUSKW<c5gyly<_Df<(W9Qb_X;4v<<%I^y5P_;Ni+ z6q=6sw==#34=pwS09yl5)y?>_3#6#|2iO{jphq|3%Myqv55ho@XdFnim-WGEsBhH| zA>~zwZ=0K8zTF4S3rBdtd38M~FO=>5^Z(^$uz<&BkLI6@{O#ad(3^ko^0&SQl|;~_ z@R?tL;WK~K0dUy}DYzcUdo=&x1;u^~ID>!Y7vT8JA9XT~U++LEQ?<Ou@dMzb*$F9^ z(95ede!Y{WOx5f=KxzB+%`|?!6J?xGJ+Dut@#`HdgUanSJn(v_NAnLpP%#Cu>l42K z$LneE;<%Uf?kQ*xs2oHJ0%353H#EV5;H@<z!sB?rL2%EShv7x>o<ILz`ho;NHVA^s zeo$rgiC=)>B_Akqds!P`h95tGVYo8f@Ci`El|W|ovNnPY7XukC2o~`82npX6AYSti ze*V@1q^t%BUjYvmP#}Xs5j~*!`CG3+;{#NDeC8Jr_{=X50aAbIGk?@=XsA~~L(rr3 zKxr5>h>3`a+o&;d6E!AIqs9d82)$IwRLupB(3jQ!|Nl?p*Sk>019cI2IZqnD-k~z6 zipc-}{~I2783YRB<{tv3VxXW0`Rx<GKm^ApegT1(>L9sJ=%`sQ>yH!ANVeaP6c3`{ zNS@sQi{yunkVrP?0!Q*CM;?Y3eV`d$HIM+@CRikY;urAXc-afdNz-69+}(#^gC*RC zdZ-QGIKeg)fNanK+3*x3(ELM$zugYJ{``j^e`^XO1B2m#*MgvCbAU&$6C<cLfV3{a zOHQUh+ewgC4#-uYK^@5AjTd5$koHl4hoy^(1b-iR8oIj&ss&V=Oo22hzzv!1IVuo? zLF1XAEg3J4*+BFwfU*jxGYD#T8o(PI-JmXO=M<G4;FL87+TM}@FLwvU1ZY%_12p9T z&hed~o>#YvN&u*tF7YA@YBqF^8a#s0Jx2v>3wXeL4#Zr@xcM9va1#fz^ba%@1Dd@9 zjaX^CSO{JO2%6_?J;2{`1r!rFk{GOAhqYR3{vpKQ(hpjK1B!uG2T)v~v_g@C3e>j& zEjEEv%it-#E#OiN<Yn-(8km<gUQ7g=<f3BGP@`hOz~3qivIE6rXgLk3(x*TpAw)&s z1?c`iP{SL%0|UJJ4>COsjxKP!^GG->hPpw^`dUwxuy}NPa(J|!<ZqeJ#K172!IojS zGy_A4v_~iJ|6S4y&9)nA!QK7>Q0?8x`gxZ$11Lgofa0*TMdbmA?(R_m^FgNAGBGgl zZwnOfyxjaq-lOxH2mh{D?B5P6c<{S?nlJ(6Uk^~~0Cy%jp<TajoNezt&;|==6QqYZ z#O=6!_bd#e0^B;6@Idh$sBt`@!B%M(%y)`l-(9K!`z{+)ICip%LVX8bU(ng2aslc) zFdyVQ3r4)YgUv>O2K-PKpn$igf%+hzUJ1DS0iGe(cp>~5;$-kO@)Dqs0kx{pYcEh) zh3MZPH~+d@pz#mto2!{Y3<KvsNG|gMElz`Wx4Xd^VG1PAbR*|Kl>W{fXqbaGR$eg$ znb-o+0`6S3K(iTm;tX0=f|Hgfc-1N>U*X73hTjYi7@mB29hBpGZCCA(W_Xbbnyl^q z_y0dsZa0E?2{dWcYugM`W(QK%_7|>f8%Pd^EvP|7ZYJab6{w&w9cU&5FN;RWgg7JN zq6;X<-~%MxJ<xIvRDAY8Gd*aK1f?-P2d)XcuE+8q&6>5ig01<77=O!pP$qBwA;I60 z2g(r5KP35E(?A=9pfySadW{kRYKB5mCe|9|ixVU;BFL;!RKV#EnyA1<I%Jfo2a*cG zwLmAf6pbieF>90vNR4vB1mZS|YZQOz(o;|#rl3Z_nY=)?$BSD55Z8i7m%$TH5}<Mz zyz&vH{Dk&@!DW8;6lh)s)ebX|O#sjDfK33;?{`muEEWV8T;SO+(9j3SVo;?i@#2mU zY`UVR2$aLX)`H6e&}<mEBih{p-pBw_4_^2L8t+n(c(DkslSTsy_=C+E+N1#&t>6Jj z;xZ&RTwiw&WN9r8^JF)y9Ca7)=w)@_g0wq9GnE`Kigx|{4+?Q`;_n12kVjDvh^gQo zC$b3!m<sNqDB#Cbum?rKyPX(rn2n;~ET)1g6a{NA6-1&a=mslrQ7Q1~ZUC1j-OzD4 z$XIqaXiZHwsMtKtq5^J@^@?Vjf?D37;a$)^T~NCmQMtaD9Ss?#hGuTiA{|gFeet*h z)Y1l-*2^m%&A|X3p99Nq?)>?G!i&oj{``Mg_nN?bv<9e6*8B!(K4t=_-DLq9_-uY4 z56J_dc42!ns6_1zc<0gli;=%w4%9f>^^lXl`6~khXjl%^Chvp{`++J^53rt{pjHP| zPkAW+u7{k(5}?ig5g?Brb5TiPKumA&?|R5ytOc^%!=szQqxAs)lmjgXN-luagZjE4 z_22^{pwl6sQS{f>K^B4fw>~N!9?g~v{8J9RKIzfR%DM-Va9;Rq0tFLvAOq5`T~Q3{ z@>uJF0-pWlaZnx6%c`Tx!|-A@Xv%gQSRmjv*t2cUpzc)j5Al*29?d_b_*;zs|NsAb z7RbT;&D<cBp!yZb(flp1|NZ|D4#)t^fW#Rnpk6<kCqZ`L3=~)(5*;WXc0mKhd?QAn zOfG^2ikc22P{2*bURH4(9)=ffpsCyakl4f<D4_T=c=;LBGHU)I0vdt@O>;mZ2E2pZ zqn9-k=8O#+Fr2{yb_S0}FYA15urpp~dGxYQ*5+Y&!L;Vj|JN}dy|7rR1+|?(u`(MH zE4hFF|9`yz<NyKClz~St>j74Xe<9`1r>&s856Uo*!e<eR>=iWG78KcSTR~Z>q4&@K zm%E_@`=H5M%=H}$LCK-{4bmQNk6zaMav-m;sDP3(hXCj-l4s$t12Vu<JDt3yt3W*z zzCv&t-Wy~{C#&WvY0%*COeG}Upn>EUE1<f;M|?|obh3(rbzdj|>;4IfrcPdNh;C6$ zB;BC&{~`-r_sW$ZyC*?(FNf%!yAo{oD-CqrI_SE)!Ma00x=Uj`I(eHRI=e7*ehP#5 z8SZLDuudtkPC<`OUP+Kn_=%4my|x@6fzA^zI9C1n|N5y%udO;%;QPuy|6kAY=(YW} z0@R5+@#5J^_|gJfA*iBDATjU;x?bCRE2J4-90Uoh1}$9Q-u>tQODE9uCHnk&7bxhP z-z0c+=74ta=BPxxu-XMmr7SAVw)y$s0QLu|>15Sf20AE()p{L>;<W)$tta{Wu7Cs3 zN5#SL(#x$N_W$D^miPI41sNF_T==)K*f}=*um$a62d%{DtWhz5^dx&(i#~x0aTXPi zZr<}tLEZvY5}-+IQ1X>{arqy3qD6y&zoi9aY&Y-8wIG{CcY!I;Dpk<LIcV}3ROTFf zz~XV-g^2@HNO<(xFg4q5%md|cCy(PSOb|gwM$o7RX!S>}5@_vFfJZZEDZnA{0Y9Mf zygP!!quapn|4VC70oV-+k>(=_hYb(B0L}lt-s{oJy7wd8(Nb_ngO`GVn_S(X+}{aW zCbEU;$A3`X1ovnyJUThRBV8Vy7a^M!dTnnnk!E-y2bxy~?G}2`vJo_u#@F@d|I0{l zL}AZwLZDiy`Aq>RzA`}b%^BcBnjp=PW6-I(3Eix{xv~tPQ4-J~8>kTiZa{$q+8G%b zChP>&FCM+3=W}HlKJXvp`hT$XB!7=5BLf5I#wAb~di1ht%?9NK(By0{>$F^122kCY z;L!_i(zB?%2wwX0f9nDMR`3e<Ue+ZaU;)F*xC9iN;BF?gjR{_`2X+E@wCTqmPznPd zb;8h4qXLTJS>PxZy|)?^#k}`H6exCOK}io3wcXI2f`*qs>r)STSe~kN=ieqH(D2)~ z^u5RbLmrwJL5DMZzv%(mS*&=<gYg2WOAcv!LH0pGLx*v~iydn~C1Z|ChDY;}g2UJX z@WoWZN{UdFOn8xm!uRN9&CLM?8jH#?7ZnZ$P+15n3K?FUy87$?%PIf<|K}G(i?$c? z=tdmNh8W?|%es3eBsw@=Nap_i&mVr^r3xr(`>Y40n``ZV{=d8kT6cw*e+92USqTbP zEPG5rJ%HmbDjdupc~C11lto_DLr1W{OaGzE<62Lah=7`B0icz|5uo-9WEUwUFM*n8 zAahti=5!wP;NSIv+k=1CM{fRYpk+F(mpu4gPk^)wyikG~4$cu8pwtuql0Y)@1wY6e zRw#>V(EKwWWDnYUAW#x*Jy4tA@g00j*o#h(8rT+D0S`pGQ|&c31IQPUrTgGK07{P_ zS17zt4urHj!Gl!b4G;+DL6-l3X4hZnKn(|%>fq7W0FYO}zJMru;o0`*|4SFBFVNf% z9&JN8zY3iETvQDB1wrfPyF*kGcE~d@FudmRXg+Mv5yNBP0a`8H{EMqd6I9OaI14Qp zK*xf7f8=rS6|+aL$t3Vrb<oU=LecTppkojeiX%LFy$m{hSPT#$;PJqt^Ase9obc#% zVF7!S!{a-6+q?iMXe3_zMh*uBP}qPM`xby=9&#?#PSBZ#FD|bEtr<80;T&57N`(Qf zfBu6fx}Z)3QE2{u1qwcl^a$Q+;{eawhia|)x5)^$+%Ek9$~FO@v<VHS2#;RYn^mBd z4&XH`tiJvbHrRR4gxSk$9snwgcvL`3ia|aAdjlL<0xwnzLgE>m3_)xDKsycj{SSiD zGjyYm<;_w>kTz(N?+j5%fLfmc3I(V&kW-sLOKiYBZqP8^`^}&=AfS;u(D=sd-7oA~ zK<gp#l;^u3;o$%(&n-aZxdo`w@BnoOdS-&;Y(dLBVY@mHf*1Jpik2sVib)wJk8T$x z4$u@%ET}j1;sSUfGPvLcEucXp{7WB@6FwWrTtvdx@`D5jtiuJ~?*-bX4d1;3nrJ{z z<sO{}Uw6HTYyR{9r6x3~gQEKkWS2OY2`13uA3U~+HUC047dHP;K=&<YAXeW#0v|Mi z#kc-nkbTRJ>RTIM@_k#-1ls?H>|2ceI(?uDs~LSg7^E5kHFaRyB0-1nJ%mOVG~mI5 zyxpKF8PIsOCTKe75@>k872K=t1nna11jkK?N(LxPGlHywFAoEU11L{)f?E8rMe3kf zMjGBxfF@&&7hCy38(~0=C~)HiWFsh{L5i~GpG@U`r3N0|y!(DjF?e)~?gvqw(4EPh zJ<t&+*nALTQ4o*Hi#-*fx*gQF2c44U(aWn{&d2cjkOy=^oYx0rRJW+_Zz+b?Ydo5N zij|)5=;n2Uh`NJBr-5d`z@uCNue(4qIiO9kpdtW1YSsxI%0?_{YQ0p(V|c)$^OoVs zmnT3&(FZ&_FTOBX0V<VtHT?PiG8C2v(bD5GP#|LLCxR@~gTxnPz5^6rhR_5DjxPa_ zE5VD$B|Jduaa}<1brQsE6$Y6Ji7)VmzB!OJM6I{^``&;OWA_&5c$*LC{1VWPvEwW% zkbX6!K>&&c@Y*!Ueq&IB8M5CU9(~_HQ}M7v(>y?_qy<~_vHt=`-;bYC;3Y}m%m*8E z?d(wjPmXlMPc-V}QF-yV3>1B!mN+E(L~}}!qffLKWK=hA-%sS|6K#ixc7Q~|(FZ;R zBH?wHN3ZGPBw2=+0-y%<aY(d5q7pjv+u4Hdiq_j@oQ4MsFTLCVjmMiWGM0hj@l)NO z|1WJ}@dz$lF#DsRL!>-V%a`LUD&STQX#4~mvd~ZzcwqpVVh4|9v>qtQ^=SUVRBm0W z<k8J~{0Au9cu#<+PEgMPypId1JY-RMak&&0QoXG1C43C8uX;dNW3Xm`^mX%Q{*YpL zz2Bqxhgj)Zk8ai^h-flMbR}p)<@Mqhav(ohB9|y=>GKpQ!8E@?I*$RA^*unMpcz)M ze>}iZ1<Ci|Qo=<=;zbBIB!h#iIRlT@lO;7E#TFi*%<WpL?$OQr@;k_vqPIX4cu8ny z2q;1Ns8oPzImmei;Ckgn3CyeDB-bk%Ud+ev`ZO$0i&lV4=;p2bF2(SAD=bfo7C}Ud zL89{wPa0l&J@bWL?VtZICGq&z0hD)8+D9PYWq_JqAO<)?aJ*O!K8y!k(19jH!PC>= zm7<`W_#Cuj?Ep9@9s-3^C-_`EaPJe;QasM0!UVFU8yZyLjOGCf8-Z?UcSYib7-$Iq zEM*jfQ-))yhDSH++HasR<6Q@$I$I#)%bl=UI@rOTAlJUwTnr1eURL!YK8Dxl;pxKz zq`RBf^P3a{c)<!JeK<ixok60DL9G$+?l6nj(>*$;KrMn!j(5W?YCT!TX?WZ4(o0_O zB8eAvi$OW%MD?HlFBd~{3R-#sFXzVCZ<_&XZ}fpz6e7<0f;Ar?duu@@I=G7qs#0Ej z11)mw2KV4VJzh}t(F6`_P>ljgVcw;>9^Jg{UqPWIS^=Uu!GR0&2_ncKyJ5lUtf2@N zWYBc>zJQP6^-)+l<COrJ(=E#RRf^&DdRRK+6@rK|gG6T<UNSuSda_3^uTGpS!%NV> z<%?ZafBwG&Ewlm`85D&FxXXYoJf5+m<u?8nY~fM<1son3Ac~0aC@4e=kNf#3;lTki zr(5*rXO!?@f{1<wi6X*7CKeVRt19t?2Y3Vrqx|Fs#Vx%21Z6~Ub5H=(I+p;I=P#bZ zrd>VIiqe|TATRUQf~ZbVtFjZc0}!<+?I?ixvzPTx9!gOv3)0ukD+lrnq9_%Ih)RG& zVMXcXia-Bf>LC{`*y0;Jri)sh!b(pIP|3;)^(okY;GzM1uq%>Je}i_1z={UsN@LO| zuumt0C`j!OI*1s404umqn3s?6sSv79jY0alc}+f{R2sSvQ9Y0-%%?BQ|NMWc0`)0c zdgcTbZOv~oK>djXP=6x91J*=rJ;2|059~cq2@kH(j<cwM23SE&nF3Jn57I>fm4y-@ zZIEV=iwdIISO@hy__RILc1HlXW`Q&t`#*w$LbL%ybwUQEK!q51!V<o0J4YqKqxnb% zc%k)+);w6ifUC7$(Jwj3?G9d9kWt;Dd>@h99lYWYQ4WykG;r3R;L*!#7$wW_5_BxX zi~VJP{=d8hDG0%-0i(T+y?zCcfPozXnqUH@_Xtp+AkU6|0Zk+!mw=e{Yv%`WC{%$c zy!C5GE|TXhJbFdHXQR}w5+D=0c_lxf)UQGiQDKlMtbRRLN}ztthop}RQ2IdZSHa#t zar^+8xCW1ifEOhRfQC&WljXe7_U-}xR`BZbPVmW2(6&+rDD}V^&IzD=#Rjq$mJ(rs z3LaQM3RI9TNE$&-b6n7n26c){kn3W__n`3QRRU4yofAaYpp!-Ag?bJwfO}bIXQ9-^ zd)|Q!+4~NqF5U(a-3}53*ToT_L=XU~i($PW*ugRI-cRevG9JU*9-S8r54?N=Zo9r% zKO0mXTbBI!4_=rHN`h$l6|^VI17-Xj6k43%YzpnoflDE9!v`Ex6`+&|&YrLVRRvJE zL81_J-UR&U9TydY7iYoY?gBc34K!m2s;FTd1>_8S?=2`4M9+gLJQ+5^12i5EuB)$P z!GaW=PkKe2Gf^^Z4#=o((b%^r88!_f8V(Yj25u8hcp+8%=l@I47JE?epw3T#&zD1* zt9`-O|Lea;H>hI<?xKLs9qHuVI2APBEV^kbXj*4?7--br!lRRQ(o|`N7r6^T&IC<n zECNdidUUcL1ed8fD$se=UfT_yq!?aIp77`Y>lYrqwoxE`tsvgP7j;GG=gYl_)&MyH zya^qA=yr&Tg6DBiLzBVtIA~2HgXeM3Ay$yX-}zZRY#BfN|6is6swy==GoBy@<dP@Q zaHWfi#fz8Pzy2Qv#i|CV3^o8MumD%87eEr=P(ctcZmVP1585paIROo{Cki}*#DQwF z1I%Vn&w&9vQ0k%*@FE{%0mx?Xu@N31o56!^FTy|)U`s(;v%v>rf(rorUIp(x>kLsb zKpF%>N$+KW=;zsi_qLWgf^wGzsOg{unpOs7*(+}#g%4t!6+BpJ0qUASBJKra0BF1E z?Seo5U(W<>vDNUfv@YEW+9tdK>~t`Jupi6*V{y;{g8~dCoUrRf4!>CAkG$#lKFG9N zV1u9p!aVCl0TTT4g|L4NK~}%uCe}Y7`=dy|Kco8BH}H8h;KD=#bW8(yh_U7jXv7ow zDu|~1KmT7(K=Chs8@NzM+iwjXxAsvXaDPVQFHpe)I(Co5D>Sq|eS_X(w+M7+26zbt zWXCm#3tkKW;l8+#_vimhZ)n2^YkZM$--!25^zdr_p@4bcNJt*l?;BAdBe4E{fCbi4 zQ0U+Zti`#6?;GK7gZ2lI&g+7Xo=A9fvp(pO1}$7E;RH=gH2?Tt8Uvcd0H06<9(DjP z<aP4s6+OP6ox#KMVyVAJw+9C(hqoSp96khzIFD`z$Z}`I4H>W#QUv~Aczw<Aq~U?r zr#-q^%Rv@`rdL5_mI7#F2Wa>m)HUs8J$x24<_{W$_e=zp3x{)v=?~!b9|Op`)&qq7 z_k15A|3S``BILj6ouHZwG^7DKwiVTXkI%sTmze<a-{WkG{igu3ju`*36ZD?}s8IkK zw6FjbBj8&Dz-LpT#^3f1-2P*R`>!(|<UeNY{_{XP907Eb3uu3^;Q`RZ@Bt6Y5B$Ax z{GheoHWD7q|4sP&SAn{w&HoMf`_Hm~HeCMK<!@aDnnv&SVE3>*SL5zs`H{c<6=*=* z@&teT9exIeZX1cl|GEa|2K=p+poL%CYb03G8vh#@@V91y_}gkE*c=-E8#wS!IquN% zpTAWSVjd^>Ap5_dHW$duPMDe1AV#;1gy#-j19K|_11keh%OCu$pvD>hwi*cz6tikU z+Iu}%L2fe#yUhfo9ppAORJZwn*R<D2u%PJw18O|>da!}?n}GE{2W?XW>A%T`@S7EX z>uClC27b8Tpq?qM^XT<p276=;Xjv1;BQs$Jbn}69*hr*-f~Pb9<aj2BhX3YJz5Fee zAT6DsBiTAZjn3mPDh{C8Ob^X-9-SXNzTfjW_|M)$@uLUhO;9=k54(Yn(14tlYxw{5 zPS9i%w5kW`2F*1Rt@|bToF`Q4WkJC~to1K<VY*+DBm*q}{r``M=9k8p=E;#{-dymi zum5`dtxrMvdMg+`EWg*r@HcPa0k5xdkzjOe_+h}`3Ytj-uj^<zSz_za`4f~Kj)T;4 zx$tk}k$1H?Sn|%p@?V{Shvj$v=4^;=9(l)xp9V!WjtxI89r>pnbZt3V!jI(qRe%2f zcWn4!xl4(Gfx&TyG6MsHC%?-D@BuvMJq|t>@ZkLAY59S_T>vzcjtKb95ETa>&0~l- z_f-7g)yo4u=p8-MT@6pZZU<SyKjq*{d(cDyD6_VL)(?5~Rxo*3{;N^;>2+WP+0!h= zi{xv=A}+^<A7=b*pc#LkUI%6$%VRaVKD{1{o|Yf^n@>ah=mQF&p9ZBOV2`in0hJ9k z5};&jgyPqIzyJRS+0kB$>K9Nd1kKJ6>mQIc?dd!W43514=lQo?^3eR}iIn!gd-R%E zdo=%I<8L+vS=7th`Bsv_qnqa<Qp)cHACgz1;sILlj+8-=qJ|$d8`b>Zh`%4SZpWkf zzaf9?B5)E3VDhlMRukg^Nh|!#i?|_PW^`!yZ(8c&Vfl%_y%{t;fQT=UA9+A!nC3M^ zoOvjI^62Fe#+Ff$oC?wkUIX<1fCnhXKf-hQ2k5z!;9EM7JmJyn!2&9rWWj~gN6=6^ zsBn7B#lX-lBGLHE$iTo1l!Q@A5q40iNW2xOUIWj_5myFyH2*g)wMFwEFDPipwVa<c z&CUOP`TKoAV;;@_P5E2cAS^Hb)<{qYz=~#D5XbT(f4dJX`0TjgMYC5Tf2#_#ZUCk8 zJb3X89){_yU<YL?4{$>L16q}1`GddxH75guOE-&zW8)96#Jp1e)=LbathU`nf+fwd z@ke42e=Dea3%a{Yg3YnvN2MeG6!7(5MIN1>z$Nr|c+7wF=r!T?X#HQJ<-)&>h1;>= zM`DqiW5bUsNB(IbJtaaOo!5}kvNPzK2Gl46&(U;(uJ7msovG0cJBSE$ME72_=wSo} zhUP!yl>6PIm&Y1g%5^n7@X`%b$aH>sSq_>s`43s93kvquxu6+&SP-{>Oa%q;Nzh~m zD249kfCsT}K7VU8WQC)P1e0UKk39ZXZ}90P4s4)O$Oc@J)N?Q}_*fp`Z_ncZ1ul<- zW8+WX{Ja$YR&lW2?LOeZ{h0^~Oi<y=-wIjJ2ntZ-LgSoIFORWr>w%I={%t144h{bj z`I{y&FfceY{Ht={pLWcl<y%QyTH}A;;8Je>Z6%=6?OzCbDBlGgB8D2u;-CUU^OQ&D z1<)d(gTL%O6ffeao6*7?l#+ZpeK1NW!*4J1!NH4?ds#t211cS0Z2<iB!OM@}YV#9# zX^BVYC-6iLngaw$%~nzT{Z^376i`|Ut63!BHH#1%D7kNg)Th2EHNz6n##77h{OylH z!{4YOC<<~0k!jDP`F|9DtHrPX|2<IJp1L4oK?zKr71}<F0=GR|Ky6KU`;5P}1jNT^ zd#Zq3(CYzddvbs{phSBH)Yb)=xevquw>_hf+nzU|<pXNlvjddoQQDs6AnhP?GFedE z77o>q+V%vO($Kc21-N+Eg6Wq*(r*RXq>j<{<ZlIy5kT9YHTqx!?ttP9<bZR`@Z1+= zP#TTi_AEtiw|oL^&P0tUDR5FJwi&t(EwxFLqWh&GW)1*NlYvV~SPsC~3Wl5jf$Dx4 zl3bt%Zid2Q{G|psKcQqvNs`Q)1ZjrG^S3sElL(|;CJWC!(#+u818Hj+@wZ@ak)3Bk zQWXzvk*$ZOYEVsu+9K2W1Z|OlPr^bC4lWW(6c1>Mb_OS?3?>E!Pf&si2j!K{Q$Csp zJv&c&K$Z^sC?50#9nRAVx?RSln}^%6@h7M~;>bS*R6c`RPv805!K<)Q-2>iGPi#2= z(h6$XS%5}d9U!d};u>DAh9_T^fKpfU{{sHjx1fRv+D1l{WJch+1zM8jqLgH}KvO!P zk__Bp133dUk^*st7$`VM@d0RE5=1L#v>G}t<N+F>h4kipK$jhSe8JTWS}6L%qx0j7 z`Au-<l%_xbU%UtNKfPEE;yi+KW`Q_Yp`2b2=LD3~0OIV1a*9Embx=+kh_evNi3D*# z=6iI0df@}&v_oa=L7XZm#|TV=-Id-1I-%<T$ccX%;Z6)hh(2qCE3igry8sqF0n&C9 zp-l>+?S&9n;ing;z^cH8&u{$m|HUt`(oZiof$0k%-Os^Huv2OfsxKl$)4-x&o1(#@ z7j}YHalY^abHExGgOz}-u>dm<zL)@^LBZe(vbh1Q`qK-LyhrEH7X@JcPmsJSNIn6~ z|MWtl@z4JW(D76B@fOfY5TNTtK?kE5fF=hN;3F>=YHdKxJ$4Vv8^w;GPA8kI#fg$9 zj?E_&9Gg!nxLTYj<pDPZLCwdiveU<0R2;wql#t{C;(|wWAzY8nn=kSk5DtO52W>nW zd<+rVd_L$b_|{AOeIlTe3VgL2=)yh21FzXVnvV&9jy~(I<M8Qb^RWEQ-wT>=G(6dI zveX~aU%Fm%%cGl>uL88>l=pwRG<e~D1$g?T8?^8eeJu{`Bm~&38DhTQ@;-n2Y)~N! zUg@Fv8??>j`)!YdzwJE~?}LWQGCUZ6g4Q%b=J6m)|G>Ejx=0jZRA-3_co_ot@=NfI z36K?0prhVE<p|_Th!+8%O$FP0R1`eG>l45WRbc+N0L7IC^wz-`l>+efoS?Aiy!j%d z9yCokDg4j>mvd1j%Td<X^}48hfSl)c0aQ{nzJV+cSOYn*qZdkdL-!-MUgGZspF9D* zCe5R_m=SbB2xu{<hvh&1_W$76fh-O<#v-8$YJeVhQAt56OEPwX?*S6<=?zgyaqI9A z{0}ZtZhC-L!<%^YM*jEgJm3Le5%1Y~(F3v~-n03jflsf<B+rYFd^In6H2)SU+WivT zzXhEE-uzpHzxgA``py{8F3Lj&KD{m~DNY?BjQ>5F555L<8zMjp<-damsT@F;4uY0W zdUl?C&2@~$z`*1GL7&cR9-WYNoIaKZYx8`1!x%j+f0cnRJ`V8c<=vtP8m<KmPlHzG z!nZZ~bcU!Xyik7vn!^K6)`FH#b=Rm^`1A&Xw<iUF7Gr>qM)m+*3J6M`F#AE1dk)ZT zL?6Kyz#S6-mBA4n;D-BUkKPcO6c5XP<*}fxFOXCP>N_zW1P{)F_LYNL>;f-5T0zUy zVXN1mXGB1j!N8Vdf+zA}$7n#Kw($rk#=-Y{R(SM^UQz)0P(%e(gTNM!fbVrFQBio| z`WWOx(6PkbU~fV%t^pnE4Dkl&R3DFC)?Tn7pb1@+8(ToDpgZA){dfd23~UZ8xIB6b z89^r)fVZ<lli16xphimf9>{WA*b->yYTK9dL6V&}z)9+bSPiI@hjKV;K*@h{$e;f& z`9ND}pd}52LMv~;Yq8PF8_=pI(AKJ}pv(@sfR=xoV8bt6{#MY=*WI8sV=cG&TR=Nk zK%!F)f$!iu44Q;J_`}|#`2nK`<B5(C6?@PMAyBiE<3)KkWH}jl@>9X1`6mNtqEdsQ zMBAgA)vFj>HhF`n<DfmoAZsD(OF#>X5v4}+PlnR-psAVfH$b~_>_KBuhz&U?TN+@C zJr8?yo-n)wzWk@*mp1>D!!I2{jSY~eUO#+M5DdD{4z+|p3m;EV(SWi)4b;R52W4sy z1H2I$be;|<CG7w$b9ikGav?kqdwhS4wxy-F*Z?%S8}86iV)-Au7pC*FN9%$56Ch(C z(~-Y9`TN!~GBAJ*<lhFlm$Bt`DeG%3P@&s;piTyS#(wi}PX6YZ;EqcPOPE8$KZBC{ zpp}m;mf*qwQWk-X1?L@TfdyVz0V=S-J@alKm5O65l6oG^KREf@!K+n!Mc6>|_8uOV zzskcwWe51y2nUZ|5kv6#(Y+xm;UEPZpo}8$;xwp|g)BaWE+v61tb^R^1uD70XLf=L z98gmVvPTV6)<7J^8Z8UTb}Z1#d*KBO$OeTM=k9}Y3OL(=ccH+%v<19?^kp0<GJ9Di zU`Bv^19CCaRgs_qMd3vo*c`ACp#6TJ0t)qd-8m2g!Tof|vRRmC5XA~4Z$j!dP>F=@ zr5i6n6_!Wm!Cjz)@M2K~Bu|1lr4^t&`9I*#|Ch5+@+4Y%(*^YoG1l*c;|#RL4R)s& z?8GH-dI6v2(<_>34BAi!I$7tqi;99eXq)nTQ0j%$onXI1?}*^v^~j#Tc?(Fg6SRc5 z6P(YW_ZNUFx_*$<_glMl!C5gxC7>fl#oeQqb-gq+u0UJlKzRUMy@S>*gRBItN`E19 z4-{jdxf0O%C~3zT6>^U`GTDM^fdJ4JFVM}?$2@k-Sh~f`F}|P^RKay#@HqHN#v}6= z!>L);9-xLrj7osV!56X~oyQR>JwanS&mk%gfmC{G9sso!B0QS^vG6y6j?&!$+O-F| z@5tSuqmmiCVp<=xtm_h_zJOI+AYBrW^z{z9@EW`%415`&g-35Bqle{X{`PeY3=GFu zIP~Df7)T3vl>vA$CHNo&1#sl9fSLkcMF=)U1GMT16hI(7u*w9qj6GKxvRwx}pNvoi zyZRM;LyreY6Znow2~c8Ico889(FAp6C+vI^=)n|7J03u5QF~1}q(IRGyHo_S${Ca{ zIzcy-Ro{U`5Y#a6f$|_PgBD7^<N;+U&>l$ek~T<Y1nC4tFUUJD_JYRTx<So1c(U>V z)wJLw58ed|a(sqIFKe?T$Puu74cd|kN*|!?2njh*T)lXA8{`;p+63oIkiq{CfD%gv zXlY&m*zA{@|Nj5qtqr<;J0D!>odOlHoflqy0&N&w?fd8d%Wd#Y{fPY3>!NZ4(!K*9 zLfiNT+*Ipa1KF(73#GfEje=eeE|2D)4*b2KTM41bIsr8OU%)R2DFQ$=sF>gB0Lm4; zpzBm&x2<72_6;<+466Mg+YmvO1!(Gz0TiPepri1>n^ihN^*?B4@x>C5q2Q~z!5f&s zS7*UitV1Hh2Xsd*=z>X2XmWt2q0SZ+@Gi{G9_SfpP@gp(0eSc^$U@LA!_VT-_<-eI zkc7aCoSUE+0L3vZBtUmff)D+C5#aOZ|4Yz@dq~p(Od^GEiwfuhEJzT?DS(eeUI4Cm z)~L(?(Y;U_bnPLC4=unpfZ5>nZapgC{oJ4w`XV_O(nin#6|La2E4smf13l3Vv;h&c z<`!Jyb%PGB>ztzkIZ1Mh$^(#*-Fs9(gMglp<2OBz9|U!BTn#U|8s2s_eBx^O*46Nv zPxl^`1B?s|uam(St-;KO-q6<#GjWef1S123Z|6&&ZpZ~AKHYOvKoRECy$0MG^X&ZY z)44?D1ZdEvbBoFau>B<}1)xLi6MVWM)ssi-H~tn0dC(#C5CNY~h;GnP-U&XPP$N5` zR&?%BnE*Bqa-<Zfl#1}^v{3<N8IBiM?nBm(Ax>S=0QI#%?gV8Q@J>$yP<kr>^<)LW zh2Jh&P>@c6I&TZucu=1O<ZN&|0d!miC{P1H?(f{90v;~y+@s<FatA0|`?ema<>KG< zfP=s3g$x6O5A>QrP_RIPBL;NC;1ci|SiY@K_<N_zFfj1%dceuw+$+PtFu}9)h{ulY zUY$j3u6l<+fd@*do}Je`zd!Xj_)5Sd^HM_~BZF_}dr!@)9y?fTK4(3Oyy)Ti{jJBr zUtB(&FFX(awfE6{1#%-OKlx<765wNC@X>tX*?H7sM`s5Fcz%ED!}toS+~eRcRv*n* zKH0Bqd^BHx>;&uVTmyC_C~<)s9-WYrutA}m;nNB7M&}er6n8?}_OATf|9Ce32Q5J7 z?=KW&VDN1G4;p3S@Bhioz~I^ZUzxw(m=nwr=Wp4|%D~{+>;D3DGB1BSJ7@sBy9aV= zU+YQ!R#08y+5AU>zx5ys1B2s!P$Sy4<v)MRRL~s;z3Gg;mS4&_kFnTAy-WrbalNcA zBGBv#xvm3r1SRC!$P^WY7u&CaGA-y7c~B|r11gVwdJ`By>t?~8gINtb?i<o+M#O6d zj);VW5qLn(6IA!Y0@&mGOAp3VppXT{1h|#hc>=ueTJsbrrS&?1nk~KIj6R^b*u_ks ziqlRPl+?g#HGhIS2awI4Eh=Ck@Re5~Dv(<p5HSg}2y~nJFIErGOwJS)&{2n=S_M*X zfDXTSVFnua1Ft^n4pA`xRTUbbWMARY{7;&{^$#dH_f|1_Sf1x^pT`Jtjj)wR^FR3# zE|2DaQv9u;%fmdH|4Ej_do=%(D~a@I{-;<H?9u#Bro<N!h|m<$?E<=a29hYy5<Von zJUZ`rcK-3~JO?V)Ate`RKw=hnLi?X0f9q~g+1?w@=wW%PJna~ZwH5e6D^ULxTm~a~ zzq<z#Jdp72JPi)xgOHHOK4s&fc^Z_(kpe;&eBvAELcDI!Q6$|lDjF|A2M&02?olxS z2LtObL0C!x@9PGw^o1-r1NGc<uYgh#=)ONtN&=1ISa|dXfJZh!+F$yC8U)S%WcXXa zXNYwEdI?&33voZ_P$p2(4GJ-E9AzJ{0htisp?MJGF(hZUfp67BaV9vvUY3Ce@~41L zaQEnC^+R<lcs(?D`B;sL!i$5KvADGY+--&&Rav4^@lp_EVDmo({+3^$j0AT22M9}% zzvUT-)p`BpdobMtNm{+E*9Blf1iGXQeBLW4vw;$w!V533wJ4bl5=79JLpRhIVl!Ea zN(HD?0`(q1)e$JezB~(_sP$*`vHVyrbd1GL8+1Kt=P8fQpD&Mqq&lI;=k>Dc;Bu;u zio%Qemp~ze=2Q=Gxd2I*P-7@@DyYxp+5AVEzr_@^z@+()B!7!GgeAq_q6lHhIPy<9 z{!$9W>Gi+o(fpIKOaPWUJUjoqtOHMwgIcIHurdJFN&wxoWABlC0Mxsb@I3fO090El zfXmw{E-D%?qCfoq52|LtS6LW<%035B0t5vk%m&av3aIS^Di^>v4ihNvK70omxdePq z9jF#-dCb7T;M;3s<I}kZT*v!@rWm?GMK!Fl@7w}zM|kwI*n#^8-7S#D2&kzBa<IUQ z%|%FqQrHS)P}>&2!$1ROpw@~{H?*nI4QXn;v<G!KdRZ0tuqIodPEc-{d;yvkKtW^y zDvKetI>ehVjsE}tk5a~iECD4F&+l)17$5m`KJYyF#~!)J_tAU=F6%*!6c1Qg54u|7 z7z?7T_tg9Y^1cW7UPVv|2fnrkQNnqCf8*85qvUb$Co9N&AI*oLRt%&{0A+MgM+02K zhr9x{cfchEyo3ievq5Hp3Kwv40_)2@X#;8jd4OvRP(v1OhQKROyq2g~fYOTrsKC*n zG^rf@3c6Tl39R6l$AdKnAO(lud1wrP20_3n6<W3;3Jyr~Ee3ShAmQ2>Vk<`3QTy-z zf1h4R69QIt_;fx3WwSz1Vb}>hhqIUU12<OJf+9@eMe;ce*TUKqpkx8cTM*YmjU%=U zfz*-kk}evQ?i&9yGBPmmw}4LT@@)JMS{uXP(g<3N2W?}uo(EN);6?(hfbaoVTA;WE zcSKEIP(5y6eg?%YkID;=SWvqeRQZ5U-D&>C%->Wb3=-=BH;p}d1DQd?YT#zG<*o8$ zP(}r{o%y$0xbSbQU`p$>;dAMz;bTHiZiMr^XXh2q&U+{&*vkO$>_6;i>K2s-NX4$m z1&z*bSj!z;dx86j;0_X~g6}y4iq;s=hAD8p0M*?M^$GOA)|XD;+oX6@Uiid-{0SP; z0UvVNJq7Ax837MahXrJF_ZAh1&p-3GcY&HV-Fu)t2T1V*YI}f+Ef2<D-~mJMU{xn* zCHwqb+Lejgpi`eap`(tSJt`F-r}nb`;eZ8F57d#Mo+o(73{u5|#zfLjg8~UO_|RRW z0xlyUV|`Flpn(A1gX!7$AGB16zu%1++$CTEC*-4`Irzr^tRN2begkOVvUg%bAR_~G z<ZDMtXvOlGdtToK4SOAYB?RjKSaC9VXkJG2l03e@_F%jPo>n{fOTa_(7AOo~e2oT0 z3n*&4LE8hm=Rl(cbQ|^-a2*9I;UUrT4;C#gP#^Ds^Z+|sAaxewVMzN8WDuzR_JSja zcF{5g)KZ6?D9|}Y1$5b)M=xtCJJx7HohP|)5)>`q(O>Xn32c)+)D&nSfTIOeJc0U_ z9%P62F9C3vL&Li@3KZVpA^?<Lz(qhRXxVyq3pC^dP>O&QcoDFzlF5aCyJeb7rwyA! zM-3a5$^aiwL%$Pt#(od94B(Yz1BD~#L~oQb0F-|_;blO_2~aqKvp={XfO-LRo-inr zbVE#qOpV|ubHR1;fk#v?b4%a-|L@uOAGFSjzhycjI7@++p76JdfjG^-xcGZPSqxUe zRUBinQvfwCK$RAx_XwJTgmg8)6@xVO9eLp`XoVZ=Mix+5gGMyL<t%EKT;(`Cj9pY9 zl|8Kbgf&fJ)hE1Z3d*vOMwttNF6K*CP_^0l=OrVkKZX`ZC~Y2@hg6TFi=eH3IP3%m z`HBZrw=?z)I9-4iD)aXrW&x)Q(7Gc2{*#cR7PMZ9zXjBC@NE1KTFwq{K!BCC_JQk6 z<Q|3(=%f$uI4pSR`SX3MJ9@?I|NlX06LjDwENy}^A*j&;8vK4y54JM}G-6Srq5*A~ zLq?ZD1sJ5A1sQfAw(nx{`u~5==HDr`TF@-Q-ws+T4az2-&A++$d-*{5sJBLi(Z}+8 zd8N}a7Bz7G!RAJAWZu3<^>CR0YKM0&f!x^A%bLlEl#f99SOC;X0AKv4@Z!#4jC=%M z$O7AI-`xWqn?-3L`QRC%f!GRbG{5`<YAkm?fB6&CGVW!)$AIJ%P>?{J0&<kXi$F}L zP%}$Mf|fKk{s*n<;cu}5#Zu#c(3%eZ7SKGqXXAfx;%_|)u0T-}@(Yiht5-pQ$M=^$ zjITTn{$lp&d<`2e?G*{~IQWPO)UIf~y^9CbM&oz6g%~;lNA#yVRFCN8FEBFzXkR)g z1AuFgI_leLjK$7_p5JeHw4U_j_c`IQgZuj80$Z-@i=ktSo#&u~YHXkmWA>>O@G4f& z)_1roZ``JO$n-u3muCW?wV{0{AWcWms!RU9Q;_luwC<X}6;wrdHvi?}?^z8RZRkyB z0u2mHIPMSdWncg`DePe7#7pbvpx(+7$dyRFtRnx|q1R<%shrvm{Q3_qZNRMnM3Dos z5G5}WQzt<zh36^Ih>#D|sREAs9bry=83687EKvas5_t5o7XHQK#3%cq`5x*{ik%3u z6z;?{Q1#jPAG9QtzqR-+sK~?;$OXCRfqd;LB#^;Jg7mVk|AWT|O8coC$RJDMK0piP zM3__IfegO?sF#%opA+l%QQ3(gOW{t01~O<PLum<WJ?H_id%;Cd`3<UPFr6ppMGwMG zaM8m|eLHtN24`wMP^NDA2pPTr?T*6qJ*<fa_I>4bs{3B=F{bZfc7lD+N_{(bJwmtB zrxT-Mf>ui4`bqPZ2ce1y?AFL@pzxt;D~azBXteW)XXiE0Koq#62%j5+lr->Ed<hhk z-LN@z$Z6M%FHECAv!5<1pu5afBtQq0fET4|`1D3FdVm)UAvH|E-EheG7_?W`4H?~g zc>x?|TU1_v`dyuSR6c;|DJnlebT@RqyzvNV8vQV+BnHjY`t-6``E)|&%|P>Zpvh)m z%M;~spvfrEV60DP54eNx13C&5)Q;$7u>ucDL#7TvH}0s#fO`0#6YJp41P?kQ=7zxo zHr;!`(@!t&KLkbX6cx~N2hdWj43P7BSvP!#7Jr~+NFX7|$PTz8<f8()a{h(%E>Icc z0~&M%udRlf(!E6mY)Us|ME#{Zs3QaAfGQx6uY5ZHy}bAD|Nouf#&S~dA1Es#7_@lm zkoKSdFKh3kU5J8XJ%S5pLbCA<C?GvLq2Y?Y9-+CygMq&_7PQu>+e5;mJ3zprcMfC? z1!$zXSN2vv=-^$@tQlze3TVz2G_VU<lmlu^X@GhYplLFO7pmVt)mMwk3Q(|s4}}1q zEoA{3Gz0Z#L4(f@pqYFRkKR4dOU!IkJbG=*z;=M9(y-Y98jS)SVGpwV#lf#Ab{Kec z2Uvi*31CaW7y5OVsDLdA09gWBY71UJ&}%D*#S+kHJ81DPsMU+)kr-4<G(13OS%4P_ zbwaN&>js}c2|6CD7qYAYT-5iPuIU4X7O3@s@Co?xG0+0;PEfl8?vw9dKt6#k5_14K z7JTbsujxA%J_gWHULQb5y?uBQ9|lUcxeTBL0uIGa=u9Ex7P~2sDNg7ZCTMCJ)SCuP z1_XdOkZcHbb>|dl`U9<|@0<dit!aUdko7>;M!*)_^g?c11=S#sv(>=|s2z7vkpMAX zy!ZfdK6nWg_~LHJnwA#Gau$qy>>=RM9U$S+8>5l{9(n7PZRiDs6>7>tL<nd)79Lio zKZ7iTrz{7LZU+yL7r{~Q0AFX;YZ|=)WF{>9c|nc-PEZ{HTJ;5*F##V@Z}6fTY#!{4 z8_?_vKF7cC(fITK<#hP+sxzR%P5`uC)zG7xce^`i>8|Js5Cv*IgQ_=BiUhB}gxCkl zG2k;a91er#hB?4%i4FLhE;2AMH2kvUpLV$AcF7ix<{ymp>HOPbR3ut%m*{wOi-v&A z?3N7$QE;OnN0ftBigkv7b`Zp<I2>+1bOE#w7@U;<p8y?x{{4mrVj(gp4k71IK^Jvd z9OmB^$=LAQpvbr3w<T<|X$#btUXe-7hcEDNyY0~{5)5*?f=Ba#e<-Fhc`%;9VyfY{ z*V_zlW1QHCW50<4_`qBVP}df;$AsCj`M?EN{%r?5dwB{#yBL~(FxSd?SRUf<Ukp0_ z^&4nIET2cONvDV9g`$%$w}4`|^+2hqM>ngM8z>TZwL#Qz7ZvbPTcFYjdIlECdB2dY zDE!+>R182Sx_LDJV0Pf23fga5y2ZotLU|Y{rC4}q9`XQfKDY&0iGRU^@dn7p;1f_h zpy7zL0p9T2>wWy&Oqf9{kc)U78vcQU^`!@BJMuT^u;RrRmwZ9%VK2VO@<rYR14&Py zxrW!`;DzLeKx;+d@pllkmACak9czQ7LdkuQ<0L>XQ8)}<jE1tG1AIROXwNe08B!n% zz$aW=fO3rkD0zCkX7lL0>CySw19XNp=zJ0WZAK0a{}f6RKnDx!J6IT$YI*!W?a_J9 z1G>Yd`7tACRQ~@-q+2<fAA(l8zuw}}ExODF6bG`)K@=juK?m)FVj=^y@L9p5`G^L1 zH6C>9OY6xx)&>iO(qPa4KS&wJi}#>W3GfYLozM&lu57^h-2ki%QWA%#aJ)DSRtp+l z0&D0lQ2{G+059G1=oS?QxusiH1Vj;V5_ofjk4gkIW)l$3ec`L}=l{zYNK52V>e~e% zH&{Cg@VA+mf!F_m7vgoU0WZY!=!MeVEs%CjcMrJTV|WQPcg6s&cDI0AK8F8Y4G*{) zo`fvc^E`e8v~th$_)!q$YWU6b_;C=+@IZ%)N<8#dWzae<P>ldOB)tV(4H%vTm1Up~ z34{e2b%n4%D=k11ap1-l)Df@UK<T0YRIz|&Bz!s#`*fZMZA<~JFZAsE=+aT65)U#E zRK0`x+~5T+puHF1g@NBeYy7}_Ct$Z&f>w=z>VDW1M<?v^(k+n1kez$LRSu{j2eJax zW&^FDbLj|CiG!{`TLNA$hFB!H1>Cm>&E{vo7cjp#vmG=q4n3(@1C*q}OaH(}+8KbF zjG(13;PXtr`&b_5Z|^r@VDPZ~#ot~HVt}`9b?$*Ix9Nl~T!Ah?1T|H_O|;Gu(0p_Y z)ZL)HEG;UKTY*8R#&?6(qjbaWHis;W^3X&scoo508Nf|1#v7pgrT{V;QZ<8`0icD3 zpz;g6VyX2%f9qF6NaMP~7qsn!2efJtR?$P-y^j3bPk1!`gv`9@34)G2`l-y{zXEh@ zeDhC5{{Fw9V<Vb>iu1Qhg4W)3?tu(8HvePh?_p$NU`T7U4h`XN{mR0?&`{0f%s=H| z%Sry0=b%<%H}8Q-QVbr=$NnOnP|*C0*@N*jXi^6hOQ2>qXsxLW|29x`b%T}*c0;2J zx*`-bY?0vtT6`W4@-4{sptuHy8*J+i=z5{4phVL8pTDIBv;?V_^^Y|dc--bivNPyp zM^Hl$mR~yEIht*Evw-ic0v(M6N`{b*13!of&Ox3263w>LA!<OO1CjuDCOZAWD<nXv z!K2e(quI6yq6mCoFl?Dwr@sP71K5$B{ua%)z92=VH6THd4?H^k4Lpv!gAZA3wlx4t zx_KOT2d#i+0GAdmDiWZKe7r?P1Jri|MQbl>hA5~N*P>zolIq-}VgaJNp`}6N5l|s< z*rV6q0aVd-p7-cHWO(4k6I)R2aQ*d4k6wQRxCAKMf*kVVC`1~(lgFdiUjao0Xc@?h z#ZVR6ppHeaKX_jx*l>^;pdfkC2vq?(%oHRD3d<KcwtxP=Tnj44dRYsspmA~C5o=uN zGlSz|J}3&I<%mZoT3m2L)I>tnfGqaFh>K@TV1tC9ia_r70L2A#2{0)55pl8;q8PL; z9pq|IaCmgOBi(|-x~K)z@&j#e0JXe9@c>c?D%U`DCHQPrP?-bbD0uX;u2>9F4r%p+ z8j3H{UV<8#&^pHgR4RfxmL8o>9?iCvAp1+5JUYD`a7THmFmjYn!4c(E4j!E+Ui`HF z^Z)f0NIahhxfJB37muy~{C}wqi99UPd&C-?K3?7cM-{f{T?ADz5u~EK1zgv>=mnV? z1rh;u={iePI9|-s2Tkqzs0cLFs7NrBRD*mA-e6;Sk-yy)B&m4{yx9cW`Z)#4{h-pz zt5=2#<Xmucbwhh1o#%)w6uNuB)fA|R23>al@)~G`OSf%~4XEV+>D_}|0?x4ATOdo3 zyL-Tu2B^LSIRI2Yfm}+OjW2V4|Nn1z@+CLO!sdf7Jeq%U^0zmF7A%7<SZ<926`0Nc z7;BOmtfNX}eR|#g`*eav&^-_Su=i|!!05wx*rVHYcCQq8{Wqu_^z1xAq#HUv!phK> zPk(`iU4KaPw;F-UgXVv1wLu=;w%OL806_IHnI3Ka_5XiEHM3O-$XsFSnX3&x;l!KK zqqmyHqxsk`lzM{AgYlkEFYm2-ZU#`L4(fEm3x3d!T2LPE+yhyT0@_&v+I|7bqA!Y$ zL27dF<zxb&wgza(8oVwa+={sbW=;V$|8IL_pS1B{ya=Igg3<{nJ@9W6YWSsHnh4_K zN;jaq1qx13d4eU*JUh=K*Ickf+Ibw7&|m8P{Qtk<mu@Mm$M>7Br+Rd=?y{6-c-;f) zU_-{yS|F=|K)XBOew%g_?l;hqj_w{6u;03;fVZl=)CFbp?iOgEKrhw=2TCkLBY5!z zMB_`)zC2IRRZ1;K{(@7HJbx>AaH{zqGdLBgT2d_)*@D)l_IfjdS_B@Q@I#=$ErNSK zy{sQ<xfy(Vd0*CYGx&C%^6fn6+4;k#^ZLtw5SK~uw}1!2n}5ha&$w&;#|d_0qXpI6 zIP*JFO4p>GxsG6S*{n)ecz{Bnx0=PL*BNxoz<1A1_(5pR&p168?|F9q^65P9+j;Tj zH_$|8^AAP-7D3Q7OY;xO(mIdkf9zmS_n1@7(@Q{m&mhiX_UUzI^=Ll!6UABV9*p;1 zra;^v$KO%`N@dOeSi$aKp`JTrP~747+qd(S=l7eQ2Y=doHa}$b>@|_}>191t4N4vt zUtWa-jud~(3|RTs()a8C|Cb*@g$i0h56Y-y6!6`kV^f-cD)G01cDi{U{2}1k>%r(@ zc@FGzE;FjdfD*`}&K~IarHl$_KohdN@db+xs8$6H&2)pt`(T%UX@H7<&;}e(y$A9d z_^gC0>I@7Xn%_a)l<)UF4*s|IQ2Yl{04mfx82^DPTu?QGrMv<S(}UK}AQxJl$2~gd zfERCr3Q<V22X>biTE*J=8Pv9d^ywf~&P%^9|Nnb5|6{84200bEwNGYj{Qdm@e}lDN z=?4C7-i)BrD3>?<v@Bm_c=9!~N9Rva?OQAD(OU^V_fiOS{G25C#LFVkDI%6-cfccr zpoWS^uOlO<n+qzrK}|P-7Yno?VGJF2goUvI$l+jBpoN&ACOBw&cd8mj7=H&TBr}Zf zgPa0sMfN}z@pTd(#;-tYGaG+`R_pNhgKie{X#B~@2wDLQKH(86)A|<NwPdZF3_84@ zHwjFMCW9zY#R*yYjab<RI+kJIE=WD20XhxD0kjSTJS=JeTc?52R71;bD5(p&F|WHs z1=fj!R)sJ1KyL45odfD4cJs~!Q=)AksuT6B2+&YWC&*Enw0G3ZkDxW*oqxfF%TGrB zHYZRsqwyyzI8YaY(g3u#+&Tl)?CWM_0lB!F_w7Vb*owXbQMdv%b0;WJYgCZJ6V@8Q z)zUx-OWYk}SeSy3ZR%z<0J)-@R}xH#N`WX`Zo0YyG$#n2F{n`i58@e6*-dWXbvDp4 z&9m_bXw@PA6ws<=&X=I@08M3dgLb5XccOweT7kw2%RyNRoGrTdfU^ax?DFiqffOj7 zoky^iTw9>U7DO+?N071!v}(BXJR-~?J&2ccKubYe57f)=15FD#w0z@lDf|Eb|32_t zKfSiP)!Ym(OTl&D4;}~p`Nv-0@o4-BURl(?A9Q+M<4^E<xqi@H=RUoiprufr%|Ds= z+d$_hdo&&d)#sosJN)f2KS4c**K8jD4|;U|16_zytLNGH1GM(8RKcV5Tb*=+wL+<w z$NzIE4JAeo#?P-$dvtz(eGFcjc{ct4+X@cR=g`Ib5}<4g-oB^c136a(t-QvUWl@tE zbm#?^!YaV)N`HWc2>DyVi@96B@%Mo)M{lrJ;BTD=$|1de|2;a-c|Z<I^8k<L-h>Ra zm8fudHvRx_{RF$0{W&}Z)PNRux_}mmgHP&)_5z7_CrZWDq5^UtqDq4J{^f4adehFE zkb@^1e?k^Cf>k#EV*%IcW_nbs)BWE5|IfdTyWyt+f74vhq|8rC{%HqWPL|97#~r9i z4_+^M7+fkqPQUQ!b@=1cdBF4g4QL;c1>^@%&EnZB!{GzI^s^gu+zqUkj21qgod;l< z12q<rLkAj_uuSoC=^IdDe3=AF*^NKJ%jO_gQ-UgQP>)Yhmui7x310FlqXL@X1sy@j z@Z!i0P!fQ6-=o*#AM`vW(CysK51C*+bkJF&-6bjlpmZ$(Ixsc_mU|K90@UlCou6S_ zuCNsiXuby(GoZG~%lWTCC4XBEI|GAHZ{G#bJ#EeZTz&a{Pxy4615HkJUU=ON%kQnT zzk(+6p@rHp7Zm}97uKMKGTqopvjhCSph0twUQ^jpZU#_Mfz;tgF3s?{yR!v6Y7N>0 z0xlLiw}6X9SS-T)fum?U2-@`p8kOh;m1rQv;O1QS9&kDNG8Yo};8k?}$3dIadpkda zyIr6<@Hr?`Hy->58dz=q$;jV67u2+ajr({u{s8L(XJ|9<A`d))u#XL7Sg&nE1vhzt z0P4bnmQFwdfu!<%52(Ir{$axp4+O9w&<X|;2uQvHFFykNDgm^*1SM+07rH8Vv>vFf z_2@NqE5?Y{3$T1hXh@q-w4xS-9-wLqT3x*bEzoTK!NcEQ35vdMSb~7YX#r@FU~eaA zN3JI**4n;6nlg|Dk#9lK0L$PGnpDf+5g<qP`u#(x0GK=&Z}M-KPHX(_=v(RsnvITg z1RYQTPXUgdw?Ktk0@e)R3hIZP28|8D^Dd+sfBE|P|Nm)?zXN<rdBMkLfg1fP@vm2U zblc`?NHc&ff{IP`X#5FTrTE|@v|j=$+T1j#=JPmEU54A|iMiDD`NwBqpBLm}`n*^j z-RDSY8oV?XoThuA=U#wE$-whn3ZN+^@TG0N;0`55-9q%(BxL0msOkdu2s`iZ2IqQM zXR?=fM+s=89NORMhSbiWk_#TekW~MY>lrAnKsh!Kl*F5VGS#RwSm~9@^KUC+1kH-` zH|aBhPqzlojDwnNt)PKDPyvUWw?REl&}=2FvLHTlf(!0W)aE2;7!qU}dfDsQc>>AL zVE;h<;q(+#UBDK<^g!2tO;G`_67HO%0$F6#0@?iv8u04|og4*P1@^*Z_W%F<+d=b^ z%|Db%s}XiWj0X7>RDZ#I2C6VYrh<G78ptEkr`<g&;FUt1J>c`Y;NIxo19scXMo{I} z{6m?)r5Y3w-7OHOgVw7tFfi-_4Kaf5kvQaGd8$^Nf18Xz!*2urrXEnU=Qm_6O6fz; z$R=p%Eo2EDX!jRrx8Mt9X-MZAoDiXhJavQHfLm0+%DeYK!VA>-W)_EbzJGea2RJ~> z^*~<sWc=g-I&Ja3Pv<3%&eNbHAG;wz?$delB_rsjz1uH~A!{ctf$Fl>Z~U!l!k{}3 zLR8{fF7dbUgZH9NfmF23zj*k2?+JmXL=W+R+8r4lt^fIZI)xx}stKOo4}g}_@waAy z)F4*FcV6`T{=$dx5NH4sG|=C9$>ZQJHXqGH9@&>tJS{Ksw<riPFo27{mIM4PTp-=e z|84kNUkWlX>;Q!%=y(|hkLLf3{Jo%!ydJ%*`tr~Tv}tNsC(zzK2OSU7>IEv{aZR9| zf~Wy?zd+FopFlhAt^rzJ)NH#FqTo2FW;pH+IaH||x-}3yiP-^B&;n8b+vf_M$OIjl z-E5l<Qc&vY(aU;jCn$rv<C)l+^Me<B*ErF0nI65YB|EtpUI;6KC#+sCflPG$09A>d zhd}uP)J}f!MFA}R5;RtfGNEaB;KdE79Ox(n53Ey}+n_3*fo8T~b6pD+{``lWwB7hW z7c>yip9zu%%{nU!Ffe%R;8bi8aN<yG@%aAUgYg$=^bIuhcJLRAhvqMjUMEJ6<{!NL z%}OE+3?99<%sr9}F5My1S$vZ(`C1<0@4XJ%{@8qo$)nflKSbp_VbCoAA=CeWlxSW6 zC2-IdCg0XeHJm<{m-t(yK!^1}w-<s%eJwBWw^s3hPR;p&NH#xYpvfj)1#7a|{*-XC znG8{bJJ}RL6yQ!afe;1Ql8q1p14FZ|0Z0Kj+3cP{DA}ZbBR$!q&fsQvaYqiEE^sBA zy%1@v$z~x`4tKJthpIqHHd%78WYheMiNEy<7XyRm_k)l!V;5*y1-#7g?7ZX&+HQ2p zv-2{ju<-3X0~+=A(L4nyGp_Kr6mTJx85SUpENET4<pKVFVNhc1hAh?rO>MPK<^+|f z&~p}>fBfff6#z{|c0<o>1+4`sWC5L?rJ@4b<H_OE2_F0dt&{9-fv(d9RXDJ%Vc_c; zyIY{=Jb{OzS)Ue2F@Oe7EnYk}2H6HW?ht$z8~A`V&`>t0Eb455Y@zOiu7~E|_0XQb z`3-1w$i;^q2Vcs2>}aUpcpWrV?!kBvl!rlG32+6`4O>bI+D+XJInoQXm`lK89|LDz z$45{##P|`saP38c9mEL=4K*qn4E(KEK=Yv8J>a=skLI7u{C!)&A`m0f8m-)Y`CDf* zF)%b#F@^9?Ioxuazcm%40=mr|bUAR1DJbvosJu{Cgyo&iJ&;wcuz&=wBPo6a&O;&~ z?XYR(PJWMG*4b|$i@IMtQuzL#e;e!LFT4z(YJ{W19lUY?RBL;HjWB>10Xn=2R5F7` z=%LFWzymDMRSuwY5Il}Mf~si-kK>M@acPET+b<8n)`PF>?W`04oev1JHw$8~>~&rS z{%yRXU`mwtI>;5W|E_^3(3;jxxsEW74nNKgH}D)h#1;ooyN$u)xC3Z)7DKabAH<eV zppw6{K*IxchZLyNXz=I^aPZg#T1EE%|NnZA&HxV&*plzoll*NAprWmpw_ukPgNNk_ z(Dpk9kIus${4NKZZLJ_?wu8+K4wwM)I(V@>D3KIvct8`#E<^&k2%3fft=#u$Tms&4 z|NsAgd(iMXXpK8ud-oJ*n(Kiqp#dH71Bx8bf-=yClJEnd0|&v?4#v`%>Hx?Ih%eSj zfl7-L(Bhy%11$XE#dN6fZ%~%&4TP+Px&ER->d*g|jUX}TaWyaUKq6MiA~7J5r=Vs> zuM;ykh4(uC1?3=)7YCPvhCo1hF!KQD7`B%sfB*kCy!|p2q#BwJUM7Gzy**%mg9p<f zx59F~PzE)Lx?5DhIj5JGWhYWt^Sd0RM!15*yn71N?kUi4?NL!6GF&?kzEt=F+VDkw zfzzS_8ZH8bm&J=h&{`7kZNjh;#{-lyzze2`k8Ph$@?FFFEE`t*J<)@dJprIq*pQW? zpoT7Zzd~n^3d$PrUg&Cba0?$=KEt9hMigWYR00342lo8U`@rSo1CN6*<UMvGvH`f0 z0gi3Zp#CmIX?Ot?JRC2YOhG0=$6y3N<7A+TJrBze6$Sp*Xpot(;<WWPe=8?5QpExu zzD1;^9ow*^C9u!%=TWey8<&7LZNTy<sKE=$qhLAE9wBi3Kyq4|;L*$aP#;t@v#7l2 zkVYz+!H2h?6wNp8fXhbEfCtECSh?EE%J3XoG`mZKi)M}Ypwh5L1+!?L3NZp)E+Lif z-Ov+ap+z&;YK)>e5~3GkEx2fY@eFG3c`2~HMHj(EbLK^m^`cQ=Dn^Baf17DIh}|jN z;mzUDQOw!l3@)1?)}WWopKgPF2`QT+h%cM7L9K*d-YZ*>;uuyo&x4qWQZ`c<?_lkq zL;u0?PD$A;B#NbMzVYI>2t*jIY<?p0=l{zF<dXOjNCb5KBB;Fqik%k+Kq5~-1+3+b z5_ZD_FF$}LOhLH|9_QfhDrnN`NaeTx{M*2}3wEHu9B@P9<!+EBXx1`3@Nx~9+X5~D zUV7px{-9^ec7o^jp`CB=Zj5foX{DeB4&;{07gf+%YP6CDQtT037<)GV;^l9yXJ=q= z>K2*q3GZ_xgGFkl|Iz&Ak$mXoqObq|dmjAF;j4MtGxIWN(W0m275*s)Ue<slIxqQl zo-sV|G9S))z1*XhwL<`!G2e^BGA7EJTlbsbq>8tjsti$syT8E%QGmO@@$d%N7Hs_u zP^Y%p_5er$xW7?<fKY$q>r2x68=42W8D8WFfs*5OT>TASh%~f;fwb<{@W2a0s2uM8 z20v5<N`K>*AgsU9%leNG8WX8vSYu-Eb#NHrjft5MHMnD<0-^wSOoT%eV2cURJ)zCE zW*`ONn7DtOP)uaMAU!5Jk8?A;cqD)~CJsZSvBt!5s2uK?XoadkiHQOMSWI*tdZ`MU z|L(QrsgYy=t$yu1^it=;|NnIY9=+lJJvy%&9x%N0k{@)8XXmfi@4-Aq&>ZW*UmU)g zhdeVcLGs>3P~JNOp1-{4+j-FNz{~w0PWK-0-Ug4>|Fwpo8kPYx?gyID^ld%hxC=Cm z?aS{2-gDGz+f^;e0J>8n!K3rg%PXL{?#>^t&x2RiJGA^S5%6gK#Z$@!+W2wkB@f8( z&I_+UgPISZ{TQ#WgBCdRKvNaJ2-Z}UeifXmazI5qu3;!=h#EDh8q8rRC5VETpnd^t z7z%0qHh5?nJRJ4&3fMN#+%u>o2aW!Cpse2(eOd`hRG<zdX#F-H$VBjdc~EKlB6>Gu zX*PHdlLpA2ppb?v&7KD`n$XhhTThV_B>tt@aeRNkOS8ibKnIXQ&$@cy!T0C?OCL~A z7J22p;ei+WeBdPek{Kcg>1Uh=?Uw6q0q^X2!3$M!1X{C!*WCZ${qz52FG!@9^$!;` zu9AhY#?|i2;LyXr{{f-~+x`d0CRp(P2Z$or{s+iT7s&nxL|plT6hZbsK;j8y{{vW( znEek=kVBlv{SQ3gpv1EO0pySuM<LSK_dkIA@?tSm1)lv6P!*{AA9$eq9}Ew?xFGuH zzu|!wCq@7K-w7J)c(Gp;bWPX=2xk*qcm<p_58TH20J?nZGlKa-^w0knixK=AVCDso zs<U9`PoK_1FPcO_ySm@J{r&&t@{{mg-H82V;Qf5y{%`Xe1<<Bo3DBls=<qvew4~=5 zD06~tx9hnCVs(e8Xmm?8{&vm?4&iUz2)aN8RI;=j;BW0=1Z@Gba`!Fe0*|G%=z+#Z zw@Eep*5+>kl^YGeb@^M~gVtI@?_F>H!B#8mVR@pKkAIsCQ^Rk^BG!iAQDsj+J0|Nx zJeq$nm4go*18=tkUG)XN`Afp1`G~?{keU+QvY<_xpy4%;E=JH^JGd^EQX}v<P#fq7 zr-mx#kWz>#pamFcsvE7sJwqHz9=x96(fot8RKo)l4sG8+GZ|GZA*D^Al?xW26+vkF zJeq&7@wb4EdwdBxiW9u45Ili%8C2|mw-SQxBLEH1%?IxX0iE9i_7r#=0O^_^W)H>- zAlH^k?g04))Ty&Tw+z&S?2J+2cu@*Bz4-w1>&sx*Ve{2*-_+m`P#xk1Djq;F`qBl& z0)-i9BnM;xQb>bsejy?J=Rf%Fj~9Hxpfok(_3!^LH$$#jN%83BQT+ibpTOw}a<}xG z3{ZMX0Hvn{P=C0>12hVx3Qj~WDh2%81VHx}HT=>o;RIb9)cO_V`rg3*pbfzRpb4|@ zki8+zj~GFL&<VOR3GUS{&}c(9cwiDdR0&$g-V7S>E6LpnPLC%`{XD?CYe5sg_6@&v zJ@{Qtc{KlgSu5soyahb51@bB=Wh8hsAIUiE(fm`q{t3jPprf^+?eFd!6{tLRUEQE- zR4fmduo#|v-35vs@Wmny9-xE$!6Q4Mcn6PFzL=H^>Meks3mzd2QE_+~0tz&&CL11j zu~ZNg(E6`_|9?3PX?N(EGic$R4GPodHwK{a)&PY!c<rhKIK16Ka-h5S8m#sBTVI31 z7`%(s@*ID=EJ&{TA0vMgxK!_T`wtr0Lf+YZ1MY<v8dG5dQ;4<4t(QuxJz5XcczD=? zE{mxJuNn04Xg>VS<NIyHZ?CyL{vY$`WohuZ_`t*RUd=~P_ymCWH-RG)d^H|ua<e-} zMZ=@{h{0j70*_wSf3={>8l(txS(PBj)txw0<2A0EwU7fesn1&kqK><$1b`wPp~Xcd z1JtQ103`#63&0I0kIs)TI>3!N(CvvHorhjn34lv&VF6H#%zg3u|H}%b7{T0s4xT^P z0PjC%^Y{<CV9~?!27fPT(J22m6DG)X^-0KO%$EG^Z6F`+hi<p#@v!_^@8Z+T(&^P} z;^xs?cEO|dTkTGdZq_~QV9%@tQJ}L6kURt4{_g<^Klr`Z9^I^sAhq4RO&|(W3n(3e zuM9wFvAj`k2?`Sf56#1%4cySZ*@`!ynGkFdWG)Y+8(HJ)qoDg2{rQo%o`d~_mR`Y4 z0o41@j<cxD0c8d7NFL~XZ)gSr4TOS@gaG*zc0$36y(y5Sh<p`L>&X&XP=S{KQVDW@ z1}H4R5evJgEJOv%^<^(EJp270TuOso3L#M3Tc+lLRyTrHCU&x@yx5u!atEyJe$n>q z_y5;NKqjE*=WnY6=d(8vp!^vC%AWxs-z9+aRyVYq0T&akC&9zw;3;$uexFkye+ay& z%LiElvJ{;2Kv!MxfJ_7($OkE}KsOt`Rs-D#06sSa6mjrP^@`x#!5*Mp!l1M6KZ1@I z@7oSaZ?J2rz-Jg(OaQI;fE=9x4u8;mJji~D7w<A5_Jgm&flkV|9;o97*=^xrd7`ZC z<us6at(QuqK*OEj=<S3qZ0OEW3Giq>5^>n0m(}zr<RHKoci2JAQ1D(#2M#Wf-d<k& zBv6*;@aX03y8&w1!6us#_gX^C0cT$55<!IF@WXG>mA^Q^1In_mp8Wp*G8L9(LBY@A z(aqt(zw4oWaSUjgA#{cTysEO-MAD=6TMfU*e$ZqFs5<em{8uM8!2@;v!Gpu2Q2{)p z$r!<L*rV|gn0@e>oCoJ85B^=R>^(XK4ue8f;PqWlsz6|<{h)E4&O;uGCp<u7yhZgM zpgY5g6G5jjTzuql@RhvBZp29MEr?^yK#QrMj%B>*VR@>K3tVJ%xTshhE*0?r^-k?Q z8lQpOV)=o8{(;v|4G+A22y%u7NNeZK*QY?|<OqOlK^Wip>Ggb&t28_;T~q}4=Yvcm z;9mZwbD)?5xtYItC5YL1!2_qe>p+$xySo<2-C+M0dxC18i;y91#v2~|yPnw>YkPEl z^0@fS<KR1a&{lEF8>ONijSoSdgNC=|MgBPlUhgzK@OmrMkDp%O0PWZp02=@@vh%|0 z?V!mvi2r}SZo=w+NL378APo+JIY>bO3K<Sia#sL#yI}EE28pi-P)OqRw=TNBOU2RS zXbR}e1&hOm2VQ@Ndf}W$=grrbu{!hh3Xo%<(KH3>Mzr!q5>z@gqqe_XR0=@nztnJf zfL00i?FGfxaTgUIP;m*)QlRrGdVN%U!1GC<1<9amR6!-G0GI*lE5BF*8YTv}x|)B8 z@V74m8^WRjGQ*>ncWp2z2ZIJjK|O5HAwC|x9&bRI3$%b&@q|aO3yTk^RF?4gehbvf z1MQPO><ZEZD%?P|6DY5}uv-J^j5~lr99-R0fF}09tFI8vvHvGL4nAP<=;fWi7_{3W zgptFen}rc%d<CcwLRAg9z;i1Hs3^Gq;P?NRr(i_^IFX};pD2#-YdruCJ~n<bgD;f> zG8v=b0S-RUp)-i1g?N8OfkFZne2`L+VDPoLfHa{5-~UyR-~-o=4$uIB)-s6TgM^*) zJYvJHk{uLwi|_yb|MDD4*nt8NE&N`A(gkY$4!*mx^*{-aM=!4)t2Af^xRei60lxSk z2?-RA383f>0GD$7&7ei{SZ93^Q(T~p;=QJdb3juTA4;-7b(MujcR_$h>$egk56cLL zdL0kT2#-27k8U>s55^Oq_RCI&lQ*UQfo7*6#|wcEje)ffSX4mmbdDE(QV<t`>pKgO z&Yg&PwG*#*d34?Y6*fL95#VLQFZ%BN{trIu78Dd<j20fy{OAFy{~bW}Ke&64-~kN} zITqybcpw3>9W^|_2NOVxPn22+Jv;<wgTsTr1u|a)zS{#5Cf?vMaRrBoy+=2jM|T{D zM|YWk2jfT3u4l+)u%H9$I!jb4Ja(Xj6r{rhY9*rwmLfR%K-;pw-P-OF&_&W9Tj36S zJ<p@_=5BEBwU_m|3paz|x7QOvaUJo}?*IS)FZ}Nk%8#HG2H4IU0`&&FLEDwU4Hodd zexTJWFLFV%H{d1Ood=Q6{C^0!4($;5vH;D49`L)+K&RFo{KW;jt`1aGfo{YKQStD| zJO!G82cNrg5OKQ-NO3O<m&d^$tgx~TbTSL5{LDUJ13uLWbo^O&jtb;7q8E90e*b@| z`TzfaaM}P7Xz3#XG!KhXUPDV{2hiP!;KpJBXsHC~yxrTN^OE>|Zg@2Q26egko68s( z7>>E9#216kS!w}aZQl6%1v3K!e>12@eauBAF3<45PC0O%{Heg-{v6bG?hOGQVh7q= z2P<$u(;=Yr4>|hj#Z8c^Zuq5<%^;niJI6e5=>%Q8*#hzixPAbyS#3R8Tj0?f@ZY2P zmjZvY73jt?7Z!V6Ft=R}WC8pvIHw1oni_N>mEs|fUKbH?xy1q6HUzpn0hG5TUQAmK zDcHdIQUh1P)_LglJdeiT4o>_G#p)itE-JAey|zCcxfwhxf0VGN9d}WQJ+u`(q_GpU zYU<_lfB*l30x5R~Xk9WmoL*iAjfV8HcH47<*ELnZMhrj;GC`RDG9my8^<K~b4k#sp z>P&f1D1&N0L}-F~E#M1G+d;JoT8M&s=eR-?CC!1-E-R?gwD8EjW#gd<zGYnE1!xU7 zC@(31nmiVuwtd@HkQ;g(LCXgf_?vHoE?9NpvCsAB^#MgW>~e9qBjFePfrnf`%}$s# zpKLrdKZBFRi`7uK!>uWE0^h3K{6nF*=$H$SeFiS$L8s%rfJ_2FM{5c^T2H=w49=n< zDg_>`mpnR8yu6IYKMdk`Uf2l=#}|JYK*P3B&IE=({~^L{a8?b&pZ~kSN+-ct9dK41 z1E}hHapU*@m(7qf_AvYF;E@aP^>zxNrlN&M=MB(NSqEMVf$wcU?9qAMr}L6y=K-J2 z6CRcqYyCYzMax-GW<GP~jA!R1kME#sbD4d5WhQxEeC(rn-?imHi6XdZ-g2Ns*r(U| zzpv$qV!!6&1`ZuH#{XY0_w2m!dJ$+D@zeva?|@V*dGrSV_pm%vEO*Ss_`e<~vOPct zM<N{p=h1oV^>a{8>Adiw{r_*!)%HG}CtuY3|NVag)GZ(i(|@3(Q4$AgUMhf^l^PzM zA71l2HXkr>>2Q(z4=VUN|9P}tD%0`ktpkq&!7tYa%~O2`B@1SsUY<#w7a#g+p75|d zUv%iT4rph(11LWTcr+i803SW50ZtZ{CyK>E2~y#hi{O6)kIrMSuXuF+cyaaL@Bc3@ z{6lps$h~OgA!wl)niqDQ35uG%K!1k|D6w0BieUJ?eKMdU#xqZWN<+}qec(QF=Xq!^ z!KIf)(y{ReNRqz?az&sMV=s?nZzqFU>Jg7d&~~N+9?2&?IM0KES^<=d6~IkkP@VGP zGH8DYxIhE-(2A`=wuARaSa^V%AFY>agFP%y*LhC>jc{Qs%>l2KY)nysbP{2A|AF(6 z2B=5_cf2viY+5hX33^zb=AU!0<z(qHQ2x_+eaP_S>yN!MlD)G*K5=b5;L>@J-{-VP zZ`6O+)&m~=K9@Z@FMv<{c)@V(H=g@s!Q-=1prRL}eFk1ikb%^}Db53RH6lQME&vH@ zo&fD};_$FMRd3+|Ixf(|@>Gowa?>2zH~{U30QCtPu*reC)R6Uo7>x=@S277y*5K(% z@;8GPB%*e!x?@x-K>4-+d`xO5=zy<I(3vQjr#zr31G!(U`2lqG9i#;aJ||G(MHQ&L zfyEFwh85tW_Mn;ud?ht_7&`!zup>ax2f5xFB&+e_*2n+<8){Sx7)tBmfd*EY08dPy z{0ugx02HDbAY&?^#(+x3&JY!i7n46gjVY1wAU6~tEh3D-1s|0R+P!%5<ylbm)?K0k z?ztk50lb_Iy6k!1<=_8bo`j6-gK{U@cyn2$NAnvCP+Qgj)Rr{>`PTu|lm{`ushI=B z0^i>)@ZurpiV#R!j70^sRwkkYbikb#sFH%T7{LSLA)sa>=t$O17tr~wKA>?~Q0>qO zj@TR(1CQn-7KdLbgPaE1{sVCrj6^RiV3*;7!dL-v4U~Y#jxF5}l|M_Zc?zBv0F`Kh z9+|hm^SqgtHd-+;cxYY(6@(XGc<eeE#mDfgam`bZ-p*?t2Ve1d9DFC>q4~)p`%?;N zAR_b9nm*9(lZzfZCSGh&(r3S&=JEX@=>FD=w>%EM;_~Q~ne35yX)9>0wdO^S9p>{3 z#S%VCrXv)Ajpgt-_>K!?EaPR5%uAsC1RzK3a-8_?({9jkXs?MBXii?k0d$S6Gbm$x zKL%<^^SA1NIPgW|9^c=2Fy8Xm4cEwc3sjvS{KW>^Gk)-&J;;viODP_f*ZEsOCkjC; zCjOSgponPxY0cl)31WfgUoLp;hVNqNyau`}tCvL#G!O$a=->|)56urApd-1PfAjJ; z-vw(kwTuSsWN>L?@l8J9V|l1X6I7fUc=S4hyW|$2Eia(W58xv=JAFXMXy&Lus}1mm z2+;PRZj&~j<YOM4hhHBm;qd4@^|BBY2A!ZgS3y%#;MUi17ZnFkyA70cJdo<1&QmX) zL8=e_<^Z)?Aj_0MXEIJX@KOXM(fQr*z)LPLt3<^BG_V1lRRl4>C+(pb2$_ym{_*?2 zN9PR)N9+fz=0dBlW`TkLWsLYm*Aq}%l|=<~<|-%^3V3LXUfV3gu)CrB!F&(U<zV+b z4!&0OXuVxx;L$BQ+fj-ER11Mud+lWSKCv9UKmk%j8GuR-3y@!-bC}(%*&y|xkw#F> zb<Tr-*E@SqWehok7gQo4DSz?q{O|uSJ@NEEHiOa<O8*0tfeRpc+D8RkN^yV|MSurY zIzv<hj=88vFuZsQKJ@}rc(op=jrZtf4OtHz;Qjsa+kcQ593ICVI1E6+)60A6D5y2f z0cuNwMu<Tb1h|_69kK1@opuN^?h9E?09_J`7_qPwP-kGMo$1lb+B*xfbP^PIpkXEk z5JNzffq~%#Qw%8FT~s8FF(`qG*#dC!+l}x9bReV?bjurPObetHynG(S&;Xg?(aWm2 z4CGYM<_1u@QwABF*#sE`2iKC&rM<n8j2@PU%MFjQa4CVtoIu_Jost9EYX_?OK)Vj1 zUIhCI;g?Pyl?abs(<3uM9sylD6QTk+;s+FW5}-O?;e|QaE8yeZK`sGb#0<)HppAt- zDiN<cUx=Rj{r{!GzyJTy(xWdVJ`+G?bOfl3jsV3)1}r`cKuMhglqxGgDGM5z65ykf zkRr2vEi^LqK43<s?h#N3fg=-?D3Br(90<L<Cl5d(6BGuZuz&?Xgh%s{gu@<)$UHCu z6b8`a$w20SB0>Nh=B81Q$b`BaG=vFim4Q4{0SYJqkWx^yADjR*KzclSS#y?voCXRq zc;u~Vz>K`kDd0(9&|-10lRF{l5E@@#XLskQK%Dzx^_k!QUrvLMd!dCls6h2-euEs| zu#Rmv7Dpp(rIC1Xa0bMW;3-=Lk6zJ7bs*=9sDS*&AqmPlh_u?xT4f7aYp&qY&6);f zYrHrE-829lF@;^%?Ez})2Y}i|86KVD9-aOi&9)cT%P=sM%6W9UgYTMzczp|GQzhKL z9?i!EUTlB)`~U0PFE*V1{r@F5a_NEA9^h}2VgqfAe1kII4_y!2dWpZ~9;gxox6pcv z|9iB4<L_M$66pk=`u6{v#}4>4OP~q+cc6W8po-={=q_|-P{q|-#0c_4frsXI@Z}c0 zB9lFOgSbI!)cAWMKxQEsaoOYhD^Ok7c@KQm;K5(apz9rbWyHZ+4}vaix&|uCzzMST z5`Qlj$bio4pfTzHr##>t4RF8b73k;<kAuHtd^8XF^g1zucGiNDhOg#9kIYM;wzx;H zh`2}ZBrvn_7l`8TSqnM<25t~&k=OTEh>d_U9-6;Adu;@v26$>-0CfU;GeDX;e}T5L z{y&cxZSn+#$$QZ5FHm^=hg=p6+DTZ&2-*q=Dr!76e|cnIvhnN{5%lN{vhisCWy9aY z2Qm(+Z+{&eEWbc^4SRO}1Rn<mc7_mGtL8<p8%~18Z?L)HlE?S=KAM-n+Cc6AU6%gK zqZj0cIVzwu<f-`^Y*4R=5W)@lAmebk;TPC2up5NIS~V|$-Jk{1gm42aZGd*ef!uHl ztPSi2$c{>o8<v2xvZv-BP#k;qid^>S4U+I^{w2ZRa{<)XK}sIbaPaK>4K@g}FVnNv zMg*kQ<KQD^PtD67yY}Y^^16WXUN4IjsOxj_h36jF6<3~}S3SQ!@i_R3-6!)CsQKut z`PBo`f&|^oa`A;{=QW4|R-f!&DZZM2Js_<`AI49<o$ovkzGd?5eD8ViDU+|}JKxOr zpf;ip<163HccAtk=$^6Wf4uz7pe<=Wy}AzrB^g}1bG9=0CcpLTHPQ0;ei+gKd<#06 zqw|4h=M_)`jq#Re=XKC_L66QG;B>Ef3$$Gjbhv%XD%c_|(B3`=&^}|3t37(%KzmV{ z`Fole85q2KS#&&l9T>sYWiN|`N3X+wkIVz0ky6jh%b;OUPtdRv<1HV?Q=ozLT@2=G zPZ=2)8bBQIS|(7*{6ZKMdEovsWS|Rlt2AhfA$Udwv<d|@dZ~FB)V=Mw2?}4!%ly5d zTev(lk9l;nPO$(@M}Wqul23vvDG!fbh_=utP-O+~RYZW6c&-3x?-f;S0gZQG01Xi{ zc<{R%nlJ%8gHWsi8k>a<m?OG4jNoCj0O;Hy$Utz11>DC4ch@{X^*Cgw9c;~g3*;m| zMCA>-L>*)~Xwa_{bV|G|D2+jUU7}*~(g4)RL{<bk(B4Od<Hc7{y^K)D0agdn!3a8@ zqw~YdI?z#L2mf<;YTozEybg{f%R``rT23H|&MSroURprz*7NMV{4x~OXYKsu(+x@f zFS-AMwpHEt?7Z}H6KKByND7iWU*>?f99;M8y!cWWCN%|I=)XMs8>H*JXXk~NB_L*Z z59AmaJy7GW^S4LmwU=i>O@q#hpdLVXjY`2w&=Ig6ogW~rVurWB|9kYZ?(BzF<GrkP z^FUQUsF%0o?T`NxUgRAG^(TMg8viaUN9(?UL#XvYiKj;|FVkyK^qwf?p3u$vZ-op) z>&X&+5Ae7OV&O?I>ys5S4B*yHFYCu^pz0H3x%w*5y(TUy3a`(3^s@eJgjAdwpmsE* z<MHC^k>CGcf{sQ(sn5%7u(=1c-oq6fVW4FT-5wks-Qcld@Ia0MlA#Spe*b^H4%Rb; z&?x5fx4DASOY<8EQ2R^()II|b3n+km@Zur3Zw|2oa$QdAN&c3V;FJ>)03PF?1m+Yt zfa*jI56ge`yguCy9-xEhd%!F2x+63^x(f_IHEqi_P(rn|=I>t%Vsw6RH9X+C6E+0% zn$5HGqfh5O&(2Rin#Vkv|1s8?cy@mFIQY)qhw+}r#eY7G$2=^L@i&7u8-XRBv3PWw z7<*WLuQ}@5dBeB!vQOu^*SkC|5AZh!f-Xfm_<+TUf13+4hlk|}{$@*%Lk~V+_2~8y z;PB`+0eMqEz_VLK0@PVC0OfG-@C#%d%m-~DQYWY_3JP}6GEz{RPXWH2v>SA(i{)wl zX7EO*gO5Qr2!m`8_UH}~7VrRtKy!rwL!E&~H#4$bpji*_5*yGGPLTcJ?2kOax&t0K z$3428IXt?#J-UMhKuRGyZ$M=R`1&6YP-%*+6LhQf%X(1s^|CT(K~f>4p9w0kLCrZx zKND$O2eg0+ZXqaHBboPN`AbmW^Po@XffsWiOpneFFD8PSH(qqU{QZBz3*ke*|G%7v zJX8ZtaA@fbv|tring-pd)=;Cu!N3nmE0BZtqBK03s|*<GqCL93Bs{u{1o*c(L*jG^ zI2|4I07Yvri-AY4gG7S`Lx~Y+-1jvLhy*PNR0fSvqbezV3<^Y~?B~(#Bmgqr@DfO0 zFeB)=5M}VF#UoaaUJ)ix9o}HY0MZ8<7((Rt<1Q-TQz{Ud4iva(LN792fCA{#f#3gM zzJ)j3!J%^oe7_EdN4JNDN4Ep$kefO&up^oe3N%!5FqH6l{6FMjd8p<c_%N@-Ad(S& z7YfJ-wD66F<mUoVe$D{p=L}GCtpMj|K~OOYzTqBxEd*#oG$fr*0k@kBZ-YD^&j_j! zd$mFNrMp1Dqq{=F!}2$O^EZ${=Y5ZZk6C?sS&V(UeT+GLx<!nAEKil51P!o&6JZ1> zg(rYIjSfDb<1&%@7aX8WCGbMV`5S0-Qi7pW2I8`AAC&-5BN)_l0~I44FUz528+eS< z!J|8b5q!5+1^9frZfFNV^BTx1ju$#mPl0FR1VBAW@ckGGp#Fw~N4Ja|h!Npo8OBk9 z(?T|og^-p5Xif^0qdP&js1-Ot-CA-K<W>t%=MmK8KGvcFYO;gZa%XrnA1OHO(H#Nq zDhC*z1O=i$qle{9{@$6O1PTwtpZv|>RVtn5Jq|u(_33so<nZa{G4!!KRC*Sa9>EhV z;QXB61K#5Y9XAEFW<VKS;Kc(+sC!B!LGGzQ1RH4OZ+DG~!|P^{1UTS4Ji1*N1@Jie zcni38WdO~}K|BOrXbtsH=LyjA{dG@48UF@|x%eq45$o>z{r_bLQZ7eHf8hEv0F?GT zKxxkdv805R6*_?lxnGML95SFqwcvpd@RAI0A=}IPzzI@bA>CH6qXW`0MJyvhES2@} zXg(5f_{G${*y>OIwml$=n%{7Ebn2*h^y;X9QkZ~8w?BtRx41`lyZ~q!eQg}LrwzJ& z!*(I4OX})zoE;o2?h=fkb+aDLKUiv|j=4)P!q$Htb5W6D@aWc2@n}B6ak!h2{{+Y% zAW=rRsNsPZ|8M>N|9YoK^T9u$9<N8QH;5JhO(lco_M0EFc=WPxHQOFv0J4?Wqmv!H zs@<dW;_IFlhe3MZzu2?q_kZv;382i5dH>ODi2pP|VXgoQbMQ5V2H^Y-Iz%0o-6i<9 z`Ka)8_^60C{A2*-67cmxFF@;OKuW-}4L=z`_nnA0{9-6!ZTQJhst8I<2FDyE7(t~P zc#IOh9v`xx05q;8;c@Vxf(Pe8P#Q*T2!P1H_`Cb}fAGanQ0Jqs7heT&KiU|+2gqCp z!%NV!n-0~w^KX+8Y`I<f0iL43i&R0m6y!#5Q(WQ&12mmL2Qa`RTi`+bZrG?jns(5V zUf42Ui5CakA;}E4_7{2}?^q&_Kp@h8Z;Q$YP?Zb5AL%pb&YH$I;C6H88p!z^y-@mi z3;1d}PznPlycY1K564<mKy4caaEAh1*g}+n_~6ayoe*^(K4{1sl(Q>93oelKf%u@4 zwm`dwK__s5HVSz(|7PLu-6_Dp06Jd+EQ90#sIBm1&fgj;z`)RG84{J5#^34*Iz|Y3 zb_B>=i22Z)9h-j$@b|vqC(2v_{?-nV%599G-8t&~(7pZOb_U2<poR#D1|2>EqCvx0 zh9_SugDeGC44@&D$IKp`Eixdb9=(3xTR1@r_&u6`vem}H$Ig18p$2NLefa(VKPYuK z)Tr<<ly3IuW_@-8)T`sY4x)~?fDeuUMFU7T_%Mmi78S^$9nh$1JOYYA&;}ny{=Q5; z&~m62$j-)ImIjdHKo0fjm5KJ~Wr^@$hMrOb-E8gA%W?xG20jj*xdn1gO|ORtC<ejt z&^-lmBSQBaaDo6eRC`bH5(?1Qav+OAqb;Bw>_OyEabx5UKi~n{$`l7m9$+=d0doHr za)9jc=w`io9ULIXKoki9vIDd_l7HI`kJj5eLECzEgT}r+_+3wfoCZ1*%7cGB_}U6s zih=ld57eLc_<QH`5b)<E!`p`6KqK^^{cxa20;LPkZ5zj0z$c6{fKJ;4$$^HsLE@n9 zGU&WDxP12<NK|!i0cS8!Z3%G>k~3~_6LtnDCqd%bqztqGxEpf22WU$x$XlR+I8a9O zwEV!|>qN-BZ{W+%K>h;V-~sa&Xd3w#<h(%!&@p}>Ina@+AaRgCLCX+e@*wAcPaExq zUNHu}SOew`56kcTy(L@(`~`6bNDnB_c{Cqn@#J5B62u3E5okOQ;x|ar^t3$1-}{Uc zznP%JEI?@;uOTS}3;`{5ISH~Jl+S&-=YVqvXj}o}oHgJS<ZF3_zxNIYe&;}LmuuJq zDOUMgi#Qk<Kn8)L(zo>qe~UUupfg0Jz^8K#<ZQi8AC(H9&K~exVjV6j?x3It5AJmC z0k`--Q3Kk=4C;ABfYKf4AV8na6crDTUe-rpoZy~6h|d8c1YZ1igw!Y!pxg+W+A%!& znje%7K@$}oy<UtSo!|xH9v+q#`Fr1k+U~HE4tt?Du7S!ijqm^ednCK4aDWRk{+1q) zLilctQ=sM~DBpoB@aS~m76276pcDg2Dc}^;4ZQ&lw85$ubVLiZ-T_M<hu8#4iqIqm zstvw@mT2?$UW3#ypmX)XqM)NJTfoc5dQG@JddnnQ4)9N9!*3yIZx<-@;<xP|BY*ER zNLv)uw&@I@qYw{(JOgTVdw{Z8GpN}BI>rV>!%jT`ZIwF>Yg{QF1YIxzjx5HX;Gsm# zW8fYGsB<Flq7)RQ;L)9K@TC6~74S$~_Z-;GcfuSVy*9$lw%XG{Z8J`fP9EWxprJ3& zg;p9DfB%1J3aab7S$i&l3(#H=g;IdRju?a7)$GxYTBGo9V*sD#{!$V&-Uhyc335`J zPp`v&P%;C>pC|mhZAMSVA0Sakx$py`^#sHPLLjTVd6_{JiVJ#F@YQMj+t?t+Xo80E zNOA#x_yKSQ;L-W-<yFwgUpH&-MQ||GfhZCj0ZKUF>Y|r7c_t|Pfcjsc5hw8C*#mY+ z*#~sM7^s8+EARAC$uPY1n#ZFzn9-y21E{%};Q=b6AT6aS;GPX=yQO=}ZT=Qedh+OP zQGwJJEGjRygAR&@whROq_*<TWlMzH0q}$H|N^W2)J6Xg)#z%m<#m#3y=Wm0~Uj(N~ z8)ncc_MqXe+8l?D8Ziz~@1nxP@^@{pPcM&{Z?7jOs3Zej|K-NNO-9(Y<+daLR7TKY z2L(Qsr)w2FdPT%MdV{z?<s4{NvK#+46=~O&C;U^HUMhkTMmMBj0xuhY8TER<M>nhN z1#o!tfhdx~oAvQ|u+A$WiWHs5;Wr(0M+TxmYCQl+i5(y_yLl@?6e&i7wvkN&m1(_! zprIH3b{h}}w5skgi^o1t!2}s2Gm$vvBEi9scHBh*wEF{;2tXxq0;qHq05zF=Wn-U# zQjv_x3zkcunPSlDt8UQHR;Rm!N2j|&vu*Tb@c9Cu^b1N&kTTO>02IXu;Oh^&!J9k7 zEt+i&AWA`XJE+VC+t3-V0jifFw_D9o0o}Ic(HYOtY|9K$tO;@k=>A6#-O2uf_b@04 zv#5aVf@qooKDidO{4v4s+iMoj-U3Ds%X|F2pk?)-!=w*BWAW+cG4ixL$lnjzi|N}N z!02OnnZFg(4&D!HcY#jD$oJ{=bU+NB`gD4Fcv{}772<Ce0bTTV@DYn&w}_BWXP}2q zcc6q%cccLSwh|)_AIod}%`ZUnaR;BV`Y_&Ww)LI_E#C?Qz~j#zy_Er;orgf<dyZ#7 zt2!^dj0D}Vv=cTM`O*u-wY<gOyp@H4!MF3WC)hF-As@>J4*YYD`*z-X83i6t=>fN| zp;ZmIC_*a)LAiehBLl;W>!69<3!p^Q8?OPr>hV5ksta`D7f5=?Y0xOdb<p`BkU>qf z>i|KK_+m0d(Muz+F|s04AqfH0#el760G0Hhd!t{ZTZ3Z71$3(e^o9=$(3Kb6F`%_x z*Fe)XogZJ;fl9W{Q!l50=x)}<XTbSp35Y^T-1rN6k8ajNkd|)VBoKw76Mq5iYk7;m zJ%yQp!B_K&Pv;VF8`f9zGN`cvYNC05zwLSOx4p08W&hq3Sq6{_P)hafeEpK+`~Uww zmM8h!4Vf7jJT*`GK<_{I)I14l-9U6hF0fKO>EA0N2~q&jeDh_)H>l=6OawIhD4z7| z<&gv#3DW%X&sUJnWBl!>K-pLGy9e|RT;I+^o|+#()fdEO$R!erAN+e|7(u=PY4+&+ z?%R37r}Ns&%&-6dgD;Kh2ip(U2WtCycK!lQx_3ev-=Mi^&|$WU-~D=J_%ThH4JzR+ zFY~vDfZcKr)RF_40-AvDgm$~2oB9=RdGwlCffPU-a?7Lh_e&0#ei>B#ps9GxTcEB6 z$S<IY`h&mhJrr;G^zw+q0>THBeg3_404+BJ-7)zPR2G2tWk5UdpgkREPPpjN%fbyR z#z0PZDe>w5f5QVW=Y0DA-^Qqv3w-$U%L^a=|F<zN<pS>)czFnPdQUei-zjjS<OfkG zNsC~jymAt(^D2lUMQ3lt2Uxs%G#~x|Y6L?<;x>4$xB0OEXiZ`#q}#AV093Jdeth{8 zbgWM|YdXlbZr%tGg<>iGoZ!)X_z%b;a0K>7fZ3>)vLIUu>X3SLetfC({{R2Z4?dk2 zUp&76F3CV!!tWrM7cYQ{l^ZWkf`u;nbl!UL56nFE;v1BH2UhYCbbQHU2-BnU*NYoq z=FJxup!A6gzyH5Dd;v5*%DeLS|CcY`A|@CS<3r%}&V8V?(EJ8@j1)Zh+5Cfrzb^$O z+3WGgqw^4Wrw{z_4aI}tQJhwDkOI(g4&6K)jX(9OG7BIV8@EF4hrd*s02&R;099s? zC3B!rvKK!=V^P=^xORh9AA;usV7-pcDJr091{B!f!2{R{^W8Zrkbzdj02;WJ20H7a zmvsUUWXKkLcnPR5W`H=O6I{YVmmL|r_*eufbs@vJ;EnE}aY|4X4qm1U-X;&4m{TbL zmD&<73P7m`yq5~R;tVn$6r*AQ8qx=^FRTFNT5w;g6EeFD8pwe-95T2KnwNn(929JZ zC&4=gLE#JHzB~z9V;r^o_y3onuz49oeD$`dfTz#F<9Rc9!1tX)#u?T?T9Um``gjYt zlL~65Kr1`YKpv>a0~$O6RdS$V39whYp?3>_<E3yvWGNW9@gV^klvMzolhGQ=%>X`v z9MqRS-l78PuY!kHdU>bs00lJUj!aN#0q)-P_JEau`ZzD@4*mEKI{*6*=p5%>*7t?b znQ+jCATdyAg7(^g7%x(cA&~*@nmT~f73`irSQ{Ls7Bu)P0n!9wD7*q~lv@UJI{1(i z$QoXFvgl>)vI4mglsZ8A!RKYbj^zi9k%NK*e8&rDxc^070mKy=Am4x+Slyrs8PpH= z=oOvN3R?CjqVmH01X5$J0&+U>q)t%7#UErISYszUH1NSC!|@jIm@6nwJbGD=&j2k0 zXi)*jN9P{!P3IF{6rTL?AJi}N0QtW02q<n3!;E|3a`^ZE*K0vsP~dcPcr^YD@MdM> zZw1|XIbp&C{_P%IX`MbQaoqttogpeI-3|iaq2^xMssd1;gYMt~ucQP`Bz-r8coTPE zcZaB?fHHyrh>!qXI1cKkD!j1W2fAqjbear*t0!o{V|$EBTz82|3aEDra+%?Q*PP%} zJ-+*NUIQQO0lg!Lg}+yjgMq>DB-rJQO#H3eL7kW8e_Z@cdpJS23AugqZ2rN--`m9w zR@VGag1>1hMCOGjs6gtC0m)qQX#OX|-;@WDc>>X+%g(^yYIwk-`JWtrlN(sd?-BS` zvEILIAgPlc&Hohmo8-V!VGle(XN~us1xtYp)Z%aY1{!|pjl1Rv9-y8Fmb&fRo1o`u z`GvpzEC=Y&_&<95P20fg(k^*^zvFrEkG*H}6FpDHKfa6y{d;-1e0x3hJS{)+x3_{+ zX`b`!{N(Zdp2xv|_CAW|JQ%^ZOoFNnPs<<ttye%z!%pZxENt|ka|(25#|1JF1RC!F zwTB@6%q=RQjyUK{UQklV0HqYfd|ZGUY(7p4Bnuj`;s?bKsP72U28tt)<)EG$$heom zAP01}K*kzCi;;Li2ErC-_Oc#IM$S7jpbXm$8_xzG?Fnr`fbxz<FKZVUL^))t3|#FY zWi<<sn_yYZ;l<-zNE!?PWi@b_*$rCz-U+>7qgPa^37XqZ9)agJ*c2paZcMQap5Y)J zV>}ryY$~=4$8ZdLh65S*;_`lQhU;au$_3>gP?rgG9#3ze9H_Mg9;5`Va02BIffvj4 zAfCaUp+G%0m?lW30u7OYA{Uaeo`5cGgqC3UnV|C#pvejFbwr3m+GY5gm_Tbv!K-I` zJ(xh3;_>%_mZ=+p*M(((&KJ#rxfn8!3aY(0K!m`Hzq*7S+5=92py@O4;tp_4Pylx= z<1J8MF~g%*MA)M@NDkzGg%@f_uD=ILCWth|WeQ0Ykcn0T<?p*Ji1Q3Ui2_`4f)~qm z_NahIntDZf8=#3|%ORvh0agh<Bc7)Po+!XN@Rh=jld&a=*N3qu3XpLx_U#2H3iwq# zkeU{hu)#xtoh{(OU6A8DKnJ`*&QJ%H@)KT6#%3=3?mrn&`T&)m{M&g1UmAn*B_arq z8<P-(t1}@%2(H4wL!6K*46=63qgV7)9W)3F4<ZF2XdMBhB0Jdx4??hZd_nkcBDNr0 zaR_@5f{c4ny$2G6@M{NH_<NNY85j%?c-RJbGqaR%fyP1@UNV5Xxy?U0_?ryCD<?r) zo_eFiJeq$q@%LT@pOgnmli*=e%NsCVr$9^intw|1H+=wglzO9BAR0ieNW%jjmKR_O z7eW-u@i*-SDeSxq>pL|+k^_~Opt$g0`~)j9JuH92bf$xJLKl<33t&(t7I<-90d$O+ zi;6_^2S(5c3pm4rcb9c<Q309wQVXORdTvznV=0(T{M%WKU&et(Dd2J(C~}}$9+pJ9 znO<H5Eqa7>xILR6Yq@pH$iEEy`TxJ?_gkI^f7yFBKT>ex-!3BlQV}c&>038Hlyl?X z&cpxm;}4KZNVm87fs7mfb{6)R2T=qioVrEWUrs_1<8tEP&cpsP8FW86_BN(RFKf>v zq!iK1%9;-0f`(Nlyy!oGnJ~KfU%mnjej}3m4FeLA`<^tU<PK`<gE|`qpmsMX$~}5T zZ&yQ;d(%Fo<PMeuml(I|;mIAW9ba<i?}zvXl%qktPKd8|?#J{Ms7L@A_o8PfD7jB~ zF$J3<9H9t0APQ6wcTRz}48yT#-T|tPU;I7v`~MEm0R}HVgIJ*Zg<iY_u|O^K7xxc= z`k5y{XSQ7d3F<L`t}}V~;y76Rp-1PZ7jr=3TA(7k^W%&4AP#8P2uQ~w5JwIwGZn-E z*$9&90C6OsGSwgs_(+z{k1z5LfjXTtX8r#E()lyE(~0Q6fcu+~Ab(->H$ms`u<`fk zgCu)Bet`~j0F6%^^MD?>2kLMBW8-gS2PpuZ?bU6<(fF^VDkYJ>^$lp~d%F(@OIqX4 zl0<O-vos6bxd9#g2<rGnfU7<bD*?okc<}=~SOGem09?Dk`f(PZejIp5Sp_KlfZHUU zThKb7nEg1>%q^hxvn-G!Qb5+ATj<fv^#1}#0=5YS-e>HtQBeRbRwJR~Xn69a6sVv8 z1;gu4pr8V=z}xp;$j|)!|791f>j+-rf)@Vipu(>CNCRknP6cRvP6a5Xf-A4q1N?pV zAZh3_XG8Fe_iG{0Btijb+Y87p&@S1Zpr%0qsN#<R6?*}oX{-#7ZbuG}ZcET4G$?QR zbh4=UK(9UX0rf6Hz7&82|4UHGj<%r~d^lToiHZeyX|6vso&!L~fVQxLd7yo--JsJ$ z{vQIB`(P(q-s10x1qBAEj|pn-BK7+=8zPq?pc|AxJ_WfA><SPE(rj>1QFu|71TRHE zn{2zGojwnbUeVd*(DK7+7rgv{jNo%L+s>^96&|LbxJ~frWCu;7gDNCwH}63gq__aP ztaA^1v3!L`^P7glU`;P_w*LPA`Z$V4q#gmN%MBVcfa+-dR-)(8%gZs3gTcenkE29l z7ijz)bS2vzP>Z4SgGcAhm)AhdW@{$?sfS)a@#y8fHJ5|o^)*nKK|C*`0;*Xceh1%d z0~$2x1dm07f@1e=$S44K)sY2g6u`iv^->8p_-@y0;Dh2o?HiCcp&eYX_quabAdUnr zP<xpT8pxP9?f3teHGjdy05~mz2t<19E)?)+{CDry|Ns0gcAzT0w?(A@L~DNVu-yQ< zZ>~)p#BF?Y0JQ9<bBzjU_|BsjN`posK*M>U><?*YJ%Fw$`T$Z6y5RyugLWcx_o!R| zvq3uzy4OMc2Oi}BA2=WZIvb?57?kuuUFPF1Dg~g@)1#Mn`*KhkLL9dTPISGXZa^oG z%8P{?A%h#rAf3H?R6vdSoeWH%rp#xL)u3=Ny!4tAG^GwXU9hu7Wd+n3kdsg+ARR2& zy9KNtl$RhaWd@K^@cKRh28I`{k|0-uPQ`;{9MIm!)|34G;48Bs*SpMtm<~F^w4~Cb z^-^hxN8?Whh6N1#eHEZQf)XMv&=3Lb2DsS+O4y*aXV}BkqnGy@I3@R}fP)rv#jXGY z!-N+Hc0ejVaJ)1g0Y%ec&`{kCkkdPRR6r2|8WDQ|=1)P>-Gohd<4=&k`P)G)LQpZ2 z0ZwaR{h+)LE+#-T{hcMCRk}4Q9v+te$`e4P4kT!6R6IZf`yh^IFN*}Y9spe@1Foz< zgFv7igD<8Z{te!Po8i%V08|ic09gPoTp+7|k%IE&22ghDWi^S1^{ILw;S4F%Kqo15 z)~JA2?JK;PuLvqeYE&$Iz=!2^gUhTK6^EC}|NsA=@M6MtEa8PC%sXMh)uZwQ9N58F zH17fh){C9HK$WiYWYBu|Kd8A4V?Uw;D6KZXK|XJ@msRQ~7Xvt?K*MsNt>iDRae$H= zC`o{pBQ(^gC@}E1@Po?X){`Z|pi`ImTR<nzL(891M~~yIWjmx8K+E}?ZQIL0rJ{sK zFRSn`kjbp!J3xc`h~qA8-9Q4ptY3a|F}%py@caMkhhY1`VcN|qAp}y+%Lt}KnLt!0 zcvEr+D4;=wl-f^-`!qb7!6!>Jv@kT=3V^LO0v%r2$@^rx6oW@^0f$Gg?L!c=Ge_kE zsJ{E~V#_{I<mZAH7emg?^yuZ?dIB^($D`uW%exA!+y}Jl7<7?|wfOh{uP>)HT7-u1 zxAOe||G%Mv$(euZp_i=SOvQRQ3v??D?-mf%3EH0pK0CCR^))A`?0|0G1)XvPI&cYm zb`yASE@+Gayf+urN@REu3Tjk?6Ajoy{H?41{r?a0lyxa6EHfdV;s-em;wc^w6XB`* zd!e2>&iZbf6a(ns?PlBmB_O?^c=!p4hx4F|iV!D*_S)_P3G}kw`o+cY;?X*AJoNIe zJPr?wc_4Xktbi9l=!<>-|9Z}g9TR^4f4LY^up`QIaQp5QsKiD+1EZH!58Qu+1_kKI z#20GOkPS%S)6@k#I(d(-mtttP^#eJWzqJHpNGI#g^`IzZ<<mh5NMX>1^A}NQx|f4> z3qW+MLUhlD=)SCkq#IOUyjX><yArJXQZaZU{u(HQbh75ImtuI)0PZn>8g`(GgI?Z( z@1QaOHXPk6y6qb%b%Ux;P&p0GIJ_K?<69Lxj)Rt2FnIK`T7h*z#*e^TqBuNyMe8xO zUV@(d3epNXq|Bq2_5U}Jg=kvYza!fU%5g8IV`@EuP3w6STftgmFtv7K(|Y17vaMjh zDk5nGohjzg%j$<stHNhwt)Ldmi)U<zU;=Fn1{D?9v_ANRp>;J}>rRFU_aC5p0z5i7 zJbGC#eT4-UD7HYOq8`1x^S}mx3J*{ef$h#hGGM_D12=GT;b^wKSO`u4kP8~XMzBs_ zA;s|G)G<)jVo~w<f5M}aRi{t}6sc)mNXbeXlqiEEAc+#(u8{EPWHnj~O48LJQ%hq! zI(fC$f|GMXIFe4#p=d88(RH3!1JdaT)+y-G$$MZ8s62$P8Sk}S4-)7+@j`#~@Bgo# zdi2_!g9^xj1ZH{k+TL9b(&D0`@q&Bx@Bc5&L1k61?esNL3@?7I`u+c<0;mn%Yg+~q zcnK1?2pX8^wRHsv+yDtQ{rUg@#kro}|6fWXS1Ks^y(}K**@K{S4nYOSi)e9J>jK=W zZaydgni&GM!6EG&P|^6}Ss_Fb_=G+1xmnQl`o2BC|G(}AH5{QB)qYTuQv=kOLF_fK z02P&xZT?Xl9^IhhE;&kc3{SS4EK&04_7L!}^b#nQ;NRvTVc{gf4?bWP$wI>euirrq zN&;5^F)9Kte(`<#5896)0cx;A8pN+Rz1Y(I`~S;)<dydj2co*q8E5#w&t?S8N`bbY zym(ZABMdydfB%2I9AZ4`euXk863jOO`@=;=q66IX&4Hc4_^Rvo|JN&^#-p0g-_{6f zIH8?~6{+CSdb_j)6da%qT1k`#c+aPRN4J9j>d8<q)`7-_!POe59Rcc0fKPu1&zORb zuZ;k8Bf;JB3{YRP0M!1i0QGnv=ViUf&HVO%!VCAV-~V4Gfzxn}N`Qx@b!n4Fr}E3H z{}|_cQ0U%syJ77LGTpm31LWT6oy57fEZGC?ZURWy27|&DbdXqy4eqdYvVgc1T!$)v zGL!?T#q0qJJ;;ImFZ98#;q3hV|8=`ZZ;grtDCib@bSl4|hc&*-0zFX9>hb7?xW^IX z9u1Gy10`DEy}c|dFGAKpYzG&2-~~<4O`zTIL*F;1gTkV_<M;p9GeNd%fNb9ivV8;0 zcC_{>3HGZjBWS-N*nV!>*#CsE{TtK3{%<GV|NL#x{YNPG&4BA7NF%@i6vG@Z*o7cP z1vv0EJis@sf(|SN_2ym+qRBx|YXixhN0$Tdx&kE~ju)Fz<(dyVz{}|u)8TU9U5SV& zUf1^f|4SVEkHGE0Qc$0v`3(_eG=B@I&j%`}`C9}*x<F-hca(rfcaemLWq|~L%N5X| z|H+n<(382kJrq2;qcl9aixfO8BQ*G1K&ul$`b%m&y1gtsx+4rcx{C}vEIlkr+`!_X z%;ezF9p&NC9pnHy?6*X~5OlyeQu&Wmeu2vVe;lB)-$g~@^$o)VppzOw2NHqzWBRBF zym$bX1&y4550(Q}I<IFKo_sw8tp?eFsqSUy|Ns9DPrmd66>6QJ$$4;nQ=%g9q6>>^ zc8F>QuxgMSz^XwmjKQLMCusCVxb^q{mw6~-fuLN6Qa|yxO@x&1DC>W~d7i%&JpKt< zmIBK6X^?V=0WrWPdYBc|kO8eJfK_3jgd_n<p`g>tSAhFJptK7*y&Tj`d(q$z>K=n? zKJbVIXs`vO8hp|;WL3<I+GJ3k32*uR|78%!v%NJc5gwM-{H;YGUZ?WQEVL>Y#Xn^c zsP&=Y0nluK1?V_V&~!EEVDE0w*efH_<t@AUK=}pKLIfYh_aC%hiKpfq$YDt7;>D5X z-~V4vLMyNM+onRo59NLtaP{h=VqtjcwIpb+Q~|sy96WRZ9^ve~;nDdKbV5(9H+*ro z36n>6jUb0lHw%{s;~@{rDvnZlP=%-f8b}3IiX7kp)EBvIuqpnf$6QoAz@1n~VGrVh zcK}1UFNB+a{|6^8P^=>`Y2n2Ra=!uif(%eM2sD278?+v>8#TNbF~e(06V~to-Rpww zj6~4fKX{`#XaRlmFGl`e$N=SKkAsg`J-WfcBLEH_pI#m=Ps>V<5_9l&0f&}vrJz04 zOadO=HCzH7mj6om`L~rYN$_tA;gWE$xK^^}80c;rP^}0#DG?N`;MH0Rpls>`?kIHD zs0h3eWCdmR5*3N&Z{Xd0;L&>Ud1l~7i^l88Ad|ph3^D1&`o`b?!K3lyhA#_d`0gey zd`%i5;R~vn!S^o+AO~NqJ4(v3;R1z=E+||$__x(?aX47~E0qIrIYC@b5EmS3T%b_n za<KSRvK4%e9cY8U1j+_~($WtW|9Nv`<~MfC^b^tm@gItPl;t;eGV<GBCSvp3;(Dz4 z&7+r<n*mf%f(Fw-eNa$aAL+yd6#tbKqn||q9`P0A-}Q*0NZX?~io@gk;UE9&8A^0L zIxl?i;NK|8Kjl!%rIOpnTvRl`DHC#j3y2FY8z5YdURD!NXz#d}HPR0>Edgo|$S(&S zLi4ij_y3nA&~g>UJ^XFVpqd!1{sv8sbc5Z*-vqfW=YmJ`DMpXxLmVF84}qM=-?9!= zE`rzB?f(D29yA});GuXK$;Ep?30LB^5~$7)@aT2q01W`Xh&%HWJTNQZ!OFnU@E=rq z8+hyjG5>=Wi?v=TJ^xx0riBNrMH{XKa(W49AdT?^Tqgqq!;k;<9<3KjPaJbmu>gk= zq;Co0g2M>H_2^|4@PLPrt1m2!elG=u(b3x9|6e{pKTohM6MK9?gP}y%qc`dYYD_)! zXng$he?5ao^KVA}DWK{Rae7BDYo9yZ1($tbE~o^%z`6GK|JRM^{WI{XHYnq5psuP1 zsF4KPzyS&dhZaVU-aroUs_oqj3=IsREi4WH!2t?7Sr=5hfDelRPtPg5W(J+(-EGOg z>p4SFjYsS8(qzy9ZJftFnvZjMd_N9}U(f<y&rZ+@ETA~Gbl{(I9JCGOh;QqO5*1J* z>P7QOP*j2jL_xRcfyy}n(EO?dXt$BV>)B{UsZTG9wP)*x5@+AegPxr~d^*p+UI0=8 zjvz>Bi|`8%<X8gGnbiyopo>EwdvbpKuYaipY9{ouy1Bu_akV!r91WNJ03FAqg^;U< z%ZV-l#q#}XLifr21*L10J`yOr4M5>N2P6fWP(})G4^V)EgL)q*<UwJ3z@yvJqxCp{ z3%Kb33s2D0oM-0&kM9>e6o2^idi?P1JmsnR(WCXgFTd+WkIo+-eE2sCI`U6B=-P6! zWT!{#{Zc8<#z&x(<O!-SLD$`YsyN6os6OC>2s%YnUhtm$`QN8IL`C5BMNs%@fZc`? zexTd|2|o@72FOmCURE|&c=%O&!NTw3B2XCZuKNA|CFmMoNS%jLUV!_v=zW(K@Z7?S z!+*f%H*+wQ=zy}m1~~Js10663+V}=?960@fdpJl(w1VdtK_^xsIvti(p#Chxder<- z=7QdTby3lP*niALg@f^h-aoJ-1Q<%W5TS)|jyx*^!|P`+ZdCsM|9Tn30#yIuH=p6f zxxaYL-_HUzzXF%}{B6+tP>|Y_-K-yDWEen;Gw*;C7`Sx_8falrdGS9NG@%5V)&e)k zK*wQ$Z-;3;P?`%`7+7vys^rnl`r@M`gGV>-OArN~oq!y(gSc6cN99Ebc=QR>t>|Sv zk;lvM`l?6sPwr9yk8a+HAbs7eO&=v0Uhns4{wY>^)}x!Z8zNc*5?u)@lA$gCP8O9H z>_rfFf#=^|%*+Gvd{h)(W`J@hc<p>A=wtxU6&^^#6&}5;Y%%cD%8XkfwI;`l%Gf{u z!B_mgu&*F=ABsGd`U;$S7{E&jz{it-r-VVh5Kz<aMRq>Ob)ecATwOK)V66A?XgyFW z0h+)C`O5>;6#$<;1+6HWe=wG&gU;)AXgN?K01DqJputw&ms6w|UY|wd?*(1e3JOM6 zqYvO%F#aIP@OnAuidJyk+=1x53(`9gn%Kc%0<rAHnf2g8%Y_*f8qg^e&;iIVK7*%E zLERcqh^ub@@&ARvrXT-bNN<2>aD?aJlWwpatUn)=gMXI&{{IqmAu=e1qoi;CwqX3> zHy@M)QN!;vICfFO4>T%>Km7PxA-kwuRA6DaWHLAmmrj;qczx2Nn-!VA6BdTN-`;`3 zkoEaHNru;p!C@HW(apO6te15nNN=x4FYAj?(2@-nl^6XpKy6J>{y6Typ$Kw&FK-lh z<etN$m)9{96fWRJ$DkW(5Vz7qtOEz(1xEBRuLsY;!oqyx)*t_0?Ah?+|BK~N4fgOb zPjv;E4QgZUo(l@|*3#eqUrs=8Kk&DK6C_&u3Y5c}f3TERg9;{#MvJt}oYYeAg#!l1 z9E=!26^=*C$r9%l29I733B)MPM(EK~;87t7P_LZ_G~#M-+zE6dI`~Mw08m<v02O_Z z<aOKuECo$>$cY@Z%;5Eu7iUZGpO-TQ6sTxpn4qi$I?Su}BxwJSXwy?roOwWo{kysT zUj%szT-Jln$O4ZYg3rjZ@Hp-)0NU2+(R^3~bhy?F|3jcQ1US4wfdbwi4=%uvZbju$ zd0~+S$-)*M#~nb+(imQMybu97+#lMg1toMSMoaIYg{0_Xur4YVkUHbV`!C?)oP(jH z802UR@F{z(Crd-Yl@}v)5*i%d;6tM=nyYjeN<=_`44&x)XJkl!98{7hfFvY5dYvGZ zU*Z9X@4&$z@%khvCSRP%f%@h3Y_OFL6-Er8i3`^53E&J;1ELV~%!q*K<}CzCb+Z;t zkYad!=Y??b@Bc6PFaiM0|I(2Bj&wd7I4wZe*>}6BR6v6w<TErVAcx_B3rEmCY0%O5 zpnH5k;qKAPnpzLaGvE~90y-TXl(Bk2ofy!9nSwshf|*iJ@B&{@jbG93sN>P?C;$ph zPzBBKqW9H5Xa@j101sMF4N76qJ1i%>@SO$<o*Wg(sbCXc*rM<e%l06*U`}`;iz*ND z5I97|Ji2)e`#~WpY6PO7SA=+UiyDKt-MlLOQVg$Oyf|C<`~OSO!3NOegVf&Wby4}@ z0p5%SUcJ=#2GoZ0=v)Ina2K>p2|R#y9J0Ft)B}QsEM&P9<VbBu;DWr)_(BPi*g*UL zw}BTC8J+}hzba>9U;rKM4_TAM$_+jQ8+24zH^dB3$|?X|&H^5=eZk!e3S|CPEzm@E zH*^C4sQK^)6xA?2;DW<PrGkH3fDtI&fwod{6frO`bb$5;EeCa?z{6qSD`P;b>uQj6 zH&hrf@VCT*MWCAnKr4+H9O_s>eK7DegvD!K(8?O{C=R&B?+$=W4s}lfABF&$_W@^p z0no}c(9$W;xQxV$IbR?N0GugcH>zIZZ+Qq>=hbo%lo)zh*+FaaES)&`Tfv8THd}J@ zw=M!nb<Y8x-0IO>Ey2Lw(go79&DjW?)KGm1TG;{1zt9O3P#A%(0x-M;T0F%HDnIo= zTRlK4-9W35!12}z*_1Zn#gWONgb0o7#v`D(KMe8-$iofQh72V~Ji2++dqF9TRiaml z;q?}e&I_*>!<4-K2xmQqv+jadSYGhxy!EmY+){!B?#nfxB}hmK3DY%Z*j$6811afE zc)^K9@q`zjCt>jrk`bW11qlMkslO9m9K@yrn!v!BOwyy9_ewV?2t<#9D9{;x7hkG_ zrk=ZbPlH6dMfY?|F}!~IA~o;#|CbB?{6{~pAGC83?fiJqa#u*cd9nW;yiigGZy|tY z8OX^7E-D$2lzJVUG(Z;}pe9pzk_7E7fNbUgk82_>LXZHh;s?bosN`07asMkMae}A1 zz{7*!1s&iqLJLre4gmFYGr)D%<4=$YDhKcyGanVuFa`sI2fynnkJgi=;N+zas-6Qt z0Sihspjd_M!hq}m1J%-yGxkBsG8(E38A{H1bc<f<0);5+;VvnL*Win#Dqb3aQp<5j zaR4f5Kt@80g64n3@%5n4gGY`z*eFpA5Cw^xy`ZGl&8r6z=@yj-+41zniJag6UnWDQ z5)k!AuZzkHNckrXI>4dv4aCMZkQ~?xr9s=OK~4f?MaVKu$fgL0!yxepD*qT>D7^<q zCaC<YX9g{zghr+VGr0T<fR=y4m5>@H15~_#7i7XJiC)mjouGP#qXSX|g13Ocia>CQ z2U*IAQs|Y#3cXFB{qd-UUM;u`odek)*S!U@FRFVF_~bP3nnTcx)PdLXpq0*`yaC!+ z2Pw)v`*a@j={)b-dBLOeL_-~@ywnDrAJBOdba!~;Z_xGT{C%LKk34!o;pPB3)U^E= z$Ue&({Owyo49HxYAEe==dE5hX@;msHf#W`m$3YVU93a!c*ExYNlgs}ANuS`t)54=y zgb|eZ`2A0TQji8HZ5n{q{(?(1%a8mm;QORN_Yk;(%JN>@^@X5?#Z?^qt?D3wW@~Q# zR?ucvkY7PT?b%!*!BAQODj!`z{i#-FP{M<ji5}go?H!<`$y))UI-whUKu6dyfL4o6 z>Vp(8;I!U&1mx?(po9uu<J>t#1)MHA=YY>hoA4q9t7=dy5OhV3BmXuBBShZhfm{gz zj!IC;t`3b#a5zYKw4MZwIc$MMW3TPW0uBb4kHBYZfR|!}v$Mxb(1m26`oaSqP`$P@ zkTkp$03A;RO;j&GA#<LB@=mX97P4y4MjhOqtnbAP5}(e~FW>+D|KGFo=IhU(b>X1! z0p%c2`2Y!{9%vXjV9`F|g%%c3RCCZ{=0^{vGhn$3URH3of#O#5Pb+A%!wHYhi!b9q zONP36nIICMTR{am=tzUi37~TfZhCb7dSN#Kv^2FN<M;oUhkt{YrXtD@@ceKLIGv&F zM>q~C8bDr#R$JgK)eULVK}w7lM_+&o3((ojkHDD_T3CQC+Xl@yLYt%4N+5-W2go~+ zavZe2zZW!w4Js+_HG@iu(lod<cnJ$=t{qaCg4$LbAW2Ya1#tvkaDRm4G4T3$==yQ! zo?TExgWcK*Y4lBaF|QjGvaqG`6JGS8@IkQx%2pt+V(LvoRSWe$JU*&Hr~7w{=71<j z+5H=o{<?XKKqB3u$t|Gxc)^qY`~S;!NZAc)!=i=1960>nV7C9kfdy(VLjyeIIXu8Y zZ7!Vc|6)jhTYx$*;G1XyKuuHdy@4+Zn!us$36VnzYH&*#JQ_3iEhKcoZ88B+pnx3$ zy@6)J3*RnCfWq7VD11!yvZ(SP55YswvKgGBwLlak1i_=n-J%*Gk#1hiW^f3eO8x!+ z<xyA&qJ>`&B>a%qH}tYzFaZSubg%}ro$7^|0ovlgqfMYCesP}QC5Jyj?UPR4olW2c zf;|60YaXGxLHkx-{Ln|!T@Kc*0MWf1qB|R+ThtcGZqT(qFLt5pjs)v|<N;b73|g2N z2+_&$63Jd3(2~Xmbe;NOoh!gPK?@VrK|0~%CLX=EVjzLe6ECKLW`98o6TgD*7C7;u z6(j&!n0N##P}21K|4ScG_0em404kOM68rV<|Nj?ZDZl@}oCpeYl<+Piay^|f$n}#v zA%i~{YYKlRQ@WlGZ2qHXU;l$f0nyjf%>o&ZYdu{*D6*R0IDpnHT7cH0TYy$ncz{G9 z=YoL;t6WqBK#Oxhoib2C#_-}Aa>Z@{s?hX6{h1f@q9A_cfXtQj^72N4#+^V{Xn|K( zbmyp8cr+hzILyE60XP377ZuP6wV+{F@E8z8>cz{X-~V5RKt~Et!#f7c*a)bz4yqx+ z-8_yL($F@s1w<TNLxaY>Cf|VMWbo(+I1Ug4sgNO&7X?Yb|G(}7l}ZSVYJVzW`)5Nt zjOg|Y-}ncP9&j4~dJsFP=>Tq~cYyoPumSKF&l7+Df87dpghw~)%Q{fG!21eBfeIy1 z+g|`SWlMzoOpwNI-dP|Dn|?y_o*<3gyj~!RWc?`N2S0BdseFePzM#cZ0U93No)Vzh zI>?}01$gOf0Eb6+1ZXj*1Zchve1@O^D3L;HDbNf7cy_6mSMeyQzyK|O0u2Cx)>ebM z@EV|M(g3s*_!vkUG!z6Hy#TEk2hB8kfSRoVFH&_NOM$@G%YYBegVX_#20Ezg11aHO z_$1&xZycJRP}VPj<JLpKqdPzX)qfFS|2cq%>Nr5HVRV0iMzMQYFN6IB8g&Hu3*zt) z6%CN*3|{Qff;b#<Xb{M+383_WyoPCJJg)r2-*yyIUm(rzfZ_u^?QRFDLOCJH1AJYP zHAuWyRwI;`!Nc+}f2$&h-_6M13SKY=K83?l)T>5{fxiXR5c04T<*kuoDDm~M6jcE+ zAj{prc^{GmL2(aq0QkUi4v%hD)*4Xr4%CJg{_+)aF+#~HP;OTM%_s3sIq-TPx*pz* z)gV2f1#$O3!}Q33OjYn`{vlY(4j%4%-R9B!LmhNs4`|gcM7BFb#p7iHs15*crw5G{ zg4269Zy(&q9=MT;5KYZLcuS#21b~l2YW~3pGF1g+40wPTY$_vY!Q27x_$R1i#_;k6 zsBr}DQ9%Yzq05;-9isqHks$Cw31(BN2*@UA1cOcMfLw$g0bV@-3Aq=WV}JjDDF>>e zz~u&v0BPj_jU7dFdk8fCys@ftd#SibuV_dRD9#S?w}MB^K{K)?RgFKdWY2kBD(ulK zDhiP<jR!eMpv1NDC(q;Ue?d~bR$!@vrCOk95GVnUoP*b*gINlP!2_t^d<;smojxiG zhe4xo46m<vbUPV<mqLPPw!kvr%b;G*_vm)g@aXn}4oHI%&S9|3%XDZp0P_y)J`j!; z29It>2aj$~3s7<gTP*=96A|T2uZzkJ$augnP<7V$2GSH>1L-yQLTT7(Gu<8r9^C;J zs2LQpkO{O_*ubOLG_ed+m%;aKJ?H@K!vO8uf*p<vS>N9YJ~b>x#loXI3Vb08sF(== z<<<zu`p_T+Py`o%L@Gd?C~ya~6M7y7{MZ4|EFn1cfmSnuu3G@tz97bn3S~&12UjKF zb2UI|3e?yH_02#>Hi2649*svpK0f?nX7um>FP}hD1|odG{T+W$#~#alqM*S?1<<JP zN$~I^e=Fz?GmmbL7RDBa381PT6#1~*MiJvv0*F4akBY>L_?M6|C+KQ$@P-S>Trar% z3IT1ezQhkXFWFMGu>w?3xPu1HZnxYnQ9^_bY={;z{s=0K6Fi!aWE}Re6#Y{U(sUja z!<`{2;3_@BqnFk65p>E;rWVv90iAPt+?@k-1W>bWg&nw+3mT2}=;cj345}9RLH;T5 z=;rk3b_68?2aisFiDp}0kkV3r56~5ipe2DGo&E~Vw&q|_Sy0xB@aS~c05KdqI)8ff zivIQEWq{mn+v~3ZKB@CO>@>9(f)${C==IkZJbFO~bpG<_JpbZvIYexsM=$I3LtG3m z-jqZ4ae#}pPEhsM%_?6GD$zitAn$uvi6-pPEqWd#-pzZVT#Di4Ur>S44GL1wp3#@@ zKwMBU1~ozlq!heq?$kR_J26HDoM*wK4B+e3EM9_2mEE9f6MVTDs51yknUHE0XAE@n z7J*Fe7EJ~@;^N=`|6gcE{{9a>6%>?d5$O#vKBtUld=5I20UFI|xepq411G?f{H@DC z`=Yub!`+~Hh@KKq((Q&`UfGQp6a(c2!~d_HK-V*Om#8FoXkG)I8w)x0%0uxQXmAWv zxqC8x1g%8z@MOFM>QRHTj8Eq&pUz_*o%cODPy2LU1T_sZK=*j{{RdTS@M}n8K-Y1D zOa`~#x-DARL7h??6;QV30A*_dP?m?RKX|blng_t;H*5moWT`Z$zR&PrybMZs5ume~ z+r0n(|NrtI$XA`<GXX(sl`MI)OF;=7boiR#rIt%2x}X9%12hkSJoW<0Dxh=lKzSb& zX&$igEKA<oB_RE0!5IWR1qK?G>=hNc56U1SDldLlVq}nTYj6eu_jG%C9S%S<2rTz; zdUOXmfb&UbxJ0w9CP;Ou7c7qiK=Oz%SQMPbKnK!+^FlB0J#SuymsX&v5j}fUy!ccC z$qHAIvd2BB*fNh^)*bt~7$Eb%kh`W~`yRVR8A?Fe12j?e?ln^OVBG-{@8(@uBE|6X zKPW$d52*sJ^?nJyi3D_u5V(l#7Ucyg1+^nztbPs39-y7Q2-PkBKnIQ*o`l^QCT<1_ zJQkG~`zk<z2kIkrLWYAtmnidt!n&8Y<q0U<Kok2b?t+x_sJ!S0D~A?FYzzzx&9<*C z!C?(vx7f?;3M-6|!Wy|e+6z)$S_Tg5US0uDP=y34k(PqR?Lp}n9BZIL>39ox2os!t zds$P!%ch{0b9C-e!J1l7BL(847g5EKcv*)OFK!Sq$W>ImtbL%8%Lp{V>ja8hWScu7 zgM*+1i`B|*QKMpT_eQx`is7XYXb7+K!i#M+pmDkfA;15>+zlD01C=Epj3`gQ^_K~# zRA_!v0qVaNfcmcmpfCVsZ*U311TR4@@wc7_Wi6B{4BEPf6p=lUi30G>Zlp;;i5D}U z!)h^b1qNO|*m?lG+RuaE2R_RNI$W?N32cY#ze13oOy7g3PH;OC+yw@e&Y<CKeUPeN z+Y3>!;cY&UK(nO+f9n@eNz=UtJZbCG&F<Jx5y-&bx(p-=(h0s$&{8z45R|FCP^wB$ z0R{?YP^AxQ3xG$}yK__uJerSGfU3%i1t3kAK&i76bh}=sk4l0^FDut=Q0in+dGWCn zl$fEZ6S8C5*BqQWdqH}7d8PJ&QZzrPjt7S@dTl8WQjMrBL3OA?vn?lB6kJ;-z-r3? zNNsuEotNRI11QO$raTvwgcpwsAjuB7wmc6N1J#zjd$|~1>?`>F|78xy0Hmt!V?L-j z0M(ZFpCc6qyekVpks>;)K#JidXjBJOl7dU+h?lQHr2~=?|KLW<c@8QLptU9VM)3%! zK1dwBtOaYm>CyS=Wf_<WJ|w$D#p6ZdLC|^%NSuS32r%6s1)x(gKxcV@!ttdlNMGwE zIA0Wv&kEv0)5OakfB*jnUHt;y@D8e^LGcdG<ekvE5O#7SBJR6+&w@PEExIpXis9vZ z&`#Qxz~BF0u1DTU3(8#>`$@{c2@~af2Jm^jp!T6Va{Evmq!1-@fexF1<Sg(3C7}LT z{|iV?0*|I@fWlD$bk<4BYFP3Hol*yC&x5a-H1Mz#&CLU)S!Yl}KhScZL>bfwu7LR# z6nLPN4leQ##|T)8KF<Z|It5C#;3~Qk6bZeo3OArBoxK<-r9&Ep$tK{G-U`yw%Nw#2 zn$kg81eDB?D?AI3QbdJk0jcnmz@p#^&jXyy!R^7fF1!pc-9ZTyJ%xL`V9bN0aO4W_ zZ7xI%RN<Z9!Nu_6PA+sYJaT)GKNpt5|2{!Z;m1MZ-J&~kr5Ii^fh+~pb)aB2c=;KW zhLBRY3`i-sJ-F)$D20RiY6#V!p-vCb$;Z$c4{%5$Lby9dCBdWH*rU15gMq&VeC-D) zL0E$9=;gJP<Yn;a6%}&jWq7Fu5<2dpLMYC<MU_Dg>gH7exqTI=8TrWX_y3o<kW(EX zX%j@EmH*(93H3hrUeFK^bWI@mpk(mj$>6bnffsXSAYCVL3IxxVfTtnBQ{*5ILzjSn zXD=s0*Hb~eS)lE7pmr8GET9X3K;<pCy#=4pexVI=b{M?diss%dP)bMHzdQjnmNf?) zS>TES)R^c7*A_l10^K1h37wZ4AA#DRo!9txz2g3U7_@Mk-}O`HC;naUxIkP727cFT zU|CKOSAYQ|TcRTIqTvE$$P+p>1X_*@u7hF24xmvno~zJ2@i`wUPe4k4e?xGdm<-7i z54OYeL<FRFqz{rWjRv(~L9IUU$f^M(H;90xR6xa^1voeKvOaPIwN_j}R|wRo6o5K$ zkUiBN=sCmU#q%skE;tEka{Tb<g!Q5VUYv%?P4ehv_1(_J@M2fi@Bc5;{{R0EPOBjE zyK__^M!%Tn^ZWnHN@&*?lzfoZOM%-D;K~wp{2Mav4VrTUwUj|6*o)=&Apz+D8&igj zPl1N^K$)o9M<oGtWYvqe;9?MT9WH3)Jvi%uM#p7A<yHoy+ya+|Au8Zq<&Y6?@GxMA zN(BF|M_l}q9D_g!F$3xwa6mzny}020`~OR8c+$f3PgyCB@#z<=FaCo^pE(+SFo4de z5nw2>h3-a#_2wbt=b*?0_o5*~Dlc?Ekq;in0=Hw}>-!phFqG^ApSAyb=L>U?!$3PC zG3t+F80j69BtQ#t`Fo~=<$f^ow>B~|Fzf{NJUe_;%sqNpr>8;_Wn3;OQG&7rIL-F* z&aLKT06F-D3tR@&-Sz175J)@jV8F--3i1Sx&H#sI+X;H$<jW1R27H)OXMhFh0!Q<X zfWT(kB8cp5u>U~yLnjlYO9t8q(+b)z1nvuW#Hg5oW4)J^c{3;jfE)uFk^xm49Wg2r zFLqsl96_zXPy(Jw1Rwa&EBg5WXz?v*7c{63-^-ia4&sB>^Yrq{gU{RmjgaWdKn@-O zU#<*}Ye>okP2`xh94J`_39e2S70{v-#utAN{`(J!ztYJdDUfBy90M3%w}Q_VZSepV zB+b8>`KKIy=?G$X*Qi*$n4S*GPrqJDgG72OEZ{>Dbzq4LFTqO%K!;sVIry>yT4aMx zmj`cmfw<^Jkmv9JFJ+PQ5-0(pm2c4aMmo<4rF;XQtN<QW1K&^zo(3uK;NSI17}R%` z=nhe-Ah)<vcoBFEl1stG9k{1$0U9W1c?F7M$S5mlgs7KQ;sP{z{m%j=FKCMw6rs(w z(K_HnvlOJKm)B+^D9XWuLm7}V&l)6OnhVM%p!;yZWgfJls{ocV14Rp@1#ld)Y!#gC zds!dZfXY8erwVjfC#>Fpo%w_|UgYuOVJaxruD{-nQ3@u!I0}_(0OghqTnsO^fM$h3 zlV;$=3T~r8y0)MK5mZEhN+9qdPcI@toh(R}hBy#h)Ic2uujF4Oxf6`<vJ@=)TR?-& z@M9T#LE#9SIRIC#;I(Q<8)`hdSv68Y4Rc;i5Y-7D_XM5R(hWbj;fCAq|F7FXsSTri zISb0%DD^2Q_`&5%OFu}ilSSplj7yMaCph5=fIEh%AUR9ceaWDPBDjPAU&hc0vbb0D z`&m!|5m9+@F9RuoKq^HmEpP%k2J%=hZ|eql0to;QiGYSiz{4U!5OwV!b>QJc@Q`P? zLbL4;P0&ntD9E9(y78D5C~efJfCERtqgQn69#ClkEj_^T1M1KG7l)J<;PKQ5kO5!~ z3CNWx=mMr69-Y@gYd1m10K5Rr|9W)Ze?7yaH(bD@^S4Lm^%rf)prmylTy1*vvhH8c z#qgpi`S<^q#-I`c5fq?i6sQLD=oX!w1Zs1F23b09A+<SKy_3O_=aVeO@Nzq-=ztxs zV)1eXnCqhwU<kUdMFwPaFY9lxS3wnLFRM5|$lIV5Fo-Jqwaf4SFU8=+3|jdD9)@m4 zULUdp)E;}y=5g_n2WW8{s14Q2D<%L6KG+BmDEYsbd=b<e12uEOGttLcR3MEm&@xPj z7pH(b-JpU9e4jRW$X)?7oTu?(*<n!80$QchdJ;6g$l$^6a{^S=Sb*vb2hakv6c9H6 zd{JQms4>!R14?4B;e14f1dlI(+n0p`khUneyi$O7WT0zHz>$tP-4!zZ2y&bU$Vs3v z?!eQaRLY|A!XgbRm4cQfHrq~72d7f-T8v)aupQ7;3LB{hjcpf#l$7RxO0o)%PJeJo z<^gHFg@L8O$B2RlxS?(V_p^FgB`rX)26Y<r=0{KpggOzuIT!IlA`z6@uD{-aQIJ)< z_>%yUYxL-4T@Px`y-t9hweVtM7HAOYw$tzbFTcUN0ch!A4ye6_CI5pGF02*J@!~sZ zln7P|Nr384(D{LZpa|*)4d!-(PH*hI0lH54v`6dhIsyJ|HFEzQ8vg5-ynW3K$}_FE z>m)sTtN(jg9_MeCW@2D);os&W_usMMhkogO(B9cPGml>H{~neH`P;vM%<Y7piUEpv zaQbrwC4X?K3*Jcp+N-b!R5n2FSB5k<BS3v$4sfF%l+g}gEE}u_H4VWtUIw7t9{?-t z!6*E3yqFL5C%AVb0P$yunIWj>ECh~2P-OtmY9JH9<wpjHh28>z%!IM1{J#ili*UT& zjl}@S4RfHuY9vD)z*~U+UudXN;b7oz1)Y-O(aXBz1T?XBCnF_RNRj$n1)NyH*TMJl zR;-35R&XZ>lv0m_<VzDlDHYPs=;hsN21<jVq0d!dNi~mN){9&qF?S7*Ue+>G5EJCv zPCR{$Ue<YBybLcY;~+`!I7adeco7Sg>+$Giy}yc!;e}7!@BiRAVr;>2=*3=egX*A1 z=Z_bY(m}~r#^Lw>m+tW7dj@@jHmFwUjrtE-+Mw{73!KC{K{srHk|Do`<>lfO{%tmL z;H3D-<Ns+`60NZU$=Sl>N?&^PIx~7`9`fkC=mER8>w*X42T*!JY+;4&z6YJld-3%X z@JX;2U%W~KdH;q-=fxNI(|-S-0I6qTBuaiO3rDT*U&O_L3ROtr1utU&ot@~I0IDfO zof1Gx%D9z5m7SnRC#w=jxEoffd-U20CP*>7_!IN{|LYeozS{l%|9Ud|{q1Gu9?fqA zKx4Zcps`(WM;^S9Oc<2#UpxTYo}<Fy(R@VU@C(BZ&{{mu;W!UpECrdj8N<8+Y-fEy z%L;I>hvUWUOQ512yle>MFYwXIp!GwCL6cU9G6Z%=H+XpobQ@b|h)M#ea0V~FfNT<f zA!GOZKX{lN8lb4*NrZd2ak%F*bgMGB+y>u?0XY=}6ytc@(_@RrJ^XFpO*t6*|13bk z4JstSyT~|Rn1i=WfL5n~%d&28!^NMY*;ZB&oRjB(OF(vyZhug#S-_*aAG}_*dpfAi zI|0-v?@a_L*>NT)YW4#C9WEZ7mp#6}^yob2aqy?T$H8AR9-5~-dU=F>G7o`{WAxEH z=Fux6<<T4T-=p=L2fxoLkKSn@2?+0#M>i|WI!OkP<ZB+C;R+tR7`{&|2W?9AV7%zj z>95gj+o}L|7kGDFr@KM7dw}YHMh1pXcZqKQfX0Um9~c-Ke=;~QFqEe?f=~P{wdUXT zLJ}nJ9>Blr0ar0A|E_!qv2HMr8^RNZ@Hinn5l|Hf*~QrSlR<%jp_Gf4fkA<#(_P~A zE{Nf!oA`IVkOv8@Z2Za4z`#&0-T0Fsfq|ivlYiF>DUiejh(t-p>$b+93=0?-N_qHq zy^!v7mv{;4UpM|_IKaTb-wGb?*!4mJBo4kzve(}Lv>w0n{EO9*pzM78r39$HY5WNa zCH~$?pq3cutn`a7LDxvWXah~r#)4Kh)>!}k|MEMcd_qZ|{B3(d(S&7u1T@@N4wCC- z^>PGt$yrohY>Wk!?4S$-8V3e7hd4m(6$4Oz-J{nFTy1f@;0AS<VcQx6Kxv@`d_xPY z(Fr=Msr(SMvWNp4iPAyaB?pd5Xl1c|F}$+yz?i-72PrL$1J9O$H;#d4?<>KguucE& z8qk6~z@wMdULR6zBtT|<;j0xfhNv`NxI}=1`Sn3ar2(GIH-L(PhNk2eb1}S-kAPMd zpuFP&I;yVQ!@;B50di_1WJDJ<o&ahSz9<59%X(R7gD3Ss%~;TuS5R(_fVvRe%!dpG zd33XW4hOfpzl2LMK;^-MQi#=G5S1@xTmJqJ9)^V!Kxpy15EO^#=Py^-Lu2%QG$ckj zK+f<$3{-+f#UX8G@MW-|6K8uPEI>sc<cd2`kM~6qxXtVWyF?U}VnFv-gNy<v1qY8_ z*1iMKh%N=&gc8vgWxx@=5Y$NO<vq6$9?_sOMWWeuBS^k96Vxhz_r#%N@zcOkMxao4 z0F6FE1E|2Gmo-Kg6vdE!FQ^v|Zqvd$y%-Vg@FF@464ARM5sfq!nef6MD%axC%c{GO zi{XV{*zf-@BS1M1c@z;GC*aN}WYptD`>+3?lRq}NgWLjcK7n!#&hhpa*Uf+b2j8xZ z(f`F7e>-fU@h1;kjtdHOQsNJEtL!4sP!p^(XW)S~{?6@##^2URP)dQuAEZ?$D2*I{ zGce-sqZFuRfHnTEfu*p<-yCgFn2`{FvqNylUp-U~6o20ra522d1I;)Sj=$re{iwaH zAE2A+3qT3Y0~E#;;FJb+9XwUN5I4gWe^QYC3(|U6un8_I9^h5c-7zW^psfwB*?c+= zfaXk_fAI46g3c=N=rz5!hl_!Kn+;pze^3{=*4d}mfzhYe<DuvGo1V=-6<j4Rd32ue z={)HO9>{dO{Mc3TmP_m95>b!l9}4`<Qy3W-96D-PJUn_U7+=r#=sf;<7RV3a%xM5R ziwrbm!~r@f4<sz`Lh#YA|J^kz5<ZY#3}~7KG$9Uhqeri4z#cA!*A1ZZycIN_a?FLt zL)W9XkkRnKYc`Mn$3TbpH2>h_?*$*(&}+MPEf=T{#lr5`_yg>GSD#)FMvq>PAD|oR zA<jo~_+^*Y+a-eFJM)^)F)%Q=bhwy!fR3&Br@-F~n(02~V&b9udK$>34xrUH1|FR@ zDqs(Af(j6D7cAi6um3Kfst0`L4=B<cz>Wvs^Vw@FwU&zke1<E?n({zUaR(~MK<A`` zBbNhYF66Lu@Ft3l43Pdibbbi7?GH9J)D7De?$LSf<u`Bz{Bajp<BVuflKij}%*jUP z#6|!94-pQD2K6598UOzO@+6{mK63_iI1T@<2lAlB^PolGAYX964nqX_64ZqRuY`n@ z_h9*M2MJ_75E%#wmWP~_>!KoX7_z>y+d%?k6lgsqR35xKQp2O$0X*~sy)X{Ef)ip4 zoCK=}odm&aw3Cei<QM^u<^$l)CD>Ic^-ozOT6?^gb^mTqIS<`!0&2s(`1KYtdIudQ z=>{*&0pD{FqXM2M1~=Tnl^=K|F|^^m*y#8F*Avm_dq6umQO{(2G3x@vd=8Ip9u<#H z-dO>leoL?zxby;VrSD|z3y@-XF%hf~)F}Xs=y$R%1S`~nC}ae6y*qiQf)sY3DYO79 zWP~U@0?P57ygDF-^=Jz1!3uAPf~{_cC^QBsEJIUx%^&2(^$>+#5QV4wr5Il1qA9!! zR@eqn$O}<;(I338C-x&!NQ16YdZF+Kw4xQ>tnXw!57zAg(G4m^JUV#~gRC(FC1+4h z0*6^Q=+Mn>aFmE10c#P3XvqV$E;@NvfGq5k-3XTYB?9)H6IhCMDM+fDHB1D4&dv&O z_aD?M0?o33E^>My@e~q663w=IAi6(;^E7L|7pM)ys<BW4e5MoVsFigfPr-D9&bE7T z>j_+U9YpsMh;B=W?nevYy60o+o`cZs0MZRQI-T{sCpZNE>LKlIG6(JPx%Ue#1n2sJ zB8m;H6SPBVsvpS3u(Pf`dTm=k0-YybeDQ@Ioj%!9is8jG5O1zWukBP2?}jgQNX(<x zwgx0{93%kV>)LCZ>?g(WVjD<c3n)^0ZLPp29`fis@nXI&sGzw3UAAV+0hT%Oq64Jb z0;2keFQ~JA;zbEa>=~$E*K6AV6-xk#t%QiJfr|Nn#Bv~F8Bj3`keEJ1YzkCN1tj(f z+@!S)@ReeCAq*1O0a|*(ssH=`%M|F+6V&pc8Z~c%3KIiJ-xD-24{CD0s6GXWPH?~1 zz@s<N0^W{(QNQym;<OF}kLH6O;JycVA_SD0!0CZY1k_Z44g@0~samK9T}%Wo%+SXV z_}jqi^f3Cz=x#JW1>T$}zyLb>7hF$zK%4yCQ5GKEMGhX81r8-PphJL5A$uT@+~Lvd z1#x!s0cf=Ycl!Sg(4`u$&w%#ygGyIOrS#${SO&EB1vKUl>U$ZyUIYq0h`pdgfL~11 z{r&%?4(jwVQu)~YhQp&%N5!L8M+J7yHhBIXG+|OA(#-&?K_oo7byPf>k8m7*5zq7O z{{+zTcmdE++Lu5_X`e+7JoNA@^G6*&g4tsaaw+&cZ;fsS(2UKsZ%C1hb=v5~V{XvN zynA(i|9?Fj<OJ|(-rGS=*o5o^l=Q{l)(6V^DCgxttcH#OfrrXCUYKu3N=IntSxckK zA)ROae;bOv=7W&FFDU)JNZtjL15ahc2f|+b(gxkH4T}~u_d@o)6L(%UXrvXCq~PaK z3&3|ESVl;ooky+V(H*7W(Osb6Vd<fPIFA~1PIZuhM|XsUhh=~P!Skr=4!{y5^gL?N z$<g3Tyg<vn0@i_KQ4=M$^Qbj2)uEn84IN7dbua~9{921)>Pygh)Gsz_{r>-Q4}9hb zk_u45v#ba`{keelQ9-J7Xxv+Gg~bzi1xIh70HS=6N0n<n2;N5wYIAbDXx;(ShZet5 zAZL~0h~Jx#^oYD)7m|U&qp1cS-H<&cmJuAN@dw&Y2Hjm^86km)KMzp6YIt-9DR@{0 zDBy~}7|>-xpcvY>4-}T5Aya7lp@j5=H6U5S@t1+A4jg|k<TZc)2j2t;i3<>k8XsYV z<74$^Sh#}Y1Npv=7yR(Nh!*C{G=BeoJq5!){<cX(hc_Zjy(B!kg9JRfBP2X50|an| zDY#7-qayGkYcD9&aD}POYLF~in7;U?{`>#SKu{V%2_OEp7Od_?uW#BnA%zjBPDG?x zP!~u5yn9-R7gq0qdTk!ai5IL0v=r*aTOOFAPS6lId@uEj5RePwK`sP^DgvXq7gPpe z*5RO4D&RT^B|U@g#sHO9CFSsYP%^tcBrLroN@G1hr|DaIDU|wqbbDxcSbAxcx_W^2 zXjyt0K<?DUR&QE>t9xj719F|q1W>c=_)1WqgQDb&;mOyB3=h0MVtC;70mB2Y_kjDk zpoRscaG48Mi4r~FGe}>AsQv!`lAoae!8;{U)+4@<(q>@r=mzcYb5Zd))&M%GmJ!yb z0gnfPM}`VOl{vUg1Kw2yKIjM3F9r!KfL2_C2dX-KR5V_kTmUi<G-A}v;n5A<9t)dj zhIj=uBG(C8oW%PHG(iI{<v<H7Afuq5jU}LcgdWYiz?0ehEg(mM)&Y1yhjX5}gOUMg zI0rHa3A*3hkrOmtQwJJvbMWW{uZi*S=$;5N!K1qoyz{IxT%g%j60FY2qthL9(^roK zXuJk=OA0uPcJipaIH?73zXfPDB`AJCRWSJ4b;vA1jtcacq26!_(A;b1^%vKiKn>aZ zuffZq99|p;%?Ve7hS_>qyIG)aHp1fOUJj6(B|#QAfbPQt@8RlYy)Mqn09pIc?V{rF zqQdF-|JRRS6smyQM`-m2NGrbnHCp-ypJ0PF9}SveZoSRlrw8itfTo&#y4hOTe7iY3 zyB#=uLB~$?@-X{Y9;`2JsN-WON!w)rx)v8SlMEV2@vuC>-~N|@fx)-)kf-JW&+p)S zl6@2p`1Z1Z23Ob}J46^f{vYtLyixPTqub7-)1Si!91#=PL7wOJ0mT(;MWj#Xi5H!Y zzyH5}10ITaJp+=yz=Q9-toPr6y6h|}UcD^Npjr()I}9HD6?hT60g{pez^fGl!TXgB zJS;DJbl&se_rC?o-V&e@c*|3z@}M*Vo{fs|=sX4*E;8`!1Rt}g@lp~LYvA?R@WsfW z^MYPX34-*TAbZh3)1d~hcYvl;96XwjcpQGQ#0zwF@SztqUZ8Q2V@kjOzib3W6<YYK zfV$xr_sN3tPD2F;LkYJB=)@rYR`5hnH~0w349MUKbc0*33>T;%2=M4G5b)@>@UZ+` zo(`G}0AB>;;nB;&56QYMD&T|*YT1G2I0Rl?352`9MkNDub2%vGfYyNtG~2SVfnq`k zBvJrQhOiS@z#=bx*#G|j`V}Z9JUn_?quxRy0c0(>=?mLU7Ner@;_P}*EP(E%?XH20 ztb2g2;Ra<K@R13i-7gTAff_YE(4*}@byGL=6ff{5r)k=t6Fgu`zC0v6w0%@08Y;LL z_*;I0Y8>!txo+?<Pl5-y4YCDdRIiO3$Xx+G-A)2N-CRDF$NAfvK*<$!45m+~k4k}O zFN-9WFaq5qvLOH|j6i!qz!SRAFnYuS3L{-`h=9Wg>N;@C98?#9!umxos7Kk28hkrJ zbG_$5(FO`W={Jzz133n=84q-&f&|Fb3NQNBfsUVc0bPjc0}8$v74W%A;NSyY8v}MY zs0e+b0=5Y121rVYz>!i6Ji03cJS=~gr-B9u3qUr3QVJi~FzESnpxgwW4HI~=${#5d zK+9{8L*WiHC=_^Mq3{xP{wSF9;xcIBmJytLKu5&(vKqaHcobwQES-RF{sg6yrE4MS zL<4kNGI)~<BAtL1r@v^C|NZ~verP8gQJo|82f^#3#Rn=swfG^05_*2x#smr_ocU>m z4RU_sdIbw7P<;lPY6FEB_=I_d7qx3Z;RMb~;7kPyTIl94{Q2p{Vol5}BtY{llnWZs z0WTTqt^qAJa7Ru&^BF<GLMRJ)fwwiFW}!0c-~V63v(SwfkPyR~g}hf2n}r^NW=WvO zM1kf$J3)*7x;;2x1(pYv!ZsC@dk7Y`={`t_13e4PWB`Q%wk$Nk>i7Sb$XV$9bBISl zmcp`73FuB&P!>vB1xgy=Q~^#O$Vmg7g&bvm|9^P~EeoO4N8tMykj|e6t)wz&IRM(k z3vQCJsCe|U{%JuTvIMO{eo+oCeBeuEz>{#Ga<G?GuMJr-Xrk?f*Ps9YUtfH&SsL4Y z41wVM`z8alh9&{Dh9&`2Q5SghMrkxuaWe3?Tm_8@gRZP<{>Q@KcO1m)t>g4){_n!y zU&F+}u)_shAAs60pducWbu1dHco_Iwf<S7!Yg8&c8vp<QFTlXxCJNpV)^d`+^($D@ z!Pi0#9W@gFJ^r8bJou8ur}I2$7OVNcK#`(Pufu=O&YvF5&-s0NMUB^SF*xyWvk~{S zJW+J!CFoLkkOH4xUP-77zo+F1{$@8O2GEoh*u>X7j>lXi{u_V{?>ykq`mK)7v)AFj zhvkW)XD=l{XB$Fz{LO4k3=Ckk+Mc}*|3K}j=68$`p?{(L+lu%cI!aXZk2&!%fP4Cy z2SM9SzTX5dMNvEfzHA6IpbWZ`2b54GUUYniY^Kl$wQ~wO9Qi=)6v&ALAZL489^h|2 z!^pq@a-VwZfBs(ZsW8V6g3glm)I0%_ZwF1>f+{8lAI$@x<@n!kcpUs;@1uACY#*q% z02)*WRo0;EoL`hGfl3=tHQ4L%9~6Zipp~Z0pl#ux6{rzlt2}xO{&`ql<8KDFG>^GR z{8Ioe2kQ<2?Y05MQ|AXzyUGH*A*T6%eLWX=&&A8k|Ns9tf-i-r=h_K6Ugl*uScKsQ z14E5S<NyEn85rt$JRt4|?>_-WI0HjH*G|x>P%kyXszFJho@*y4{k{|jRZ~#)-2eao z@3c{Qku3ced~GGPz=F66l&rvaP=V6X%R`_cWDv{n<jZX!HuzTUPSEP2URLKPD0#I; zMc~DOrJx)N-kS;bBWM*TsK4^k=kNdj;Doym>>S&_|Np;WcKH4O#XtMs|6lyH2Q?)R zy!Z&EU)qB@7au?i;O>E$pwk3jTm~}_`g9(6q2T~h0A}vB2Q@$4C4T>ZISHKeQSv)~ zn>T1x7Rq`V(2kRYmP`CC!QcgCAu0i&Est{!x7;pa1})VC?*#3w(`cvz9Udsf!oc9u z?Iz*F?55z^e1O@r`G*UC|2}4DMr^19<=HA`up$ND)&r%SAk$im!6H5?5uVLIIr#e< zK*_)PCli0GDJYY69(*n7*?HNc`4OW}Z<2vaM~cON&x@~pI&b-OUhruC#Z<)S(;M*L z)ACf&jhBvK7lf!3_*h=zZ`J_udL#b(bf>6fIPz~35%IJ<&EL$)0y>%5;y*YOTK_lj z{D0b`^P(@Pmjjt5I_0Bz)6?=q{ey-&P+2jLk%7Sz>>wc!tJnFzhvfzS=3UI-Y-s&I z$+Oq_A6N*qgw^qwi}gPPmu?r86vyTt{`{?%K`l&Bi2>5�<(=7XR~odL90Gf<!<! zEFW{R_-D{^yCe%z2wdQAW``JV@n4sJTO8jpH$DcC1?@MO7#KQYRMfy7e~bl_KRiIq zOi)u?07OW<nDZHu*VRCI-2<A}Axmo->bMz7MB(=JLy8%T|Jtwn8tQl%N+jS?IWQ@O zm!P%bKAi`^S5LIu_Ut_H5_HBhDB4O*JS{KtH&13_U~uE##v<?7@WTLP1~jaSA!b<r zH+cC7bjoMvjhDCn{r~UT{8OOR%Cq^G0Dqe^DB63S|GR?f83B-Qn>Cmi7+gDCtpCFU z7_`+K62LEKLCpcJ4)f`}@v;jvCDeK9r3b`Z4*u47X!4PQBp-G}@;L~)I|iD5IQW}R z{r&$RmWD3BWCb~-`6pMYmq+Kp*Bq`LDc1iXNsWuYX+BJ8=V6Z>u;~KN&MO|@A9);n z#q8O9#K5OlXOic|r@oqRKxy*8%L|}H)BIC_zx5m=DCvVzXE$gYb?3p?d>tVc|2+@B zVe#pF<kR`W0~8WX*5Ct6uXr>+;s+<=7Lc~yjQ^k%EbM7{w&>K$OhyI<{%vl29WE-W z;Kb4GCjm|q;O4*T2S~K5VvBY@h7xs9b}dl^W!0A3B^;jrPrR%FT_{t>%~1LY6#J!b zUS|LK|KHW{z{})6|NnQysHlUAd+<eXpnaDm_aVg@NRR_W2)y{X092fTn(&~lru^Gt zRMbJ`wFjvEukn%*Y&<6ef9sv!(9-SYTks7FAu0iew_mOSu{$rmsI~?bRu^9gLuqa( z&1?-W^+2WE4=XtHtrbM|Qz(7c>i7R0pliu}IxoJs02Mp|Ru5hwyca686-uv#NWEAJ z=3fBmS_Kxm@M02_?gG;<UNj+;w1b5%yvPR$^|ET*g@lDiFYDWfJm6kA#|vR=&^;A* zg?|5kIpY`js8(<<1+Bb=-rt9Oej#Y(RYL`+oSY77g@BeUbVKWz0K=1@<@`TAEN|9k z@^7=@gD#y>_2{i+1l_0IdZ6}!hvm)k98fz4yle}+mKT!WK?MSML_`CUPT-^HKlXtZ zg4C!efMW`jVhq5QGAQ-}UN4851xl}o6@_poy?)@)dFw^1C8%C`FNpvCKK?dP@#%q5 zzkqD=Xs8DH7reQ*J6Zu`Zv?307hrhcHM0lf2@lH;{Jr4&p#FpQH`Ek@1e&*k*3s~9 zV_|n}_|Z_z4sHy*z6dG+4M3e~@Op~`4^VUHG-yo@Xl1VAK@Y~C;6YZ+V<2xqI@mA1 zi-KAwplcOC)i20J8VEPM1P|1KHZ^!yUMTklHK8&<mK1;nPLOxOc7g{^N<gg@(3T+3 zayU@K3L5y`B`Od<y}str`SFE`1t{Sje34@T3b<_ozyH4koo$TTe=Uo_u^$!`N9g-q zUn~SQIUtJ~z+M4w3KdZSxfRkmcya#&Xj&3}OrwJUq4Od^>$}m@CS+IuwznAM67aSU z@YpXn$w|Cm2NlGSRaW3(iVziWg7Sb&`hpvyppB&9T|~d%K->fgrWb4Y@viS~0k=<3 z&Nqe)47FYY4Kss=LO>S)fMx?g>#_M=Py0aoaE_2%^^b*t!MEE(!PD|2fA2>Sr}LEO z#fQGFC;9vKvM?|>HdOF3@V883VPJ6O-xk5++j+(F;3FpA*4w`PuBZHac@%wnJ^%Z3 zrl=HvI+z}g;E_2I-|hejU&~W<+>Ve=v>3?bZUNu!C;{K@EDqmpA;*RaP+Q^=s7nJo z2ntjwv@HQC?JZ^U>4qMK-g&~6f1AI6ujM`db`53*2IpfeS_bYNK3a^R!=FIoV}}1< zE4g>D7`b=k7%_VMKkn1LMg=4e^Q&*?Ip5BgK9<k<dwaljV2w%u)MMatr&|B>_a!qi zF!=W7G5T8G<!?X92)4#Z0qV+iput|S>;5o<l$A2M@^5p8IO#vATxz`pb(M=2BYz8c z9?<eWfAe8x@ZKU8E7#7Wjt5?_xbkm10Pg!tXJ%k<2j|x2r~IClXZf3J!3O&=x$|$+ z(f75y$=?P#FW9BSMaA8vBS*!}rxTQAdRaMdLW)<=Eo>YhK>;7o+yE%>6<*ZL26g8_ zyHG$`*QYy1#lol8g9%iUd4SRbcw8TrM7rmwfYU`cbTqKLMkN5W5lY1!)IKb5;ok<b z7L-$AQSov*C@EWh=Wo6bYKib~W3hax^#A{VpUxbW46su@dRa4Wpg1r`1?IpK6@?eK zXJK(*0TXBt0d(?-2PoNs=R%-IgW+={<cJ@zTYW&w^Wd&51i7;Hl5gj`mj&QP5$JTU zUe@#1Q5;qRcUX>!!V4#C4$A=F)Jj~)!O|KmO+wvOqLKi27w8}ikJd{sZ-a`a)=Muh zgW5IS7CxQj96p`#0?oE--b*tul$!f=ibJxIfG6mtBg=zzpp%2Rpx4S(cr?FhI1IkI z7gU;qZq0Rl{{R2$#~z&reL63^sL%wJr6*qRap~|;aR)^WXnWzMYbc&ajTP6KIAR4Q zDxgEoXi)<5w+razC`ify#YP0&*S>#13FHJU`N70Nv10?4Vo~wcJOxh9FKV8Fia-1o zftGuEfJ67?s^9-X&Ep6j|6Uy--`-qkv2@nA^UBN2-~a!ELlJTrA0*T~yB#FJAqVOg zyx@EG|NrYpo}DLOvi}CT@x_ZZhTyi;izSAjF#G|knr6b7H(&G_LYq@BTHvfILr{&y z!u9+A%Xp-Q4Y+lKvA+8<xEMh#uc3p|paG$7NQwrvOgKQn1unfoL!2+JKv&R!w*o4F zatnA81T=Ef3OhH7zx5iZBm$2GaWj-;fvcC}E-IicqzvFWVaVVQc&(I=ibC3P7ZnX9 z#$zrjS`06Qe}L+I=%k_pXh^~WRBwQ60h<E~FHj8+?MH=x<0(f45~^So1Z`q~*tGIH zl1<?COb%FVf>(&Ip8o&;dWuIcsHY8@W9k)E%LNUZfyPrnE2%)|On~Zi$oYIACu)HF zY5)>{k$V}`1_zC^KrRWIq5|;{s2Kp7MFA~~eQ^VPj1j^wpcVX(bA~|UHlXYk#sM1a z0Ii6G9U=!Feg~QL5_Fi{3s#Qb|G`%`ptWbgO;(iqQ$Z!M18705hXyE`HNdSeP|$&f zHb4#+07nvdKDY4~XcT7m-~S8@{O*^*%>x?&P~d^uGtEC;%E7xv9Uv_b@CYQlEdja; z7-Z0k)!+q>IVzB4w7bA!?E0W28_50}azr{bse&mq_k+(`Kx?0Z_OjT59JUkUu!EpB zkB3KZphiO_H$zFH2Pl?6J_4_{21OCbQqUQ(padtQ4oYxx3@@C1fqVi@aL~h}x<L~- z;Opu^u?tH0;Fx{!>@lcRfE_kY$O2G0lVf=C@F(#Wv_Ag-|MlD#c5J`@zx)ZBhXe-( z%6hk67nKE&^Jh6Y85leo-#h?iw$3#w;AVO+l<sa(dBMQI0BKsy0bL#oTKNE5L*5&p z0e3toeZu4W6Td)<3g}v)PoRAQ65Uf&7#KlAGAiIBbTvTv;|JKf7!`vT6$c<51J472 zv#N(j^G`<pwiFIfA2@=s;kPb-D+kE(-UvpI|ED~93;%;oBLlmv9yFBU(fW<QO`C&( zp}|_al&hhhn}NUOJm?DK=6{U*ZLA#NMvF6}W5W+m{+3X7P%H3<AAgHCI|D;QJ*d@Y z%+A2z(%k}frepJuB>omfkgDb%>HPhS5SBZC>oYdc(g0`y*ZkxEPS7EV9{er`LD{sz zqZ4w<=W!R6C{S7fC674h$uX{mCtVFMIqn8&@aauai307EJqW5Jz^8eGa-N6fNB&-G zaBB{-4<1zAMY!;9)8Te(`0L8wE(#hIZuqNL%Has<4DAJpm-09^|EMTob8P+*QOfG^ z|HSKM9-8NTdv%O_I@dsEMKw=>M)D5+viDFt<-vH%*Ya+838;;g;nTSUeB7{iFN+8` z*FkP|0!@Z4HU`aMKpX-pJu5(Q2HMF03wT&)d32rw*OU<+&~~^_H^flT?LMFaAJk~e z03R*w(YXcOiUl1@bpaIpy{tUvVYPUQ3I`}SU~MZ<6Q+J5s1^q``MOg;)1gzq7rB6L znt`;1LsSx8n*0aVihl!2L>wFbrt-JE{|Dw5@V9;hHJXSD38;HJV^lJ*gv3lE>V^ah z$X%Er!E_E!NR&<hg+z*q1}HEsK-DEU{JJ6G2|6bh5)z;Y1s%NV+H$g#|K%ajP$Y}7 z0chv|w7U}2cWpgTm*dgv$LL{svFtHug$p?8^|Cgfg;)>jT0<7?g3>gk5_<8iA5`tc zfZ_mLz=67+pzScw7zcHx3t;I2>=;lQ0J-CJgJ&;`5y%=)N&pS{wZ8zTa7a!8SyAE9 z%VG>le4s8DBniAw12s|LM_+@Ez<^GAf)XJ(*H?pbxHl66Lqjzm1AmJom<1Z4<_8&X z87#ry2O8xAodVZ-iNEbVs8iMnxn>4bO;vzwF5us0;nVHL;nU6M(;X(@+Z(~?Yk8T! z-4`^R*XzLO(dowF++oA$(d{e&ZV_l+02SXHFP7f{72lAO5j=AUx#A5}Z&Y~vKM5O+ zXnx2DiYaiWVY~=g3KgSL;L&R$?9p5HACw!wq0(*P)9oPOV|lE+4z%SD6mCAyQ#L$$ zS;RqM19CHm2WVUxT*I>&fRZHih>Pw$D&SsFCup)2w(!GUpxIXc38?Wc4a%1wGr_AC zpv8LQ5m0G=7$)^XRPOix*AGGY5|pz*XMKP>QoXD>r(wwgJUbl%>SBP~TcEzr{a#S2 z1TP>5PeJxTyI)fvxx70@r2ulnc;^=I9bgU}H7b!Fy{zI;LqT0U$lxL<=SzT!B!w4U zy`Z!Ps{Ok`2bw^RjD~caJ;3XRA|8Xhr405CcwS`=xQg=V{KvnoMkUg-^Mq&T!I##c z-NSaWzyH6!3649o_Ff7&3eiFXlv2(!GB7k)X!Ex&2K8w`b@%_19-Z(JCQzI*o&YBU zk6x$$Acg=a%SgNs!e(MGul6ZW0D^YzfXCxXRKTlNz!!WdyqMPm@+&Aeb%QfkiAn)V z_IY_3G}8*nt>rw&Sd8^Ro3)HVf}Nnu+{=3AB*=JByAI8G9~Ffc_F&_|CX<+Lr#OOY zz7~O>po4{9%KiNR-?8~e8Gp<FA7EAmf6Lb&kRBRn*wv%+)61kkpb=?z(0FzDF?T+O zhH6H?Qnm(A?Q8+w>(+_lz!#5ifz-RGgoFA8pezlZyFiT)P(5CH$m9QEP@Vt(-0SVf zm=#`X{`mjD;kPz_%lq&D{~u$}28{xB9)9`eJ19@~sBkbcFuaWZ4sthWEP0K}@BfAe zK&(Y7zyE`;Rsi*Zrb2~3zUYPtzi3newIaFy{`&tCw7wtGVnS;_J_7f5kmsvGJM<cV zgO)<__sD=`yFn4tT_@nt?FL?&=HS!K2A*bcJO-Mq>OA4o>&fD2`Liwxw4>0|@@LsI zP$~-W=;i%?92A*6DxmRpuwDVsXrzP>Xd~N;qAo~g10UyZ02)38jf8;v^Wc+P;d=!O zUQgw3*9FZeSf1o>2i=ekGA{yTl!u4rdC)%m@Ao~x_u`%R>@~3fj~0X4x8N3gXNZab zX!zyDA{I!i47`2N!GrN2s1*R7)@=tDH{GC<OFI2IAer*;eNd(ZFL{Xo=gb@x$c!_n ze-QCvt0Z{I3#7LJS{yFm(Otmd(ObvpVR^n>6kH*7dT?~e7=eaVp*nk6-yH)52q<-f z+t1+416usrS)-!xBC!*cdC&?9u%kfBJdL0Z>SPDS5IB(>^632Wdddq;uv=bSQUdje zK^stCJXZpBl23weVB4bvN(5}bfBk>S2x>Q@#Xq>bM%|y$%gRwL$p9*(U+_Ny70b{K zY8)QDqI$PE89Xd6mU^ZgZ`cHC5;8y**fLCLww-tn6k;kKoemBYJdQhn?lfZXIPTyH zQU*#88laGn@Mu1waM+`_!r?`c#P9#FuY$W*;8t2MYd|#U6e-ZbTi4!w{XgMFz^`Bb zUxH3)ha_DviRON2{|9N!2dL80@Mx?QU|?Wm;P2lLYL*>$gm$qV!PhA@+djQ34La6g z5=0WTFO<RKxT6Kgsm-=0K$4}2;ElnK8Xm_RK}&8SQft9dW**1E>u*6~9=)tLD<Q$< z(aXwrixV=P0;=BxUi`lg3P1R|lHQ%5gl2f)MY;Iz|F4gF^j2yZ9(a)@{`<d2Z>53Z zffw;0@jj2<N(I9MFM`B>L(bVmgxd?dpTE%hKcM6YS}z;Hzs&)>F;k$!MFqU`6C7M; zVDbt4+Z;T>uELZT@M!*_TyG89rd*;9VuMc0cTwSJsPJJZf!wtM*2KTfA>j4bV=gKZ z4B#shIrz6Z_=06Tnty1+HF=|J@_+r+qxpw!iHJw@4};SEU?=f!bMS*`1@Exk=HLb9 zq0T4px4j3&5X$;)kZ$N2QqZBEy)uHJ>dM2T8@?>C1~gL=04g3FJbGE6%L2h;2H@T| z=%lA<>Y#`LkDY-hK|n1H&>%!7sF`u%HYg!$fh-CDXMGpYP1cZ35;##n+a&NaXu&F9 zEE4_w|MewM5Q9!yU^xuS>9FlNAO}HL!Iigya(W4<`B?+Hw*<OCyyB(P|NsAAM1KGE z|K%4@W<}}Wg4YMqC_LKK@Px;XTiC+`Tt`6ebOpD$(ZXYr2y%FQIfy4b(p&I_hvzp^ z!sCS$Xkr$&{vT8tfEW0KhwDHt21O9+wJD$q8`4Sy4cl}h7V&DlI9CAbAA`=d>UIE+ z?0I-}3wm@%a(HwX3V^Dt0!UK;T$+Q1pE^raB>rCjB?t{rnFk&L0B>{xud@bUMB@OO zuk!%4;a=#2oeEBPkV`K?8o|r-!L8M9&`1ufkq-~u7nWafhsRM!enGkq>jf9+@C#^o zfUh3`Pk(_oL4c>fz!PEMjS*lUf;UEh>qv08X}ma<4+#SY@IrL_+aLJAN3esA;|1?N z=myQEbwXRc4j!E%Dlcrnt^qZ%Vf*(%^B|xO6)X*Tcr+ggIQ$~`%dh`0<uEpYpq2mN zh2<#a!wV_!dP`6p3(nu5&K78i0VtNS$N!6p28a(cJZKWjo?r(-V;P*#z+28bVci{Q zEQ4oP5V0Km`PYB&AOoZvhmmOhUyT{xQs8Bv5dRa1?-zCT5T6qhw?_LxnG|2#CV*`Q z$1SM1g+wf<@a)b}fyQd>r(geHS|G(Lnt!mwrx&;(4DpW#G5PsL2W{iC66_#S<FolA znepibo>_qSpHO`Ekr1CrdkMwo1hCC`<8$o?{PBrny$Gmv2`cLXK!qfDg(CPwMFEf) z_{?I77seC*g7=n#mqmb2jyIS9I<~C&k-SIqFOgC)kLE+~Jeq$omdEq&ddykuYzVrp zM-o)L!<IY5sGux&0QFhHEdbE2L6$y{@zAa$xVV#eeVBjOWA<Whk8T0bBEKnzUa#}$ zJoNgrN3#V3|CB?o7rfa2{@4GPQb?)y43_f+!Al1$JS=bV_s#<4&h8S>LX{d74e-G- z5+45#`SkL1IyN7;0Gey|?&a}-^x`aU)rNXl{^D;2?bCDN-^L;aT9H=d=-BYXvfSFE z@u!0mKSP}wSaHjNlI@_eX$Mdf^q7l^2Lq@P6aZ=|LeCs*{CQyShyVO@4!ph$3KEA7 zP+`~!nxpB?QGu!f-OGs60MOyCKVR62p`B2OmS4F*<4|b#!9Xie(DC<9ObiSYK!Y;i zk{7ght~ZJivDb&ceG90E2@>m!;5f!2ZVwt?0#^i}If`!RxC^*@0Ge!g!M6q!YoPHO z@XosK2FRd0j|!yD6#ykCa2^3KdWTpV1zt20;n8ct3~3m4R|)uZGkIA4<8OZlTIkpb zs^2?nR6IO;MZmXVf&vWEU44-&3uzbyfJOts=7C40Kx@;%N4SFSbpbCe>J<_8=nZ0Q zw(YtIYAw})D)|hLPWcHQmZ!?aJV1kso&FrhSeWfWkp#UXrq}fD4p6fQv<nzKngHr* zfzGuDjpix52&jhSP;eH29<U9)mITsCl>^(w4Qixz9`@)w@p}3T8SdZzUw?!iMx612 zmmAb6ya9F%cr7HzZ=hRfQot6oz`Ew(whL$}TqkH8?0OZ*;u_Gl9dLIPRMvrx+y@yG z@$xiiytkKC32FkUT?^T20}5Vf3wJ8m1h8gk^>2X)K~Q%#`2r}I<iJip<<WT@kw_rP z=OyTDj2C`fzyH6!Hvz7-+n>XuH;~n%J6^z}+uXzQR=KU?4x7Bp9G6ZOKF1w)pcPgf zE_^H=o#7lF;0gQR=Rx-HdUTp2g?s0R7hgC*oAN;63~mmAPNNIl4vGs<L5pazgBCk? zhNvjKI8q4;5^$3peAOUmJrVejduW8bECDs$=e_>*|K&~aLS<-$jmR&(E-Eh|^HWiv zS(nB);J$Y!WF3r0FO=@y1D?P**1nm6fq`*?2gJur9^LXD-Q@xvmY?|B&#*EuIPOqY zWMD{h>15%C__bW1*_I#VSN_)dtPBhuo${cTX?F{F1QRq?_=4%(|Nr2SgfukVIlzgs zTO1simN)s^xj_2h36)91quXD>qdQyzR2a5ofrg>sH?l!5a_WYjyahU|%mJjJmsf|I zm%*dE6Ou1Mr`dvvbhLa4aR4M=CV2FkNPrSfgh#g(c$b^yG5+>7pwS-i1qhv>h4j55 z@}R~eDD6V?<yA>YzKj4R4X}C8d>H^aS{ZaQXgBD*B6z<1bQav@w*VD5kP#Gc-sEow zS5a_pGuwJ}yDNBf`%8er4`Cx{zP1dsx7k|@TBLz(1#PeC4P^A_mH?M(mY>R%JwW`< z0FGlU+?vN4K+(v6aZOw=>ys_8#0Jjz;MsKWRv1uX3oi#HHjLZ@wh=sJtP1i>DLmu< zc-{3vnH`+*driB*CgUhl{+1Ci`Scl3e&GY>OHh&W@*!y4`54>p|F7RcOO=Wj+u7iy zO2x}<pyB|0GI1{}AJ}eC76Om1$DoX^H<m#L{478nC-A5rxTwwml`07@d0}_H?E{Td zcl(3y5@?;z01j=8Y;R2<+p~bZ!Qs(q{?Z@pz7H>$*r12PfigX~=L1@G6SEl>4_Gq& z`BG45A!mB<WmE~UME9}`wDF?b-N2(8bPPOb(E_-E0Xpg-c`4zl3f!CpS5@Gs1#SH7 zi~(H|2G0_Rs!A1<a64;M0ziYG6<DgOLt;c_2@el=mbiZkoFz7bTPdK%612K<1D6bt z>I#${3_L*50rn#N(nVNJ1-c>`lrKQ75e-md;)RzX1H;Q(pdtNU(|;R5p^GCe7M6fQ z7f&j;2f42lDJ`ae%2+EFl(eYLf|M3*LGjTEy78r#wFzuDjvDT3G01M@8V(#61(38j z1(alZO&!1{fLssm--Epjt`I<T5u3p#;BkH9NkmQpoeuSK!LR@SU(_%|Tz}(55%cf= zFT+9IVaPegpyRiDS<h?$g%~IYVJR2v!FGbT$bnm~AT8j9zR+6Z<<p=4|G$uY`s@G8 zmY<L{+=%+eI#Hkube^;XXm6STXm6T8w~LBS^N*5Jbx<L#(8A!+d;q*y1+<?*qr*jo z<Hg()pjil5i?R7fS;-Yp7Yuw33%FL1`T76<>$@+OKKX_1Jn0$_jI}8q{M$TyK=;mo zHtIxl1o(LL27reKK*RCitC%4NNq`o`gNGWAyQs*3Q&_KPPdQ{^kpeii34)5_H>V-f zF6JO-d2~4XfU0@u+FXGrzy80>Mrp32k1w)7+OHO%Ffjmyi9xrEN=WmMWd458l@-lD zLizhAGB7YS|L`vj23g_I!syX_&;dMb4L;ofJhlK@L<1UP2Cc9Q=<rbi9p@+G(aU<f z4CG)?pBc223+!O)(~uB_toLdD5n3VwHWy?fI7Cl<2ZbmoMnKDupfLjCLQbc8(RKX) z|JQq)e?*j2H2+8{QEmQ_T)Gz|k6k(U?f?H@_kpdz>2%O0G<2scU^>0w)c^mG>jObI zm*F%G6m#gN9W2EN2qTbbpZ@*--~1!LKC$^nN(o={k9_`?Y5)HJfB6!W1M%7dI;jrb zmK;o5&Yb-J|78!@mcmkJP%wCSH2wswjw%P=QH~sFps0K?`_}*eFQZ}B!(+wd+yDPB zUBKp)l~#ewacHOvU?|A|CF6jWOC?S?k_E_}9U<@(ak2y>AT&Wvd<m-cKo_Kfwo`z* zF&xKSR0J4btoif*|I0IfL5Vy>CE)d}<{uUOt*1eX@rDkzIPk-?dfkct|6k^Tw(C84 z@azA}`^YU<NCOt7{NQh^0@XszZwx^BMFW&yG(dxt%|9ae`$4Otnt!<Q_k%V*H2-id zbp(Zy1tOVz;ummHaR4u%0*^jIrYk_}&Oq5&0ko3nh3+j#?tomf-u%N2k~_c$&46>q znlG^2VSy;GP)!E+bX`;gKuxwZP~8Tqqrj%0zxn_F>jlj}+)Hgpa~o(U>5GXs|Nnmp zI+6#J_x8U8RYuJ}((1)Pxo|_T$87KtFAmV9!k{f?%|FshW`PP!F3@VG2`^1SRTF67 zj~HjC!<-GaSOR46Gf+Fs?*6a;FEgQ21K<ckiyskCD;#A!6uP7Zv;?gG8Y2Tkug7lC z#z63fSWqBj@NWzB?g&wFp8y`$?k#5Y=&ls->1G6Xki|jq-5bp4(HY3$c#K5?yc`l7 z+y$_RoC3YZ<+8`YSN0x^w>&QX0=2NfMY#Ydc3<oOCs!AhjAIVo;G=lJ22{Wd0ClB6 zz58xRuL9KnebM?C+*tul&UAx&Doh^mo=UmpzD!L9hO|x-CeYz4kba)<Ay92A>d`3< zs=VAg+`T~+0rX&-&I>PC{`~q6x`F{b*b3^t_nIcI1XZ;-s`o3|paufCs|Q`I51y3v z0JU8+AX{vDS>?ed;Amn@0-J!=Kmd6N+=5R&2y(9kxSf64v-1Ennmjsxf=jCxA-_TH z1!b(3FmS1p#NXlzEtN9hrP92Q|Np-f{{R0!IQcR0w`qaZmhrbJf*BS3EfW9!|9^e* z1<T!E|6iU*KG_zWe9-H|HK6!LIZvnCMJ1#8M<IXzVsJGWQR3bFqp(B<lym~%?hyM3 z$+nQgV?dQr03w-#xZvSE@IW7Ucu(L(%ccMSUxQ~S38(~>4d7W@@YEZqD(z)0&W9AD z1|UfbaJAZU=KuefmH$DtNily5XvVhrM_Fk&$nJoKiU5WZHCW>YB!uCduNVLShg_76 zRN-Q%;y?ZW|4R|DB^CUwpmnGq!^rT!;XDiv90r-a102IHDg=yt(FUqJyFn#_&h20S zUz#II1Vnkz>!NZ2GTv|ow9>Tk4S3yF=Nj;c0BF1cG{@B40$v^qj^Nkqpmt6Ke@hdX zk;3091RAL5?g6iL0@Zf>Eufvz6Fj;d!QJo-&}bcKsf$Oi40zE{0%Tgu(!=sIe|s>f zX$9UA)44|lJnhlT!wyO@pqUuZYAtBz8Z;lv#s%rSfO}S;$b%f{18U!P`U^DMn(hPl zR33mzH~6TaIB4-4Xht1$WqgY~WT<hA3dljAGmtVodrb^HddnC+EYI<`&juxIcrTx+ z+VH?@ZAcG4L<L&aI(`KuVo(9`{{Y-*=oTlCo55?&EKl(F9s!lkpwS8bZ6eGbtq1D1 zP4MV0=kV!uWAf?d_vsE7@U^^9ZsNFGM}dJM&9zg;(5KTKdPr3EUQoVg_37k)-2ghQ zsq@5(%<sScdvtzynfw3$e^5aT>fmL7hD1Q)bbFS73Mo(#16~df-Vzg{A^}pP@IpTw z)T8!M(Eu&7vH+(=m_tF$5_g0zK>KT;UIB*<xE`C}0V!J<k;+yXMBk687Bp@FN+lkR zM?i_^ut%?{4%j_73eEXxph6R^$U|Q0mb(Y!d&sy2s895I;*0EWzd!?)y|R}UgM11) z&=S$x0<Vn$jYzr@GFcdGvKXj5?VbZ&KM1nnC1`Ko3pS7?&nAGv1C%^K>pL?%dS&E1 zdZSrAEKip^?9<50%;_{?+Na6Dz~InP!o=dy8P5S4OKP^=u^Z%QVUJF6jPU5Z@M87X zU;ke}0}a1tc=Vd`f<1v6JYY|NPB>{u1qBc2WL@x`=Af&pK>f`O&~O4M(L;t4IuC)y zLO~hkh5uJj%D4c^W+47cZcs(q%e!I`D5OBs8Q@VCaK98hb_B9S8f*!;k5~g*U;rB2 z?w$h96)$uDfNRx24iC_fJ9r8kl2^gkj)25EBSFLP(T0~^2YU1dLi%N$AX`8^98gmh z+$HODQIYZJ75$qDD#b!nkh){B`yhG9!=pEV5n8x_k|fA`pwNfpp<DdDU`8kCe#q`E z;OOGtW+Ud&da15sf={<2hi|V3qfd9BfKRuiujR#ZAuzwwlf(HK3$wz@#Q*>QgVtWU zdGxZ*UI+>dPzH$sEx2|84LN}`2&j|JmjViLJPGp7PEg#MgOd%|F>_SFE`vL&M#T-h z0P`@KXI^H3#v;~z{`LR$QAmN<8^Gw%EeS3GEkBhDf&u{4YC6Wk9Q$%JcsPXj{Q{6% zK@kV;+#@0mH0YO<Ou(%MV7DTMLp(ZvzMlC)8{~v{9=)uSz=nfH4nXk*TJ{DiL!jgF ztb`1|yaN;vvY>$I1dYd|d-oowld%8Oum7*_gDQ)bkDxNJ8@f&yyfvx?5^|tcIw%2O z04V@3?v*?XIW1FSLWAv^uaXQ5{4LOX^6DEw;laD$t0Y6SZ6MeM^&Xw9GrocrR6*te zKq~_vgJV#B>&cQ}{%wKcotK**$$NBO1KnoI{_U`W2fxdw2@^nB1)SDE^GBfm9H=V= zInWL2qfSs00@ND^4_3{UV_<kG`uqQX!*Af}x&0o!wvt~Z8D3lgP1lx#+U&i&HyYR& zz~cxYe}PN~Z4UwsGJ*SR(0!0#%es4@fdCrM?e2jr?*}(%zsv{4ZVR|71-C>X6Ih_O zB`Ea3x<PUFA{*2jYz7SrbwgAm8dA^}5C_N^;Cc_#x(0E;Nfzv}?iQ$>pfumh>Xin{ zp`f+0$6Zt)4W;PA|Np;K{snF*l@x&&!AkxB@hbRRwZY8~%B_8piec@OL;wH3TmxPS zfo?v?2Qcq|{RZ=n07wRwjwD_Pf{e%njc}P?`t|>%(09-X7oxobULR!yn!~_2j}V-I zIuCj@Kalt64R|*JG;Pe^eu4$G_yM|@3ls^kHTj+33J5fa%hv!M#O;PG1#kYDQt#jV zGon-zl+fT4m7p{a>aH_%`lyJ!m<hf>0k&PO`DaqerRJY0C1*jtfoNe-0mb)=2cVsE zSDSwp)ay3?%r9Bf{Ij6+7O1oYsp8)j=mTyofedf{#Ml99C(V2F|Nra7%|FZdTS42L zVQL|5vjyM=my3$S1dnc55pM|U%z0S;=5JR5$@V%idUQH+9AgpF0J#QKsX(UUp=(h< zc7Y@y0R~!$IR`vXj(^4#Jah^k#s)bYe10Kx7#lp}8sUL7;~D~L!oh~I3$P4h|Naj; z+yQ)a*&gU-K+x(ua3|3pe1WkCsL}+@8-aEmFg4psY=JZmL32i+1-U+*>=VFkKG0x= z<4#Qta3c(K&P6%2Mt`;$tiv6oqmv!9UDW}!oxW9!5j5KX86Ri$usp@zzKel@VRv38 z14EiqXAA?=?i>aN2B(e~2IveUsBJnEVpubHDw!RrO%*NxnzggM2&xM_7(rdtV=T;? zFCT&{anr}MKxH4OrGTglKv!*m*G7lMf=UY)Q2mWOeF_d<aQ9IKWGP|-=)~(eFO=Sb z8d9M1lO*2$`v0;RRCa+!mU~&t!FGY_NbvMT324nexK#qGcV2;S_47fR>_tp+fGm9Z z2UIF_+Ngk*Z9|*NVy{5eJS2Wza{mAS-xIX6`M`^LZ+`vv={)grC0GmSe5hX0Uo$}- z6@kq(gDX9-<)C(5E_TbIQ4Bh~71THaJHrTcN>1kiP%F3Kg(=vg1E98S!Am}{Z9Xa) z9=)tRVB6qcMV;6F9}Nmtj8T8+3{)yOVpzSPCV*;B*t|A)W*pSI+6y)TPsDU@1l6O0 zuzK_us6*chx`m<n^{@Z0UwgD3C{_39bp#Kyd-Sq0nnOxT$e1_ye7RR^|Nnov=Qn7? z4@(I!=f(g3FX#RK|G)WX1%FG~@BjZ_E&;6~><xGgI$4UpO$)?p{vpNRq5xvS#}!yq zkSBvvAA%wfRz|&ecIMarmm5L5qY>q4uZzkJNdK`J)D3KW1MUfQt^v<agZhu)LZTP8 z2Z=@Hg~Kn%b;;lrg&d%(iCQLuN}cX0kZP~>5`PN=8w10H2HPcX!R>&jpyIkybpBgV z10Y}nI9IL$iNV|OvfXb%jRDw7kU5YIfUrIw$ixCx(5A{D@y=__kK{c&FMt~a4&RO` z`0%?NnlNF4Pv;@e<_Geg%|FEWTQ4#&FnIPBy!HU?qip}c!oa}4>k%h^^H~-K2E$9B zW5d=%W*qmZfO<U8>38G>2hId$9=q0q5`Zvx!2vX^8jpa2?yyJahZnUkA-x4qVufbT zThl=K1JrKAG6U%w2}-fZGmsgec@l6x8RWp1d7y0G4RLM5e(;)n{#NkanXvVhptEK9 zw}l6IbjO3QGA{23QK_HcaoiocLf;*{LVtoscQ~k9z~s?w?$hlq;A451zdagsq}NX9 zN&_A-P?yLDyhM8GI&f6!fnvAQ9Mq5QhBW^`708PjXa7T18h~5~?qh<N*z|g^dvwDJ zMgI2XObiVBGy)v`I!&1O`FT3qc9t+RgGx!z+7{5BNYK7rpI#ON-(ClHpI#n=W?Mao zwNc<YL>|-!P5>235febilC?8~h37yk4bW1jULIzTUQhU-1EeVd>qozs_!CmozylLD z=m1?qFa<m`0;+5>pmU}I&9<x8f_)CYi?JJK7jz|oENF~jpF(bGNk)E(6{u{A@a!y+ zVBZJYVBpwMBEb(?s{yJtk|E~Y1-FvrkF|qhju8})pz;lJ?I)-rhAcUP4rYU9!CssO zZEl8cB|HY%gfRhpP%dcML3e}zXd%4it#Vz&G6X(N&^$>!DBYBMbOv~Icm#ktvf%6s zOPal`!Bg-g!((BfWQbAhf#VlkA5B>UO7)PA{V~wCl!h0Ro`LEkkIow}I-dRd4?l<+ z)JuLo8Dtk~-3P7`K=n}+*e-A{89d1ey7Ca3z+cV-m-OImexN$xh2Aqz2l)o5X9?oJ zECI>)vbKZG2W2U6-3n@qgEr@b5{$x&U!fqsAoi$%JIGtWas6`dUr<$c2;}I?PeE1L zg_qMnwL+&4Xja}-A8anFyWw^ABCxqoCxZuLGC+f*@YMB^A8gWx7nPv-*%P21A!vgx zXsjGG&~tebC`>?Z1{VW}jftRY$1M~z&;weD*$plWpzCfxQ$V0-O@Jg)SSWddwyy1Z z#9qwj(Jc&Gy))&|OGQv+(0S|i2T&k@&TUry4BBkNqVgi^C8&D_>N6g9=MV-B^4cC- z1&U1`k6zZu6j1%d{yNN~m(@3gi{Zr{&_u6?tKk8|+b<V_dMUlOFQ0=-XmF%}n(;4Y zK7mIHi2u?Kqzqc1zcl;>KBUd?_RD0jFnF@YUBIK4HOLO)An<y!7cK9;|A#k!UzEN3 z{(r&?hhx9~zl?@XY(s{xk;bokTU07Q(QoZ2z~9ynsj@i0MZy{t1`yo~p^vqwD1atB zLD@@yiGiVE2Y8JRf2%7S14DO@3g|4;=AY&KEtaed44n|ZM|XgPM|XsRN3ZGXYLGKQ zX#m_y0Iem}I1CCwSR1*aMn!{xzx6+;Z0v4P0b5ZKz`);nk_pNDLjL}lObiUoKO^{C zn?SDX4p8s_U3t-ZyHpP5#0f8AUxKPsh{HV^kAVDh7__VP2dJp&j8OrtTbo%0auF!y zfKxlDpD^tZri)s>fXf<CXRxzJ<pv`I1JoKuSV(w)&a&waP&f|hp@ELY_vn?~bpezF z=0N+E&`rXfJ>b(2z)oR+d#keteBOXZuV|JYNYfPX?nRH|Eh++x3=E*wjYluEEzl{V z@*?5E_y4U2N<BeEo&jX-J;Y(4hzG6cgoGZbhtk~wju%je_l4*4|NkevaDIUqG810t zViEP|W&H*VBG7ClXh;Cu&x8absF#y=5E5RHg}{&)Y$*e!rp^|X2jH;wQL(@hUJ9U; zaoj~E0vcGo=Ry7kZHn%MY#0KC5Tu(08NYxgu+AQ6GfGs-0Hk9IWK{k*q%{SatOOmX z5&>HE_QLW$BA6^-!31#>4|w4#_Fz(a1_~yb=h%XY4U1^=&qDr|(!c-zzpVWWYF-xe zx1@s^W&AC1U`7RhODIS_7F3Wy(~3v0tYjr9{ecE<!Bs0L{fQmG5}s-xZJjMDFQDP+ zfHOQ>R3sP~7@$FFbq*9jb5uYF#(+kHJiywgsDRJn?d(yBU_?%T2_V^C-YcdcO+6|Z zAnx%Nl>$Zv2G9ZxkKP`z*`O5m;=x^Tz&e4_ALyE3@FgG+*P#S#Gh|iF3xSQG5Iyx2 zTZnGKA__`q9-zJFAFyXONaATxsX%zv_bkZWb5tgP+}+utvI0y`QP}{ddsKFyc=iBD zv{$rT7o=&5$_Wtnc#8_?_)bU?ngTW(oQgi*0edzO<XI0`DgrqS6cir4yqiyhddQ#{ z?Ct><TA=9}0a$YN0c~rV@Z#JPY<}N?MHGCY45-xXmHk-?OCR786Ev>!Z7(E!fOi}z zK=T`DWDPW|0xmIkfv!klDB*(LnNpJBal8qnf&pAZsVo82P%a+Fn?O|zNbFb>SO>_j zh{A&7Ma`A}|6%ED!V5lZF74d~GQ;q|iwAdq{eQjPqZ4dTv+aS!AUi}nI=evf9-S9< zf*N2iUxEg)AbLP|{;dXSdIWR#OVCN>6J9KPj2TWZ8u$PD|I!Dw`a-V1TvR?l`di;Y zO}xf8kYZyEq&?dUrMsa8M>q5WjZQBKkKQVUW?LbUYx!GOg7iXrdLErl3LeLupxatM zE&{h)<2V@@zypa+8sHw<9}WhFZpi5s&A&rRgF(>_p7=}vrIZMd)&nJ$9=*IF_j%CQ z^+LytV7cSdT2Pk~>deL?AlDx@JZX5~^@--+1^lg`3&bZh*si`W$-qz|?$OD+>OQE` z7Y%Y%X`x3a>!SOhW(0V<7NU7m;n8}s#G8Lxq<H7O=Ew3Lo%cQXcfDo@b?-d*U4BoP z0E%yrlfe^`knQE5<sJo~wP6olV{y>|!;^;pU!U;kwKcpi$?)RM?O*?2cYtik>n;>% z{CC;z&<6hYcPtDHjsNyY@dWU<yEB8>zv~z2@wZQ61hJ2@{TJqMtp??`UfbJ+Yz!Wj z=lNR`!3W%0@V7XE6@fIAN_q5}?kWK(ILF_r$jHF(iC=($zvUaaCKgcv9U<_->O1W6 zLIF^-9Mq2WfCk@$2HWa;;Epn=R|*>K12@_`dGqdp!cKl6D1p|2s&7yS)1#9$?j9rz zp*vu@LETKk1Lly0=%CfBpzIGCvj>fRNI*Lo;BjU6fO!r(0|WS`2BZP=7k4EYV2*e( z`_`}jFDt;kQpn<Sn6qCdg9JN8RG{lVKr>39{VU+j`BQKI|NrvuzyJUF!w<Z?4X$`a zR6tWa9Gx*LJTJ_y|NsB;-oO9<LEE_*Ue5UU|9|5@Pzb-A31;4})3e}jodg=s>t)r< zWMcpw;n<oD;&(InbbAQ+bO&(ox2S+)1{|%eH6TlRP5+2+F@OdOTR(x~q}u>|a!I!b z2Y)MQ4VZ81ff7#7?*|eX7#K>lAZe`xG|l7#nr3oQk?4$p&VGT4pcnpZ3=H7Ocu*S_ zyinHy)IaqA1*Ze(SOO3aazF@Z9*yzExj4x9GI)mv_|!N^TzE+|+d9q%#cmKd6L*S$ zMsGmfEpP{${VO!dlq!P?9*`br76#31I)Hkf7NAj05Ab2v=&1^{geBm`=NrHNzh34D zE>azLf}Fn(M7#uFbJ__Vt^*xoA^>tU#G}2ebJRgq4X7h{+(pFzRLQ*Py8r+GOQ*m8 z|0A2_2pTwLc-aY#9Z-P31RZwW_^*dawUWObR8lwoi+8e1<8Pe{3in>yE3Keha-P2x zG>*!@&7vd@s<;($WQghJ0+51prFNhB1sqsD^9ykBw}P%W1x3nd{-~3m_yv7bI6m`7 z9RQ~<0T0mfFKGHwcySf9s&6i+Ule|TKm6qD!ys)A{M(L$>S*wwCPQb8ipYz*SO5Qi zS^5W-&_L_EA?^T=r#Al6>osgEmGtPfebNGQ&UyY;P|$gFTky9`VE~m4|7Y7z<8K9b z+k0*8tH6p%b3M8(N_?P&ODhwo>(^_V1oe>qXHc2Y`Uc!V6H$Tt05T*98ae>ykm4Kv z|G&Hq8Ws#c@cIJC`JhAwb~+>2=}I7{@A?gO`s;p(b+7wTltO&@{mTFUFZ*Fi!Am+D z|JlCqy1?IF2hJi)j%#=Gw}T3s#(%jXQk(f(dmz#DHV@*XickCk4jiBO1vpCF8vlWn zw}M9=dri42K}yb*s&^akw~9f1<&k_09?}r!7K7Zt{rmraaI$-S1>{Uf93sUZC>%jq z?1d<33EbUZpz`4)fB1pdoe)bw^rY)vd%;n1u^D9XdHz;VJ^@EbH#kbv53rpnt@h}( z{ZIi`P?`ZsU(Qe;w-$r?p}nS;n?RE1N>xD41|1X$au6uvf`b?mZu>9)hb-BKxcNF* z3I9GsB7%5r21uE~FIY@Wf!OqV3RpR4HXSlo1WIqYAf>D@rQqz^_-{+h{|Ef-Q^AfG zD&KLHzZJA4(4*IOJ!rnv@_cC?C_VW?6}D~yH%Lr_z>?=cQ&R;TAn&)%1WAA=LP5Rz z&JyTBD4d|YF!3ie<iRB>=-dKO`CkKB3j^`(#Y_MHzXZ*<L6r1C?0enU`0rf9)i>ZE zzgGqdwDbI}YZw?9__tf|w}7&5<G%%bpWc@Wdi2`bf*p0fG=qP;MTtw}zh8yH-}ze^ zKo09QO#tgYSE}j(3iH>X-NRtlmOxwq2~2O0D<1!Vy7o0V%=xz?f*j&jRgkK^Kj1+Q z4r>1W2xSn%{(+WxO@k?W>HGixe`qb&{3E2)$fMT@HZY$d4=Ly%xe(NKeGxbfvpE4; z;CT{c8Ms{LKlT6r>pP&!UOYPgd34_Q>^xw2;6>-<U;jONs|*Yeyr{bj8dN&q(fR#l zJ$Q31q>6lzcKO%;mx15@|9=s)_1FKG&%c7EHo+qtNaOJ>DjPs`7I=K)pgQ=7Lr_cK zqjL?U<=+dXyL%wx9iRiE|Eq!KIH#z98~Ux6N_aiK9|Bco{4G9UnLXfv0FUGD;3am= zwr6I58;Qao$>Z*z!iT}5Gu)!tb~8j`rz*(2InX|Fyas5T7SdxXH<$n#d<Rd;Pf-Ey z{{meN(59rwz~IsROO(HTr#P4;%-?>O8_W{qZ*AdbVCZg90rkW|%L@8qxgl%NTHQcO zK&Mr8g6msQCta`zQdxq}nyLVetto&ySD=F!J-P$HcR2sQ1ZuQ`R~HL-bT@!1Mo{<W z{{@fc8Wj!>{#NjQRgi_C;;i|HIDZT11{P4`1r$R3yB=`xH-Y*ephgR<iw&w`A$|n+ zUqDXz76Eq(WC5K=FRxHNXaJ8#1zgU72!R*<4xph%(7;e<3%H+Zc=ELr$T&y|H3ux# z!6NL@>&58Nc>^Q^8uSKTHPZ{)nhNqBL@8_(0(8sV6lf0`<eKg|kf;US=?7{COT6$7 zfjG?o6hImiz;oW6Ezk)0!C7A5(fotGl*6N&@nsRHLDu|(qm+Yx8zXo<vq$p}&QcEk z?Tp}lj7Kl)-+Q3G8;i;dm#d&28>k#P?#>|u?t?9u25O-2gSI(%gE9;I%Xumc3?99# zXS}%>UQE95>;KDMkU;Yfu2S}HMo7z|`3E<|@~@z@-28*5bSB6t&q2J-iy#j}M(L-3 z2V_D0eZ-Ev?%CjE)x8BW%G7D1^8Ye&d{2QSW>6W@Jw*kq4?LCM4LxTOw7vo!#-IV= z?kV7u2io8XiZBgO2pYWDc@2`!!QJV`BcMEXm_T}X76u7)@CX7T-Sj|X3e>Fb?t#XX zj|!x10%~o6Y*lz+kqyxeJuL*hI1J<o&>{hl3V|1Dt{@el#Uk+Y@?Ty61z9(8!hj8} zfF^mnTcFl~lIwF9xOVUnCoU=yFDF8^g9ZW{z~hr$kQJ03pe?qH9`LCI{&w)9a?tbw zcvmA|wBgB@?V$YA-2*KLcvL{aipV3VsnSJ7;>FfLkPATVM%cO+1JIy7$a2t0D4>9c z_25EOKs^soi2&|-z)CZ){UItEFJ6J_YuL&o@QP083LKQMz?Df}ScigK0$sRd>7pXR z-?tFtnbrgReW3H)CxD_F>@N5s3eZt6pmlPfiTo%=56Buh1<1U3FRN5FDBpvIUqQJ8 zoW?+>z<^c+D!k~mg_Ho`20mg7H`sje#7XpIP$rUw%@>3BL4$`Ix*<cMuX|p2p8fUz z^+WJBdayqDo>TZ_j||dePb{dpkCJqIS<6A~P|yk&P+<xRYw&7a3DDGo!i!fn1e~~I z63B^2b2}4XY&!#<+v#PM#b@#aLMF$7O@^#!1I-$NCtF@bf((BLDw82O2~_5x<RoZy z1`6sIf1r5>GLqzh<wOAJIBYjK6GDp!@J`D)kRj||&}K`>vSH+fDn;PK?OLG2jC)i- zE0(b=SMj+EnnZ+-ig!b+Q}ABo9<Ub364KI%;A-_BcuoK|bO~O+3@*`{e~a_CUI9rq zAAar8{F{-#eI<wo%}1c~E%=+Wm>C!hzd5vg<8NID$*Ehw9)KP1j<VcY9W<o?&K#ga z2SCf6U-p4gISE;o$q$rKsFG#P!6&9bW)(nJ=R*AjD&0Z-Pf(77L^XOr0;<+tbb`wc zsBTD(1?Lb@j@AATooa^U4Ddc-q|Nf>=8ikSi?C5Q%0KG|rDzV1PWhLwK*eC^LC}of z!;_$Ss}nD;gN3Gm0~b^wfmaOovKp1c@;P{#1yqbf@;PYIa;YUK6M-lHz)NqTuI=to z0qgFDng&fE;Jc9VWoSX93|;$D3*2F3-3V$f<I2S<U<W{B3tK_+sSo65q+C4b#fKA+ zTr3+7HW@U64{B3%qAt-nWdZUU9+PK)O@=MK2DPI=7wmPOcv%fvGujQZ1hVuRv_lNk zU<40w5xe@@3T!%T^)*Vt)_LKDF4%O?LDgWxdrf;vL9qm%o<n#AG>!V-9OM=7)H1j( zg=Jf4NdSu-&_aChQ7}><*Mh1wpKdna)&q|GQx3Rv9(!2<ijVFs;L6>z^TX>WpezVd z=Gkn);J`oS7^rFkg~Llfuu4c9?Iq~qNKnXhLkg7_g~vhZ4ZOM=G?xLnT?#be2p(8u zJyZhn367OareL2SRx-f~Iq2*LD1$LDFub$|Efo4u$ltQ@%m4o`dBN*Ei}_m?e}OFc zY?%cT{szjOy-x2untw2sYk=1vfCeT(eP034Y%y|m{G#XD|Nk!`9ZS$&aBx-tZ%P2w z^7nWC|NpWE)VXW^CBomb5OjWdJ!tAPgB7%}t~;E=r`L<cr(4{oJ6^!o@+N=#70?AX zdvX&CqFp;xgnYnvX@l<BaOnXTm@B}AusEcN0PhH#_zx+WBs@S>A?UQ8ll*=2K%oYo zmTUpfJR079Ee?u8@RU(+m<0F$1Beu8UJfkW3A<yZNWiDtiNmLx3$&f2PZ6{@v~vr% z-3&Us7&Ob|)7hiq0I~*}P#QpfYq4TxV3^?39nRs~8^z?)oi5<ht?z63n7_S`iGjg! zAGr8&?<^5z@ac@_@aaq!Xtqu32Kx@Qj>V@_9~9!CF*eA+ASl?8LmX5%LZ+_+89|N) zmnWT|hS0;0;Igj;(&_~T1*nY#KZ%0BeJ;qYATe;ejoS*;NCO=w>(T9{;L#l=0SX%M zz_H~y{^m4EP}`lS%%eNxH0Wr%(;Ob%Jf}f(ub>742WYSfa?)>i52VoyZb?poly6}7 z1cEn#L3*^{QXV=-Y<Yse2RxSwT7eBZjTqG0Yyl1JU|YC?TJ-6I(<bXS&>%amqEFKV zl&kR+P~ST-ioUOhK}8=S`(t0O2ldi>S<Ud-ztWh1{pFbUmxAs0=w-bF8U+OV44jov zYZZS&Cd-0NhBTlKdvyK)pQ7<X0%Z6*&(1?WoiAQ+9|jFMK-RJNbj|_Cmrv&uNaLiJ zH3n)w=oT8tVdbD>%OxO3SD!ZmEjvj8Ee!=-!qpAUI-sr$xG^zB1)PPD?wbKOXQ0^w zR4RhAO*bUlfX>ecwW55wA+7*#H-_aLMnujj7xwLqVg%(D=VLCS3<@uAgG$j}){XhF z0x<_P1P@*zlLFcb1uEKAj0i-+r*=>xa04~8yRo|)-MyZjr#w54g4&^wOan>I;GI)1 zwjTWT|MfP|+Eh@(<E16|nw$$S<{kX?|D_*z%o9@MgNh7rj_qar1R6T+WKjW)sYBM4 zf&v+O7;>s1D5OI`JGsDnPN73M@OA@q#06UE!0ZLN7*x-IZZrbf0$#$2R#@InfESkF z9E*8m7GkyyyoMX(A;=nTXtfAFI196ZT)@P@04f<lbr<NEcTm(~X&`G~BBp^H(grRO z|A0!YZp;R9Z!vVm=2Wl(NQDY%7B7LU*W3c$g$xc5@DfiG*w(*t6{H<))u18|TvLNa zTOfh@avrF{>Xi-31tl*Wji96Y1d`X}R#5U11}86w^FUR7=ZV*iFFN=C`v3YRs6oNs z3_hO|8jFxd1%Im@qzyX<>;sSkAx#+~cc)3gEC%mRLvJ7Pg6w{V)IQ3|0r?U~`{=$N z$d^R5k3jB(1muU8W}tDTUe=3epkqZ6;Pp_T-Y|ScSxE~hctB%C>~@f`qRB#_`iAv~ zEf>R!^nJhnzia{tbb>d(fs)jVAkZz3orvbqd+_p+9>_Y7Ue?9gAdi5u6KJ>t)KLIc zQQ)Jb6kdqwfwGeeX#G6+fL&M;hw6qkk3bg{qh*&jwvg-s?y!T89hC5BJ;2|(2h^d0 z7Hr^pzZG=!ChUAJ<ORZnjwZ@%1_cH9Xre&S{f3<%UZn5+_5Y;{m;)&`kh&eavmpKi zwF@BEB7#O1pnZ2eT~LI9mjptK4Nx%vs^`IJ2<l$&UNulT2I*vh`qM4o#w%!W3A_>t zREU5$0x#CYK>UxdKMfvMCAB}T!AMkb@#iez;sW76=yGuAj*Vtp-X?G{0y=>L)CLEo zBiI>y7SJu8%&;w<%t(7Ut~NsBME>R8cmMx`dV`mD|N8%O1DG=f(iQAA)z1XQ1t{}? zD{DmO0@MXuqz#G-a2F7qgJ7KtsBTzXl!7u#CwR3a$aycacY}HX;3cde{!4$bd=GfV zoJTL~o(zzcIFh+O*h=JH03>Rlx?#z@71W{ao&#wog2&Xs-8^tx!v$@$tpzf7@c#fP zIcU77eg6Od%T=HaP0hc=`P-j?ir?m6V*IV{pgXxhM?7{hGB6k(XgR>&a_BQC<Uj=| zyrn+R3X+<Ta?=D*BOJ65tNDj0f4dpjz#oGAt$!iqcnidp-H^ldA+zxuK9<MJ1Hgyt zcUFLop)geQ05_Qs-iX2Pjcw1c4C!{x0k`f!c@5M|0X43{t@~b9_jFjGg9eR26KCLl z5U7Arc(F?p6wlzY1$l1{xY`5{>2=hD5|bvlDwqQ8Fu<%j=Ls5X_yKCvgY0~%4%$VU zvGdpe*Oxs&0n!3FQ~**l!OB?l>i%WKr~m&wz_S;pKvCQLLz2Jc0O*{DqiCg>pXcBI zps5cD2L7oBK$e1g65Rxh*9RN=OM<^e7JTK@FG>DZ7VyndpuXH1@cs<Yp&S8>KHdHT zpza)KtCToM9JC3xGaM90;4!CV;AUbAbeI@a@bo|n9#Fu7S~sBh2My$bvMXfYUyO>x zi)|}G@da9{3O+)*8??um$OH^+If1)9pkC5$@cn9#-3j1c399G8Ee5b7pi02`1D=3w z-9ZT$Dg_yPS`HeS1C2dR1Tmo58eAo`{DO?jp^rlGx7UHXg4jl)Vrsz!7U<$1k52i9 zdVdD~7JYD4LrkE(_=lKx05|R&z(;a`A{r8wJ}Mlbb+q7uszn8|HgXSSQDiT8$9Rs) z2hb@#A6`s23MzMV!RvUy>bjweCqa390yuymE6>1NY{7{gbr4n3qZ6}@$O6^~89arx z6%lPjaK#N;4#<82WI3$B#6F0MT<C)qCP24jFgDvt)PV9^4JZYHN>EsVFNkROF<ZSX z1GOG{O>>h$IU7d_{y>#L&R$myvI#PV209$^^_&-Lwt_m6pfU|MtTSsXYJr{)F3?+4 zKoy`zFYD_hkPC1W=y6~dAQ$LhUGPPJbHFtbWP2Sn<-ME(x~Lp964846@BjZVdqJHg zP$6^Ug#g$kCtfZE`Mncb4|PI^BzsvCp)LWnCtxiLaH0U!W>-`|VF4b?1XpR$EP!i3 zQyy|&4>;vBf=?8M9M1~v&4+UwW8qVHDFbpyFYAj$NDyFc(nNzT##6j%R)Hcx093qo z9s{*%KuP`e^cPB7e*J&_23A(S+yFW209K!%&uW3@azFzrpmRF;YF{1)RS><be)wE= zP#F{?cwE+B333@Ed4Q%fUe9^ayBVB3dU?Mjfcy-)3I|+ifsZMGZ+S^4WU?vPWId3d z(F$)^`Q_OOI_(0K^Fc-YOVFa07Xl#5&!JTbr-MKx8fZ=$G82c^AB4@FBTba_nt<~* zq(zB1kg^|Cws-D<4k$pzG_mAu?IV!94bhIAx1sqRyrC?l0u*!xpy-6;VRo2TK-n7H zZiV-nTEH`#FDpRZHdv-p-Si7|oKR<riUPREfs8PKs&>#iRF7WPl6X+~<H&Z;6+tnJ zobAA-z;1hmjaTr2a&q%8DgKu0um1mk`3tlO7PY#t3xEVJWFG;j$O4y?D3iIM)d!3W z4B)K{b=&^`f9VaXp_+g3@VA$PYLw<*jQs7Oj<DzV1M;9{HLaUKUTQtb-+BkqMgrBn z9^xR+K>`(agl~BbsG|q&0dzw9(2N(rEu~)8ueP9W7pTMqt-BNlEsvdeh?tn!RR)R~ z@K$_i%)FchzU>nd<1bck`1Su~2S^08v>%ivdQI8mK!FV^WnqaORK`H>-m6jo1vYs6 z9o%PuB!icZptU%Vp+)fic5=H{TwwQtyH}qcff}SAUT}b%_!P{+C<J3-K~4qD-h#JX zf!oC3Gd@9u;CXqFQ^BqT_pzWwXE)RoSP7g3N*A3rkWKyE%l`j=Spl|8Mg?5Lf!dA& zFD9#iuBZj)JIKr~XkiNIj7>y(;CbP<=Kuef)*#KjPX9cbf3QFn;dwOwVlFoV?e8sB z^8nqx1sZyHfv%E5=ofh*xAy=4m%l-Kd-?aVy}s_z{EMZO&4YhG+w0RF&A(VnSv`{3 zULWvi{>4_x>e0>idaFk-tJGd-w>@z)(h?8Qp<2zhdrLr(59+q3sDaWC`%5`c676LT zRpVlKv0>e>|1WvL0!}|Ynt!nJw?BIhYMK7x<ZlO0@-+Wq$8<3RvWs&-KK2B;xcL`H zDJ%arw%3<DntySYvhr_ddwtxam$hmS)O`mxA-NB-1Vt9^z6ec-`(i<jr(RZ1O)iEP z0wDMKfCQR<ftH}Kfe-2SX#NFWg2D!#h4biUdl?6czvf?j<w+jRzj#XxKJyE(fd=KV zEX71PUE;;Vb^rgr?0fM4|0k$=@WMsNf-%s-Q)IPUL28p8fCGoW)E;ERXMO=c7F26K z^G6*78v<D&f@+Au3xN&)|G$)lSm*{?e8~sWj&;!_!fu5ZH`o9F|MJ=W|Nnh@-8DQq zfB1ABhqhNh<?4%9Ye37>str6KwJB&&=fyRUSm$T35TwX{DF-^4u{U19qw@!76@KS2 z!vinYgOz=MdGjWyxsI#F3Toa%mOI}!L@FLN5XGaDM6+#B5h$s-cyxLxfY#F?Jizfn z;sk8DvnZlgfGh%dX$iI(y7CRSSf{s20kkzkV9l@puQ!3L0gZ3G<O3^(E`{m@?>W53 z3Q1d_o*l;vfo-5&8z>6)q9}O36+^)s6b0un6;z`rSPN3n`QgRWEx-Oxc#+==x=-Q; ze6b(qeNW)aMN!V%1TA<1A4TZk0XmDUoQZ*fzj-$(k#`>SIQW1Cv>(*MqdSI)!=qb- z$)nfwmk(%-<~9CSN6>(6H*=}`4$#%co{c}i7fR^v0`XcdmB<?&FudgP{~%~pNJNK= z3iycZ4A3cxki$5AIuE^m;Mw>Ca<9ap*JnUGOItt}2u^6Qy|NaxtwY+QQ}ps$(AI>O z0&u?uvTaHB_*&4m1n^E-(0Y0B8Ya-0@$KL(6At2?$3YwPI}dvB?|Q-R@a;Hg>;8!e z6Fi$A%7Z4x1U!2k|4o1thoDulp3Og*%d<S2e=?N{d2};_J>c1Spz{K_I}MTr6?*%a zUqAKeWwqV`iQ*Sc>yU~MNbz<rAC%@m#apW)r1%H{RkgjWWr|!3FD|b9_5Y<a=<d|! zpO9jd`SoPa=AYnVl=*dsXY)_C(zzbp%rC1z1&HM}{wW7Mdjo%hN?QJQ(4r#G=AWG9 zrJl_{*-Is%TXE+e_Tl$E#Ch1K^FZgVmu{e=Gn#*b3qIl3_d)7G1)uQiD;~Y9joYCv zKd~0c<&c6;1?+Or4&8Vau*+Z9d-Spft8g*AkXrfc|La1}=AT@pEZxGdyF8nJg3CMM zmo*UEcuE&}bPIz|4ggIN9e4>EI&d{SX?PpFNZ+H^R(uU8zHYoYzXB8jpo7_OyBeN+ znFG3t7_?x6;pO(f|Nk3aGCbhX?V}R#@+7ET-wp0%g0odS6U60^Y_)R}DAyolGf`w` zZ~FfK#kGx~?2yp)>;KE&sAte3*1v+!_W^e(QO>6X<%bB5<{!-bJ;y+;50HbvDFSpM z^&t<-L;StHpjOm28Nr6%v8As;$=d={_ksqvAcZw31Av4jUg*sN6=a|jqPl%l48Z%+ zO1vRC%JO1~B4|$mX!R_E2lyZz(21rlDg_LnJOVxW@;~@0)dUoIMkIOA?XRzQAj~=L z0`852ZbU~h=eUas#3CFDUYK-(&g(^-`v_hvj9GsC1O*9N`2jjgryF#@j^)96IZy;T zfM$3zK;cnv%tfUF>>o&;2Nf~RkiADCDjxjXWSAO$N0*)kZ8x_#)(l#j%IKka5Of*k z_nRIEf7*K}9`s<m2npR16^<8npphhS!szx<k>KAJqN31psf6F7^*{|5$dCjN%NsR@ zpeVFB)&M$(o&m%LAAgVmVnujB?xrp10NwT14Vr{(K4Nef<Wy97kh{U*3~~31*7cwS z#ozJk|4YycA6V#|LE3KzPET>5{NDT~0(72n0B9s60JJ+M0ebES6QU=}-~OBd`PvOW z$WacUwF)z`!DSt2#|!Axk4_sE(3z>=1>t>N;3@hVl?3oH7`+}`h!Zf%9X$4FI61m_ z`nkAzbeb^livS;LTfz)FqzHNosV&IpQeMz)9^E-A0UpgqA`XKFr_Gjv`?{T=gKRrN zx3lz`P7VS!WI=6i#Mm^r#08y$$0iDD$ReM40KR$we41?nq*c`U;l+a`zy80p0hMLo zgZV%Qhj{d|T7eD5(L!D;0x}rfI0iS9p*I;q4L%Dxp|q>**Z-HGA!u+Upyg+3gug@< z&hY2!L<@gTwD9-!3=a16a|ee%^yo+A@ZXyWsvt1Kf7@b=@D~om6aH<&pkN{t{t1h* zh5xPqkij^@Ul(jJ-tgyY#UB2>E-DK=z?%u;1;LvMKohv2%>>{j=)KUJi@PBm{A2B) z%+3Jnj6<gQA!`poXXd@M1LdLr2Rtlq)C%%9a|$vrxbSadVRLNwp<4PJQnXlJ;O{r) zXJGKKyusgllm)aH2<`kC&~b^-68jc^?=L=3t7fhM#3;~3SjznE%lQ}>92<Tpl(HRj z_ho#2*|Fh=GKlTN`1-hG!;eJ%rV5CPD*pCFJ_ZKRtT<@q-pBGJe=lg8mSe+@GX7T3 z*@G_p+roWZI>G~vx%+@z8}9AW5$=D?-J1cVxLHyFbb}7)4w-`wSwQVa$Q}b3E{|>> zE)Gzm8`PhLjG4KBJMyMSAQeXjc!(KvTFC!{prIL1V1e8L>Xd`lKY%*yKAnesI<NV3 zo`=j3dvsm|2g^Fp<@W8MLXgp;^U%w+|Nj5?u{;T`rXe<hhRi{85g@xE^M5{_J}Me7 zIKc~|L4)P+D+PU2EI@^=Lq~{;KRnJXZ}Rur@PYzX4-^&MkSOHeX2a*u@L!9+<uQ26 z1-t}-b%kF@PKNkR0d$Ov2B<bP0FAPNyyVg89?{|N0XlNqzvVy)cgx8V_G4f_Fo0U> z;Mpk9v7+6Og;XAvpZR<9LDpD);%}Y{j-wXvkftmDHXZ@ThM#);EsC5B44~<x6QEOz zJ0YhgfbKo&1zje_-y8^vWBzS20?<pUdoMCFFo0XMKD|8Py^0CVzf~d8Ji((op2Md% zm=Uzz%%@x3*YZ}m1egz*qmWVc=mcGu{4x+!PxZ3;`$DoBXbBAX)-VC+5)IH`z#)E6 z9>y5{g9HQUN~;N}pu$2MJV*!eFDM0oV;p>WR_AHY&Lgm3c?p^ae33W**Z<cS8}@@@ zoq@mQJgBzro&sLG2Hpe$T4vw;yR5VpcY+0}Yc}L%VDLamt0i2Z^;=x1DF?ifu<IZw zI-#4lK}&>Tkps=@D2|5g#kIWndN#-;(6Yc6R^a3Y@gOW6py+{naXu(iLE|DZDjYAG zLEF3VSq0aY0oDe}eVW%iIzM`RzvprApS_3THP2od1ITEmj|#_&M{U3VcZ1G%19vB2 z*S12gL&5I4m$x9J)Zl}zxIp)5`E;{`(q#KjRt5&oovMl&e*R&uLB5?l0**VKK-IBp zr;h;WCNU0=PS6coYm>oM&0bc}TsC{desH14-#Q&s0wTv-8~7kWv?30i8a;Z8Il<>^ zb@TgxZn|Ox*}O|tQNuMNB*@XXQ$*5n7iim+Ye$GA$Wji-fm<dJi;siXL-K>-yxj<t zk2Ft#vNz~-6%WnR;1uxvw#UKW_8y8iK@#9{i}5C?r`C57l(;$}#|9W4c+Kw7d{6+i z>8KOBeWDZ8Bm`Y;+X*=hz@ys`G<;P6I^f!7f={<Q=uloJ(COAb-SWPc7x~-wfQ|>- z16|;#!VFox3Cam=N#J1WWCjJ3yhrOd$nJPp0I(tl0I0Tc++~xOnd1ufJm?NLkmp%E zI?JJ+H-{KE8+^hdzejHbqle{X{vPZ9;L0658p?=Nugf4!{Ahx9Lx8OCXgmU{-#|xL zXL^EiIlP<$cTC_dr90dNa`~!6P%eiI@`LAqCcaoP8$8GlD%MZDm^~Xj{qr&u+>V-~ z0v><v+yXttf%T0C$a2s)G`KiNy&)@}8?<Z%e4aP>tS4}cK%)nC;6pdm$Zn{S&|Lcx zd~|&07U=o2kmGtl*%CB6;tT3*gT_F7dU?C&@-TRIH-amsP8RU_F`!Z5Zg6oA?ix%1 z59z~}fPvlLYr+kh-UE;6Azjnv3tiIzTFVJq0tV_EdcZGh0~rgt*WrZ*XqX6g5FymP zkh9i$AZwn$S1C?`1XVZqP$SrFiZ%)0lmtF;y?YMi7(wVQRbfccYzr!#Ji0-*&Vt(A zplJk9)&%tvz}J9)4(@m{aSy2A!y2ZLM$XHHpnhzxsfRl#j!+W_e6D6UCxHag84pSz z@HLqyz^5g=Xqov7c7qyZZ@`P9nc##1%BG-j1CL#Rc0@oXH(3w6fgAy9_JI~sfz~&H z#*v}xo6NwDr~xe(1Sb^O?a|=)gCvlb$^XD7ZGk%OJdmR^eL8Qv_%q|zf1l0+FVp}0 z|L@b;0}gZ0%q%!+ds%&<wu0(C$a-YZaz*GO#RD9m<QW254ho(V#2S>a!lOi`0J(Mm znGb3Rfs)h9<G(?cpLmf!<JW%=%Zo4d!7C=Ai+MV?fE@*CIQFvcc7=oksI3F5lR=~C z;EO8tz%D{$7|?kauyVK?YF;<gJZQpu+4l=%`GFUorvLi?(h^jebwWZ6v;YL;Opjhx zH@KzXL>vM-FcmyC3R-HqlO2=-N<hH?ZVf=2DBV*ac^sZWp^0h<Xr8JQG-B@qTDA%< zZop=N7d1ea)ONb4XuNO$tpMvrEGofKi#`AL|9{H?L_-Uk+2CO|WV4^Vha`RjP!a>T z%erwk5<$lb@i%{F0d4g~Z6uatfljdiH9#SaUl2>;#g7h%+1MM12_62PEhkHqz>P#$ zB@bHK0xp6;`xHSt6}UhPt&h8d2R)9tdoqHKH~=-HLEHa8wJZ3jZD`8~vZ-?pWQi0g zXMpm##EXNk2sy)}xjuoRmd68O4?{z}Cqs!SWGv$UVZ#HU)_CWwmobpKC7#2hx0oAI zU6tE<g6e7~N0)#gP+jf03(RzN*7WTRkz__xSuN3^LI8Zt!(yM#6E9k){`&uVCMbo0 zG61M71;;@r^aROX)+<hUlDRu8DC4<+Qk)NHO(U$RgzE0z0zDNKn&KirRS&dz|I!=O zkAQ{pk}secF%rWy^CiA;jp*?AXgOJ;>(Lz_;n8}#mfz$1ZI90Qh-2<vjK|#l7%+nN z^=ELk4Gvl!q@cBg1#P$|C}<sbxrBIVx^|*8gLI?d!P*ZBR=p{|{=aSo1uLWqf(8U4 zSZf{e1nUQ8P_QCOk13Ga2zIO|da#1h1Ss8tTJ$e3fBOF)RGxu4m@i|HIsTvi|95Qo zp<T*(%-x&urRGO4SGSZG79uY}x8;EDcIIz&{rLaC3;#BEFURH&j4mDSelLH0Kr)vF zw9d=&27miXP!_N}#NQ5H|J(^0oY%YnT1xi)hR4Al_8y9dJbGEgKu5Ux_KFCCmiL2_ zmru7mXjQ$h<s<%fP_GxX{2x@+_;fA-7ge6UJi?H%Iu}sM1X_txy96>P4AKrOz9CgL zbcYdmwtiUzC>kt4J!6o0;F~c(?e*>+$cj44Qy!p&yWr9oy7CQ{9RGsLA!rqtKM7<T zs?OI(K-Chs90r|#25z*12LF0lH`>GE5<J3%SpN^|ovASW{O{8p1F8{IR4hOZ0PxP1 z?hus<P&9$edkHzn`h-X4#TQ4xtu!z*3e3FV(fRR(KbU#Kqx0qq7cdhnY6)h7MfD&| zpU#snl)=mkAhi-;=0T6n3omkE%v&#%z|5O3e$4_6MYa_G`v0>29r9EUw(+o?poqpe zzaAVLpe1MbLBRoCmSA|v@b+sN(1AY*9?i!DKs}|-L;TxfRNO(n2WtTzTM8P>>xfZt z^XM+*05#|%Ji0AG1M>kMt^Xm1Re^Zm3ug*ICkJJKTZ?j_rd=;@>|}6j5oDSVq_qe- zNI`;s%7G3SkVT*Y$kvl}EG-900zlS+!Wq2cpc~W_0dHXM2H)c21G$e4+z{i~3@K1N zz=I{w>Jzj}NFQ8q!A_+HjbOO7Tq<1-UUCLD8MNdKd_x&*8A`d32Z-NU1X^+^q42T- zWI``%n=LG<fa`BiFR>GRhBxTU@t^;HAa0gNY?TCC0A9d(JQS3?A$N2A@&I+)4}dB! zchFe5$LqcqJNiL)bGaHG0JW_^r&1Xnc=;AI)H%QK*Z-HGE4aW>3ntLUJ5Pe+_e}<9 zyg30h-kbmmHE`htieJznX&_rF3@?FpuEnTCcr+gt@aVh=8Y+U_w%iF0Q1H#;pzB6B zz`eUPaF4UQMx_ASQ)5K#sewBIpet26{UKMVfZNNU?mQ?(AezW|8zC{{0F4>2!$2`p z0I3f_4eV~%QY!eB$6|=xs@z<l$&Lh%<|7%0J$hOHSwrFh)J}l47+`Im7lr?@#6yir z0qFeaW?QEaP$a<nSwCLSdg0Iq>1V~LfKSu{oqakNY5~^vssLUK9tMLf&;k$FfCCd` zEa=2}$bB#Hcmbu~m-|7{d!YB%|JRp569fe>cY_4h=l}ZuQVL}f1|`1B>^z#^NPyxR za^I>)FY6l~P6kj0dGUE7Xi^W9JbQVs`-22QD>y;zKu`z@cr+i8IQ+sd|JVQ5YrqK! zN}!m}-?k1^AT+<x0NJ4cN>2(Ny{rp-KvRM&Dxhg54i(T+pKX?q`?bI)vrBk%vTp4L z&C=cr0tG>gM<?&vZb{I|9l^#(I%PpRYtVIOgLN(e>lF0p<V^<Y?9Ne9@Mu1waoD5R zHV7opdE&*So?rh#GqARSP=Q8}z^oTldB6U@1l@)R_7RGI$}&7q{n8B{)dZ~@1}&)v z7bl?QOQ6}?7n_110SMlyVBpbwPy$|;fjlk{0&;#YToHJh1n$lkUvhu_f8B&J9|S(; z3d0Q+9^D*}aZgLG5*x#lEhkF_3_&HJ11PRwKKFt+p!op!fEviuBG?f-K79EPDnKM& zpYiDR;s86d*8`j%AgVY)PMri+1?p#ZgZp$LDh98oz3|EX_5Wo!;tpHX@Cx&2exm@2 zdkIk7OMrU78lcn#DnkrFJt&SBx4(Y@mv-Q&*MJQAKo6;TVgDN@*9o>VM@7P;`G~^d z7uRxr{eL|L6hSEV@wZLFOm7g^Lc<4CKzAE?SVnM^xPii{#KxoBOTwc&NC14ev1Ncj z31-M4mdAlkQ=Y~66&hMM3=e?L1p{@&VS^<va^8c&5;c@&yim&lou32>B{cVbgp{|) z`J+2V#h``Rqj7fu0~f=ue+&%#J>X>u-OUXQTnrxFn=8Q7<PH$k_=|yok%7Mnvb)$s z#iO^6p#d~G0CJEmh`AF)c<}ol1a(3LUf6(YeQ>gEYyern(D47iM`ObT1}+9Lv%6yk z*xa8WZTvlIAoul71WR>J084dG$N;J6odr_i(K!RmYy8c?z`$5n=FxcQe?0?(hvsFN zjAlTB;YrZU$w7}su%Wf8-E%rJ7&sU_EHBsbcxYbkgm7QqIo<*m2OT`~`aF^*1}27D zneLd543G{^kdBy+jMtBjyL4oL)!y*vo&XMw?irA<>41dP29U2jEYFo!duV<H6^jlY zmOnlC{m*-7e)9N!%j4iLdk@7=pesSUL6d`)w@Sr47(2k4Izi0?cy0uxR0+_kA4EOz z;`2;U+YprhK~u-Ck9iz#00qzg|NrY>-T;pf-+&xh)cN7X_ldv$?*v8Ei?b6!jV<x4 zU;kfz0$+BCmL51k;f=O_0CbZR=&UU8!9$?orxs?9?q-l>9+oHg``3b!d^aRHKobXO z@Dntl1d?wDEmZXAJP(=&*E|F|0t@Ez3m%LgJUVxS4eAay0Q;{yL?r^W)Bv1a5<o2Q zl{Oh5mc%g^6$J)RGJ+gL1RC$Lc=6jE)C~vSO4#jS;L+UyI*!Pry8*JU6y>zk92Mvy zgwFGzrpW=rldl`VC%w9;RDjAUi0q3~6Mp@Fv2+3`oZe^r`v3A4?)r<rEeW)+2<1Lm zP=7ANquH8?zlR+x3C>3h{H;<D9w>Sl_*;2EyzUZ}3XjHrpoGldcODe<z5BpPUGpDk zXcE-A@YMX_`Td6H!5{WMipN3C&jKID<35nQ=xO=Ehu{AgI2>U4(()gFYcgm}PVa_4 zpols6&)(zUI}6C+C)=Px;C8No;eppI9=-029+n^Yd&NPvcOLgR_<+Nsw}Tnfy0tv$ z$?t!{r@I@Hs39>7idt`wTFVps?Y1C>=0Oioyk3H*=tG{27kzpo|N6GxuAA@K_?wZD zfq}mryfOuJu@NW&JwWXd2aoPx3m?$H1*qZzjiU*8^frG0Wp2=%AxMb=sHV~Y8Q{^) z1lg$po|p&UNaJC6$?)52`|d3h!Fqaaq#QdxG(P+PpOL|{^QTASb5Kd((jBrf!=-b| zPH>Lz-U%u#d>GGn)@;o1_<zWy^O%R_p_)CN8!v#ZJq_wE7=W^B04S_2Uf%f!8VqX& zMVe1%GpN7<r4-n;xuBA_2GlhK9U_%94U{2cR5Bnst3i1X)ZTpQ2WI7f+Nwtq4*PW8 z+y(N`3#Gna|6jy{2#Y?@3PaY72GGV}FRPdd=&)(f1@m+IKqvdBrTzN<vJsSF5&5;( zMdbu!emW1d#I*4Zq^r3GaxqIUl<uAbzC93>Ww$`~v^V}^Vq{?04<bDHeg1i%W?kq# zB_6#z7T{SF9?%>`x3hw8=RwbdKbSysw4mt%AIo44Uw*$6KHbg|o|Zp6JAZrf`~2|j zWnluHEZcmJiGjflG|3Gv0YIzoKz(aaF9}qXd4O_r0BDd0JeJ#8qmtp-dBsEX5J)`2 zv-2t<DS0q{0*zjP`l>#?8$fx(r?UjqhTj7}Zy%IPz>N)e(C8HOx-w{A;5D!3|C69& za@|33ngP1Rst<Gxig&M#IOtH~X3%8@{M&5o94&s7r0{R6QE~UR{9Y2^2}wL|-FtR} z(v6SyZcw`MwftVg>f8Fib`_}c3mT{bjU+%OI3d%t4jp?SJ^XHH@HZX-#R_;gBS`)0 zbDoyx`P*lKvKOfH3DOVhSNU|-s8qOgxTv`KYX0%*eC+xCj_1KY_P&aLyn1caK%EgE z&7Yv4gN*Qk!U=K&0q9r)4Ny)oc<~8bqJY~ekc*-{EKl(FZ37hopoP+XZx|RDzze@X zxA@El33pF{%!YKws8oP%3e>y>4kZuEAGJlGi)BEqBoA<WJ_JoIL3i1>^KWBebOf8^ z(|HbbjBWzx!~#&{`gEtL1o(94s6_a5m#7qYcHVdCybg*#kl#T&Fkq>x8+x8UG<Cjg z2M@p>^60#<AGFx)<q~j~0d=0iZtI3l+jQ4}au;Nul?$lF=;p$|Ed<ohhK=|^MqwaF zDR;x}ssxp?nx8!ye=`U$F!0Yi^zs+jct}zKNBT=+a6UiqBDV`P{Qu*{(Js*B!;crS zUBCXn*Z~$i@FD=j>Fxs63|EtW{eM~T=l_31egv<V0!>t7Yom927=W9#;2TLm#U1#F z(-(CPkjl%z1EipPBBb(~39h_aFO`UZB02z6=|_Ny9cV+k6Lbm`D4roxIiTfD;3J8; z!FPp#&Y4L!h8v5mP7DAQ!AK^)U<die2-G}0gVrGfZ!c{A$x@R8YTqk#OMwbV2anco zWu^S5Gk@=0ZYp>1JwLd_bL_P6=&k$<UTW}K$R+bMNYe4(OMcf*o(52V+44uNj8Crz zv!~_BV*P`UIb8U+`3Nw(aGv(C{7`iMwFt<IkbWH!tMlWFn;oDyIq~9R$FKjOEdKGu z@s3~rCxBYtXRx?80OV2w56g??V3r4n<>&!vg@>pp7~Tdo1`m5!p01VQ-)17kzs*L% z!Qy+#Yj`k2-2fg&=+04ra9>RB`1SuqAHrgY_2}#6f<Q$v+Il$`m4p@sk8ViAuJPyp z{|pTLy;<;sUcmXb@h7Ml<L?ay)u^B?h2WjG%|8YB`@u;HwSft$gdc&jJ9w8bc(e~Z zJsF}R@gf^EN7oHqq6uD&lHk$V^nihjq1l$t1=ODn^62b(0jlnfH-YLkP!rap+bN=j z!Q*%X2WWQ`=)#f?2?i#H#)F`yNhgF2S}N&K4<5gOEwp1%d9g>Ef#LOQkKSEi6FX15 z*j@YU|LY}aEn<*^bwCd8E-(PikQ{SS;a~s-xB#e7gDlp0vCt2aY7<a0Lnk;hbWVWy zz{eTn1AdRr4v2k+UP2C6*a78&mbF{fg7WT#*AGF-2<p`C89P8e?%uEhOhKFLJHRa) zkmEqRw0*iAB0z(9pdlYfzY`oZphY2|5kLiyQ#C+2(%{80d5BZNH#=H@5}X65-Iw6e zIe7!fg3e};b<MVOoxszxUqPj9XE(&|&7eT{==|<+@E?n3=MN9f?;e)F`1?9RYG8G7 zGst1!OS@izg}uS02Q<{cd*i@Ue4v5dY+sO5z*8211|Ho_;Na?>1TINBCqhC^4CIVb z8IR6Ja4;Qj1UUihxo-HX$=;nHxfg$`fBk=b*Q0wgq(ieC;*byJkv`qt2JprjC=>)h zr3kD|4w`E11a(F_OH?dgJa=SZc$p3!YTOO>bmuWp#4M-=Ma+knKfuK=%w`|ZX3S47 zj9Wpu{NM}i)?fc$sDTJY5FyhFDsIzafBk<s5w!9dt-O5$y3U~)b-XY}r2y32frN86 zxcTkb`N2c;pGV_AHbw@9TCRrb00#b+Ku+*ocYi%PH{Sq7S~sM9XZfMl6|GU!>%jtU z3{`+^12ufJKn%@8@OH|DZWA{CbD7^jMc%=8{GFRY&B>Rb?PwnVA*R9&=kEnATJo@L z2H9En0B#dFq&;9ZT>~xd0@(y!<%MFC4Lkq&%>Q7U-t%|v2HDj39MtIbf!N{M_yE%I z_1T@_*4fhxZoqUmgBmbC%wWg3bh_-$c$ox>pT=qpP>-=x=veatP#4_ec=G`UE(T_B zs@n`o#2($dK}p)DyBQpljsF=OKsOYB0u@vc3q86aL2dbgzuy$(Zp~w`kQRo7^bgQ& zQ?1|lTS`F;sMl+8c>O78Pd&)%*Fg;8yzbKNvOB}4(>cMXyBpL<_iTI!3In&!mTny0 z_vqdY@+c@{2l#Y1p8zEQB)=aAi-58S)I?v%AcSx0CCA+$VPAfq%Rb%72|n73K_TmF zd8J0fr#o1~v+)-wK>1tpK->MB|1tCT#j-*d;I$m!Z+#1%H7?fhX#59J2id^_b_@%D z&t*^{36lc%AG<dr1;0<PAEQtAWKg{LbT0;Zz_a<tU!U&PAoqLzKkIn#iI{tDiYkL^ z?-J0!rUQ5#k}IgW3DViw4KmBMQ)Pok_hyi8pKfOj&@!uj(2WD0jXxkxnhcJ8aK`aq z{0B|JFD=0p3pfFR7MX#1ov>5Sz(dw9Dhe;wfoHEk<p8+h&>aFAt?q&pLKAI4MYoPe zXA`KP@n}6+D&}##3FIJ9czSeRb~U{HawXW392M9IIk;MRkzW4m|Le2R^zGGa!tT*q z_8;2FgE+I7#m)oTKZ7JM{yrP9QQ%&yPdB(g_2}g>_vrP6<mD_-{D6{K42Xf8%$&MS z7%(&Q+du#RyL6_gxOnukJ{N=R-++bz_<TS3o$9-uVHvgu-*^R%w`SW88&E*Vdvro_ zRCkR^fUDtcmu`^Ro##9|54`jT6_CB)qVq*|*{}bvZ+XCy0k{%@C4t^FMxWmNzn=e3 zI39c|=HAPq;o1voM>zOeUMUyx=?!D_fmBGYoh%z)a{L4Nzy<6BRk#m819hNPv!MRB z1ZZKW!iyhI3HaccHOL1t$UboCc2RM0HN5Qs^1;E^%^pzauPFuBC(tC_3OOL<KMQ}) z9Z&&c2~PKp{PPaFwwx^Cfo6)AjG(B4YH3*rQV1!=eR|#hL)GxNG=jyUqmkX<5jV^8 z{LQW44r?<gUHNo(LvqSwP|@Yvo50Aw&BV^v@(h1F=$t8E@PrRIOE`C$G<kG`%R8TL zm|l=3&&D61@~%V?nhQLj1tx#%|6l+ALkdmCm!H9_WMou)KrMSvqJZ3}4jx<q9n$<_ z+8fBc5#-QuP<x@kqZ67hDy=|)sfm;?gfa5P>jqF;1~pRzmHhe-S_M+065-HMqT=k) z%jz!zOP3+gQ3;TXps}j-gg~r*umm{-mM;0Xfz0f#QHgLhybYf6IrI{InhG>xFBgN- zrBCPam*xNd|8Lk0u0Hr%gZ};h|MEO|Y1PS>>fl}#xLX1boD}Hs-ry<*yhuqCrO;@o zQL$hsQ3W-p3OqWyL8X*OC%7~Qbxs37T@4RVNwFOi=G`$W1+Sk&%iK~?56gdb0v?vt z0*?Ii54m(+c-aNMP4Uv}&rtW3gnM*P1~q9sx*;j1x8OhMcAMr>aAE>yE@(P{)qB0} zjQrbN1pa$ke&BBhk9GEjGeX;Woh&;cNr1tl8{Fda=msYzk8W^^^JqN@X)i+~u6r}6 zZUPmap!O4Zego2o0<}05UKB#t=U_`DAr_#xQASE6yhw@U^(@TfVO;d<|LbcVF5rY= zCIm?spe8I*p$$r^3NJVx5l9%<%|Vun!?HVQVg5l-@7ww12c&}PP~ortuOA^fr1QrM zx$0m4UsQsK5D<|MBD_FEDu{4^v<F}OsDg}t$->9K)D+?4U)A6Lzc2@>7ODod1Y?4K z{eSr#+x-vV{x^7B18sgPM8%+m$)mdqTtsxwf;4P5K~g~zs3q)Sd7?ZSG(O#3qk<Ts zKMY!^170e812j+#8KS=d8lvw4Yr;B24_c21>yNz%XabE0g6CpDlU2uF<X3^bFf#~r zni;sajWNHr7gY5&zp((#Ss8%ltPDUsR|iD@vGrtKkq5LB3BE=LJP^}zsU!xY%T=BW zp6Y_kV#E7~-q73zK7s+<KXici58s)BB3cGp|1d|zz@zzy#bKnL-bK*l>n;9m0RiBh zlP?M@LB87^`0M{mHK^|}{C5uGKLe2eG(i5-0Qt`X;XkyFE_f!Tjtz7Ge+et7%>eFy zLN>91I`-8b_<EH7(BTyeJUz-^CQv^^dX&c@Q&AviBDT}@B6lxu<$-!J7hYd^(NF>M z@Tq`b|6hv2JdD;q0S}eqh)+<n$fJ7(v^B)v3LcyX&8CCuhX7Ck=<%A*qw^GaGdg&b z=OcJ21877Ml##&`B^n-`;CXq_{T`rsV6OicK_Tkk(H#J}s-+t=iUz8eKn8+U3xLLO zB0y!1gh#j4|LY+2(9{5G4}qsJH4lQ6M|fx+_UOEalo7f?mNDJ{Nji9R?*_Tur@I;C z6Y#1SKTw|nwl@=0x@3SF*ae_M0h)YZ7mOxAXI{V?sG)K&jUdlufc%A|@r7|YD6nJw zfBgqvZw4KHK^lMQby4{N84uqK>YX*d0S&Bz_G^J!L>|3sAdA;}S-be5#msRR6%Noc z9uLrzIf(J%|7}P~2%ezM@aW}@1FPkMtQ3#~B?_=w&~)@=WVHz%y}U+JAakK=!80Qu zwIIfe<;ZFyJbHQCvOwiAR4vGAgd3`n)dqO<^3L)B4VCbyym(jun$QQYcCJzJ@Hp-c zb-lX)$b@EF10(QY!)#C&3=+)j9=)v6V5^{u9YEI?gRKHFUI?IDr4O^J4B09NkX0ZJ z9>?7UKy<V1QA4m*il|m?$OQQcY87f|Exm;lvLIg_@rL?}8`&xgkW~<!?gAjX*)|Gd z)pAg05aFw%{Gb&fEGjR~<bwhQv`hrH!=;m>*;W;zDGaP>3gjx;&HxUN;|-v@L_xvj zalD~{k%0lkY_|Po0QL?ySUKoE?am3H3sF6eH-Jv|0=WY;y9!dyz`)RKdmN(t0H`wy z-cQpxp##)@INkudjuaH*9>*I%bLJpsv+WFsawn+r2#?MQ3qZ=dLqO|vCV&P^K{?*R zqjLiIcv8?FXphbbpjF186@;L%^A@IN+dzmhZ$aIaPA3D<6a;8m1a$gli#bTJ`Nu#0 zmL5?5viS!ie=BHe!=sz`@i7Sok8as3Aj<LtfAa*8GkRGZz-J-!@<{LtF!BpBdGzu~ zc=UP-fO$>=9=$9Q9=#3<pqtkOoj_}5Lc~F-8ydI&|1&UvlM#sV;^Ylj+(K90c^q#9 z)wLi=kK>J?`WD1&w(Zvk2eTi@^PP?03b>b5IUKa4#?ir}mz4v;^zi6qeJX}z9k_o8 z%1j_*UPQsI>jYg*-phOQBq-VOsJu9k3r!2h8$hF%Ak|1injXg+1Q?hYK%&jIED)zm z17&IOEs&iZ3=B-*O?ja3_ULqs@aSdz&jB(StEaDA$MiI)&jB*t<9H*e-vMGa+s@Jh z)h|jOosBCPxF9!+LM|}|?YsdOO^ruDCDmb%-kl&7FRC(r{eOMkqjv|`L7fLd#dF4s zlnhW?0y@pnTNMEsPYVD|PlNZ-^zH<O<_mj}qTWhSSe^;xVtAns;d^-WvaSK~<v|+2 zcf>dU_|M;Z3bZp2bVs2_H}9S!;AFBEM1hh?3Md_sm`o;k^s?%RqC^}cB%OfQ9xS|u z8DyYz0+NKL6A-i6HeDB-PNb01$?-<eC<`Mfx_VhN*pZ?Io;VmC7#LpIVzC1hEf7`U zXaT8fwq=Icu@qz?Bx*d4H-b7F;C{*RM$mu?NYk-KaL2~ucq6Fo4iY)u2pVz&u{@4D zCj9^Z|9`XXRvl0zt9kUYwuFF_vxP@5t0RO7iVZag)8pkIP|vov5+ofJ!o~2SHx1PE z1;>~Wh+hlggJSGcFc-s%+_Yc+UxLo9gQbobkU$`)nuW%>H%I_9P3_VAgQ<oKbVC(? zpEjsn-pQi!Vy-<jOF*mJ0*_u^P7Y8MfmU^Nf>u8CvdV+6r-CMP(4jgWy`Y7R;7KLW zEs@}02i+PAV!W88h|-ts?14-;cS6=IW2S0WNnwzEP~$<F0#S7IUBR9tAgYjxju357 z0E2Q7D4~Pa2?l|~85FoLAxw{#^Fc@ZL4(C46&|n$gSZ%8s6hCjfZYk=i=_Vg|1uA( zfwe*irvu+!CeneMwa^^s4RIC7fdLSv$IE}9eLPSHPELV4PyytiW(XhTKrp{J<=6k0 zXTch(3|^#yc;Jo9y*t2_2c%qxc;N%qwi8l`xTtu%u!aagY7!R}hZkB90Z4V?qGItv z5+u-h;^lnM#+%+9;HnE^T*8aL$)LvViI)ZtIdClqk;{1T5GwZ+v{SXWg2MwO0ohsg z;wV&Z7Dx_MO~2Tj{OkYAAUJmkh<gKcTLD;hDu^5R{r~?LzjJ>5fAK8`)VOPK{q_H) z_BWI@;Arh>R?zq;>Y7o=F@2!9574HoPN`;Fbxm;jaST*`fL614bVhN2)4!8|$ML2N zP$~vx`{PZZNfJ;-ZnpiR0oGCr(sCR;hXNA!IPL`6Ys%o!TczRATmJ#nYk{5z`a2g? z9_KO~a|~ecINk_q0fTfj+pdC`BnvhPJl_Hm_vmKyXgyFW>VZ1<0-0L`T`W@SgDiEt z5ngC@I}$fDSGOM2+k2rSz`*c&39MxD=yl8h34?MR2k3_P7dnL?cY~$}d%=s{z_Zez zmN2NcdBF#lJ>CE>aE~{@iau~4f;&jZ8lc5u1Kgp<8xX~31FZNw-he198$dH2pul*^ z2r0iny$8_!kBPs)*BXOYt@Z8#O<)-wcu@=$tpF8ey;U5bYS7?CY~ru~FAYFzi-Vni z{eO86v?K=B6#&y{=>^=U!dwFi?r(zP47vZchk=3Nm_q;~<g!Gh&Xk5nH>7jeJp-(# z^>%4JxJTIuZNY;)1)9)je6dymG#dxr_U2*Wfqg>X0Mxay0QHF=t4Tpo@Zt&RNQG{& zMr>2|1|Fy@P(en37qFt31KJwb30g|n4PU9M;{>`d6S0I1EqtbfGD7nk2T;nk0QJW~ zHIqjyq<(#op8~BtK(-rz6B1H)60}4Sw0{+}Oe6x-XOno52ilN>n0#(MP$COTdf;7a zATu&R%D}xk3y<a_4u`>!3X(wS4npK!6gq<LgNJpj!Lf@ro=}$Mf$Dbf5(v;*WCsq9 zZchn_i$QAxVM`^zH@6FbtW5w}D*@>(Lwmp7Au8Z-hPtv7w5_H)M+NGfM-IRKzivPY zzp@l8_P2o7uY;@rud@IrTy$$+T*VlL!DDj<$mTXk0HE4$gJpd)#QqnbL3JDKXd&=e zBiII{^zuRgWbQhMxiAvNeEzmeko1AFJ{XkBx<Tb_6o*H*kVmg+gb@#ehvg^!)>#Y; z45gKz&Wwder*O0FJY`U&7y$|k2air?$jWZW9ebda%nINkT<~mB2L~%?ZSe#KFg4=` z3#fL752k_lm-?tkyr{bdYI`6S(^rE-*Wra*?63c?7lJYp$Sw;|)IwxHEP)r>fBygf z`Z##r4!m>mg_!-X|1SkWNej)t*`O{U+WsQQF2WY(#@(P^1p@<v1Al)us29~e1=93s z{w2uYa}v}A>n-{S8du4fFafkV4Aeby>1KD$JOP@mbv*b|2y`9{XbBx?-5X-j)DrN9 z-k0~785mqM&%o4zI(U#x+K14!xODz-?fmN6`2e)ws=&4Lo#Vm3tZuzF8m^4*94)`| z_iM8<FgP|o{BO^|;MN^-n#HYK=JW)&UL6hB-dq;n<hP!dSNYq^*ccdmlb`!qzTt0= z2eCDu`e;6a&S`rpUh!>x%im_h#=zj&{FjlxO%=jo;&0=Fu$cMVzOyngxOA@ug^OFa zin?1T%V|f(qi&r!r&%Vrba#WqT|2M3YMyfJJm{i%0lXlbzhC_S|NpL*H~3pY2gdp) z9|ZMsL4#W01rKvT;h22T$MOJwdk4t)&K#8(7sz59aGMph`U$!x3Now(Sq}y(Sp>jq z2romu0NVA(_zN`DF5#(p05lq<04g>$Ud#Yl0a*|PPRk)G4&5;-5#1#!F|YYuI!}9a z{sisM>-^->`3_`k0cZ&uD9T`agF9nXd_WNl>bGfc2l*1TM9-zynFVy(O$><OXg<c$ zSpq)bln1oI4wM!^lQ9CIxCBKjWWEi$XCJhJPvHdv8v_HR3V`~rH;}P6f)V7d?iv*j z&3^|Ui}lLL_0InP|GzzGrzO};mY?|B!Ha=Fi%mOa`nzLPd|Y~2m|c4vSX_E}Bz(F< zR5DyGFYxz*?z?j7m0@=6jb?V~Rbh7RO;O?YOg`h&y#$<)eJmgHxAU-oQpIgg%hUYr zKR|P7nm2tl@4y!%KK5um$=`MZyv*q*BY)cw2#bloZ3BeG%-=Q#!eZfX>wvIW`P)h$ zEH?hO1PF_rzs(17GuRRpP~iA?%gBI&A;C5CGb9VV6LM^P21>#{-Fv|MF?~8Ox^_<o z1-E;r%y;)*5kc49AZGW@knb#>2VZkcz|`s79rK;Vy<6nFYxjDDJ|0ciUQZVHPM_~A zuHF40amUWPE}ExZI}bW)-f(RE0b2D`&*^G;i@)XVU(kM27nKChgD(UoxOC^J_&D~u zurl;Iu)1cxfH?H6kWc4tm(G)(ou52AFMD?W0VQZq9D@d0Ge8Li<U!ZYm!RdIpg4mq zh6PQx7C7z&wJ~3s{rUgjGZ}md0az>O@XEgopq0}Kpf)df6Fc~{;1U%9kolktgOS|3 zw}8VEylNJ7WC!T%Iq<fr7!{2d3qj^|g9eg8TiZdUH298Lux-%OG8pH9Lc^nbA84e+ z!}2$O`wUP!wiD9I_kf)?)Cr!~p9fkj=Fz<k)N+J*(!=s!i7;q|7iioUvRL(nXC|b{ zSpdpD;F~GCp{MI~!cI+xnco6g)!Pl*;^@)&0leY-*UO;)|NnQos04JzsDya*vZj8A zcG*BPfS_CruHm55uAuvhUl<<;_1tPe+rhy#4Ctu#-T+2W?*q2UzB@)G<mIV9|NlFJ zPC2^f(|OCM^Er4rv#}Wz4v=1GGsv5u79OZTh71mY+J-PMfQKGo?gt%S&<(xe4YY<G zRQM=>^nqgww0Z4?G$=s3!A=70+3E&ut?Ptdx7*nRS&-Way^t9x9As2p1cHWSpqsB> z)`L1|pnZ?OUi^&z_5X!P!ms~3*g*r+tKxtC-vJucd9eV*0$<HO4a8yr$@YL)pfQdY zO&}H%NUQ?H0`-bs<i&%QSiVS)2d%}r@j^ZUL_d1L4yF&jSPvFI_@W)80c40z=gSvX zAP&eJ5Jwlp0T~72D1c1^vBba{AAp#r!OWL0Hphdye7)ws{=cmFf#~v~)yG#M?GKdm z2SI}t-O!U!KxG?fG9m$#)d~#%zvgo3WSQ*o|F}=*DG$r@wPm2A)+~H_y%;?$KbAcP zrAiObI%{`O%w%}<vdVmgCM3u-8MviU0=hH}bS&WeBcL<{>Q+J`40NUi_(Uj7C)B8T zfLA2DzpMszZjg@504<D$uXTs7w|g<!?AQO7pb8My@`BK)>u*6Dwmp&$d-QJj2MP#q zzO_8Z-}f4nh&oSom#FBpe&g=}A2pwR4|M8JJ9wK3BvoDW(7ff*c^zIIUItB`8~89D z_OSfO-~JM0257?PHi!Y*#c>RNl+*_w#seOfKl$6YGcqt3UIML{J>+3|s#cnRn+X&D zHXA7ii+?4rK|7~G-55wO7JS$ue7!7avR<Ku-KV=9lzn`<`@tnj_kK`e;lg+f<Z2O+ zy<nGuR$zi}$^$va3bcEr^OT3?LC?<19-yrySKvOl09ssb;M-fm%HWZF4BSQlZB_Bq zJm9H$(DVCE&x1eheH2f4^qR1P)~)xg1g%>K)oP%0WOxANj{_c-Cu(J#__x^@fYOEm zXyyOQPS6?!xZ4>|dn6zBvAj?c&)+@;bX%I{A)n4$o|=a}zu)#e_}kt`@rDoM3s1{S z<%Q6&@v*#As{lIw(~*B$i711E#l4c3y)0a)#ya(~2%?Ih2ANOiLGbNH$6ZuBAmt5g z@iBN3@FV#0LZ8lyFF0aA+4ABG1u*^S#gAwZ|KJOOm|y>2aD#|v(V$_RUoY-O|N8&p zCz$i;#YHfE1GK##BoA3YjgsHW+)$Grs4=b3!hn4^9klisK5%d~0aV7r-1}miF=!Dm zC`F@~&))`4xM=&!KnEIiJA>!kG(g!n!K0VQz@xjE!^85U2fzP0P!mi6l%66yI}dnh z{_yCw_UH~408M=K#)Hxj;|K8S%uZ0k0i__&9FJ$`Ngq%Oy5s|2+U28o$fs9E9JJl3 z9dt62hvqS;4W62ZJip)aJowArNAZG3uZe|^<vspZP~XS1*MtMK=G;X^;x)T>uL%#x zT+4I(z2Jog5QlhzlJ6IAN;}8j4mw54r}Lzz=10%YODG%t;FfO%rK!#@9-7BIEkFA3 z`=3MD@2PmmtJg%_$MRmuL{RI%0@P+S@Il<706K)?M<OV&K`VeEV_z|#O&2bpqaA!y z3_x81i<jUL;?80TAI)Rn4hblmLiV?TLem0nAc!aMVma7AaCL-imcq-w;C&e<eL9ap z7VUO^e6czbl(as8j(AuQ2}*Y-UsQmFKE9X$<{x;`5&7%?i{wa9`M%ch*Z-G4kVa|H z(gWmvE0pmE@Dy|NZt&O~e=B%*P4{NdpdBdp9`k6fmS8Ax@aV1<@M!!8IwFU^`7x+Z z)=^`u02V#?p2MTFTEL^bTEe6GKSvptNAvL?9-Y+^uMc<}ca{L<tk>H-jyns0STHl% zCxOaWsI4WU9><$OHiA{aM*uv!nLWC_IXt=@ARC!`y}?Vu!JFwI2hxL_1KFj9Si|Gt z(b)y^OSA0+5m0ZK$D<QGzUa|;`SlDB$Z*$-Hoss0U%!Pp4-{FDK?je{kGnvIzW5pr z4)vX2&WUhP&;}X&`u`Gi3MMF<!7*C+Lf0Q4kME#{FZgJ@Zf^;X=ARB8lHWj!5j~Rs zdt83*q4?c{yIKGelqD(=9?ZoO9-YM!9?ky@igG~<*AKoo0LwNXy8xEx28WqPuLqk) z<4=%7`P&bILKT!HH-i|x6F5M1BY4~E1(!}2W(CiKFBH;1EESK&pP+MNYU(^-ksu7p zcMu~{qaYX>1tKaQy}=5giX7Vb1I<-{?8O}gyu#>FFbft1%)a0#=sfYd6A}I|_J;lX z4~c=|Fi@c1)x){|0KER_9;iG*8<PSpnSgqVzZKk`hNNfk-ns7wK#jH%xtG?A3=9q! ziMZKW;)ln7XsQ<ir*BBAFS`6P1!Pz2w-RZ1A_gt=g@qq~ODibM5eaz$Or`|9h6b9z z(9$%PV@W{6d*HL~C2)u9RzXm>azc~#>-n%iUFZGl|LcjAW}I-a>HJXBJvuMHR0X9Y zXx{Mx+40Jwm-nI)Xwg_VB(o`l^+<U1vcCGo&EV153>sEOM65^eZm>Wv>*-(I3@_L~ zW`OsLK!XLA?`lJk@?Ag(C<)xs`St%LD{Mp+Ex#3jJGUrjT7ZT_TNpfgJ0w8y1sgK< z0X4kmg9=WNyhnEjcvh!-0%!$+NB0cS>H$z)sNrFGkH4J{WNLSfiiNA;f!CtGorgRR zK45a^-|oY~<krC>=-cbW=xO<(R?w%LAAHfa<%ObKFF|`SLA9oVPcMtPC+L)<ji3t- zK!<A11@#0qKf~Ac-1F#_F$7uX;A(ghWWj0AgAYL#ga|UZb%;p&_IfjVTK)uCAm-B@ z$Kh*vv*^}K(9I#BA)96kCI$wlV=RpS`L{{#12z7^oA^L(W%l@gtl{^6{+3FR-z~54 zH#0JU&n)IKbhNlx%Ifj|`0G0@xA|M{fvQBy3;fO37#SFxI%F7K__tm5Z2l$8-wbMk zx^>T)017_G|A*Z=AxzKz2R#0te=X+Q8}-+%BZiUje*@^oK+om_Og@^|J(~YB*Jyb( z|7UaD1?mg=@cUc`yA^bxHps04zP%BQo|c!3_P+#gwfcYlwImi>JpP{tS=9Q!Mh2|? zE(5CbicY@-E!lJFJPr2qOP>G#|NHhveTBLRbW<F{Mcg&I9*zII7#J8FcY#Q_qd=u2 z$WcNtN9}nDy2k<R33E@&3&r&ySwY|407g&COT}p(&By;bcGPe%{%?o@HF|n0m|P7H zcy|8s={)Y+dEuoV$Q7N3JVAGvTz~x>WCB0Pg#Vxn0BNoJbRGaT1Zx99RrrOSpk+NT z3cwt&cq*8A0i+}f%mj=2gPGtKqzjk{7PSO3!J>L#CRkJ%%!HaO2IhdpxdXvD3S=?^ zLiB3@$Q>tqI&Z#s6#!P?(|PcPJy^j(kIq{!M8M1gpyuyMu!4^u(SHG;9O<F?>;KDQ z(C#3#`2qema6UrYj~Aknumj}Z*UaGI1yIw!`3Fm#8EBCxZ^&ZsItW(~1zQ}P#K^$V z9n<{BqxrWme>13~(miJuC^@#?F4^PJ-2|R%1vQ6zn?RzVF=xpBF_0~w`40&Y<Aou7 z(^xN$g9kHsTn2g`e1b>vEKuqNjbvDOByR%6DyZFN;E}uwl!ie4Rt=x-CeV^4pYASD z1J|c}5@>c7bf#AZs5u83Pvii#76m{fof0n&%Ry$MEI{SAgGVomBZy(((aYlrx-<a1 zKciQ~)1#Ls5X5H&O$kEIfC29%n+RI@<k3A7JUiUo38}U~XI6HasDNAvxuF}>e3W<* z0kz7(18hn+=+uQ?7DkU=2SLz~4|tIacxI*(vOO1+3L*RQI&VNy-ZwvRoWFSI2a3-M z^<V$NJ907aYXA>cp{=K6m2i+`0C~>AqkAGKXgs=Sf`Z1Qdm|{kJU}sn+Pi<TOcoM2 z3ZS^s@aX2fYY#F4G#1l63*zNXkN}tj_Bp83h8O`Fed`46vrL8>p#k@_hX=&d%pgMp zK%RuGYkaXn4dfrtYy(F6^aPcQ_}UA6AO(o_8UOYgl>pS%8UOYYm7rnKI?Lw-wIYxk z$ne%#sx!9MnY=Hob#~PUlyK}-fBk<s3syCQ+r((~^IuTShn9XoBcjbaK+OgQ{?<;A zf=(6{&{^&Y9<3*Lf=11Dfd<j|T~C4M8$b)uKx1DoCV~!&1dpeJQW$t*wDI8ofB*l( zm4URq$U;{Z;PL+?suFi}B@rIIfq%fqc!F#LIpKveR80gpr5j#)Z4PSrYIq!c&cwgn zMV`r{lSc(S%L&o}cHc+PeZ%1S1kkOs;2TZA+s`cz)f#zp&jgoRpk8*jv`4pX$UF%K zpKjJr5M_CYzZoRkZ8P1&@_W(rmm5K&KnGrPgT{;<JUT(g0(x{$gtS;@f?F(>H~5>I zLFasI6Jhdb{PiC+aNS}IPP-@;fzGuLWMp9I2vLy-WfKLDgO8Z_x0gsU@oyIaAGVkV z@^)Hhi3-<?3(zS{1<*;xppE|W9?ic5_*+2Jj2_Lu1WWuqntuuLx2A$xgW!Rr?pa{h zbZ-K?&GIIH^I?!nw)q$^f{vGghdQXu{o*2gvbxuU>7@c5LqL0T`7sOu2XYUJA-6$` z6|lObI2h9a(4grHZxjPSi5F3FAhAG!e)GjSPf$5|0(7Y2VFYuB=db^r;G-DVfdy~A zSOyaL0XnjA4p`&@s5YJG3CbhVO27WUd<Lm3G0Ru*um<LO2@h!gfOH*T%N8KH!~!0w z-~g%q2%4e=Eo1<#KjQ@-*$d@?Qmz49HK_mn!WUUJXt>GX`vv~(2jB@AtVjc_2)?8t zQW5L^iC!0#7m)SepbeKEjc*_WuWMAmWA?pJx*IxF5A_g#E94ko=pr`It;o<RIS<QQ z{OwKPA$RDcN+*nlPWyI3&f7lD(g!|99#jZG=C;9u$e?SQ|AS_eA?<tUxwN2z20@GX zTg1U8LyjT$X#5SDYT)nx32Nu}7PERBe8voJPlB>Y0jQD%A3qrZI>{v5q2)h+%YM+z z9Mmt4J3*&5xf))2E$7>t$>^JW+ns;g5=Br+<jcSBi7)^9$G(h@d^=xyS{|&m^6j1h zsvLd07l5itU(1L5&5A4x4DQ`B-9Fu_y|X~YfNtL`2?pQZjbI}z-xaNR`5APSJ-94< zErqZ|g$Lb&leNaa-7`QnsBiZIPz?&UU==gmf*yzkAbWf*UlwhC*$natWT^$KzXj-C zEm$Lg*8|LjjP!#x=7XC!FJ3_xkqLkTCjm5<1DaCv=$;5!12+@A&<#{!gN`5pHKI5` zy&&*L1OZTyDFJG}D7<h6=R0U>=<WcOcc3B^-cJMViv$&=pr#wV4F_5T2`)RX%77XW zaNQ8AAxpNw=>Vh~bgv&M$yb0PP~t_>S4hS{EH+8-0L{vRR^fss^dP>N1u<$9$QPia zNkGwE!Uys}goovE{vIPxncBGpvY7#Pj<2PxsJSEqf9omm{in^lz;#(kiAT4y0A$2U z+N0afr`t`yqq~d)R1kvf1I<Z-!h-|E0k^9l4xR!%MIJPN*a<o(yAzalA)EVaR4hOt z>HunDdb}v$00jaxV>KQD<+sBi)A_eS=d)T5>;RQ<J3$2lzw1GGt_Ja61ib}?2Xt{h zbXp5EsSRo_f^Rzo83ig53P2GJUd{o&g9~zG1k8>O(6(c7@G?UjMtuX7$)F?jx}md+ zAZPGzgIpWX0Ul5N1#$^AGC|P?JEjJt5;S`UKKTs19Jaef1$6uo$VCz_7~X;Oq52;d zXP`iZ#3<-6Vs!6m{R7P^Lu_<4yac|~&G4Jy|Cik0Di2aDfCYYmSAepX-hxh3A<ZsA zN4+5DQ-F?wa99PJt^!|n1fE|6tpo#C{Sb>lSp^i&FO5KEcB8u62Q&rx@<pyQv>*2H zMG~0#0W@b94rZS4>3sOY#~D<KT$2W!NA>Iff2934;PJ2Dpym_Wc?_Vn#PH(w0!RUD z_6}Oyf|gN$i`!JNB<Q%FPA~yIa2lG-@f5Vvz#5@l7;p;-d_)}h0GI-gZsbe_PF3KD z1+QI$gdXVFTO)96f*eb7X>eQulo23WA^8_uQ2-jA1dS-ahyd4TAlHI>VX&JkS}*ap z1c9=5_Z-NM6<D=k0un^7793jsgJ#)}dmQ}1;=%aC!}1t^59F8_kQ=}$82KE%08loE zWgEzq1|6X3%?HpXWr0U`2ePw4mz;DPdUSgVcyw2B_;fRY&!+?%3!3-@2Oji*El@@P z-+c^@L&%hOi3(($4XD^N0F@LLpzf;!$PpedY{2y%e1m^C%)y}E6)01JDr<12OokTo z1>pW0e8m9X>Gl_S;4QQ;)4>_&0x0`KLli6kI;z&AmsR;XEJwlpgp?pa+3Djl9N7uv zW@r+A83bC;-wm_z#a@YD|6jg<x2`bydm5k`9<9Fz+6L4;3sfn4bZ-Jx%HRuOTEIzq z7N`#O=-vdXQ9W93^S1_qg+T`&gO1{bPifxZ@0ACQQrNULg4*5=#VS7CO%Nl&qXpo@ z&K5H<Ft~Q}>~Q>l(6y6i$LpgLCb)o4BRSxB@P(LX;}1|fhQB!<G)&mtg{0f3S5!np zg2BC;rOC1J03&F~3A9JVjtN|?H#+`5?A|HU2s(wto}uwS$dqDx4+w+58FbR2PcQ3b zZ3za)Zkrt*-CZC*`E+-I{RG;w52^uOyJdDd{y*&6DYNsX)_>5Fj2{QzvqQ`%mV+C% z8GMulYn!$N#3#L#e6QC!9(=(EcYO<31#gNr$h|U+j=j|~KE14=+7b+}TRb2`Cmzty z?mPh+0{ZCDTlgQ8g__MlAz0J>r}cly3y*G;pzpi^nteL$(R#a%ySru{NXZ9}*4yAD z&ff|;Jp(iy+4h=&f#Eplj2Xy{TcF&b;nCd%$y~F*qY=<3?%o9sUr;-x6TGDlJg^X> zA^~b*@Ph`2i$oxWiibyc2dFgw+TRN*2)bv0ha|eed(*&87Eq4~WR(D@WrHxl5NZH; z-6!}uNDYuG<mI=WH(r7-LjVox9DK|K($DdN(-}0q7^33waxy4!gXSI&K4Sva`y4Oc zI>F=;z;Xu-54^Cn1$9aedUSqxp=bN+f9H=E>bAfByL2Ad2}%hsq`+bjHXjn338Lu5 zFB>GqZ*9N^JhK7SG6kZ){=eLfl$kL5D@r)>6SNJ)-#Q67zhr>gQQ$+|0}KzmHUynr zXW-fE$OvLYfY-@^hSNMiQ>X=?l?DOeB|)dbx6wWK?R){ght3RSBB(j!+RfA8*!UAP z`B<#$Y59}Cxr2#;0W@;%#J}BzkI9LDI}abIx=91|dD1#vRJdOJef$6a>-Gr~Tze-n zFfbf&Jork?v+)-wocNn<LHVv%c9jY!<*H0}?Ome+$!dT3o5euG=AAw&GN2<!G+a7E zRCGKKK4o&`-|k`xB7IC5&}^CvZeFqO=>v^t^6u@EVCV+jDQ|g-zxfcjqb?)h!N2de zN9*k}bB}J`8n8OkTo46P1G=)Sn`IiP{ptZqWZfYuI+}+dDGjuCcgo}c|0i_2sK}`P z|8LJ=3-Q4b(2Nt5?E^}yy`p#2B^bJ8nm}z2a1~*Bn!j0$k%6JpMMVYNx&$RVXkp5~ zT||<9dyFI#Xez=3w2BNm7!aev_2Mx22o2s4kOR9}T_OHa@Bq1WKe&%C!vysYf15J6 z%;)6*t2O=EE5QI(2dZ1p{G@{Hrvs1v|9|=X@BjauhYr4A2m7N~*#knCdGwkJfc#?9 z)*H{)dBLMslu=!R;iWQYUD}0%FZiJ9Ts(SBU#Lkibc58%fYseplVEuH8|)<5VscQ& z9x~I^dEv!ROHlXy#U~JT<Hc)B&{@5V3=A(G!dchhtg|3R7hW8LQ2Q-GS?7gE=g${g zz|0GfY_=TCIRO%%V+qPhYC^yMzdQ)aNr>^VUKf=Mkp41wAx`5PP}>l+UmDyo=v@O@ zNqF2vMFZ4m@#w8lG2jnBfVK@r${JK2<T8Mifo6U@dRbTLLUs>;I!zpMpcDb>Mu8YF z=FbDwsGx-luoZ>9yu10K8-bZjK${IfWg%#1GgzNHbPsUYS1AUDQh!iW9NebvWcTQ_ zHPQlg7Hw2Oo7KPt8)VEKWD&?}2@nHbn5u$rbcFh;@d(JP;6ph<#=YRs2NhsHJbG>K zX-YD@_^Ait9)G>)#e0EY|6iWMH=bApYR)vj2>|V%@Br<f@bCZ+w87iE8XyJT9pJ*g zdjh0(zr^4A6;y6@cR*?ch%lsI4odnNprj8jsz41V4$!Kt3Q#)=Qoe)QQQ(CK8ZY?4 zhJ&w}>Hr(v4cWKc_!FET+b%LQFdT1UU;%Bb1XU)mRvyIK84xWSz-|LqL?tR5peg{; zO@@cvOVH(sU|&I-iJ+DsXyp$hD2sz47aa4Q;DauBXKR3>1=PHJ_z2WJg1C;v?sXY9 zD?z2GM>nq()B?zo3sBm8!Tt<l6QXOK0Ul&&1Qpfb6{4`FbQ(y6P*eIn$X{R&<Mt_d zd>Gt{p7j!9c?7%_9Vp<@&FRtj3lu*5O`vNzLG1(wkM0?uMJ13J1})Y$y!4vQ<NqO_ z&WE5A0DIj)EthTw3D4#q4!)8nUHG?&h<P4-#N@`mT}IH2e|v}^lV|4{pU#7xmmm8o zp7!C65b)`Y5b)`Cknr#2Ve;wpknn8&X;8GrxAUgw!Pf>LN&j9JCf{C%3qG9=5+1$Y zh?N<OKz;<R@tz2>wYM3xG6QnT^kJ7y9|;A|gD*iVGkhdqD>G_2JUUOm^aYKQLKAn1 zG;CO~l-=<5OVIhDpmNQ_qxnd{VNfcy@aP5|YtP})9V7uh^`<uh+%tf7TtWRI@N$hy z9+2ggpm7Gs0pQRTGjSh5`5tuY4S2F1bY~9uj^Gj%SWTXy;_$Kz<R<8109$R)sW%>- z;E?9uK9Pxqfq{Q}CnyVm`Vr8YI7Ef(MKL(d<fuTM3y*F{N5n@Z0o)6@3+_;W(l96~ zfbOjZHKD+R5#V77@K&M<keI}ak9R={0cIk&uQ3nQNCG85FmD2g2g+y%A2ET#hvUUO zOHlFYq7w1)J-AN})9lfC<Asw6Y;xm=@vr|o89`M7pUJQPJ3x8+#Svprx$ejF>;KEl z--zY280VpaIv0=zMv<WhcrpOIh_oAW5-LCF1k`SujsK5#+HCarf7rwFaLpS~Btj;M zA+^a&kX4|uVh~2uAK?BzXfo6T_5So;))nf=H2^4~feH!GQ425T&Vbhdp#E<!?;cJL z22lIr1+yM<@ekGnJJ=%py%Ylje=De(2hBhzfbUZhH$3oK5Y*U8bLy1gN^|QB;bQuK zAk9{ek-xc(fq@~-b^=3jKyQS>P7VeJhTg&t-|smz{8Mn1JmA8={e)xZsm_m=AG;_X z?!1?F@HGej_S-(atnUwrGdS{ZlaX-X-}cRkf13&0!Dk$tpFJCYf*fBo@wH!?EdygQ zZ|@9H9P9*LOYwSXnymyx=>Px!J3)hkuP60JtN`2kx&u^zgST8kkE{U=2EUl0@$3If ztN);r#ZT-6nf&6LAt*K*xPJYAIUA+AK_6cMtz*RqZwoc#@CMhME-K)v^M&qowD9)f zfQI*E9pvx^Yl2m`&)<TwT_PyCD0p<TdvvoKo_uW!T7-J=A(Kbv<%5s7(+<Ak;NO19 zqt|rzL2(99N#(-7jdlG&aR$eO4>(*n52baAaHJh~;b3BA@aXks^l1JmSF;yuXmqLn z`u}?Ni)KzD)<c7$Ujww>+W=IGDuA|Ay=Dis;6Yn<EN|4BblWtw{x4DW>1Olk_T%ue zJW*`m*?G|8-~%R)<o~Yx+g%u#Jo(rE@L)Xu`UFU#^Ze@r(83wEconp=G0gyWz%U}c z_qM2f01Xs^uLS=Ms(BmVOaSd9>Rh7&+NcCNVg^Km<_}mI7@B_z@b_*9DFbcF0BvOI zbz}5QJ^>mS+yK%BS~WTeB%gfD1Js*t1hEf3U<RH1zkrp2A<gkP3&a2aj>laXSU~48 zy7c<}cd<Op-`5LjJM`A5cz~K?ojEGd9m&Clpt2;F!J~JN3b<>HkV-dzNP+sH46m8~ zA8-L(+yGuR-VEBr^9MA#-yOl@(fpH%zwHUg{Nx|5-2ozwowpsCBUn6|{|oRpgXbK2 zRrdRKKJ`5KgvkrGPT8-wWQ~U-zn~k76KHv}8)$cwTW5$$fD?GLM(1yjgU{JKdiU6X zTy>mfK4?f7d_qad|NsA;j=QW00eSCb+JDdnn1j7CX1&pWJeq$9@HabyT+#_zBhl%i zVgcF&rsC0S(*T<D?2NIAaOphtn%S}Qrf2d^4^VJ7vw_t2+RS$84%rjp*a$v}v((b1 zJ7!OSBd7)NzrIxaxXYdhP@}=IH<HmK`8ULC2f)n&oBbe@kGt4_9rSvsC)nvsZrv$! zeB8Qo<^;G}Ughug2MvF8-tsv3n9ZYijtt0CZrvu6T{?4Yd|Z3;7~MK`CVM8|^#JvB z5||hm9J^y~uyn@Q1UPla%!zR64gT-a8DbOB{F{-#6}0RLB<x{%t3>DjVUOh7PTe(g zd|VixLp<~cR1Wsa%=S3=n5nZyCIsZ@mt3IwuJf?R!3UsFWdS*$TV}rHXa3eDAmyNY zJy{tTT9|u_7(J5Dc{KkN<ZlPH_#m;*-dWOY;PL-J>!msakK|7t&Hn`Wn?pb&A12%X zpYlmQ<OvRXQ%2C%L6&wG&?4y*MW<eZhL=2gox%5G9ss3Z&}J17eY{2G0xJUp=*}U~ z;SZoJ;L&&llrs)HcHVUCjr<P}W(AP@dTsW1*X)UC{a^pUrStSl8}O-=AvQjqsA1~b zoB1EKQ?dE40Dm(#sFBhcqhbK+{>p%g5e-mkQ1CqXl+CYqjSeUbd@Ns=h=ZCLpfm9~ zKn*9*e38J5QzoFqAERQ>T{6ex|2dE3W01rJI?K<eSEK=?(&@O1NCYTdg33I|ika>Z z6&28Q#0rofyFuq0gQnX+`53h5+OrdUV5bcDh(pKDn=iBf{Qv(#Uk5aLeqbkf)>26a z)Q$K6;fU(|`o9YlL@%^K!o93V&ap!F3HP#QUIHyp1YP#9Ug!INkIo+uEswN8`foru zSG9lrhp<j-gY0+#;p~H`d9e*lLp81hbD$dMz%@<+3q#ekYXABVo|}154Pt>B@GlCr zfBk=v10t4#l=iYJAl$h1BFv54I^X|KcyX2a*Z-Ffeqo${zZw+7XzMFNR3L|$fr{)5 zaFNXnJ`Vl@e{VD+X#Xfn^TmfAtp`e8y0#uDdE%RVz_atCkLEES%X9qgki&w&UB(iX z3{d+`%v19tXq}S+s9)sZ+t~q{FaizU6nJWW^6i`e9%eZBj2XV7{~Aa#!H4l0Xb4Ng zv$vw6*_P!wxJdyzj?S~Qpu(e<RhS*LdK9$fxJHHJ#Zia9;9H@<Jqia<wIczlb~O06 zm#Byt{(mj!dGIk)nsaA~EJK=eXNW8lXbq-gZyBRU=TD!`;~tjBYhzq`ojy2zzv0;M zL%~(@q-W<ZkAu&dUHG@lSo?G*a`<#>dUT$5={)Gz`R(#E7sYdqogdN;zT@EE{>`VC zH+7dd1E>MQ=gPnB0O-aA4oJOv%-8a9QS)m9(EikJSip9E1PATQWuP&J&JQmv6n_1G zsR=42x?#um`E=g!>3sO2PZN};z>D`EYl4~_yiC9TzqCMVav<tEaQhBaSbJc*-=<oE zfxqPqD7L$UH9SDuH^7(K^!hP^_hKZ1#<D<z`-cBPOG=qN{vY?S{9aq&+AXrfwe?bo zf~Vz4{$^t)1_s|w&|*{OG^b7*4!6#b8!Vv3rmxR~<+;J~r#ufnWKMHB&ceaK;B?%D zg9TK4`*d^rbVqaeSl;Jvz6maoLDdXXn&WX7OOV~2_kBArzV7g_JjdS*TD}6BQcpY1 zV!*&~+{FNF0(jd-A4qHSPo{b&!;`OtJq|u&N;~di&cw)&)+u7{(d)(NV|lVx2GaCl z=HG6@0hv#=_OLuxbnzv)_1g`**#*?3_W-3#2T*??z^AhtG$ZQKIe7u7b=A8YH2l<T z+w}yT@<8{EdUQ5}#5{Ug!&s0LpNAbJ@j;I6Kw6RwTAv1)qy?=h2Tff;_icjDQ4NI7 zn1J^lfDfeyH<dC#Wg^IJ93W>wrh!1k9Qbqs1rQ7DUhqMT9v~$KFVw-akT8F}cp>}i z|I08?dg^7(kwI!}g6jlO+aAPtaj*y0)&!rZb=*+_B<ykAQ3FIb+fH~a#lTR?<I(9T z0qRN~2K9z|D<xiZ%0kw5eR%O+4OF(Be4(xmPNpyJtAXerpjDw)z)~k(oPp9u)PDVc z@m~#;hCToP{QuGgy2k|MAMnZmg~Ook3PyhjbZ-WD*3I%nv6;ug2h5<nt-!xsh6$w8 z!Nc-Iu@p#{e>)3Pn&n~sNucGS@SR~Vd_mTFfUE_Zj(PtCviTukv%6ysv3PVIgBWTf z04}vWEl(8dfqL=>A27S|Z|7lh=?*!?lIGIM!{pK#@`(kM8<;v{PO%{RVm_S*UYu9` z_5Z~wRan~;<OGnbiS;j%d%8<NC!L-vw(R!##A126R0-rfP#)pm&LY6SoyRE6@&uaS z`RAYn1!%Y*wBGK86{>sC4(Fk1c<fjC_5Z~#73A<hDZk1pv7FBV8V3Lk5OQ#VW?5{W zqTKv=oCS1jI)g{AXy{eY34@>=*PsNh49dcg=}pkkRvLJ7F=$y+w-4mZkN{9G0(_?m zWL)>f=089Gzs!Ry`UFK36r;K?3C(?Q>sj}$09g;3?F3mb4zeDygcej4f;TaP50<`r z43g(GKm+yQi5~DtED4}=19gbjpP&C<cR;O2>QDBzs2G6qG`K$lIxxbc@l61zK<`|m z0xsBkp>+2Y74X{RW-EpgrDofc_rV2N1E{-l9Mon49goDZ6Li0q;epQ{t3mw_&@v~~ z-pM-^P%A8#!J~VQ3S<;)iwbCEGpIda_#ZU6cEH2(My;PuH_I{K)&nK)JzD>l8i1?P z^WZD<z+I3s76t~N?w&s&^Fg(#=l_E~ojo=nu21Lj*SkC|f7G1z1XsbnohM!&1|3$= zvJccN===d1!S(=+(?a`8F)$Z(9tUkzPy*d>b@2h{mLgCmtkZ?X!?V|k(XV^T6_D}% zy)0fHmM7}DJ(_<A6kUG#2xMsUPtZUe<Sr!7%a42&Px)FNDv|aC_ipSVDi|I4ryOu? zIl$i{1`_DB@@W3a$=?s!bbvg23tl+hZF9_{^?%80NT(zgv@)vO<&OvGHnW4znL*3{ zeL6#IJUn|nKX`n<3E8ssAL3#bAI=lLorlvL55C}V<==k5r&m>RlQ;vY>A>R3zYVk` zOh(4>;A0L~&cm+!+YftuzwW{L)ARBV&*q<;b)v7eojP5bID9%!Le`yKe(uTm12m_~ z#K2I?Ui9eYVQ{5-;iV6F*y1?ok_m7R!KI1A@!$&<pYB;4;MG7~0vrqspuM-vpp~@# zy(|vi-9Cpo{JU8WdmQ}F`C8qvlVyi*Z{&B+=HCv!l5agb&-rxTbOhV#dHK1o;(H&) z|2~}`L7TVxi!QyiW@2DC)}jI$s%8XrFTg3Y@dzlP9`<NH_#F}m2X=#6upXBmdnn%U z0By7P=#BW_IZFZTq&bk=-+N6rECsc>O;kKC{ss+mJ9zYNW&kyrzTX4248bd#Ae;GK zd~1bdD+y2uZUIWO;2h978RR>U&dCxSpmRX?-vQ?YU2vvphWH;e#|AE3J3qXZ0gd4s zc=VPtf*1}SpzRok;D$B+V_;%n;BUSL%G;ga;cY+G%njgCC=<~B<n!+Q+juw}4?g5@ z<oxK!zx|`f!GG+a^q_ehba5cq055Ro2wV=;s3>?Ge9q$0e4eYbW;2IJFR#pDke@*p znu3ZlP~+i+B-nE;kWAg(0rpb&1c>uvR3t!cNd<7b=_SZzy(VR#Doews)8!Kfh!F7T zwfWR+d+0W(Hs|!{l=<{h1XPZIu5r2XVvi`O%0BUu5iE2I<XhzI{*nV+>+c5H_F@8P ze)r_R|No&8%zz%ju7(G|vsO@f%mmQOI_EgFJp!7Vd?D8e8q|W6S1*e}L#mxWUd)sO z&5|8>F;NcGx;U^C6znfr<UsC(_J+#AoDVPRAv8oqlH4z7mn#A+3{~qb2WoR%{r2<! z%MF<QpRb^_fVO@XG_2iRslZ$u3LfY@1>HEn0V=Y=w_9p}cD4zCQdI6OP@sx=bap)e zod?!>pyU9kB!@1z?cD_y?K})R{4-bt<bfNnZ*>Ps@b7xWz(1++f&c?Ucc4V)<%6%7 zI|C&=njIDXUVQ!iFlf0Qe+y_rw)ru0^KZt|h-O=6uuVE1y{uN6pb48sPzT=Qcq0d> zlM0IWP9BvP@!X(UBiO=QkKUbNMZK)-plPy8!k_~TcY-t+9(Zv?_}Bl}r<#8=@wY-+ zy*w%}1VB|pH>eKl1|2^Ro`3Fax&iiS^G%RXBN09=o#xT&q~X!q6#&j#$zXXIkIp8r zot<4Edq7j%FHUhWFn|Y(JbHJ5<X(7!9kL6e;KU0nkV~$5pahm0NJ;07*V8?^9R)xQ zfEO)qAXoc>@<_!iZU#_B*YN1<g1GMK4Up?VI}t!3{t|p)5yZe3w?R{>&q2ioD1>}P z7#LpO1f55B_{-1#FW*61p|IK(WBrc}XrKvoyc~3}JZK%tVeq9z9|RZ}e7c)ofTF*5 zH<;=K<?7aN{QaOR)}y-_<Rp*oZjehs^;;`=bz=AA10XG+#Tu}iGA%rscYqWzlq~XS z-T^Y0p>&2v_k<ZBh21kcz|@8nV5(yQhyo2s_JdL|sOMb=Vsv(Z<uyNgXnykSyZ}Fl z;hG2IXOHd;6TrGQfHap1LQV$+v5z%u5MW?n^yusW+3M5T@B<v@{nw$PIs@ddmz<y? zq8sEnNYT+K2ujf>UVa1x?r|3t@Gu>ySp`0-4SY~IVieuO!}5a%zyC2%ygB$-9^!9Z z1WL8tlR;K_ba#U+_UPUWvd9Cp0U5MQ4|K$TCqxEx*Ca$K>^9m%9*m!wZQoo2Inm3b zb23<G>&a4ekM3?rh))Iurbj1uGAKj^yd@UdQ6LF$5P%na=BPm33cC90!i%K>AisTh zy%wY&e3dU~LKo5_d!eBOY8!zYcAzDjpdf+FrgeUJVI%eH{|j*tVI&1Acw#>N{Qq(} zBAKDIC;8jJgCA)3AGoL(fX_PwJHLAZ*fW;Ai}@uPN|ZdhJ0QXhVBt>C832%7VDLlM zKoeG=!quajwVWSRRJf=Jybx{w^1q=*MS`J}9n=Fcc)bnkb?{^d<f6hC8$SO0|1ui2 z35@36=b&@c(9T<%0zT}?qq$0fuh<Bj<)=f}S3---)&nIsK^x1!o##&ISy}M2a=N1= zI`1_<miOr0%?L`ujsHPYEd2csKxd+LemMA!wX;Z~`I$WEE+x<ApK|>DlUW%UI%8CH zK;w!Qoh2$7uAK)#Ysy?Yog_S(ofJMf9(>8=*!+;+x7U#c6mJoR2VO1&CpXa9g53}Y zcgLvcbb}Y))~Hy3s>}$G7nq@5xFQZJO2Ov?`KWMsw4N+E1UgO$;sxkgLU1qmbayof zFfcf_FuH)IPMd!S^EYo|Wnl2>m3=cyoWZwS<*;Y-A7TDx&?u{8^CNcuPLYQ$-Ch!o z2VXL~bb5hZ{nz9F0Uyf)wW6RT7w|G4G`xPC1+*id!SnwC&*mS(wOR;^Kz#mYW3VNn z?X$!gyt`Qrc{KkJE;{v67(4{p1acB+BeNHHZEXRlG6NY6S$2W4O#a2R&;LQmLxF+6 z<u^0<P%|CyQ8v9Y%pSe7!B@|Mt}QS;`I-kbtmfg-d9d3>MaRSPCVy`msE7nzjtW}t z0Zz~0saNpXZ$2s<FRGv+2_BjQ*Pjxg$^KRokiO=h0=3$pMh949_vQ)#28I?kk8V(& zw6$RbwYRK6wNfX~F^~TTUN`Z#_JYz#cZ^B`XnAFe3z)YBk^;N;fwi-H^g93dfa(Tq zgz)IDx&H$^dJ10I4oWuQBO}56F9%Sw545EW+%p6l3>~BaH3Bm{EZr19Ny0?s#X(W@ zBykLPlJIB-jUpa!>GqQVjYf4IbnQIlc=@HP;z5^AKM4;_HwDL?pf<4M<%h0{2VHv| z|GO$)@MOH;*UQ5U8vpe0wY*qwW_aK=muIKVP0;x3|HGivA>q^A2lAz33!_KlZ%_yo z-FwLh?y21H==}UL7E~C6b`Es1sJ!rhil{W5AA?4OA*+c&nGsy;!&5Lk?z$Zy^{oh! zRu24Hn|}(H%7Kza0%-gl6p=eXEf{cE8~%UU3vyBOPa$+QpsClL+zbp1hW}q?g4Fb~ z?tcVwBjlb}k6u=R-4HICQ#+xjs&}GiLzwj*@Pq)KJ8%363MT&ci{P{ZS_}+IppaFZ zpmq*uT?0tPiwPg#x!@hRw1Au>3A(Bqnk;=(JUn2=fx0-LglYk<uTnvV_f7^ihCoFi zX!)H-^P!`l<D3ruvIiZ60~)(hgxsO-qQc<=IqFTo<NJM3dX#t}{New9{%tNQ3e6uF zTMm?(B4VTi6yF}*oeZGfk)?-5EsIB|hX%O(h6WYxX!L!INCA!yLBWYD1wdw-S`pSl z69PAq3I8A9Gr^<V36gehJVID{hBz%tk+jUjtL4Qlp<n-ZLE;O}Y7+ug*Ee>8g(HQ2 z{fDsp;Vf4W3smO4uoi;!D!?2)Fy{eeQ?nvmjkpk~>R$Nj=l_>~kj^>-wGz?l!;he= zOVH{=NU8z14o`sETdm(pDm|M2F_sp4KzBxf<s<~bEk^-CP^r=kYKD3Bg3e|Az~7t4 z!N6d60JK86MH3Y1-J8LxKxYGiSw7v(kk;U2NZYU*q}#)?8`QkxZ?OgKVgt25O+bv! z7|8XS&7ih7WM~;Qb@c>nEwp}90JX{>rSeHo1rJ_A$=?fVzfJ&2fwp8oEbIbV;nBSb zV&OUd=I0y?3>_@S9^JFRLZGDson2sN_biCxHi30of_0W~fKCI+05>F?z%5l!TW=o7 zkDXm0zGvqNP^(Y#uxIB@_;DAY`<#3%n?P1WTdhqX8;&(WTdiH7#-mSX7pN)OY<u$z zs7a^=x_S!~`n{|Zzkr73n!xSt<4vF_0ZmAN`e_v&&2JjOS0o8AFud5v2I?T~0;}s~ zjsC*P@M0btsF%dwG96S0*@Cw1@%K&v6}G)InEw9<-EQ1^fWNH*Bm!Dt3>u}d0QJWU zz|}@HXkFloF7Q%Dc(1DUKq(KX*f0Q<m=>=uLc$l;&5{8-UJ%yJLS$@6ZwAzn<N~?r zDN6l9OyzROhw(DVeHlL8ZJ@3f=>DJ9FmN4Qr@&eq0e0&>K2TzWrV3E22jtcm(CV!c zm4eq-p>BnCx(ZH%0$LDs8x<&^(cStYk@eUA*DqYU-6TNmv*ri#jt3twJ05(=3YsJH z=@m7c4;k-b0@sMmZVJCWK^LF*wt&tS`G25cA1HbmO7eZW`#@3eYk8tx%%gK2C@c7M zwt=z_sKQ$Tp8Ifvlss|w5G7C09d2+h5p;7OsLkALyZ;n^2X9~jIoPMW50tuI_j@+~ zkmK)t269cW$3KsYFCl#!$RMI;^8-fD%MW}NPk<Vj4jz_%3dO15Fq{Ql3kh%hmWqK! z4iZ4|odHf9pvI=d>od^Mgm$o%V2*<fC7_2UDCPw~?tALd{1Y@k>Czo0;o5oG@$xHI z#ZxYwVG<siehNOlfsCHbzZ`repE&M?G%udIDxP)i_5JUvc-oiqhEL~3NV~)H@<U(6 zhyJ}R9KO8{j4%5^6)>#XAaNHFiafW`L$UHC{!q+f28ALhC_o{@@uCVE9;ofVQXxcm zcy^w6$q04;xY0Nf5?g{Wdm6!A9i#x+2@-h01hEISYxJcuXq3v97j#*$s7J31gGcY| zpMU@V2iIvYBS0y{RuCcyT3OUP<Nojekm>Z7)?i6dm?X1D?~K{s|NjTKU|!0BB_&~! zEFQfxKEM0_e+Otj@+Bi!QWhr3>d`wR`R@P!J3xmBynF&0%d!P^WNLW~59|iDpkH19 ziGk)=J-R&vK&|l?>u)0hdht#4fEGA`KcE?zKmk1g6pjTAyFr6V4E!zI!3`Hkh0xs$ zDpEZ<&w+~6){}LW9^K#y16F-lUgK|<0+n5$s}eaujLywq9iZO!HTc-U1<>J=-IGCi z(8F>vC~rVZVQ{5&tQnL|89ksK_0G+ZQaJcH$ngaposglCZr0Zfpc6ZI?|`UI@L))@ ztsYpBtw(1wC`OxY<-mN<Ay7}>fy(S=a9NBL^Si+Uy{yOHaWcGMWc>C2^+S)|-5|{` zJ~RCK|9X!HWDMrTQxLBc95f!C;DLZ<+XKfyP7?I!gpLou53Plm0Lo$OK-!*qKm!gm zymA%Pt^-w4$3cwFZb<kX1fS$fVGtRB-J=ByqF&Y)ZxNn8-VCa!Kv@{Mq5=;vz2IN~ zRaCIB*z}f@;l<bgKmWfz<<Sdm7j%P5$L`6XvJy0W1}eEAC&0XrUI$wB3Ld<GG*n*B z1<gZ48xK9LAP(r@UXT_KP`g$01E|-i;nR7@qkA)`O84pRhE(Yv`P)x}N=H!e>;f@5 zPl3;H{0ut9@cTWFga7P(6p#5Zo&z8J3F<ogb{+v8?QMC`li&XY;($(1#l!x+EZjbp z$4ZsGdQB94EU(pa_;g<M?ELV$%cFBMD9w3jUi0Yu@bdXL&@#*v6>tX*Txo$z8IWrQ zUi5=TZD5OLBS7VA0IY8b?o)v_3T3>W`(irBum3NKI6w`-gD<+k^nn*m9H2Jjrx#IR z`Xg8#bRvTnNO3Ay@WYG0?4Xum`-7kVUw--uTE>gk9$XK~MQH0UK(}5UcK{t4&2ZcS ze4e34w+9Dkt#z;L+8Ll-jWR0G`F_yu=BiteLO}vlYbYRMuN!1eZ^sT$T?^j%2<o?h zN+QtYr~-@u+P}c?V%lxU2vr8Ss9^@pHGpp^>yA-z@aXgq0Cm7y!0QPuMZNw?Fq9a1 zbWVU2yR!~MbIA-)wgM%-2#@9?35Pv;cYp;tZ@lRE`}6<nrywVLfLas*pbig+!Qs)( z%JNr&0hC?@UMwns4mp*GdUT7LfW*6HO~I6lO2+FxP$MV<6h|JQY#Q*g>i_@${M%=M z{0F+i@N$~vj9mhtb;KV*Ij{8_f9p9AgV1=WI;cql8V?0+!SbNuc&JD34$v55vu(v8 zDUh=u(@5Z<(FqMZpv5ov+~{t|=xp~4aA5%|es6lTo~)~aj^+AvH$d{wHU9QYke4h! z^S6hB7@ZryIzXoYBg&S`p1mRx9^Eq_<?jqoUVxUr4Inn^*sVwB21xmP`5-77D?K_V zfE?}7&71riw6RPy1w?g%2N9cX*MSw;dUS#Z8JlhAf%y_1y}YR}K}AsqxVS|unCaaC z7U*Sld&$Z0qVe}naQO>O7Y98$FTBY8{qw&^=ZV*wJwRi`7hc3d_|QX^4}#XodqIVc zgKGTF4X`5WMH9H@f-PbJ*N^>IAXx!4=-&)lbq78^8aC+v;Q+{s$n8&9N(Ilmym<KQ z=l|C)JUVZHa`6%tQ11Ttq6SQVcrk_L*Z&v!ETG)H^UlxzFHJz3tuXg1g6E=}-&BC+ zp$kC!g$h6g3TOozs1FCOO+C87{VtF0&EQz=2G@$<F@b7OVbHxB>_gCb&4)l)CIWd_ z{*Xs6ix?=oWPna@?h^;iAX^^iZ#M(!>;xSh)$PpT(ar7AE808-R8okjfJ!<JkQRX# z0oNeGgSDi99De{B?*uP{0N+#E2|mNjMMdL9>2*i}0bY9qUM~lpH3fOhgAsHJ1-PFH zI&rrX%$nfQy&II;K)csFAwC3ce+Qp>XDQ156H<ggE86h=(1?Lov;`i`M=B0Ot7=e1 z>-rN?gn-U210PEbI`9FqVTkqM4_FZrUjQpYBs{u#e}crjMPGrbIp7mDUU!2E$pmOA z0ug%&TBeNN(rE_e9{$#K;4}tl<XB$dZwFsF1v+7I6FB=p+e-&MG(UjnPcFjSK-WBb zMGT-lY-sKShXTCy(+uf@c0+Ps=sr*g$it>3dRbYYf$|f)Jp&I9aOUj1@WS-R&;K61 zuqyrfQ%;5#N<V)7e|-tGx4hr;|Ns9J;7z<aNS^2fyS4Q|T`G7PAE>henhI_Q?>m6C zRz7)llI@f3y&#_yz<kops{9>Pj_`_rC|El#4Xg;!jtc|xLG3u%r`Y`sEl2)6;beGW z`5jV@K-zKIAReq82P#seKmu(Zo#$V^0=2k1KfJiX@azAJ&7ejQsF3;a;sk{GVgZ=` z>C<`W#Z-`z4Gf^{ee1^0|1VXc*&As+4S2oeSx{J_t$zg%26K3H2Y~J*QUFzMkXwhq z*-QX*t`(?fT$18p$;<amf}unNlqJC{@sJLY1LaWgxVr+VBm?m{Ji0}neFY`$5EX$J zExE9yE#T43EA|Z(sI21OBp6<A1XYaS^+5`+mx9<19{(K#Ji0v;Ks`Un+m|0H-r@|9 z@Mv~WfZUP>UFHQnyzce&pZ{Nq!6$Lh+9%NYOqBhPpt-SbDNxTe!lS!R0n}lC30k87 z5%~`~g~mt4;PL~PZWk35N6rHty_FoG90%^vT6lm~bb=4F=&S*)_jUm-!)Ej7_T%vA zb^v?+{{_&vCb&BcUY`MKeUvN$83wBOBRZWWK)y8a=yX=_uoT_>MS=lx3snYqm<Dop z1?Y&vZp3aY@D>Bm(ha0yqw5POY(bM<8aYT|E4m9L-pva#_w@=;aRDywG+xhf={(@r z_~1Y20MqW6CJx8W8_kdTJ(_=W^0$GnAwyXr16ni#UMBOAfq?<EOvVeeOvbBQ=CEVu zfrBqNm7BneS3ny>K&Se2%QQQ7-UOLv`MZn@)Ht$uc?neEwcai*bLn)G@aU{l@PKu= zm!E|c<e++P_Gw5zFakcg&9MuV1&!e2EZ_kHk8a2~!EqNAXgGlfwo$_gGHCST#^;~^ zUmy4Ayy<xP1=w!Q^C0UT558pa>GV;_01qXDw8KLfG+@(t;HCZl|Nmcb{rw3#9N-1h z-=F^{yy&@#XFjvc!2@MEVK?j59a0Rf2O!6gLH4M5^s*k<Aq87Y&-)NmK7a-!lXw04 z|N6!YovT0pzg`6zX@y`!`t2?RogjYi*Z=?gEw@19alI`n;NyEWKX}-l5Mf~8Z#xVU zZhUhCG`88fM&$yC?uF5;iIYGzDC91X7a%Ff!6-c{AHW<?+k4&xNJN0gDkK_eR5Td) zTUbDqaqk}Rl{+52rd7W|gW#ujsxUDyeD+uhx@!ouJsC8o0%`s2QF$Q9z|g|((LEnL za^E>eMFV6*_k7Td9B2&KK#+lfU!Wb-Uf>sOQL%t<L47L_*8{=@H3dQ32$0@h*~|Aq zAvH&(KoGR><jZz&8x*`(wRa2HX)p&(0JTs+E`Ya4c7sgz=?2$<KE2@18z|lbnr+uY zj9ChrXbV5UF9_~F@(VVDH1G>Tt3z<R#-|gk-J=t180dVD1_lQH9?-xBw667N`~|v) zhrh`P6kf-{%0U7i-IGBc1)V6sAjH7n(fAW2%HId-F?#eiGBo`E4{j}e12x=1_n2={ z;Q*=AM7rJrG_&&q6b_6Zp!)ZL4DsOi`RHMJsMH(QN3`4ws^?3EJdT5FXHWqGtD&Lo zvQDt4z$v~(MF3<^H#C7X9s#AB!_cK=poSJ`%(k;cMc{=w6R5Q`2OO`UQqkgdA84Kg z<f4~3puy~3)+cj8>$aemrh?rDS_cYZyoij4FHY-$7;(H2<V=vH$MHr`Sc90&w({FR z8J*vwvk@F%ou^+e1Qi*eGu~f_eE9kQ^&61mJV5hB7NB0Qfk!WE&qC;WDrnaql%`)C zkN)}}G<z8UDp);U+<5=<KO#{;XS)qRhiC-6Q~}x0%er(AXqzE)H-$$p^u}XQ8}bEL z_*cjZ29Ob;eB;y0I_)qwgOBB5{#J8PyQ#YcoP|ooJQ^!F7z7v?YIr<)d5cbPGrT+k zs<L}o-2@@Vzc~9DlEJ_&SO<?@-ZgVT;Rd^x5!AN@hZ|@-UNH_9Zt%q=y)`NcpmnOf z^%p=ba_GuX-(MjA<%0X25uo010>}{{Mh2MC-J$|=H>eUW0L2?5zk`kuI^F_4xe0VB zu*Y%8JwPCKv+a~Epr|keS5V;LTF*`iPtYBzy(OTPB=Ajq=6@kp`*a?73EGnF(aRbo z0P!m*?7=JbIXrrORKUx81zzk8{rVql-s`iV!h+!?s2B8t{m0M$J3(pS#oh0qLRS64 z&;Ku(z}tio<zKIh$^j4X;@=!dF$cbysdEkF__tmN{UQgn<*}Fb>Mn>QUc`Jt3UJU> z_R+ndQV?<}8)%^{sPO_mQVzT<3B2HN#%YKilu~d4D0@P7dvi70mTU%>Ke-^mPA3kJ zUe@GmponBqdGYEaL^nsXtsg{{AxIUZ1JVdC5qnupcR*F|L8w-MsJ;bGQlJx)I-9`- zW-sgYTcENJ+Ij|;QP80%kM7-|oa6&Z{GdD$&}@5S6WDF`cndX%DV<>L9-ZKEEzkl@ zaG?f1R1-2(3NO^&g8YD7s6h;9Jy05hRM>blgAHULTmpIYvQ9h-sz_Q?AP3aVQ32gV z0$NcCN*ErEM?leg7&QC?F04RR<OXnJi&2Sq-Q&^AS~L?9grIcJ0ZKd|h5(51;&~J- z=YT^UM{%=ZBPfj{7dPynvJ70@EPV|rZa{6zPS90cpvIj?FRScaEX7SkI7)Go`5Kfb zKoQst(%D@Dy5z(~B>=J#0CaPoNAGS>%)YP(sf71>KHlJDc%k$9=l_@Dph5}k^xmDI z1oJ`+BzhDy$lqJV@qz=y>jd$-p%-xVin8qIW&m}8T0z$lfigKLIir<G4-RuPytDx6 z>t)@-1I-}T@1e;RR6Kx6B&ivoaK&08^+&?O^|*@)<dW3h8gTo`1GPlj{S_1hkP;~Y z6fcm1=(vkY0jxxV<ULR!1V87n1>C1aE{H&EP~!qpDE(g#iZDY^8y4K<b@1rq^60JN z@aP2{uz=V;ya?2p>;~C>;Uz05N_$z4azng}S}1|n#0k962n5Anh>8QOP&)GOKeRmZ z{R}FPrl0=#|78)*^5`+1^5_oe6wO{%*KH8{Uz~Z16x^Wl=yV6c@`&R&L=U(FrT}e~ z{Rd@8NO{E6Z2M##IM3e%33hsc%A?B{LD9&f@*)amW3%l(h$`@P3gG0`2`i75ZicGX zL8zVpQSAmY6P#*c<&mlTHBfo98Qh75jETbvBFMx%Y9q@7Vh(7lB{(aCOAgdVmQN>G z&;xsU1lmoD-pES9*~kJHBd|sm=s0EMMi%%yJ4ho7d{Ym4BMV#>VQ*xGliJAg=w)4Z z08+$(u8ILQd_l*DU>0$pQUctOgEzR2fm1N72zd=&l>&0w%VbD$E}H_0E>PA&EBjuD z!ty9MhH;dATh@TG5^~uGI>!OrW_q#m8Kmq3I}22Jfp*Dz^s*|>z*6?b1cCAnc%%+= zn|tmvP{xNj9AqA}ouvpa(m)c>vd<Z$5?=Owz0Aq*!r<A@|1VdA$|tbXp=F;GNHh{8 z3d-u8Cth%Z1f)O$h~kfR7qs}Z1=pUClT%A2;SDl=(0FVw>%D#43@?vC+GLy9p;^-M z6(oDX+hh`xL7|Ja1ndogg)V^-aOVe5K!D01&{95dFBRIjqof4<y9yL-giF8$pJBFN zc*z7wGKbk9-o;u1s`-Fo5vc?`2wEau@DA22b9)CW1}7Z*`Tyl_&?%~rzA1=AYM-^J z1b`;bz)dvJ!Ga!*Zwx@ogF4r!Xn^Qm7+s^Hu@khl9=!d^@W5*kP~?D?haGoe(Ey!( z;?e8K=wS<*Fspg814N-J;RFp@ft7%cHK}<5K4At`321R0#1zm*rJ5%@KoqJHghe2? z)I9O%WxWp?S_LhR>jiC<19c@p8B72q4`N7w7%#F;f+i55erY@c^5WqarAL4MfBE4b z+WzvgG>_&t0-%;U2Wae^<3-+1kX{y*W?SQxpqRAq=w#)(C&BRI=q{Lq6j(ygqm%XL zUC^LdjtYlI^AUl=9=*0N?n*Gc;C>7q)$g^v1mgXD^z;AggD<`v`T75K3u=5czv1xc z)KT&1)lu>2j#Thyy<MsVihR&TbR|L_-45XNF5%IwqvFwggyZmwi;KVfp8(o*Cg5Rd zU3$f%Q~C9Ir13(OkqwxM;h=#q1&`KCCGH-eF*GmmQ9~LYmM4l0Jq|u#=HD*D46@V! zBm�%A96-2((!fy!IM0D)M5<>!1H$%m)!(FlQ)$oG~Bdj9DO`K`<h{VRcU_+&!rt z-5|#Zfouo6$KL~d1_fwWG*frYEf!EW!~ndp)v4R(5DREK9b|W_2veF%rwCK0&n?it zRud+t&KmTMt>0e#{Qu%3h?uho8fakGHhOd_zpR80KBCO0kQ^V5Fr!I`k6gI_W6|To zy*uPE3#j*Q;9+^L*s$B@GmGV^QWa1vK{wJ$@Negl18<}~&;i~D3p-+lf6jr|Z$TSq z|GoVA|HV%bv1B2#zdJ#(gpvLvKq+4Ul=1~&>4i@569#f(Ac*+00NI`R;s-n)4!S-Q zZI3G?@9?)agGwFnp4Qd_C7B-GkRd$KxpLr*xr<jqHs*pGX#yVbow1^OW`Y_^pxtbs zo;_q^F6ihv@P62{-H`f31JtMmugAvNm}>>{!3&XtKmUVo+XQ7$4-ZRg{#MWmc~E*w z$DZD-69vjZ3;a;-(u0%(*`Q3}0Xo7W5Hc0dfaKmUImqq>@8ku~pn)dOUdR%5Z`b@U z|0ldiIq>s8cnv?yy`|ltG}4C1zaaNzcwp}R0Co95p<w~(nS%liv}^apq@|E*8XUj| z9?b_?5HkxPMW7V`FLL3EP<MsAxU&D}|JO}t&VYO_z`q?lfX|U;$yLhN?Z9H`#KJ!X zl;$N48y<N59a7RjNYnvckh?TIntw8uiGvJM;NQ*wIwuvWAOkPCdU5U9&;OA7N^$Dv z-ww`VJ}L@nos6Jm29SafqJJ6z{mf;eVEem4=aM4Z4qABrA_A@(bH6`$bKg(qGEvxl z@(L)ngY`=g)Xxs}KR6eF{Q)Z~Aa^=ndy3!xQ2pSOYZN?CTmY)MUra;j?`8e%07{Uc zwlOH0c^DWN4nyJwM0)hHUWi1N2d!&HmPe~5ApU18lLm($DE<x};J_M(LSQ%Gia%*^ z{G}Z{0G`*u7KfLffWiQ~er9O+bu;jv038*D6#w8Dm<HAh_8(UFGna{j;*b9XC_!S0 z!7u{)*~_HB{s+Y${|QjfA2|_$qd*v91a|jB^;>vAU4SJDFFyu(|BOd3tELfh{D2y7 z$nn$5n&68p4?2qoNgh#N^tPx7fSR)4_N6yy<ht<<<S5WJD&Q@<y-*r-_ByB+0BXo} z_o#rc)&Whezu2G(Tix4wfWK81)NAkVQ30P$-FlM01$3Lqga+H2cO)1X_*?FQI+&fj zSMEqKG~2e$2Y0VGg2X__DuIn>J#Ys!<JO}BYFmP)KS0ex&~a&CK4^JBF*5@L|F$S` z$o{U*`yTweUb8!VJEq{l@A7-X1dq<c6FfTqc{D$l_h|kv#@})T)YWeOFV5c*3t}BV z4jT9HX#LOM`x#XEboQudfNh?mVgRDMTOj<_1N<!~K?-_#Yr)G^JHh?TP8JoR|9 zB3DUE3qc_ctCFNZS`kIO6?nTfxB(2i?jE#$s?$d$z@t|*W)^6;LPX^SGkB3K==j@i z@FEL$j%M4qd7wtE5oq`cGQ7hMI$w7!qz??f>8Nv$3iyh<ZfIOJ9sxxm_-I>@ju*N& zK<oGnPZ}P0X$p$$&Jq>ycz*;ae8B^Qol_tO{r0jJwt~88urd428Wn*T@7y5OsRVeS zp+&_4GzKcz0zMxCJeLIJV-p8W(16b60_|x6$%FV4K*8MEqXIhp0OSJjZhmm*w6jMA zw5K1W7`)ZKdkT2ZKWMfblmtNMmw{HhfZN#6Gi*Q=D5y@;c=3G;Xg<Y7#Q~IkEkK*= z6hH<+LZ}6M2=zjI1F0P$L-nA1%K-{(NWg%Xt%C<FT~s7qIEyhbKtlm+E_#S}ZUGPd zgM0-VX+=!qq0Icqf;z3;H7XLI&;aL@?m3Vg)eY6(4ZS<18*<CgOJ7jO9Cb7!Bka$A zNO9BIq5`?V7u*2qQQ-iEFJzxJ^fnam?oCix4<3o12i`kCz<P*@Q^1D3JO}FBcOEwU zZ+O7)(#yFZUazhAO;As&1?=z_r$95ft{@3$PJU?t=0N-f)tCYj>V$4D>g8o?1|>Dn zsRW>L=nfZXDgy-`#P%L&>_g@iAh8eHHwl_S0tFqY6AOxa2@nB24f*3Hv{b;~`i}=x zLQR2|NtgIrK#Rh<qXZg%zrTMfp1-|?je()@xBb>k1^zbB0AS;9arPZ*{B53$An_#G z!v_4V;G&_|baN0ZgNNlc{#HmBZvlJWqq~m7r<<+R6O=~5Zt9)`juFEHuh|_tOc+2L z?JO_U^6_sok?^qmQS|!dZXUepsPXsxIz0>iR`4BXy|%T<AX~4O*1>Et1f@@~O_s+! z`2Bx?xS$~Ov^-F<0(40dBoTvi?}ev7|G%CL@oQ<fN3ZO97LaL|OEvjhL7ggiK(?Ob zZ?ggi6(l|JZ)50S1E2V`4>aZZ`U2?aRR%{;wqO8v#~^0DUIm&*1=R=0^6$YTQ;_g^ zsr&!`|Hj|X`yMOsw|0XPXRqn2M35t{@wX;}_@HDDrojQp-+CEbc-E+7_;j|YfQBQ$ zAq!S92Xd@s_ZDyn`*cHgo*5o^35ryo&MA;%KDxavK!t{<=0V@i6Q191dLH~~@1uCa zgAu&!7<7V#3gm{&{}(|`4h2vkfHnkzj@<;=m*&{X;sGA&0H0#jJw*jvXn}6<0lNZX zyGQpP$h~5n=V1=<u>8T_s|3C<{gB7S2R@c3_}f5dTE5)L1xifdgw@If3Y=cnXP{$I zEiaVD@V9;d=kG0$S)1+_s2?3XK<)zD49daXJzzhB5<3T20vt*{Dv**8axXL3C!j%1 zk50yyv;O}74~e_E*y3o)KTx!QoCK47nE;NdA0_&7{B7Y(AQxYmC@at33cB3aqt~<~ z0UB!ppqdmt)-EwHFu)_Mvqq(Wh*$%y4Md7H2V!Flbc8M_43Hzuv6H0(7H2r^#uaCI zfBr)c(P08d8mRyG@(Twj2*4#nt1qbV>XqFX4+?_I{H^~$q2C?G;n6L}-)aDo?1XFq z2MtMs(hw+6!Ex6OIf@mOwm_K_mQ)N6yaX+}L-^hweA|Ji=0Ts%(}*+++9v@XH0z83 z#dM5{1f=}|j%kqhK{M!J`#M=pL%iPu-MI`)wOgR+X%9I4fZPEtJ33Dhaw7O@vXI}f zkYNUgjQ9Wl|6iVBXJ7!WwP4r{DjQz@0;OnZdVrJ=;KBfWj{>A<1z80uBwlU;r@G5I z#~AoqLATU+^s>fUg2MCyf9q3lm_n)){ua>KK;v(4Vr)GRnSm~bs4PtZm3ScI_*=Jv zWI7>Z(;!iBYonXotOJ_h17)4~U;qDuOS;!{LG=;1>4(Ud4xpq5j$2~#B`mFi4C!Qi z$pSO_r6fGJy_5noc`leY@wf3XgHqiJwVSi}+j_utkm#|r1*LKxy{5+hSRnOJ7PuIK zg%s$rna1B6pEf?{Z+#02!(LNQS5TN<<8M6*;zOebR)1K6b0$~<UQ_Y;_VO?|w0v{q zpUMcSu=sl;e}c9TLn^HYFZsarq~4cF@AzBofBydunx8iZ*#a)YbU`AWTU5ZaGKiqE zAStNeg)zvKv`)sC*MDFXVaVwVa@;($ngJDFpvVHxRZ;8$kSQP+g#Li0gqM)=a#~+m z2Y)NLdD?55>;>}wHE8<)HIyOM7;=GF0S<O>%;BuY8o`Aqyc+W$wm^h51wlP8kOAG0 zYSXim<piWi059Q#l?SMn<0=tjzN6-Qa5Z)dkv3bcK@$_bvhrr2FaWnFG(jTJDj9St zP1|E=-wssnLD~~+-(eAY0ahd+M<{6JO5<-(+K0)$p4RyL;s@S5{x;CQ(#GFnTE4~n zt>&N#sMmC{2gvSg{H^>TK6?0r>On;K?}1E&<EYD#N@Y;FgD91~sZ=LHZm`6a0&qpb z^{=o<fK*E<pu!h)Ltf)=aADE94LlVt8|4lPh|Bz~-@&<B%%eMwgTHkqNV0PZqzTmt zI^Lpt3$!JJvrd``N<Z*+l)nXN&m}Q+5<Kfd>LiGzX`L)yumqR@s;Iz)Cg>;(a4tZv zkWPal9ijxg`@nZE9sU9d6KM0w9~2~@+u4!oBSug+7n&T9lh=#Cpe7F}<UlqpU}Ru; zxf5I;sfkBq^S46!`=-1<p{4A4a9~18*^)qLI&5_Y-I&)a`}`$H)#Xxf&m3eFf2$@) z208tM*7br4Kv4Q`uw>w$%J7o)3$#3WeI8U;fhWung%x=<3&@a8#+R!;LyZQHX+xX~ zKC6#VKNxh{{f&!1|AXiYQ2GRvJ^-b6K<Nz^L7Q=YzVLw34i|s^2i^Y#p^PAu_C?Ut z=GK)z|G%8@7H$5HzYTN*fJgHi@HvbQpqUJCmpuSfVzk@^-Co*YyYduxya-%*b@DDb z1sX33?FEk)%?3vb_y8u>$)`YrMc|PX@PH|Z)q1j|n15TKc<1HjNAez>*F5-ly<-1% z7&JWeX#!|`=#xkDGkK5Ze_|!-h{0}f<2x98{1>cyxj$n7eaS96XwjcpOGk_SOy7 z_3j3Bxj|j+*INz0flje}J>R3(_U}nh&)7vJ;>Bjr<Syv&Bao>PF9Si_`@!nK`$=9* zS@HA#%lk+NFM|drQRcV6^C#e;FO>ZR6F}w#fM$4`AIN(&{}AVIT?Gvm2gqOs=*kc9 zflZ)YeJ_5eLR<_U=2!3lHIF)ZR3Kdj=s*@|U=KW?2Wq*2j0a6=*0_K|0(>ek)LiIL z2zW*ke0Z?H3qD^Y!!<nk*Pj4&QNYKbLbeZprg=d(%>4(A$U=n>SEj>F1iLg4)unJ( zX+pgRKIRt5>=yycfBt`Y8Z``2#y2ZKWdV466MXMa;~Q|_y>ktuRP2S)-H=YNM|TIT z`~ru1rwDwwulWaed4Wgs55`g}P&*Tpr9HYEKn)d84u_~lglT7s3S{IGYJTGpkhO<B zdOiMnH2-8S+vCxE;D<-^59YE>p!HZFwcv5dZVdIG!RmWFnturLw}AGPdo=%$EIoyA zLkD=Mse1}^$Q0q?PRJ$7h9?a#y_N-+zMw$t^=1UEK&|lT1<fKulz3Pk<nIL^X4MJl zP=kiqDiBr#fVaFt{0Q1t>Z8K(AADht0H_QDhgk0($k11Bi3)g%61t2w_7Zf_1bj%V z2eP&l)N%&(YhL(+`wpOSw$=muy`iAkIt~dutO3$F1$)54BhbSGRLMfz3JVJX(3l_x zJR85b4eD=qL+$LT2DzXUx=;>oP6PPF?rzvfG$LxD7u7)$dJk6Dyx6tm=l_?buoK!4 z=@FctdqL5FdH$bAcd>v+cd&v-x3h*vuLqNd<;C)N56ctfp`gur-PNF-Llz#LHY(tK z;@9EZ$l%BSfbtorXU+gx%q;;Q+5jEr*6gUk1e%a>0A)eQp>!{vo&Nd%#lh2{lA0Uj zT+rd(kOBzJz1>8)mj&eBSde>z5$<&$=w8qaBh<Z+juO<lEU>vosB0}it`!EmHg@sP z|KKYtA+E(ZUy-=*<p8-CJ$yX~x);2{rLzX98xD0acvKoWeA`a_{Qn~H6exUGFZu~N zJRRa<MEIhdzZ?Y`eZzkKGI;+5XjI>$^PUIjT)XDqT>NdSpfhfofAd2(sWkr<<Zu58 zYFU9ULTLeC#Ms>eK4BSBDfB?*bU?*CXwkpGi(q$H(G4AzH9Yyc8q`_<^?gCvA9Ol1 zMDG-+UeE+b_Z$_7TfjpSJ}MF~emOx50&o4)fUKA3o&z~u7IYjXyeb0KClW6p7a_Jl zN`hVwMvztDF$v3qH4UJ(eBe0&=n21Izk@qm;LK4i0L>tv2;^_y$Hl+^-s-Ud#OUVs z=q?6j6!2IIIHMpoJzoHCGzE==gXTOT5m|y1kv$OiHalu?Oz`LqRPgAI6!7RS)bQx7 z<M6P&&fo3|vcmEof4d=w0WUEaJ-R`g*BqdU=M(6BF4&2Z*F1Vfj6tO-<b*tkrJ&Sv z0aV9=2XVk&16c~HM<4+X8Sw^Bz=0#Hy9XNJpwT{9`2)Ud54_;PCgjimmq$URSo3dj z{+7RxBp}J(x)CIXKM6dDg~Xf!s3ZqX7lDdO2~ZjU9l8c8N+C`XQ32H;5GTc`aDdv+ zkTd|=%VG_00zl7CGd%fP1f&<V&KPuq0w{vP)dZ-bkp{V{vj@Dv2qXcq0JMh+e<E|W zhd32Ek#!#SPzCD;A1_rJ1D@({IZ&bsG8i1P;3fnp)q>g=9-#XYUex^g|Nmv||Ns9d zfV$V9)(Ygx!xzop{{M$-?Do*`U<NhtIz2RA>VxW~&N(XJy0)_iGE&<)MFl)y1Zuy7 z%E)ey0FbA_p$M9->7E1eBj`Fg$fzB-z61>|fDT9pHQPbUtdZ+W-P4Hr60ELyj|xZ~ zV~G;jYoIg&>eqtof!Gak6L_OYgGD_5)B~??gS-F^6p-J$F>-yZGb9`(Kv52=<zTrU zeCa1ja={-VELb80Pp)SLpH%~$W`pK>ko6v*T>p|4G=|#zo0q>m2a<ld`CB0lf|euL za{oWj$zq_RLOy^P)XM#!K!$`L-i!#E-hH6}%6pz5pMl)oY7b(;!T{C9pbC<|-3jDS zkc&+~47iIOK>cjI<rSo&1eaHkiV{&=u@L9rH2%B;pZTK>eBu`bO=hYE{`vp1A2fX0 z{F{%6u&x80019$>0f+&2IfW%HaZZO+8zljM{=d8n8fb)vFsL?wH<=(Id<L`z0p!ko zAO_r>l!h?qLeEGAXnnu}+M(PY1hN2BAK0;gFEg(3=!Vq=(1y=vkIwt>Bj@gUFn&T* z1(5gzR|RCoXSa_^O&WjRK}4LQ#IV8(6~90KU#|V}|G!7`Z&v<xaN*tjo0-2IbO5Xe z=n(L5P&ooFCcC2qJi5IkJi47g3p@FnkAs(4@PKb=>U7dTEmB&bjR8<y3fj!ra*}^4 zC`n!R{PX{%4a7ob{#K(OpduD_bp+USkS$Of5=gPZgMZ&aNFvDh|MUOl4bVtq^KX_? zACSYq9_VCIc`+F@0K>l@<gD%<Xv2qa7M2B>*akAO8+sr<$gJ-mvyw?P>Jli#!2_es zzd88Z!PQ^$Z?=+n4-mgq5o`(MXnII!BZY@I=pg@XM20x1(vo<w807LZ$dwkz<!?bQ zha9<1d>}}GT;2#W3ba`rU&#yVnDDoQ*DZi@Sr@3ZhUYTycp=_$47BbL)cQnpP!I(f z6Dg&^GLVbUgN8War2(iU0F?;f4iuy`fSg`&g1;S7Yr@)xl$Hj>2kd{}KmT89fSlR+ z0dyI6{yxY6n)|+=|6e452wSiSSTYFA{OQqo>xDW<$_Yfsf(Q!`Aq*n)Km;d<PyrGD z_x}9<LJGwC3StR>Sg%2>Wguc9h?uh%)Cp0W_VfSC#?Sx%gV$?vfL5y3c6$gk{sa%N zw}a+*8-Idl>Dxi)+BN<JO}&-pH2wrl)|HBR^onkgWn}<ujphRNG$lX<*x|;XN7?=h zm)d#sihlD%mIa+Mb{Jv?NH6aWu-=2E5WS#w@L{kip!q|ny4Tkle?sP)yFjg}UQre& zh>1m@4uyb6cN9lSY~xSRd~B(&N3X0ZSo$z%JSK{xL<{2h(v=>)yxoo<jR#BlJ-VGZ zN~e2Vd;l&x4uiTi46omL9DK|SZV4U+PhY-14AJ`fFzAX!hy>V1h-^2Q{kpC3CwNsx zdn*G2L*q}-ym_gBN3W>-W6(J8A^sN7E%1#$LF+|IBRqOV+YLZ&I8+Kg83N*XeW($w zknJP9OQ6ma06CMt^$oaP?4lw8b>~|Qr|g9o0CCWc#-H&{c4_5~jXyyPSxOB(dPOfi zf;y%GG^`Dl=Whi~TzT~JP6JO}ALMU|`S<_-%X+wi*LNF#g2unW1_;6okOa$vlSXSW z$YH&_UoU|SKgiz#YQVp=gDU_T0GguYZ+8PtSN_!NHEiQ=p9~Hr$aD>8n%|>W^ot%O zNWdp_L4qW>@h50FzSP;HS9U5``f#a%M|TiMiDDzfpw_FP!J1y)>2V;<2l-oe|NZ~} z<pGf3#Ydp(RN^pr<p1?qh=$i^vBu(5NT5w<{P};j{j~DP#-E^>yi!k(UeUQ=dk>YG zftA242PxxkjR4ux%R4I=YPlWAa%Yg>!AH!<wx59*{Q6AePtf#LshLNwD2p^m*CGBE z&>=I8Kfz(t$_CQh%bW245}2*uK<!@8v1_1$@i0Wy>l=+fZC`j@06CM%aqVt!Lc0cD zd~}GvrS8xF|BXM@53rp9N0}YiZHM?<TEOBUef+HpK&JNcD#e0aaFD;n3)JQ5hARL? z*|~<RZ^}a(e}d+XOI<yBMMc#?iVuNiG(uDaVBr8##@`wMF;58W29SB6Sr_m*mz^Oh zuo$?|_!Ff1^@YZtplM>Ts~sf4hVr*afTp;AF5vt0p1+j|Vwy_~NbVqi%O6mRd<)(S z3K}6njE{iUvnQjiXYXZwR0&#Y2|X79G&20+S2SeV7kHpg!lRS5b1i7fK&ce8c2dxz zlecj#XiOe{pFyu}0Z5?p#0!D-phKfxa8CUB|8+aaa+L9REc;7zJeq4%1Q<%>JbGDw zDS{jVI`{Cni;98(sMLb*{JEYF-6R3tX>tm*Q2!_v^TC}z)cqWGAR81IO2GYG1Mtdt z@XBZh*ve=PEUVjhZ2$THMKa7z@Wz=PAUijs`3H-AR#5wNKwW>3eUO#V4v=-o8YnBt z!7HhKKz4o4gZd9_*B+2v+llj^Ez~}Jn0?Td&koR)&l(;mR)SYf-`w`||BDtBD|dpd z+yb%^kzNSI*Jl>w_yVn(M(os5hZ#<l_yUdYXdtbG2M-Jicr+i8IJ^T?HNM~gd1!Mk zau`w)Uts&7E8mgr0>{^!tv~<2a7VF=8u6upSm};zB{;q`K~`SLLG~UQ@#Uq79ABVa z2#EM9hZ#<Cd|{+_#xilx^fh=I5?kJi+4A%M3wD@&B&T-^XnNOzrFY0q5r}mtNgW(p z?>7JZ|6*n~a?tGqCH0-4qz=Z&>7AJT4BZ(5u@Fn<ssY)kj$$Lu^ntPe5xG2E%7+|Z zpj{=1_&S~i^$}I#3!L6T`3p8pfSkA1Z2I~CML5hps>B!AKJd;U<fIOcFFTNJFEgRO z1KUQO_yXrIH2;A!*O`q!|Gy|lu@Ognf#;jVK|M5-EiVnW8w)^_=`Em65&t&Ul?74^ z9jr66r5IWcl$1_ru$=^v290($*mglUn;94wj<cvJH1Bx(f(twZ<f6jSY`ZTPRL9DD zbh6qmmSFJcWzCxgN(8L_ARheERF7U;xy2F;FBUEbok?hG1d{A!b)Lq_@S<<|&;PHl z!c5)(8dCvvUYl)Gz$S}(bh3V41U6X)V)EBT5)7}Gc=X!dStP;m!XIMd1BjBJQ#l!4 z*nmuIHazfB12k&e%Nhe}A%Vt1ds!1BKpQ7O3(nm)e)~V+g<$8;|1Zy=P9L8^<S%ge zfzImoK$(9Br3McVOV;2;pc|04f$DurR<lJC45c{}x>-YWz~_@WdvuF>Es|gWO?87T zhivHp4=8|FFMy^W!FSSt#t}V07j}WhfjU7a^1`od_UL70&w(ForZN>2vLMHI<^KBr zdVaT$iUVvO733tyggl590q*aBSm1lJ6kbR|Zs5^CGrn7N!9vPh_~Lx~&;Kt^p@bkx z_<`?VH302e)c~#a(CB70&4!1M)<OwTngVqKL5{TmIUBsT0DQ=i07wjc2%-dX_yiy( zF+F-&pJ&0thh+*Ze6n&t;q$^6<Te8LukyEnH>NbdLE6*VU^@|%_V`;s3u_x}J0TqK zej)HimFAxg{5^X>(cXE&qxm7fhvo@T-ys3CV747R@7C#}V$kiQ;_>|=D1s2zH-q;s zfSSxNK-WKjA{<gFfDaw9yjWiU{ep+)DbNIcf`{g5&}`rL+a3ph+j}UU1}O~iV7%$k zda0BT7LSnoUBStVb?<!8Y8X%ggG_aVfM()LR1{wDFoCaMf=50?6U02Q#oZ+;37}oL zuNQlCp77|r_~O)B&>+RZ7mGl2FROF_XsZT`ibpT2K`1N(v8@ATpbM>_^CeKCA2t7! zd3d1Sh1kpL!^H;P==(x=1!Vgvc<xjHbR}~qkID;KP{4v`=Ru(eifqW;hc8lEfBt{H z=*$^V@nCrJwXVni!<`>NBWtxHo#&b#GB*EYEM>d+&hXglrx)M7eh3OhffnXYp2^@T zsK%e5*sNvu=sab3`}GN={cs@jzFz=^2l&zw0gy%s!*8#}TbTH_*%*8LKW2E`fq&co zZkt^PUvL=y@UT2r^W^&lkn6yvprm*HHt^^Lx}SG&Lj5ei9E+d9>HdWZsJH@o-0<XU zHU4d%x=l75e8pk-36u;DKIZc1wUOu)>G$Z3V+47+=B~&8gP@b^K(_^Ob&GU>?>~M0 z1Z0*0QmE;*{QUni66bzq{x)q${a^vgqXwWnY5>Z25ui(M`FnmcFff41neX6BMIgs& zgEqV;yaY|ywlH;D8=iOJ-{#Ej)XmcbVz9Y&w=^-ZFfh0n9t81O!F&!lp9Re40rMR@ ze;j--(Y<FE=l~<bpDvxp9S^<`aOK~20IWy^p@;(<{(E*YNH8!M{&eKu_5-9#2E_4b zKK8?-`7j4`MGXgkKWM4y_lq8_2TJ)sM^S>p0<!F=g$a}!A(PSIxoc3@trL_*KsP&p z4ta;=D~NhfruG2)7L+6cK;iIu#f!U5KmWhnft2J?;=e2mwXT2{|E#)mVEGf2*Fez( zF5tkWHu$(waH*~E0(9LL)GgpUoFQ&`k=FF{|LZBB1|X_^?x@>IVHIgPsE-Xw+0C}n zX`m_^bo|An*^q89q_yPHYuh+mg5d?v0?_dnFIYhqEIxDQOd5Y4s1)fg0gVFqs7QR~ z&*%HhpC2ahnLj^H;WK}Jnn4=>`x73`#~eJGUpjbzio+(*W`;EWd{+NpZiY1e{FiC` z`JdAG^M9wg@aG>%<F|4-!2lvJfXN$R@&UtV7yf)X5RoPTBJ&hLWSId-;R`_!`2kG+ z5KMF7w{kfkn8yG9Y#RUjYia!N@25HPzkdKCPJ@UmAmT2Fc;?Z3+yTXHy`b|HL2f%7 z1alkAIUrlWMxKJW8AJRu4)HS{%|8W-bU^ME!>YuQ|NSYC=9dB<&Bp{lYHP2g@t52N z`RO2I8h`Ok4p0!L@#kOs%wNa4$qVGL;>!wY{KdCe()e$m1}OxE*n5z}SYL&M<nu4W zWdz_XhBSVV8Y{6AjG#-8K?M>@`rvPau7^R+-@U9aE<g?*deOfKsXWqvEcO5&g$vqh z2dctAQw;(yKo@a>iUsHr!qx*NvY_$X0C3d}y7m&J3@N#S)M|jzcmR0AB&fv$Z`Hh* zU5|S`4EXLH@Or&(pw;7zZ*G7n(8;SHx_6Dr1rU84vU3w`d+#3bUQ*069PfdehM+Uc zx?5BpfOK_xWO#H36o6JYfL82+_Gg0jaUN??Il;`pz}Rg2F$vTxZVd%1?g4Lg_2}gA z03Xu01#Anbkc6&no4yh{SKrGTWdkYQL9<aWK$<~I+dz{UAHW>YF|gCCAmI!NK<I&E zexRbadkVyLolX(Ww)qejsDLCoy%InR6keQxwzd;MRa8c^tv5ssXv-U@gyI0L%mDkZ zQ`n=o8hmsc_<$U!JD;zDy0f#nfeCcbD>q1gsi{Y2cL#XgJXqMH8^Y^sQTYLObdL(C z6!!ofWeVaq9sxxJ=)85Xrp^;DuFeLXlLLxlaEO8jc|ipZXjuVx@ks9;6;LGsTH}E1 zo^Y6ZdRd!lA*m1)ZV(@U3Z7o*4kl1D=EZ#`&@oEjlZ0Vw=;wgL>!l8;y6mk=0G<8r zIs51Tm*+v_)ZINQ;27u?b<KyKUsVGNOwfU%%nS^rw(#?-j6HgJ6-z)TBf}!VE27!< zT>_}E<n-utN_bfZGO)KQ;l-<2KmWfh0P|SS?SZ)YMd*A;jDlM)6&}63CLW+>64o=W zR&xFTZ`YLot@Z>h?0}_Q(BclvlzV<DEXrCSM>rmD0WSjq)sr9-K+8_SY|t^Jpx6P$ z8o1fiq5?^BkR2c(wV>_o;H8wuTfke~LF{H*cCa_iL1`4^98fx{@PHltw*_n&Qc_>C z0_L6zFONZj;rMPyFn}_81t<}4c=Yx_91D&&bw*IUg{V}#J_Vk3dD#Tk$D4l!qz}67 z7o-K;{03|J!tnL~%XNSL|9??c{qz6Jr?3HGwDN2{DA6{*DFC@I1Jqv00582}@#uAC z^soip?9Jcn#l*nS;iKXPav1o;+6s?uj|h+MfCP_jPw4sU=qHGG$EXB&H2ww~&<sA* zyt_oDqN7C)oU&Ul@wc95WMHtV=bwHMbYQz1=f#!-rCl99D(>BG6_6&l(G*w}-`fV( z1zt!FZuEoJ-GY~Ffrg4fcD$JS@&A8NJrBy&6`hV1AosYp9N=%^2c_3u)~8mW<OCh& z2B#NTKSJO|Bs(lUfyKH*R4P0=PaA$S{QsKErITfc$NytKo##C)@6}eh@Na7|0y)N~ z*PqeT@?;tKa!3!*9BhP3hl`4<N3UoV*edug^%~GtLmw3h(233pFWy4!f}W=Xy7a}T z*Au*oH~`c*iU1u+=ivf!zN<$kxSd$>vK*8-VF&hrOGD6hWKbm`@j}N7;&`xu;9dG4 z=fR2%aD4&YCElH*lHt*Oq~I|8EFD;n8Qe<*H=P7P^^gQOL^r#VY%tU|hy$iT$}6Pn zgrT-~vt9tjY&Y-0DH5;~03cdm>!lI*hC;P;-hf;oFTVgZ`MR<KbRP(GK`<gc_qwQD zfSkYk7<8{<;~VgbfzCD1;~1dyamaB1;3UwyM+LOL4713Xvk;m^yP@kUyFC&-x&tCS zdS&MrfNCeu!fn_(xEGm4kg^MM94~xjG^iW~<yMd04w(1AE3`n_6CBK-W0^n<1yFc{ z7#bjv7gfcO`4{jIBk1r2{?<?6^-U1BH0%JGCSRiG(al;v8Po*jZ2(c7Ezm{lh-K8^ zC0~t4K;dw>VFzeCTzBcoh8>`~j-&Jd|F#a$_N)#c760xD;O!c%m+A~Bcy!Cocp=UJ zD(yh!DLA0^F?^p`&Ic+JL91@2sDO%0(B53oHcb9)9i0pe3?0xN)u01SKng*f1K8P9 zAioKKJo!TJJvf_!Pip{$4K%0vuVCSC^=4*ZSi!;HdY_4bVTAyHYcYss;%@~V7<=5Y z0_>1(#|)3|zyc4@i6Wao*7WjnYk?vJRtAFio>UY<A_USr0JTuS>m|D#z?)IP`<X$O zdvr%7cytFvc=Vbc(*qe|0=woZMn&Mo<N_2!x}p0BL2D5qSrD{-6g1Zeau_(}yQnCD z3h;ve7r<O-d~`z-9yA_2x*Zcdx&uK;DG+QaJSl;0Mvz0b6jTs`7OlRRwiL9O5<JQU zT1DAC1+v_?(<!6bwlfk`$+CHLdKJ8G^XRQA0M+5GlR=B-LFER*zK6OXPomhjE+55{ zF#AAB7m`*%R)RbUnotErBO<MWL|&XLKufD7WgeEiGbTwelz_`3$kqYK76ef12RTK8 z$|z7$29;wGNV!HdaT2KD2VKJPpA+e#2;PYx@ov^hlOz~kF9JCctQ$0l^m;Og3yRZj zkBT%)aDFT0@aR1F@-S$IxSKU>0yv|DgD3(S1>}+!*Ffv8!0oSY(3L#hpy8ixP%pRj zQmGQi;h@o6P!0f>AKP41{6UpJ$N?`sK{j^6c93Atj9@#8pmu=gS;6OhDS&#$5|D!s zJ9{9TYC2oM=Z1hP1cV)TLH*IrInWG`*u{YoyoiW@p^XvoTXP{1kEP5aD&nu^p+|gy zhb8a)3B*M_=v3uS(0M(eQUaWtx<#|WaRZ8VP7dT)pA8c4W}P!Zf&p^fN(HEn4|qKj zDat{{bn`OyfYJ@PtJMS9Km#voL5UTfd|za|1eZb@us8#iLfad`sR=x0(JQ*m7SvpT z*WsY7Y5e~?wABe+-w)Fb&duGC2_C(s_29Ayr5N$a!5Prt_B^;f13no8+@L|6!Bd=z z9>SokW!q0&2t)TGfv<fBg$^{3+4@021PbIOY)FC3Y6lYU=GE_qWi4=@quWO%0VQjJ zOzP&H*#$BL8qN?;fXYJ1-CrS~fzl8ag%`{(FajAAV-WSQGX_8d^qsI1)*;Pva7usq z8MHFB6SiRpdjaxdLBY@eFModrUGoPT$^m1P_P`5Bdq4@)=WBcet^_*QK-wj}P#Sc` z4yYpO?f`eix+j3Tb)f6_T6ckGPoTYAXhRpY#1ov!z~f#>YtjWki}*qLAJh~CA1eVa zH(XQ<K)nGCP_Gh_uO@@d@7)cut=aZ$Fu0HG3bLxR86*a>0c;NFa?50}IB3Ip=N|Au z6y46y#<6H8C=Ng!yl&_saBxC`q#;o7w>(1xKgi}z#|lv8RKe(2<Iz14V$)2pTP$T? z^hhw2n8AfR!NQ%8h7zdu1Ql|i{yk)o{2E9AHXZ?m=V8c=)u6UeH|q*;1_WgmHx_8> z0xcT@<#uSZ;Pos}8UVS+1JR`NR7WYEKplLsOh~SQ)!?8*w7>}tavub|Nd-!Gpy~;< z1`eLTK@9LQy)X2$AbALUj-La#)c`KIEm^;IOE8qAfC3xrn9e4UpPOxMgFtnuiAQG_ zB!s|Sfho|P3eeNWI(wiy70?0#bT$bnC3PMGRlqDgpi%z|uXlrbO^7}{qJ|Z%>IOw2 zC@)GdLqn@X*rS_u6-d0BcXhV}!%NtH*8)&s11g|ig0C8z0OEoi-aQc{*gX@}e6tL! zC`t6_7L5WWjc(Rx5Y;&m;;+;|sJ|K^{sJed9;m;jfLp<!S`BLiL;dx_sQc&t*GDWP zYf5K%K-*&tJ3+TjFz~m4&Uf-S-UzY`)EsKI{Sp99*r3~YJ&uDd1&M>}Jum2z+6+)R zo`8sgE|BhK+g%Wy7r{Z<1a@xgN&Z&w!KdAzq||z#P8sA_P)tG_THplQjTRrEmKsv# z03SpKY6^oQL;#cw!PyKnVff-Wc&7n4vx3fF><&>;0Ht@34T$s)E3?q7fpiyPX#{%T z0sIgZbj6Tt{NfpCsuVIs0TD4g@Zu6k>=vkF-_05aio9-Ke=voT2=QC+q8DVy4v=?{ zJ35`PD8`<2U$9RG^{WE2fBt{D2le=DwD~7zwD~7Umm5?@Iuv+xdrE+YJR!StU|XR< zf!Yb$*4Le*0-bt#nf3Gk>*e6m8e_j9cq?%8n+VW&L;z?!0zBXV+AI8zzZcZc0NL<D zJPA@f!0uNAT?^5y1*)>aqphHA(7mj_&Y&4gXqO*+tZgsoL_2Uf2`V!fK`9J0fB<5= z5OMhjuHL~5#WX-g2&Bi+2^}bdZU6?&e*}0mABi~Z(fosxzdsk$#O`HX#sE3I{6%jM z)McPlW&v_6B$b0&@gNrXT3m38uK?8Um3W~8as}wDOpt~OXnF=^YJ^QMc4Yqi|MD_q zk_4rG+W@KG)Ieh#jc>rYw{s07H}^v6ZfNb=?NI?b6rdHHra`_2=f~a}6%9!D_W>xx zp@W=llOWySV=gKM|3MiPJgU_RN{8K3pu<^H;75Q!I)ktSn|i>fhBe!M_JNc*;Px|Y z*tbMQ1Ie1usSs;G9RqL<Zaf0=!eNhI-mw2D16!b;6)4_b$i4gWA9UCQs1pQkj6m89 zps)kqkpt?nf>x3WylBw?^_XCu_fe5}$p*SCEjI(-d=r10FeoTd=C47uW`u|B|NjgO z{QclQCukr)t+NrF8bLidP}{FNNCI3F_Ra(ggLbKb(hy_|F(|cxy7rKL-p~}*dJ=Lm zVFt+Y1)$i5O(lbpFL;2>0W`n{&Ql;qfCp&6Lp9*JE%1C7ctrxZxdF;&ph;g)s=_d` zm(|rBRL8QYym-?IOQ6RcI3T%#mjQf$E$DK)c~PJlX&=z-&EQeu92JP8UYMr;{QvR- z`uXwvZ8tE+4?!g>B>h50o((S<-hR#5{IA}DfBrGf&mNYi_<QFvfX*E?5or7eQdt}B z@&8ckw-S!Vf1p#W>MncqvQBk_y4METy{e$h(#u=r3d-3a_r8k+xfj>CQAdo5GdK%^ zb02tUKv($Z|8CH>dT<%v4cn*=aVr0|7!~K2MgRZ*Z@JCiauSpjdRbQ+g31+WF#^ss zpkBobmCvy50BjFwH!KTW1`i#wyL7NvK*kOEd(VR$-dUpp8rfqpbZq<q_IDv@WDz`& zc(LrFOQ(y93#j!7x^%@1Y$<$D13ZQp0vkhI3AGwL<OgnFT0q7Sp+yzwXg!d9-6bl} z;lyqi6&J`wXq_P{;B=G#s)|8t;yFN83cL^%`uQJpf20Ix5E49$kpOCAfSd_xz=F># zfb<D!R6Jg~gG#+_P$Qx{GQy*~Fu|icS^~W54&2Xxj82BANc_JHO1OvwF9oViVLbp) z^AVKnp<{fYy8T!KDEBZR4KGW8CT%=eLBgPX0@?WsDzHJhO9Gs!E+W|hKXL(M2oYRO zg9m(yz_*e^{SF%605zIlT#17;6(Np&=?5w-98!M%f5{CUXvAJ$T>`bx(av819XAU* z`(gs9l?E<&J3uOXJHUKUh1gJ0z`)-EzTXto&tmKVwc)xafI=MHauGGEg|rXBLjw`u zQVo>3B|w7&86f8?yqJFr*2wo!3FvGD>GkM#tY`tR2mu8PxTFA=8Q_uvTxNhv2Z<Mp zg+K))yx4%`2hgZ}XE!Kjnr#i;K_$4dM`ts{%^@n_Dyst2_JY_1KhveVM#bWVP~*@4 zuWuZ4C}8B@E*h&3nhTQk15uqbK+%`hIbk9wRW?@$Fq8=JZ=2A=z`)Railqb0@Mx~^ zKo;hJ3v(a~3qXatJuE!B0}MR6BQz#>belfEEY1Kfe!<-`Q2qob4{&bX2_L(J^sP%& zG+waR{r%riqhi3o-|`$(fPt5jb$b|ibO&fm@ZjI|$i7&_<KiQagRkU0b~e;+yv_@9 zIpal-T@0Lg9UnodpYdX62Y50VG!NSRlas%-or!_rSOdt9O#ItC0y;V-fi!^&@&IT- zE~4VmI{_3JpxA_@Wl$0ZI~K%%G@T$x5R&aWyC9LV#1-7076G}ovk4p_pjPn{P#M+j zqyXOl*Ls`3H3lN+;nD2{?(?)>0Xu{VeBngv$<io~=Bf&Y5)O~f3ojc$qgCBb2_D^E z5gy%98IWQD)UO1ck@!MR9+F5Tnr)RpE-2*#HDUrhK)uP%Q?K_zc)gVsFGT8p{(t=% zoLeDf0H_;x9@LA6EzAPnEgRqg^U)<2Q1UYHK=`HwbRrihEDFF8*9j_L;0FVsrnO~t zKmWfz4Y3W>F9bIZ5}~$1JB{7oqOJ)Nekm~9x*%b9fWPGxsHqLU@e33@ouIA-tg(kU ztq7E|LHBZWo_JwY2kOz?czp<BEokFDIHiGm5L>~!5kZGigBv^$yP<LS!WqM-pk*n< z`E*Y$*ry10;t7=rwLkyAd;*Hm=AVrGt!01z|A!<<mX}!|QBX2}=?!9`83$|3!2<b( zTl~-eF9kt!hKTkic>N}5T@?CxQ;_lw9Io9HK*0?jKB;A7U})F@sxuh)TjLl(c>}~@ zgp_;C;56z{(ZUEyaWAGxL(&<zWehIxU<t*ecNfUyX4^$h;2Z#Mzar91D`=?<=*$y~ z?j}&7)Y$~eUfoTg!nCsql0ls!Ji5ISJix>MJSrZ&T_7c(q7;$?VPgl7+5~)-1fpgQ z@aTl5ASICfrP3aqkQ9WnOapqf6(q5MyZ@{;pu}?F^>vUv;8Ga8o&%!r#dFY<tSzV* z0gVTMrb$349^Ci`jh@VaCgcE*Zb*HB2-cS4pj6u#0=cge$xNtb@NrHMTR>$D_*P3K zRWEX5fBt`|0qIJBYc#a@T@A_$sCQoUvZk|w+FQ^jkQf64!;5R`klGTQ)dV~`d7oB* z_6YrR0HyR8k51OR72s9I4F4egB2ZfA5CQ3&1JwzRY0&PX-C&(Zz&b&@i#CIFb|dXB zS_Bg4Jn>>v6=-)6Xq{Ds1jCCZAl}>;b7Ow~e`$fVAP-dQad>ol2*557f*k1!TFL|V zFI3(EeE5~XVYL2jln2VLml_p;7WQUab+F@1J&v=(qsd*M*;W87Ea-9E9h8I^;LGzp znvZiB9(ch7a^Wk(122A7{`~*?<cm+yKmWg;fEM1KpnTB$CIQqfiU9R@BR~$!@aP6z zVb0(39uysx2l-pU=e&V^1?q+M$_RoQ03IIQ1sopT6%rnnzxmri^LwDiTmUFLIC%83 z@PXUD-Jpg%q(}yhHSu(VI$hu{2Dq8;2yPQNcyu~SG~3$QgW^vc<OEO|4{kVs>w8en z1*b5?Tylg*^O1zZkh{iRR03WIgM4`ol-wOWdRa@=psnW45)}@Rpa95K5+GMAym;{f z)OM~>u>dtT!Fdh5v9sbOC`&Zk?yv*7g2SVe;Uy<Hj~suo4K(Eo+S%sO%X&)%YIHBF zyfLWx4_d^1v<b9;wm<6U|Ch6nQa8r<LK~<8#TMS6X&3$$agem-4gOX~&@$f`m4e3K zpi+gucL^vWx;-R3x&s8jq261?=wW%jTob$;w$nqPLq-gg$SXi?Q)ozmbb=bVu#jR+ zP=&f25-6aJfuOc0C}b2~Tz(D;6le_sY1KnpdchLSwo7b5VIt<y>CEBLdCH^nm`CT0 z?iiJVmo=ae>aFH@F}eKb|JRQ_x(ftAiNFDrEId1ZcxZn2X#UT_-veGq+Z`a`(Otpe z(d!4<&>aR!eU|6=+g(8n%}*Yk7d&96?R@g+<*@=4&LD4bfHo+D8sGxpLtl<3Lb5-2 z_6Rg13vQG;crYIJu)NIQ4o<b5k>D(bKBNH&j0qmStU<7N1ciooFAIZ5uLBFn4)7{< zfft9KLINZMlAA+R96T&fd30X(;P<}>YIjJ0<H7P{sf|Zxga9Zhd3badNHp74*nq-H z$fMJP0~ACc8Hbk);Bczo@PG`@rj|j<=X;>E836Vs$QhtAQ{csmc1Rupk5C4HV!`3{ z>}Fd9u({xL`4Cizb{>BrSN8M&%PU~c%@+sjL1un<akKvC{})?8oL*L4C1{lQvQ9F9 zC02<BP-1-){`3FKSk&GHMtY@t`S7L<;&%^F5NCLFqLdGE)}WBZQa*r^IyBXTiVEaZ z4?4~XR6cwz`T74fynG0Sg$pFqLF4$KgTNr=!-*%Ln8#T@%&-Ev0vr#p@?l!Z&;Ku> z<-;xoc#!LZyC0zPVQC#G$n(Ppl@AX<83AMb#{*K5f@ds!R5)I=L(@OF5{m$J?|Q31 z8bOOjJ$iX9z%GFI-a%FR*GC{PftN_Ts2CWY1hwuV3&3CVdiHuS`gES~>AdCBc^Q;i zHGF#A{`-KAce)NLb|C#0@F|qw{uyXmGDd~tMPLjl7y773fR?%2{{0WSngP`21<f1@ zft=GF16q*)8g#S#%-{Tlfq}uZ^M=R4$1I?$#SJ_=eIz-&dTqEpG*5YUUV>+Q@S-CR zpI#ZRW?NlLP*6L0c8W-XE#Pkj9TDq!+(nWDv|p>)mItgrz@u06*&YrCkUH=c1s=Vu z*Y<EQy!co2^Z!ek|NsAY9`xwE@Zx>Z&;N}-K`wp0)uZ)WoxG>zhvE>=gAZ7|I%60( zJbP^znr(Mlfb5j@>J$NA7@hzs&N4s*@*o++y!l0-9CzaNbq~vf{Qb4yrqPL)rT_l_ z_vt*~(fRSkyK0bv8zAQ6>Yx8#{Hq2HbZ3P8{Qr^}QcZyBI&d^{fCs9?JbH^5Gk;HJ zWMFjR-^L>7)GNd8(fP^4@=(pwogmR&paTB2Sm!nV^%p%>f;jxHpFA%9;9q~T^OHyG zf%>PQ60$QyMF!I3fSBLw@gEf99EP`Fi+S`G{zurr1+n3yN9)O2a%@0rPk<IEqOO?- zIUoW&^#x8$%|96VTc(1N6=(%M$Wb1Kw_j_3lO1?Bgyuny&T}5$Z+aa3Y44$U0<<2@ z!K0Ul9aJ}TegYMj4xm!f1C&)YAO$CQ_OApqumoD=4(<=B%79WMXxz5>$Nv%$kUbF| zj2A$Kiid~giL(CJ)gXs~S2cs%r;vsDAh7`cZ44lF4q(GUgRLM-IXXbuNbkyL@F+ca zArG29v{O}IJc<Qn56}S5{{x_E1xfh}vno(JNC^7*|K)B-Isi8gQTBI(`#Zlt4FZhu zoeXezP6Eq;!ZQHG0xd)Vou1e7gAp`Td>@<xeL%O-Lra1epsOiBd9~X|1sWpVF`%K= zYaW`nJbLTE7taT{8lHSD?$aCo-!=0lD8ae%Z&R^w@8yy4>Adb?dA)|q!}5F4{g<Ik z;A4_hK!t-NsD+pcvd{7pf4c$5F`eH%G_QGfe)9N!&*R`fdk@8HK8(jaEpPF+fQIW0 zzd5vg<8QqNN(TQ=y=L?Hf6B4*BdFWf13stO@)v*eJw^rw$IOpVC-4ZkFrM@2<&pBV z{K?<EosogToqwAMhYSDui!PeiERU6lyBeN!Z8=%81(Z0zITg|i@v%I`-ws|h+!>-` z(FrPyI&Z*_ak}i;%Oe4cXYg&;;03?}FTP&>{NIIt8>Dbkf%T~^Ky`6OH^`9g8kGpp z4VeM2J3$SUg8!iU9no*^1dUdqa1C5KeN=S7gOu=fk3Qfr;m*sS|MPDv0hgn2s|>(a zfl@!j8kcS#6`hyhg8@6g8-4>P8*CPX#`0hu0$-H~^^nTt&!8bE35F73ur^Rn0#r>x zLJ2H{<^_-|p;-aaKmjK(&`>rwD@+DOb2qd`K?-nC76NxTk;OVMfX<_KC<mQOeFAiH z&Q(bJ2gE!DV}5)gUk)lRe}Ib1EoGn_V(0(!|I3$ukXBfrl{ddZsjm4=1*rd00P4RK zfX4qJg8&EkTaJOGyCI|N9?d^F`1`@9?t%`E2c^C4IpA3&!*8!^JuMH`mb&q86X9}f z`~_-G)Jl2&Kj>n4z4WOE>?)}49F+o(<|7q{AtNv@Djc9iwE{09e*gII0$QU5s@D|Y z4uIK<W*exebLg&7u>mC?kJod2I*)l+o~z9SRWS~rJ!*~r!S;*#^tv&2g4BWTmv%Kg z`4V*SGGv$$Jmv&Ct?}-!AOAtuPl3!L&gR!^Kuaq@V;ZpdhWZJ1*g0rk3)EkGF;R?x z0WwM{;G!Y`I%qC{U%*900mMoui3Ir*(%6EG`x{<*&Fu02kcZ`=T6O+yGJ>7=4!maN z-*&q@W=;VA;?Kp8K~vfwdqK$#YUgptdJ1%xw16k^KobBU3tswwDpssYU	Y{QnYk z6g;f_1SLNKkN+n?B{&CYX}1K(RVO@J57hASZ<ArPxLgXpI7k6}WRU{MW=KT|H6X>K zn@9BrC^Li0-x;7(-uxy2l)gMb>B|G;ZBYDxi(o5|bhnR60jLeu0xGgV!aWN?$qsyP zVK47$F;H_5S_FgY0f848H<21+&}Fv0f&W3_12Wn0HYkZCfb;%q4fF%JJbP_eJpUi` z0cEL!pvh5?7N5@3p!(1Nl!g*O{kaHGN2nLFRLDjJBn<9MgU8;%%?<Eay2OjWBCvY0 z^*~JxsLZMG=;dJs)t3n#poZK;kXO1(R182V%)-a=7=LpyBd8(w(c|DV7N71A6$j5w zA0trP$;b!P-SJ_((QI3)4{Ae+`*f$MMEG{97=bp^wt;T=c&P_6uk#=%nj&7rXa4-} z*?GjH^Tg}BAQAA$vjwOUYi0ziJLGZj0Sm}g37{Zx@aT+T<M8OUVQaP(2HT(yl8f-@ zlwkv9Qcz;?=yhTQ%@9Clc_6dFpakdP(R?J}utzuODEAi`;I06O4QW`1fNDu_D*{{} zf)-kWI>s;Lp`)q_9{&%#)CQ$ONErw^hYnn`g7YD$VPFbfR01AN0FN|-*Pnu2)CpUP z4olWKDp1!Phb)r=mBrBB3)tD+Fa<Bx6@m)Hi!a!~4b2Zeod;jcF8ulb#kN9F>8RlK z^FMez6`FQI6e9ihx~M?s=l?_2pMfT~J+RErgJ;)U5Ae5mgVcc=XhI+sxY0O81+>T- z-0fX|5wyq*bj*O^|JTAkz3z-22cLu5@^&0PjHi4$k9$}iuXzFW2q@`+ZWIJ9a+xr} zqZhVH3`)0N;_m@l2Oeeb-UD7w47$z;G`<SDYFhJ$r{x9yo^zlLG2pA2>?VMuLB{%m z+`599fx*Y}0DtRC76t~849FtCULGb;kzC-@4OtN516?BL3rfmzAT6D9z)LehHE)J@ zFAFm`0d#_{X$05BxfPJ^X8|Y;fs6#Dm<$jH<S<a)2bW--Es)b-L0fhh7#Kk1&G#D~ z2Y=XmG(Qjkm0O@t@?Zp)Lf~}|eLFzuxf>dq-E+W8*bTpd8oqlVg<bP;0gyL9p$)pg z1k_CO={y9^*vC9Ne|U6T_;fpPOqc+YgoMIL{uVPP1_qzrDc~&zzLux>Tla&)s}r)O z2jpsSP1sqYQs4usL_m5$p7QIJ;qvK>QOWS>UIJc(<<q?e>>*#v$NcS67(thRrKlwM zbglshmUpiUFJwv&ysiKoP%faVx*NKF5@aSQpb|h<VhgAV6F@-+ayF=ZY>@>8MsE+; z*`Tgd>l<)63fW))DtkOUdS#eEC0Yh3$w5rq19qW@<!AnO@Vyb8&{OI=OH>lTr$K{G z9fo8mP%+B^Y6uFvm;-8E5baKoCkjAUCV?lHEl=?GfDTv#xgFv~=t0M5i=#0Xkbrjz z_40lYgcbeZ^abh)bb{voJ7ZK7UL;=uRRT3C;I5Aa$l?UB&tHPho&)Wr0*6*7B#^*2 zOMp^#f=4gwOt7&mDn6Y#&=!bKX9{R?9%L-fmGA#S#jb@<cMiyG)D#D5pd`GM2X#=P zsRndA4#=jL$Nv8R4@x8%pm1~W=!D*})61#_w=M=`or{V9DDyz~6V1I0vMxl$0#x>c z4-0ny-G2kFlR%;VvKzcq9Fpr_hJZOWDgmJJw@U(`&;hNitWn|c>gDkQb+f<|1_CeK zE`b6EmL5Rk9+n3|<Bt6PCqN-30b0)rUQ1_rqtqT$Z$hF6JS_pq`Jj{tRskz{z%klA z2U47ML+<B$xe&Bc4Yr;dG#3I%;Gns~<PuOx02^-(Q3-fG9h45h8N1tq19V;z>_)>* z*hQVtHBZoWM9`8DbW#CE3h8B?%m?*;FKdMiY-Fx3=NsrQu5yH&4_qz@A{UL2Q-I64 zLFDWaa$lrjW@_bthMvASgYGB!iPVw?)fUL(eZ4L!Cm`#&)`7PBG`<0cX(yy$@aTom zP(OjHDNyMSDhv$|yk-SON(HFg1J^N@C-{4>fNBu%Bvtnu@QN`0Z6?f+^)~#y(?F8F z6^xxFpd}|YDixh3DxjteB%?F^zX00$(Cwq*VtKHX12SM!ItNsMLD>AQFF=bKAje%m zmlT4!d2d0+YF_l{JPjW|09RSy#a)aa!QCQI1izT>`RhNZBkTdb^aV6o+0x6xz|b9| z;$eB1zeNvxoOm}h?m@Lp6v)2bEs&MRmdE&8(?C{rL(dHFbz%V(YdxkQLGZqU?mghL z4OF0mg2=P;r;jG6dgSjh2NlrZmWSm9{`TK23=9)M83q)fpo@%KxIprj|M**vfUN9> zlyN@2prt%6Dixpt9F$u@^&6;Y_XVBWIu%q2bozkGc*qhUPuR$LH*B>gyq(euYEXcd zx`6Tr$Z4RM16Ot+V?hZV(qw@&RX}GOfNt`T1Q*XSpyfQEVU}JNIpnx*7XdjHG;V_k z_Y)umkZ?cY(F_R<2L2ZC-Nv1;h0JqQK*`1M<ZDro#bDLl5HV21RCrk4<nIOTs^;Hj z!U#(`;Hu;QK~PzNHPy5p;O}|Ez`$S&DuVcX4}<O?0xwsFgz!oJ7I5X!I|mw<r}<kg zK|u~(AP%Z-KmqH~TgeEDJy59lSROAI0SSN#MNpV^u<*U~0u3s<sQ7sFvU2i3b25A^ z8B~r+fSTb7FKW(#N;2?>0q8~}$Vy8{aR_R%g6!%B8v}9B>**dar*%R^UM7M%BfZeX z2s#sZ<x|jweNfp$pjIhV%ge1G4r(#B=gkl3f-@f#1<3dTe9Hl}bqqfOAG(+k+7|F= zJOU~K4uet(xYX;M1KARcF5e4V|Hh*N?l*Ei{r~^vS@5I;=;qK~R?rbspmr9h-rxWQ zivXx9lK_R1!i(s$pc=#nRD*<oDlG8n0yQcHFEzm?K=RznPk$h_Qv#^wn85`JaF79z znxof~1(YHnt6N`ioB@S7q-zOENeLd9wFfBRJuGj4Zu<2BrE6%qv%CqaO+b+h>V9Q_ zVjPqNKw$vZ(FtzS1$cDc0u>e>&?4cb5x8Q5uI59H0O>qXJ%VNjeBob+O2X@2m@%LP z0ZOJX7ybVKA7uW^vfuyzx1Ox!^EiGG)Kl#|{4(YD|NqTD>+9Kfg2sMdzis^apPhlB zo_!~1i_z<QjXxP~Ffh~zHvas7pMjyC6RPp`(Z-*k6{Pj-J3-^-ueX7?0w8UmiM7|u zVanzq4KIMYHFd9Gsi#Io;iWI=fcb7%?mhid8RY9;)|2dz=<w)eeJ2X5b+)8_`~Si} z6?8%v>k5Q|y>JDSQ@{Q9=sfu1bqZKb3qnmNTunYmO)qN(LM|3A7Yvf?ya3ie;f1K} z&;KtCej?vb*6X730aBkG1QlP6Z$Lo{>i>XCxZXA34K1L82NK(m%m5yQhYe%o@NY8_ zbZGe3P%PJSyM!II_JrZ}V~2)+iA9_a4gae6ryYKM#qjp)3m(0qQ~W?{6hKoW@UnIx zbb}pumJ)mo2P`Rp8z$XQ7c?FLIqWcK$>(j*$Zhxm&;UyYh^PSdoI*fDv>*aHS_SF} zSAfo<*Zd5st$J7)85j(2zZL*(2?yn?3dar;3y=SYL6W_oJA?VRnMfiWUC!Km?7;*` z>Tdnd-!ci*f3f_<-&zN1ly}#FDj64*0<dY_kcBzEmKVzwf?DGq4jm;b?jF6YV$c`? z=RjzVhOZCpJPFFt;F;ta(EcOPb#BmgR3P=>5jx~B_vi)tE=I)zGz#ke(il|Wb%v;9 zyacaq2iI2MF&1cJ7?c^Yg&=5@1JttQtzZKsNYIcAX!5^Tqyp5Wg{)wF@#Z+FYyd5` z0&liQFBoD}3P7cTAxK9BbZ`MONC@gvV0Sv?XbEteA2sVMWP!3i`1(0e`*AgB^(nq2 z;L*!k3%b!8WJ)jVCLvf}%18pW;Eb$({(mWpd%l*2>D>{W-lLGy`y6kK^u7^vFgjwj z9f8=Qd3uLB8kF7@C{6Eb&}adrUsw$WPwz924RCtzV8)%^{~V!TdN)WXIlcEXf}9RM zjqVXYEWKC6gVMW`Ikxl;?tr+cNPvn*@MVVJ(M%4*ORuHi1I{&bBs>m2X5wG{y|YF} z0y-wm4;qth_}x%+<Cu$z1;b$w$#@ub{S|*(5~%BgHlHvDGC<RMo4@4_sD<DBn~}ez z55$6v@LQhdZ&7DuVBqiP1_@ir?vs#W;O|)hs&_yKYW2l~SfC4A!5a_k96D-L^j-M3 zxiNwc?a%@BY&CC!PJrtKw}YVL=?7dvL+U=AH$VfTy=)*OEHCgkZ({`w&xEK1xPr#B z9W8$Iw}ya5Y<eRYJuNTuH@AVD0O~Bc@^4FFWOTJS!rubAQPS1$iEGOf{#MYsXV3qK ze0mcEJrDk|_dNK<*0)!M#kV&_1th@iYx$_I%SZDTXu=h;7{^obvUe|!B&7cYUYlD2 zI#eV^MdC&7F35za0%(9;9@N<K@aSb>^yqZ}kHSKVdHA%+aY&mC)RX~@$wDUqIw7J6 z5uaWaW>3p2{Oy}TV|$hl_}dqO7@z?wkItL$$-Bdzy&{s3sTfc>4_XZS!gdFE-Y!K2 zd<YwO5s3w;X9=$JyP+`&j|fOCxTxrW`c<F~186)u05p`50p4r^9szd*^=`nWJ8Bo_ zpbz6oNRY&+aDZY|;KlqskkF6-g@zNzoCF`n8=z1j*%2>wKy7*K0ft=^)}{p29-vt| zP}2s~vH(?F0-)Mk;>G?Q5ces7s!+7Jfd>jWb?U)Wr!GqBG=PPaAuM%10H;pyJ!PQO z`2pM+4N-|eq)u<-)Oi4uj6nzCBBf5yZI!Up2|5zQ^Zy~=&O4q5f3tWtA7=5jyj3&9 z)ACI1WFO5($cgfbcQ213BnD6uB{<)KE27o|wPLuYyFgp@a3@vViSirBJD^1Q6vQAV zQLfmEoG8H)J_h&_B`EM+RCHlM4N8;|pv08%@+K%zfX1?0K#eux5+xTji()29k{$8V z6l6d4<VT7qY-k0PRN=`M9EYHjPb#;3hE7$(;|?@Kf+!zw#VDkY44$)w6rte60V||I zc>+9h{S%rCB(UTHs4r0M^XYu}q9p<}cm42%4Vb>+(RuNOV8qY=FLWXxGc+t<@rN&B zptJ~>2CI7+{`3C}kUU7!op8|1O_~Ad{ua<^9Adu4I#GbX4LYBVbbc*pfF=Uedj=IF zkR=K)j^76Fy8)fD3SP(oS;+<J7$g|}cQrf!TEOAY=$d%}w0gpYf18YzdoPPHcr)1d zS}qUE`$hL(wlX3w;5fkF!VT(2{C8;i&)@nM)F<ft{+ijR^O}d{J^o(MZQ8Dx2cX9B z7`ZZ@@a+8Pq4^Ia+YCD3j(?kp5&!y+j+!4ldkYyIK{GR;)$b5hKA<(8pBWe!d^=Bh zYJT+XJni}Yrsu(*_CAW|JQ%NeTK+DP1g{Ax>GRb51sW#E0L3<B?;mJmng&SB0JeAn zyu5$|G=U-T!e`TG%*7M1@TgHq0BtJM0OcTq*YiC)KN)^|Jp;7ZAp=$iLF@nv!6F?h zWO=VV5@fdyxQYP}*@1>PK)nos7gsletgS&^vjMZV1Y)hu%k`k_()q!s^W+PcFi<-A z;L&;W#m!I<^CReDpm$*A50L2LP*B3~)kB-lMoS;JKrw@TJy73PkQ{h|vO7d2!SFVC z8z75E^C1DBZYK_(ZZ1#D6D9JHo?*=kP>odq8gT&Cd!W#Ut^@%slL6Hh8X%7vfK;jb zfR+S+HgABc5)M!sSm4E#4X{iq2TGyfrK%C22@LQW84Zuld;7ry6yWQvF=Rm#%KY1E zRMf#;Jj4Hn-@w}gU-;?%{10Aq4H=w9Sr66Qq5|3g3hB~c235+9Zy@7|Yg9ldKZ1s+ z!SwMKl@lPlL2WHiXSzk@0wZ{swMAtIxY&eD6deGwL8T7p3Q<txgZ9aS=ojV>{(_fz zf$K@|^k_GD@D4OU)q1I<u7m}2qYAhw3OUOUG-w3b``ZJa+4JaTwRQvTK;Y$31hr~G zeNm82y{wNOfp#Q-rh-7WNr9rQ7iI=%x!Q3T70?<w22hGL0Qus@FVlbjK}V*6Iks>A z{yz>GXOaNxW!1I>b;Ka!wV)~=>|EIVWG|u|eDTQr+yB-B{H<lo3=9({fXdJSkda_h z_JFTTh3v$>09xw+-H9y>N}r%pH+xx?zq3H*0KwZu1Q@_B6aXDu_+rKmNFM`yAR=f2 z6BLM`TQNaXADD*j3juW#av4CyFGw}WDIk>~vp{Un?b={=FKeGXDD+_Wc|*r1VFxH3 zZvmg;3C_vKTU0>z%!1k7Es#l`&K4Dr4?zwA-zwL;1$v}WjtbO!Gs7V+c?oKDfD(5G zC_~%;2MO<|FCY)|sQ74}@a#P7@%@Hx=NZp~4_QFdyq<~&y}%nBKx=?BAA|CX0H{2I zjA?=f4>UldA_gyHH-ol2x2S;41Py$H5*=hq1SDBPG7sns8gLrzg}S+uMdg@_3J2GV zm_@Kvgs??u;I-}=AS)|8ntwBZk_I@uA%zocQU8nLZ;(g<uSftj&-q)@z?OqHa5Vp7 z;BO5FaeD*)gZ7tcUI4A>ht6RuUI0y9H~(YgZ+XqYz+iX)bdcdqP^f?k0`MNkURL2g z<b(`LNuVUF0Cox}5rd-Q#kxD7eN`<gH$YZ(_kc4Zq>zAaAt?Y2xPx*lXh6!rryH~% z)ben-7^v+7%C(-BH~HI=KvxP~1>0W(+ISSA65s<0OOQyfsMlvuJb^~uV7&lPOhUJM z{M`l#1=v;((E4Q1Dix4*5ugEn(5%Dji5{J|Udn@qoj?OXuoG4~!Rta;Ku4uJ^g?_K z-L8CXHK_OpEq()e3giw@kqa6xDgd8cI^o3>pKt#`vwq+bsqqM?pgKI^MI9DVAJ8%F zH(w|RfXjc4pZ{NSfHuBCOF%^V51Il1)hFQdbeV)07(5!^d;o=O=Ngq4Ai5VycZ-U9 zf{z=M08_G(APO|e)pSshfx)BK864x#xCX6PV7%b*|0HN|3OxVTdXm5Wwg3Zz;U&Xw zpmGf~2>{9#ki2Aj`y(j0ZJ=w4K%Fj7atAMnRRE2_gHxu#3zZF!gby~dlhxN9<Ra*V zZYQgoJ7~L>KR0+wT_~t9>F$AK)=pk2u+knC(AfnZoxGnx<!JX56$x<1LG+CqNT(=7 z=YM|C>ZK_v91yt!V5M_ZKnq|!K;8Ar{0s~e8f?`)Bp4X@TXuj{cgiYxfK0f|1-82z zB-Y)c0y-Vgqmz}-1GMKEdP!|3^uF!xE#T78qxBnqiw{5O<bXPH$Ic(k&*eQke|qrm zde83o?SO(0zsvav6FfSPgIg(}%;*COoEP0keuL{04N!3h8MXwU9?=6Xut1pseAbHt zI0j|U_Jh)yj0z|(aj=3DmaHV$Tc%84%9a^KfiCI<6)@0LejU6{$w$QidK9rMC_K7F zAGm@7UG^fFGCc&MdL5ZOEN}3)uLt?N^S+1XVb9JB9-4<dJ1=@bI{V-iSBe*WdPUek zTL9W?K`MJ489gk2@Hc0GIFLiVVnCS?a)b|P4FRao24zZEY5|`F3tqhCW5D6j&0+v< z!h+i1FEpX!;^57D2_C&79H3+d9vFq~7!Ux>iGq!?{KelM&&vQ_;sZ7l)cd){2O0u_ z6_t?1Lms`N4(~xpQ$)o>^So#0FOTo{Jr4f2_W%{yia&iA!E=U?)v%z}qy(saqW~%@ zpeeR!EhNQ)9n#4<+XWPOJ>Z2&9-X{Xz+6!A(JLd+Y&(SmoP3snu6gg?0!_N6@gPN= zbHH=I9^Iw^Ag<+U{&st?GFA^T{|0|MA0Gn)JWF*#?dY{_cn5O7jf#inLC?;U&^c_+ zPRJrS#S<Qk;FXIUKAM+7rN00ueSuH11cf~)>nVV8q6TQ@6_V?GR199sS%c!Mqs|~- z!SmrhXHZ@^&JOlW12-r)!9taF5=dz;D<3#TLN{Nsfm0-FJ4mXVw*pLwW`Zctq9BkP zAO*n-*RTIUeK-vU{+35v3=E)l7emVd{?=|TP<>)}((ux2K~Qm-0cwtdHk2Lo=)3`n zj0{jY1U`iayvekC4kVj^E}rj(o!Sj5=ezenmIHy#dU(-(0g}fuJS;&&gMGI+Kt6%y zFi@S<Jq6rU0EG_+eC-HmhdIbfi5J@sLG**yAA;|V(119udkdr(0_|V%14SZiSfH~9 zeEu>h;ewiL9=$fvki~E<;KInam&d}9Uw{#`Mgrt_5Z|}gQvfCgs_{H~Z7e)`>lOF~ z*g?ubRV2Rvq=^dRcYx-C1RzaW5Fd0&D@Y!!POt^i<Za%gG67WemMVZU4CowmP{j#S z>eJby0x|+Lg9%asT7d^?p@QqPscoQg2Gk@4HGV;JhoEEwGQb0L(kQ4f0^Mu^icy6Z z9&aF(C^&eadoDm)yIJcTLCJ|XA54iRfGD(tVDlN&0&syBO<UL)7{F7PEhkHbK<!m< zT!VToFW-PBe7i-ZK&EudDuXFr5fEj0g1`9`7bwqwCQ~|(fy*e^0dd_m0^lL}3ZHHk z0Z<VHI`q@0*N+ht1fVj+NAsjluLvKglmg{#&(7OEnx{QG??49<eLK&Bnsp%6pebG- z#nT>)mwhd7@wXR)Mp`Ye@wYp3fy$dXkR=11Qy|&B*Y?6INPhLy{OsF#)ARdn&x611 zJrxi8^@>P=iWtboQ%E)it@#3lrUq!Omca|wm7r`1S={B($*bo83P;HJg-0i=x&tU% z8nA$~<vCDSryFW%r|55c3DA;}Dd3fPpmmwekK{d?e=+j6o&%Tq;Q4dtVYr<jPA8Pp z{ELmh{VFJCL8@E&L9E`Qe;%NAUK^<M@6mkdH`t`Mzo1s%CD7$Gyr4^Dz_H^8n(Xa{ z9x4kSxB*R~f$F&z9pWI*fYyjZx?AAOse5@hz61pi?8^EUl>(5B-K<KMppKE~XJJs& zUPJ|y135f;SwEkHq(}i!)h7Yz+{}UAO%2*d25M7+k~&Bl(lL6d0Xj0P1ybFEUDN}u z$3auD-BVN)Kmh_ykKJ=rG{79tnDtFi>2RC{G-|={;>~?XS1$sT{y}N+B^S6I2<x$d zvIppB43M=F4xk<6UE3fna`3dS1}IL!C;Eci1Q{uq0`9><%Nkfbf!pPvd$p^tK*}1p zg`g%t!OMP7)2<tGsyn!<>~4V?1IlTjqUxB7iUi{en{#N|4KKZf-052M6BLzYp&q?O z-@q(ykLF(z{H?}dlczw-D-rNQD{z?wZped72KfP6Zr5JJH2w=HUxG?eP-KI`K>#&C zDz`!cL<1C+1)yOn1yCVEOn~^PNW55uJwWO}wsxEH*n)CKjY@)t<$3<*O`z$SPRJ@J zQ0pC3&-r%y7=SloMEG`#7=Us)C>lX4e<7LwrcbX5zfb29a82&ndDKVq2Bbd+>d5(Y zZvoHlcq$(AWc=o9`G&v!Bm-z=@pJxmE3jpd${n;67G#-6FYB#mpk&RW;;H%9r}MSv z_q(14|JwU19`)+A(F3)~Ah{k?LreI8?xO*Pt_G-cXz+r6DJY}BYhMc+kl$eET(TP4 zfO5SR18C{IA*g5sx41i5Il;;xo(5H3kb!$p*6xO6?U%x!yxD8}z#3v6NZ$))tDpa0 zp8(aSphC~56EgSV)42t56Gktq5Y!)_b`ZD#6#y+Ll>n7b3NMbX1kGuJj^FAAuU&$c zRIoFqx*@BmLA|I1SUiB%mPUXUron<AT$*-6!W|UOpyu1lMWEE!YikN}YUd%CFJFXO zg2u!yyi@`&$b>8?0>v44aH?|-%*}C6Az=^6T%cAkC}1T(g{{I1L9m-+K->C312x^y zfQ9XA>4usDx*!YW@CuN_!F5G9WbFsY?clKLUIN}01X>ggN<uGxfX>6{g{^x5*Pqh$ zkkSfrga^1>0@(vD;if<<Uhq-0J}L??IG{VpG3!q~D^QH_nu94(4G;x7N(SUT2~fIG zc=6{owEhHbM$>qC4BU1W{Rb*TyJg>lDA1|tpmqo-c^V#g&F;~BSiqz6gGaYFhex+D zXk$uSCNl#=gPm@Pq(^T7qle|Sn%khRDY(<vcm&jkJPbNZ8WcaEP727+kWLI}ukmU@ zP?|*)?w}I}JbHPrfUe5!>`?)8L908!UT1YR1{dyPe5i%H*hxrmp%(5Cz1RzP7G?4Z z_dlRw9#**X-vSlx(EJA75&+6UAfLYc07{9Vu_BPmNvJ+%L2Uqybi7!5^Y8zc+MtCG zy{xXaAWuSD%8(`%Ypex0g8jghs6B`RS4g1kC7|L`;f3_8|NlW}4r{!;1KLB<Ybym( z)D3Bdzpyp?`Tu1ZSVA-rbe}iqY%a(;L(mu^#2C=JZ;@r7HkuD8@q;rgsHf5m>4|_Z ziudTXJ!lT<+#ZAHxre5pJon+H7pRHWIR{)mg5n>PZ$Z5i1yI2Bvc7r<&2z8}1X@B1 zN}RAGH9*JxPF)JJz6P|454`XPHXaGuCDRSrp8yJYaMJ4Dq5?AdrQxUl|2;a7yBeM} zJYe|k<&h7dWsSDoQ163!<S*<@fBt`&4U&NN(O<@W`2Qa?_juCq+sjEHiC){2W}q;J zG`wH%fIW5S<(Kzh)6PQWz(wK*lb`=zE(A#+`4*HGJbGDKV7>$oZ^DL-p<%4>V(Su+ z|3cuw3%Rlg)Mfx>JDAsAo_Pmy-HY$Gppg9mnm6*X12bPd0SkTb>Ad*D((dQ~7gxZ1 z@Xqj)VCKyiieRPSJNv}I%%3mT*@9-B4!w8=Rr1gF=YLRtg5kv%ThMTkoY>F*FK@m@ zTGfp*UbF#}#KGf53Xm%)KtpPvC2*kr2WY$;On0;P>w|JFZvq!6*TPypy{!MLK?xcZ z2B5KOaEgYV+zB50c2UuI5hMTa{{)Y2Rv(BFvM5G?w#R@H5x7hPUD^ZXNPt=q;Gsge zIU-PVSRm$H<wSMLfl6ejfXiD9r(BeS6d9l%5@<bkivk;X_(#JGJp987uFhGN%|Ny6 zl^>D}4E(L9Kx-wsdsM*3E_CwpgHj88I{>6s0A&~OG&*P<ct2>7$F>0R&dZ=ycjq+^ z{#~!wza3Wa;CJ~nVFI|_1{&f3RjC3mCT@bXrNHeX@O@8+Jz=2TqM$+>RKJ0)yx`RX z#enHO4v@D^R6wl<4v${hlNBIugZ86=VgS3hZ^{1q4-Uo_6;Q_y)K>%zI)Z3WQt{|z z)wl=B!4PSWUf!1vxfnq00&s7y6WWvP<$VMd1`Sn$iUEjb-rG=N@N`KxZ;CF+p`xZ> zhk{z~ptg1|uiq|^LqW%AfE)@sqY1Qy1>_!3NudCWZw=7OQxL-d#CV}B3yLjP9#DnR z&C3g-T2J!#Ed`aXojxiNhL>LRgF4|A9?gdhz^A5w#|OY``2zR_7(o65bu{<|90WjY zP-Vg|;Gh6vgF3#TzA{)|5Hk7>;)B%)LZ(|le6Tt}=yX9hY<V+eZwPn*Q4zE>9JDzB zROY={z=c{Bf=Bauc~9N}1utxC5UjRgwbB8H6gN94N<kq7YRLDpDz$(@3YMurCpUps ze1nYw_YpDsIX|UAAqAQA0Vgr!8EsJSApz800N->O07^I#FP?9Nq&#SY1AMk(=M?Zx zFHppv0FC0nkBEi@2dF3m?KuNm07}CzHgQ6I3#zBU2Y`boYr3aEXHh`qM2HH<i!Nk! z86Lg7GqXTG1f6sSvl682F+0pS(54G$f&~@6pa=ua-GFGwJdJ4iZ59Sl?f^-H(m(;I zc>*3G?VJJ`4}u(AFbC2T?`(k#(02ByB!EhoZq|KTps?rdX9a~l$f1z1|9cGNGFaF{ zCf}f~LU`DN5+@>+)=GiG9(2Oo{{x_&O$2DQOHaz*|FA3v8hZn|9Mq5m(cqp{FYDG@ zARj>P&hY5v-F6R><v>kiP~HNm_UPqZ4;2Pghah37<`rOJXkW0K^`j=(Gly7EJrlAS z*)yV`IPHa6*a@3SMD@%DNl00}0AgSvnt?GT$Od9BY;q+*;jsoh!Rpa?1XQ0KhQ=kh zThhzA`zFNa5H9bUyAYp)8rB}&qN-r4Wxp|l`~tfQrdN~^+;jr<kwL`==$JFmns~4g z;4Tzqrg$Rp@BhnZfByeBJZbpN@W9Ik(AxA~TVDfE8|NUX&<2(1FaGL)s$cMK6cGQV z97q|oz<(+D3shSheltAz((%{-|1U1^fzIRjfmE9!?~k%h6ex@EKslSv5S%6~Uh9Ce zhy-|4syjx-z=QDyA{$xH1Fg9Nm5kuS68<0XX#T-e13icce$L#B5Wb)PUr$1_kH2ji zC|aA}7=Y$vA$Kl=CJZ6>2^d~_EeXmU3Ld?|;2XRwKr28TzyqltJ(_<p)%t+OhYmhs z@#rpL;_&FUVe;vA;o|V<7UA-+yk05~Dl8N{I-zqpka_(#3!(G+rAv;vsCY1dRt9Kz zG#@blEtvFRL~vg$;{Eymr7zOaci<T-MEirx@Zz3}8D7ko;ibY$_3&aQC%pD7AU3>; zcnF7A5w`Q~!F?0Z`U7yW{CgTGd_ZMbH|VZ_-arBHs1s;x=EZ$fx#oik;1y6{xx;Wd z@WBL#<M3~B|NQ^56c&#t@yFi=p7ljZ^U(AMJ|xq?qdSVjqua>CGJ=D@r42M{c(UbW zNe$%0v?u|O?ji{f%L0iKH$#xPjYqeKf=73hhDUdhf`?^<Mu~vofez52`0#uH@e8O; z0UDTkk=F;EXMKIc@WAUUNV`)?R0LiGfMr3mY2Bbb2Hhbl2Cruro_sw8-g^b5aDf*Z znCf1Jf(}@|&-L>^c)SmsbioA3dmP8X%MrlmLV&6Q0njEHFz?J6bUtXOBLDUi2VV$) zYGUXON}$_zUxH2sb>ZJ8=E!);wO5A8g@0QbqbuVhSH{aACKH0m?A*&E;?&C`>Ct@X z57J3k&5u|>i=&zk{_*L&<k|d_rFMhirPsVJ{M$stJpLbYVZ6wA!pHJd%{#}Pg$?Wt zKK$!XdLDeK@0xk?<9`MQ7tND!@e?TGCqO5TbpG;ay<KPO(fE6VC<8;CtVi#zfLH(j z`}DSf_#TaiK|IHuAkOP%&(52!h6fD)8{U3d^8f$;gAW8aPk_!EJB(Idl_hy#mQ~#x zps_>nX-}X845~#vK#f9hfCYe>E)p-c{R1y(0}rWMbTWb>0elKy1h{Si4f=z3GlPnu z7h0S@|G(}4N7k7$XFy6hx*0${3~&sgrC(4ac%Yr%;i3}J4L;#1!=pD4e7Zz|hvltu zO;G8Xz<;6>bZ}(}=nh86?iWzo1G0P=b}U0D=%n;sR>3Qvnhvy>2;@LeCWCkjbO0B~ zTM94gr-6En;QlyhVM8})Y^pba1#}!bC>SzcPka%>@$*0UJaedr(A*Dhs-e2Sm-Pn+ z=yn1Yl^651AZ;q}tS0pQDbP+cSU7{13xXHOgLlS(CtSe?e}DtJ0_0qc7h2#x5ZHa7 z;e*x#C92?1hc!51vl6iG5G)itdRg`VgDQ8ZLeS;dphgTRte^&f`%Q?`EDG3v{(mVA zD`_AeM)U6kP=mYqNCT+8s{oz1QUR*UK}LGO&fErF-f0U`20o4ybfP5W5>U{XGuT4# zxHfF9H>el^b<ZJfi5L~|Wd+c?k-+=a4M4JB3p$+*JbJ4wnr*kg0(TBigS#TZ;HBGO z?VzdWmta9~AcHnHb~`J0bQf!QbUQeJ3mxbxYETCdHVzCrhyDVRFF>b!TX<OVeo~fT zDA9*_%K?1gW(26s3vMXE)^EY)hP!iADm<FsG#rMM=isACSa&Le+B%?Zdf~HSl@J%q zqSwn{ERSx`Zgl~VUfG0wpv@MrRu_16f-VCj1%VR;<RmO`Lhgnhq|oc(07@m0aXU~k z2rB;+U<?fq<Ao<9Wc(kzuo-+|WXmE@v&E8^ALK<JP{cq2*vp{VHtMA$14F5{N2iko zsKi9_GkOmS?&t0rm4X+>%0K_VJ_~ASfzvYd0s&CFzFTy?5-21>R0Ll5&Vq(SiLggE z?|qPXH|ql>35J(tplKb@kp!Tem+&$j%!T^0+e3kWTYy7nh)M|mwg|`07?lA2Z3Rx9 zB`QAr+bWzv7bp01J7{=xds_H(vZ(yO*zKYca`1r!XbaiFhXR}@x_wl94nE}IJP6t` z&3VGoMTMgz%A=b%PXRPE#hMSMpd<L5pil^TL0%~6DuF|xn{|sK$YkEFU<!Kf0imRG z;l(?}pZ{O#fM!;XL-rtp1~EaY4$}XGu0(*RwikKYpi!nB%s>CX42GP-g_d9MgCZKE zeP94d)d2>eBi&lIfYexuGAT+hl+?rKexo351aS710M+`Cv*)4L_JFE#k4`6pW?Sv& zpn#I_==8Dx#k~b6H9CM=p$Z<&M>Gz5^j2Ab2M12P5K;s+^)I}>0kPb{0IPcN_$jD; z(al;3iWN}B@j`qCsF~rTBGLR2T=y8fjQS7iS-cDewGwtR{rvxu1zKz&{NL-MGQk5p zxON#dq1gBa(tTb7xu6EL7z^a@?jFcu#O^==k8aQz$5GF~g-a$#&v8&E3vvgoBL}~r zqXfU8qXxgAqlHIjpnykjr37g99+Y*OZIvO~K=+D)&Pw*^W!<zNl$$}-PYbk14$chF zvnas1`~6=?TM?4Gp^Jk&K;vMrlW01*nr%-!1-nQIB;M)7;n5AkTpqo$2D?BufXWL{ zfPq8m?{8!iA*B)6TIe7OxV(TZIt6ulK>a!R$RVh>02Lshxmbo5f`37)FTu?}P{jjx zl9vG}R-0`NAns}a)lZ<(qO(S&0yLe`0=|pOl6Rw=1Vf32M>nV-@iG7nY=HaEov@BG zG$tC4fFcH3a)RB;S}zAGFF+-y>@-+;Vd~M%x*a6m&AS3jK~GKrXV;}qK&g-oRA{~K z15X~kSS<JR|LZ1D*#;UG0XLxew|O~qp7uESkb{3)k)sFa$L7cU4Zk@`+&sEjdt|`* zwH8EyjSK=CsRA;Rkken7gY3HLu|v>_L$O7`i38NF`~J{_@s!8KTOJ2rv4GZa9el*< zqj|`~@?r_AN9V2AJr3WFDe$-If~u=-X9<sPZvjx)wH7imFo306K<BY~bUSl+baQ+3 zin{Co#WiS{3Le*jzi`GiWE=zB34yH$0567wUX%zLe|Yi97&>zWDsVMm0p-!@CD3de z`WO_RX&#+U5+1#*9Qz>+sTb!JA+-_51V{_qqnEb_G?Ro#8Vb#}l3=~^9-U60tE1p! zF5PI+-CL#c;=jz#|F3U>md1cG(TfitZa>1$0oyVBEc+9ypI=PXgLnqqgc3mYOvfXT zXF#{iOS}Xf9RjznK?ZvItVgftlZ_a51w!otn+aY!Spc~t0Mwj>c^TTl>a|_G4P*ec zukHbA*1RbAf#TS1(3z9)@(q+-K}`TyR7rq1;BhBV?0`6sQ77<pjf+ac{|he*|NZ|D z+Trbw2qImuttiRj*LR4mFlU2K@ByV6q>Vgyn+sAuab^2vTib`=q6oYd9hBu;Px8ZZ z`(Y_^bNfUoSZ>#!gq+(?g2cOdcY!J7+`jz*C_+(k`&KC|x!uX3(?unQf18&hXuz6( zTa;6045$TB<lI@J5&)fq1f>Q@9Mq_Az^9x+u>)GF1&Rak0-+b1Aveg!K$;a1pk@WA zH4$>~0SCB!5x{xS(nm$1B-o>yRbK*Jm?(fLWS9TF4{|wCg$kq;yDRzg|LZHDCNQWJ z1MR=}e|ZKp2M;<3;KhE)pZ{N$|NH;{#a0lj3N(1uTgCBWIf!=!JS)z-`Yjg&C_jL@ z%pR5(`CF^OL$HvM$Wj}R#tIJ5V*VN<k6zyTPY|^ryF5Tuk1yE0psd63vIT5j6~_xt zkm+S$9;>c3w5zj47FslbYIV>k^W<ZoLW4&IRG)xt05Kr@5jZ+P-7ZjpbR5!oVF1;{ zy^w>}F!v+qselqBWIqD9192QOdkJzjXg>m|?GI*y<{&|y1I?L$Ozehu64dDdx1Wwf zW*Yv3nwG~Qn-xIpX4|xTplC4%jj@9*fLv9}<<VQk0UGCoOt`{#B`~YQ+;ib2__~c= zRy8X~Fo1fy;4>UKK+`K=v%nR7TRkXrLR2zdp8`#>GQ4aCMH+*|&;Ktkfz}2?R<eU` zV(4Yv^@|O%kpt9Ae6gn)q!T0qZ>fZ+B)qKt{{R1r13!QKf60yOep~Q<HE^t>w*Pur zO_zWMlA#mfpt+zIYDYj`0@oLy;hIj?P*Kn<oZnq=c3%z(%uZfUQP4Oy>!Q_2x<Tu} zUc5Mrrdu7XTL7fHG{&QoS018MZw``9@NFOK&~^S50oi-)4k$iAw|#sS0p%p5+diIv z1UgT=I3fnU?c*?1U^7TymPfDcBB;P3(A=vJsDU`+$B+LnA4AS@MzjyX;j<a!HPr9{ z?{R>R>4BTG950r)L5fsxSKYt^WlXOdT}}biS_b6;ju-jpas~*wU{ty0gOKyA!M!`s zqBc-l>_yyNh{X~h^N^a=FOGiy@&Bd4f8_I2!2a<8rGG5`f%Y-MBOKku93Ga!{4GDB z<AMAw;Dx8%-mu#%Bs?sG1^8P)cfEkd1^HWMfkLU<L&KvxSi_^cK*7VZSfL~lBv%p+ zY0ZP~+A6m2unaaRQ3Q*NcY8ZndN}Y;K^s6cJn;G*BoIJ#5@?0c3(Fp8>mHPQz~?Gk zyxwbg^7T$|j~$c?K%+GRF9b2wy{v-t1ByXe8eFx4)*gei+~aNxQ^5gdc=DwtTs7Pc zTd}Bq1j?f^-+ugmIUSOAKqDe3>8C6ib-ux)msM~nQW_Trtw%g{04;ay1gG&&H(_af zBPhWlMp%1o7k~shPrP^_3`*lKZhrmo|8+aaa+Ljc;P?jbTS6ayvWNsVML<0d*13F; zx|IX8KN3`_y^!{X6etp)J7l^YI2vkH6c`{^O@i-$%K&xWJiw>1g7knVN<eEaKx+zL z)ZK*)C4e)61bk~#ujq|9khekO9-y=f-WUNo=N;4=RPg9!?T7?PgPJnOUBC++8D20S zfK&pIxe`$N29JgzS^wg}7f|^I?bu=WKPU~Oj~{~0L2JETTMZtKhCBW69f;Gx%_0FL zr!yyj+y-+xcm+LV*$!xuu9x*}1V|di=^pzbPKOStK=xaJj@pC|rFk^}=I8IN2BouJ z)5r`l2L5d<a{SwTj2S%|e;#3AU?>NjqFb)#0Xnka6m%y6=rsLaUXR}#44~~@;LYQp zQ+5#X@nXL8&;PqX+Fyi9gF62EKmYju5_C@)G;lD}$3#eZPyiaQ%K(knWq9<mN`%AG z2X8G8D1G>-aDa|q1m~OI9$3-`e=E32(93!^45pRU39Qvc1(dWZz)9->HmxNANMS9D zC#+vI?u7&^ct@N8sMZFpz5sQ;K)nM{FhH(?1RppADxl$U`}`IpZlTGt7kn3nhze-S zNen3VV3CWFE<c2Uq){R_8|(^jhu1~L;iWw&4v({_K$<9`U-Cd2VCI4H1SpAvi)V!w z2lf!>ffwwbe*AwK1TIui%aZ~R?01X2U<Hi{f#xzmoi*gtdpZu;L6Fo7YFUBK{ePj4 z<{;2KIjAWN3QG%*<|7V=U(|g3@&9ExB9EcChrcaXk%0mAKDQUY<Ut7p)T;nZ>h$uS z3IQbv=p;TU_k#vaUX*~-LXC<9==v+rgmJSi!&Pu=f)iw9FYBA1AcwPi^s;t>4FDBa zpil>u_aIY1gAy<FkWB%t#b~zObp>q7CI!$|Io7psQ=GAy0-9id@xmSCVyIg{^=h+i z3B;6Wh$;DSQ~m~nJcI5QPEa|%2-y^fTl65Nus}@Fg`2V+t0~+dQ(`bpd2t!+qqXv2 zAHDwp3ztHyrtpAF5kocw<ho|tMG#ZMAf{}Do1%@?6wrm0FD|$tg$pE(Vj!mcmjk<{ z1a8XHAZ+0x0CGzgvMG>o5rder0%D3O+?4rPO%Vi{;(}}nD4#alUbqAfmq3Uq-@n7c zB@(MCLLgKAxgz-plo^_ByCA0gk_G!{8{8COtfmNqOxb~K3dBb)5K|UIOeuq#ayAfK zxPTUWyeL981>&QB7r{RAftX?eH>Dk`Dd3j54zekr@}}8#2gH=Gpes~*S-*dSg^MFr zQ^0MIXD&$L0x_isV#+*-DZAmO{0_k8BXB!^0kSEO(A9yM;tnyT9&XB3tfokULN^N8 z6i{kzwtaR194;TE!Es~{HzgmdDWG*hFGP?{f#iS%5L0GCOkstaqKVZMX^>maIU|J& zBnL!6OmTvka^fp2bRYX;3l|xXDILhBKtfjpV#;eNu#Y<7rp(1^iY&+!CuCFj7#J9u zZO@$thszX*DZX%1!m*kn2QuZ46OxZ0q1yp51$0B4M=z@=+!R5qrht}xzSxFr3M8hT zAf|wZxjlMWZ-0S>%V|Gs;i3p~O98SekZ}2P4(y|TNpQH#hMUrg)f6R=DO#AOY=f9$ z0Wl>WZi+otQ$V+Zzj)$^6fTfFT>vrVp#<11%5YPD`eO5uI>;^akWGR3NDE?07sQmO zpJCy$8LKH;AX6eRO?h$_94^KXQ&z%F$;E1l4#*TCOjG7TOt~Wt_E9d}6m_ho=z&Z* z<A4+{5FbTAOlgIfVhA_op%1oj(Fd8*hG~ir#1uV<DPKRq!eusAQw%|-I3Sw>$pL51 zfWzgw7}!TU;iiOQHN^;I$}f8)AA#z*X4^K1DGd-)8sVn!V>QJXWXcv~Qy}Gw1H=?f zh$$X$Q%-tg3l|fRDS61IKtlJ|X|RtjiGqE^4L7A3t0|@+Q#6oGfw*N0#FT1?Dd#`J zLf00nDP|y39@!y<3#6>egP5WMF=Z0ml<!{Hd}IzXWe&0_kXlUxV#-<2RVBTw!EjSH zVl~AAWJ(yaDUdjNbP60UWe`(@;HG3_HN_HSiU6`HkkFk2F-0C?%FPe3a8bo-iWSI| zQ?^Lq0x227Af}uU2FKA{xGDENv4x8@$dndLQv@KU6hcgihnq4Jt0^`hQ|ypU0ks#K zZBLy9hl>=%6h*ix!B|bP1)1{02FXW|^wI(`<uK@2ieA=d?_uG>i`5i6kSUvxO@V}q z9mJFzh$$=KrX2Uc7B2Q6Q*tm(`Edg5BT<Mc*>F=Dv6=$j)2W7R3dBd7Ag1gCADO|b z3pd3Yt0|5kw>+>$3KvMNmIE;*9b(Fdcd&5z>W<AvP9RfeA)5jzrPLs%2tZ8P05@el zR#Tiori37y0`bv<<KS@F2|8}0m$d?JN+woQTtKGqA)5lJ?PozuNrISS4L3y@t0}G^ zQ%+bRg$pF6Lm;MbLrh_Wn{w9;Te!G^Old+k1ya87K}^{KI+dcA_3&F*=uXFKiaW>@ z8)Q=;CBunh;BbkBn9>3_B@nAA9w1Y`St9ueQZh6_OksnV;te;28>=avAX7FVn*!-K z+CWTM&j&6U_~E7;b;TAgULaGlkWGQ)>2F8DK8k>ta`p`@T<Wo!;teuI1=$ox9BqJ@ z!U!>C65JF^tfu&YOu1))5iVH}Q&#bUeG~#W<+BSmANhhznSpEyB#u-drUXMwk${`B z7ON?KAX9>nO@ZWqdq=?G@&|O1Loe(7*RXI&$7+f{$P^xAQy?)t17gZjh$)NUrYK@H zB>-f~F>|DFf#jngh$-MxC3;!Y;HKPm#uhGtAX6HUO@WjzJP=d9gO2X#Wz~V3G8L;S zK_FACkWGQ)qhp7`;j#c?%9~fPaPh}#N-)TjFJ?$Sf|$|(F~t*N%38Q7oLEf>0hzK6 z*%U||SwT$s1Ul}amo*P=%3&vL;SvfmB?H+MNVt4C1oqKvh$)6}Q);oA5(Y9w3E31# zOs|8O;sP<{<4ah$m}5019AwHJQ>1W#n34f8<t-;T2W*9#^3f5Sk0L;(OhYyWk{gvE zrc8sFQVBO@HC9t1L8b&?nsVnLI9%)@rdY#GNrjsN8gv6q5`o6ZqClo_A)5jzU#3A! zxei*x)XVz!1teU+i?BOcyS|Atc=WOcJ3xI08bt+7#zE$1K_;%?0fiBG6cM!Sw3k;M ztQKjA4?H+G(FCgO7z3k6FDnyR5oGAh05mGf0dgY9K`+u#Ro<|NTHOs>H4jn=%62bo zQB|(PsuEP>ybwlJ*@9Iic);PMF^W5*u&M-iHTR>cG{CA7+=ZKps*(+>N^l1&8&%~U zJ8XUjccL6oRc^wn65I$EM^)K@RVBEg{niM@?{QdFf}2=}QB|5?RSB->XQHa)!m1Kn z4d<h(d|->s@8C+(6;<UntSZ5kk2I>v9;_<C70gFN6u&27RSB*{j-#ryz^W2lQOreE z$p=>n$|$gG39bx^kyJL@vg`xrYkkmKImiSvE7xamKGcR80m_G<l#R%T3ecT<;OPYo zk6zyYHlP4R&xf2an>>y)fJ%DM<=S9{kh};!0tK8G!Naw;4WOaoahw5E7JKxvuE44q zoOjkDsfL<eg;g~;SJY#w_Q9$eJb)31q#9JWc=WQ$VO0%I$;wEop)UDg4GIo)UxHKf zSAB#pp{fsIRSj;8A4gIRD$PB5S*KuC4N3n<s-b45VO0&z1KF6WEwHKv=L1_z)m&Ir zgYyDErs_LZurLA5YQa(@xEg+_hX@l;csJYT?FOgHX7D0JuzJ>-58za}6=o?YRf4KX zM5^p!gBb%}sMgEd304bDm0)$?86YEYOCD5<90yIFf$E%iuu4dTffv+4j^_Z^Cd^36 zLHa#<Sq-o&2hZtT0k?-CrbCr8Vpk4oxW8D6sr(W=q(IvZK+{fOw}bM;i!vnTAjf<3 zvM$E19FzcFxMM0W!LA&Xx?V_NDtE=M92D{|UV@vr5U)ZVBZgf$xU$`asr<PmB2j>k z)PsaOxW?^9QVt5pX4{CJpp^-6pw$=(9-XX3@4zWS5IheKT{HyN`EfpEVFPqVy^}ZL zqc}sett42xphqWb#7A)k&{QMz<l<gi_mAQXFStJc`2YHaN3X3U$Y9Vh@Gri9`tkqu zY>!^se{VrLK<5@b0Zow>gJwN@Z682I4PKlBi5>?pb7NI|z`^ih@24OCJ$h}oK~-41 zSOZca3tCgv%lhX&2g8fmAc0-qK&MTxJ_ZSNfdrBv0w+KMl^_8gh`?HqKn6%)E9B6S zX&`}ckU$(npavx11`=S02&8}nOhE!0z~_Ll`hWyfKmw5v0aK8GFi3z2A|L@0U;+uO z2A%EE%lh{o2g8expsCmph`?izzypxLU(hKWy{tz;0_Q;j%OC=)Kmz+f0{#$zi6DWs zAb}qr!M-g83CsZrEQAOofCRch0$vaSSCBvzNZ>R0s18<jkU%C#U=BopA0!X~5^#kG ze7noR@WLG=@D6lPM=$G5kboITU^+x#KS)3oB;WuMSO5|b0SUZ(2X<H|NPrn6FbN`% z4-)wF;m7}%))0X(kibKbz>~LNEw&(m3m}1Bh=4LkU_VH}3?je|5?BWkxc>&M<=q_) zh8J@|0v!;6s~~|MkbogXU>8WB8YFNFbc#<e>l~0k7D%8OBG3jBhy)4fKm_tY0v;fN ztFOSegn$IhK>~FU0ZWj88c0ALA|MYE5CsWbcnQ|R2ohic2~<J^9^K|(c=7rDkN+=~ zAOgoh0*^ofr(b}ztN{sJ1PPQt1SWz64uAw?Ap&I}f%PDPW6!}_qCo=lKmz#?0Vj|^ zFGxTFBA^Zur~wHad<NFS0}{vv31mS8zTV<sco78>5QYfc010@41onV0xntc460iUX zq(TJdfdte+0(=mGHjscANMQRDuq`<t0alPe0z|+cB=F_kkN+<@Ap#a4fyW?$O^?A^ zWI+O#KmySa0Va^ZL686oMBvR$4u%&SKmuzYfwf!)3Csrxgh2%MfCTzL0{<U^RV)Ju z)Pe+7Km>Y00y!XoK!`voNFW*{@aq9sOEgHp3nZ`@BH#oPumlPCKm;^F0vaHJulK=P zL_h-KAc1)hf!{Yc7+$b}1l%D4k3j-o-~Raj^25FV|6ja5|KtD5vU{*i?kMXq%KXvy zA4e*9wB9bY2bI+t9<2vTG`bl&SyWzpyA4?m4_*)h-slG1ZG+fWym;N`{}W!+o`;mY zH7Xh&me!@)Jvx<NZ$hjlLfb#e-v+w6(WCiI1ZX``0BAi?fJZmj4g9UQ!HWbzYvVyD zvFv4FU;uCX^zrEBU2X)be?Yf#^YXk9X8?B&!7GOPRA6n1ZVnIB#c1GVv<0B*Bfz8i zNW@|AEsQ9uem+7E`U86sc`=%|1*pRdTciV;qyQ~1gB;l9(aZYV2qX<^?!XtLmCXdL z+yN~=0qv4}Sp!-%+O!rFKr!cj{D1i!bWTq%_>enm{#J3&lAuoIm;9hrGbr)H-_{Na z1hh3g;P5G}0>zOBD2@_5y1@&4Ul`m(i6z7;qi<Fq7s5OMYLS3~4BQP+co8)N<W`XL zA>C&zi+YZ)0Xco)*&qL3#(*5#TchIPVQJ0ZS^?s9D!(iMIUO9$DDE!{#Gbw!L2d`9 zFD;ncOKzaJy%XG%2aQc2QkWyy71C#a{C_>uqZgXOwt`aF2C&s&0@Z%d{$!-PH9+TX zffpclv#NN4S_ZtTU`kXCM1dN&pl}fYEf1AA=Axp&@M0}!D5x86;B=k&fwVuFSo@cF zfbCxjrbL&4C`|hqU+5CHpNlN}pSXeTe+s5VpMfY$`x#!W1q~b#@c(IY{GS4{v70v) zOo^s}C`|hqU+5CHpNlN}RX{d&^QwX=Q8f^SX+Oh@wV)v{0{%Zmj{ldqg2R6)m=awE zqA=}ee4$I&elD`?PXXE3&6^6QMAJYNru_^r)`HFvBjEp&<oN%I3)ufp!IbDT5QS+! z;|pEF_H&V7|8^G@X#Qsb`M8^x6-<e;fhbJ-8D6XfZIUG5{}aUfA7OtB$j9Bhtzb&D z4Mbtu&-g-@u>D+Q+3(^E4u4lLCF%yEFzsh}u@*YO1Y1LkrE=&xPLBVNIDzdy3Z_Jl zfhbJ-8DHoUwx0`+{fVgSUqQRIK~;V?>sye8-Msg}l<0F11@5tfS`kRa|2ptl%%HJ2 z@Zl@qyS~APEO&#BN(C=??LPM7|Lab0-2);}%YU5q=YnkP=8XeWqUj(C(|*PmdW7xg zCd>Y_j^N<m2c|@igD6b<8D7XilOOb8F|7VSN{;`1K{j^t+JPxicMyeXKjVve(CEiw zKQ})6(dvItvjdj@9l!y=3Z_KaKon;BV|cL^K9q&CanyB$==6_de~UfX{#Gz0+6JO9 z?Pq)e+0To&{lP_+{YyYLcJnR;Q=-d26sG+QFV=$A`x7Yt4wK`56_AbHysBVIR1HL7 z+Rykxm$3a@c<jfS|9b7f!CwcaMB70WX8L1zAqPHp1b_ZNL{9qW1=-lm`_C5S3{iFv z57U0e7xSRW4`2GnWq+@W$`8nS1@<6Q8sC6-J#?-CpLGm63>3T@q8oY{XX^p}K4y?Q z=;6rSQ&b?^4Nn_>d(Gm}d{DxpyHdcX+mXXkkiP|dmC*lV9+t;yo_PE}@tWD={|V3$ zoIOmS`0C|x@aQf%E#T2zbDE=@=d|U;;`{vDTEG`LfG&lY1nSg)clU$#&1#+k@85zA z48Mq40oi;39R`H;V!A>17(tGD0iAUVK2^6@v{4n(vw@s23L0+&wcs^C{WF6XAG#qu z8`zd0=uwTGkXuYZM<RoRpz#POWDa-wsQ7sFf{xh+RS~_cF`6KwK?ewcW)nf9zM#{- zA=ik!Pz4)Z1G>PzM8yDf90)YPUMhpTL?SAn!CwyWcx&f!6c549c!A$%0F4o-GY|n) z5{B66!g@{v-8G=|+ay3vQg|`F3*u2&GU<Vz?F%`r7S#sOoe7{_qoBhku*pMx0@@@D z+Nt_d8nkb1$^IYzU(QFrjT*T>k4SHuK<S8x^j5{hzyLZ$8<ZSCw@`rg4<c@{lYrb} z=Oy6ME#%sH*-`P7tK}{J7SIlA&?O?4hih(uujrZ0z`)RYvQz=&9f-HKKu*5wM)fu* zs4_qY^#p(}V1f=jgQu`wEQLf6TE`!<YZugO?nX*+$52unXzl=%*uaxw1~2M5K@m{{ zIX2kgW#<3?|Isb0#%@`!sFX4&hC$YW(i><F17t8{l;Ooz=oV*KI}CqP2DM-+KxYOd zfD*M!XN-ymD76&$bb<~tYW>FFKc9ht!G(X@6mXt&ZMjtH15az6H7bx>uRvNPKw1=D zn1QWFN$xJ7YimHq3V^mtgHHCT0G&;k@G=23wgJnuaI-vmS$Whz{sT`txTt`S6nb&L z9THp`prg19K(~Pv_<%2;$OmO2Y=%r!L^cGJ-(So^F$Cr!P?kiv3SqQMcZ`b1OGeN( z&;N$spsDZW=D+{{zc{$(2js9EP&*EWQQC9-ZSO#7wfRi~XuK){G+q?}s?#$(K$}^6 zMc=oB_Nc>8Pw-I@c#-1?@*>FBouIaJw~tDOM|YqGD26;h$Emyk?TYp2W!+K&8q#7> zdC|QMvPBnih6_lE2e@K{-Ny({q~HV9AV~vU-o4nm9Wr+U-9iXHPY2{v&_QR0pwJBf zrThYrwH2TP&cFp9=*k$7e_$sdcju@?cr+hLIPB4R5p-K+!s8$RC%jm-`^W#6U%{Kp zF!uwRfwDU4eo@da&u$M9{#_5b`J1PK>VxKr3`YJ|P?GcLW!3IwgN#;Pg6>5IA7*CY z(aSq=1t<u3R9@_W%k=WrsDZA5;b^uMnh%;qGVuVV506gJ5mzB91|FSE9^H-_&~rsD zYyurm1{yI$-RJ1hTfyPcc@Pv+5if4v`0*cf76Rmq;S(=TgZLo-{(8N|qnGuJ71Vjg zP)9^S4lxAf%gzuL1&>ZHkK;}Pp#3Y&wsrHs^PS*BJ9~K#=77?s7ii!y1JufV@n9n) zDmgrQc|$;p=pYC4dPy|fx`1?+hI({5DS*#T1C4a`vg)sec)$V_PYxcvtoy(|0^L9W zQUFQ^FK%y!7z(W(v27OZtx|aL<@%5Rua|&N9Oyjg(aWlm!@=<4{`DXKJvvW-PdoDH zb^!0s1+4=Rp8)bSkID<XI}pP`E<OZy3`eu=jJcqYw*-Z}1}Nmg4t5am*a<r9;Q#;s z^&Xub3Ld?@7dL`j4>|$96Ls?{BKS34#6X<zde@7foj?A+T#H_#pyfC4y~kMd8;3`C zfPhDHg#-hC>mG0_23_#n2|ltNlE4x-Ks^I?u#1X^M=x)0B`AmbsDMu!4Dje>T@(w7 zG-z!A+Gho70)S;9hnj$j7*JIXs&*J&<Q)cGFaU3k!%C>`5ETbdlz|V)1V^3#DAPhR z=!>6CkRkw9c7qOI==4#k0F6b%@*B+UaHn>AfOgM=C$&95MhAHG^1fFBxfOZ@26z;= z7wi+*xl5>SJ#>f+xB7zJ3OZ;MQiQmuWPq>mc(G*rkN+>@VWj}9bVRQI!TZO-?RB*A zd~k*YP11u>1t<-J!V^^SGJp<?0?k0b*p>xxE4a`DpN*#hI!df{A2?z`M@IGXPFf90 zUeIL$FTe={G}#MEmJ*<n9(3MOi4Tb70J_Ntd<J;|$aGK%Spnbv+nu8VnE(LA5V#z* z@aSd&=hqMU5LZC1k$=6<qnG#YDv+gK5zV%fW`S~n0;mvi@aS{`pTS)KjV^FhLQU<h zN&u^QQFP_U|JOG`eucUM+<=9il?8Xj3-4_|{=WpBhY1N&wDb>ZnWB%OgOi^}ghzKk zf=BDgQVGKYh9^OOM4yrYoj(R@TK~TQauDLAH4TsBPM}qX49&KCXM#K>>~Y*F0TNP& zK=(g&UVOdY1LTj+Lxu-lthx*;G%mb;^kTu*AOBxQ!-x984o0{abQm?L?gt-{b{(|E ztnp0+sCwvJqf!8(dto%|@@`Oh!=m!y+;wOc1s#>r08-S+(QK;@aut88C&-NMDJmUc z@c<4`6oL*62M0Qq^2cx%C~xzqyr_Vi3c58ER0DPQsDMrH4wL{jYau2=Ze0`rr9@CH zNx&GO9cv8#FM$FL+@Q7q^=w+fTg<v4_p>!v)bqE1k4oreooxd33lp-f;M4PuJAe&u zwhfyBt|umfQgbhFfGa2)gK98{X`r+RasUUoj{$1@fn4(9&>BeCNO<(}nkBM;58VM9 z+X<>bm_bICnu973(3v$8K<!1a@vN_wfs$;C$_#L@O;K3@qPwAC-*^NRC7@&U6kc4s z_~ZZUL*UA>mvy%b2g8f~7k~VJnfm|#|Aq=iSN>KxFpJ5Dzf}axVs_(i1>cs{%bNNK z>T8~B5Lbd@2Ymj2cMtfqaQ<z9EFA(3po_}7#X*;72C{YpFoOBhz&oBq7oGq)G=RgS zS9IDmNd}M300I7OfovTCOkh>3MZvn*I|7*bw|R1Oc(Cwq<7oKF$lr2Sn1P|;Cli0m zUqJ>2(A|QNyRTir_k@5>Hw5RJ){~{2;6g+cw2cB(PlDRA8K72e1gNh7X#$m~6nL~= zs^j#uyujb9BLHe_#Hdtw^s*j??fnB?1psPG3%poh4=KpORc{685G1gNJH0$SI=up# zZS$vrqxlACOF*ZSgW-YK+#Zl)<STqS4}ngS@0|r2(1W+P=0N)5{OfOkS|kM?jK4t# zMuF0}Z?}hl594Vc%hUY5u^>IYtefhfK|b>eQjj-5lQ+mE{M!UUA=d)xe>MDK;&0Ie zOL_=^&O88jjk*IgK;Z*Ey{K1Kp#x;1jLHjlxQVcg(#Zkx9#~yB^rjfbTg|q;Q^7u5 z3rcC-TcA0!fWxCRLcpUlLc*gnK%v<-1Ei?55@Z0_b)8ND9=)=~OF^+6<<M;F0hagk z=ydV`c^Bkz-);{N-|hehQ0pBu?BD~s0kBJqfx)xa=1{Y(7+43VXQ#-am#hB$|L@s( z(4+Ih3xV@L{`+*E03WB~(Oc#5;{UlH|6i|$Tija}@Zt?fr~#bFJHc1sfb#x}Yv({^ z+6T~O#324lYfyiqm-Rd|<k+PbW|xtI7;=wY5BRnX5AeB;ksKbqrcFyg9x_n@9ry)` zGEo1L1DsGm^|b`(u!t|r3?MdmiIm0*$1k9>^j%bt3Y9yci)RE_!}oH5@3#Q;#`y(U zBcR+15ZOp57j)A%zW{3#lnXlL5L9e}oYyS~idK;J?m(8#DJtM&gu4U57p;O!0Xw^M ziVC>Q0u_RtQ&d34d2|PYZ=;1A*aPa8Oi_WD>j^$!5p+ri=;92J$)LCa#V5ZY14syD zEWe<G0Ei7TieJz{0mKGr=NEJ^c-ahEYy~=y3sy9B!pfu`9Ay%#J|i^zw_QXEf6y%+ zAdi9)3fLpv;P7W!Oep*tKB0vF!B3Fz2M-4sfKNxf1_|NmyCLBZI^Bw2fOQ6x3p&P) zUx0NclnZJC@(Zxef^xz4-F5eXZ^VLxKS+CbphV{s70@ja-GMBiNC6oFjS0|ceBFUO zAW@K6prq6}1$^sYcc2JJ6l7$#rwk~&g08{<1t-W%w2%jx2n%_TIk1oi=>~^9C+K=O zl#uU%)&?zDL*BD9LgM8t&?#`etUS<uD9BE5F$!+5fu==XEVP0be?2N-InbaDsEFwV z9mnZq(QKPL5nMi;0L@x=I%#<Hvc^<^DrL~=9^kW)KwaWUxH+9IDxh0u3{Sr1_vj5^ z1lbAVdvsm|<zcWDmKXVZXMrXGJ7MQh$Eaj@@UOoKDlrpql$Z%14ZW-fu7Jun7L^z2 z=aG^V=#m&%a)OnZajXms;1aVAJmBjAzPJZkVtRmL23!*M%D!s?nJA<3LKJQyff6%% z0yspNz~<sAG0h-~j)QCJDbPzWof5jEMEG|-<}NDr=#@2D07~sq0nN6YAjPF&9-U4R zKHW|pKAlb)NX4juFQ|;~hMZ^zE=COnVa4cqP#dNcQjGQ>iqVrNA;oAVsP)!c74c#R zXhwB0sG$VPaxVPam>nB_F!Hy^FfuSWHvC{J;q>U0T|A$Q;dPrwFYBxtP?)f&ykI<s z6w2VshPt7bfp!Nbz)bV#E*9|UuIBLRWp$j-#oz-PYXy($f-d$2jZuIK&f{-UGA_q^ zw2WJt2}&v;D?rP9U;*X@8iwKU==9P6pEV3RLAaN<25c0p*PzD;Uu6Q*<pjR!2GTm5 z11;mXsDNt)F;J~QLO$~7Wj(724U8{mkOBjAKNBd)L6RQUz%ZGID=@0wpajP6w~)Yq z*CM6qpuhlGff^Xy9xR}+0G-qZ2?|57L9n1uW*{XfxIt+HB`63K=H1Zn?X609k#YRT z|Cjop>Hs_vfT)K3kE2$@p!;1wr+Ya;tAX{WkpdBPr5h*^L1_}H8u&d2lrivC!<FD9 z03N#mtpwin1}y;jTa7`5SU2R#-EJvRaDoQDL6$+vpcDMfpP_e>gUTnzPMHRe-aRUy z+i*O3O?U1Axd48gqsdj!08=hQcN9zK9LP;$-BBE!b5x#yZHbZqjlY2|Vf5&ZQUI~R zH{Ewf34qw3I~u#AG&<*iZ?^4@(&?O|!U8T9q6|Rt;G6HegDgO0k^mFvOpjmPpe~#N zs1*WEUcFl&?&^d)Xz>+@gFyZQDS0UkDe4#cLV_744(bqt&zS`K3w(H&oGxfR9i%XU z1qZ0G0foZr^(Y+=!nJ##J;c5jH%=l&E9fdHkkM$-sxliCsQ98a=`~8ec>D?ytw^;y zcrc<Ha)T6(XjSBaTs05!5G-23*9iBTHthnr03M35pl)zCELsgfQy1V^H374DK#^ks zW`g6<0n8KuiDrPA5}k8Y0>CVp&N(U}U=}#`BS6d`6;OmGfFo413nN0oE<!};rAufL z>IFGG^@2AffH5Kzq90WE`f3v&p+qzqrh~61g%z9L2H?99K!^A)2B+B?l?d=PzRN)E zfo_(6pq}oFRiFjN-O#f^!6Rl8pqT^k9Do97MyJ&Zq`A`tG)UJ2zsEfQsRl6sT~`S{ z{l2?e1JsuT-C+kym!M;ILD|;T@Y3s8(6zvz2@2odU`7xdq{#<#M=c{L-q)yr6oT%m z1zk4g(_Nzy0P6L7fYKw_$j*;Goxflf`*hv|<uZ_jZ|4Kw&X=B+pZI&T7#SG+x@E5W zTAt!>-oy#IID88Du5O>sIpFKboV#TvAcpb5^Om3+LtY$0UfQO>zb!<?py3w-$OiDG zW}WUF&9<@~;MT)8P=BkFzu^}Hf2$U#QtD+@QGr%8-N!(g2|9}lo?hTze*!cLmf*p7 z5k!MK0g!<M@X$5r7^r63!|h;`8$l-b@)ml7h9p2k*FE4CSvN~#CyUC9^|L@`gQh@x zc_-(g4?#45jKDeskv<KSbzn6Fo*@XogODMJD)9JxFY8M$4u%(&2SK&MiI?C>pw1I7 zG!Opx-|&m!B?HLkopV$`V;$fzAgBfCV;d;d)D~#VcMjH;FDQQ!G7faG__6~({=d`% z@6V`mcrgRSlY{JEn4<)Z2j-)&umVl&f{Oa%4g#Qku+6sNZJ-=o<I&4o;tUFB(9jQb zp83U|nJ{g=yaq|=K`aZ_iEWgJWh#+D{CYnmh$}#su77djV0dv8G?fcF=+vW^^{yh+ z`7TFb?m%)r=p3nL+ZnAO=hu7m@@`IsIUjnF1}KsIngP?<%bS;o?t^HsW^6uinnI)x zG?9H^kj%mGLLB4+&>@2!y{yt%kV5Z8(_xr9I$OXuGEV?yV{p$L+|vRL{Y`;3@W5A9 zad`CF?gcx-Mg=r}3Yql;_24BudS#iPfT|bJWyPSIk6yGq`S%}GHXv0mQlLl%U7W(m zz`(!V1AIz6s1{4>^pfD;?je-c=_Ta>8prSSQt0*&vG8Il)dHP%%fG$CjDLHC1!&UH zg@3z;l?(s&02>$n?GAPxofmvMFTJ#Q`~QEZkBW;&FRP6LG=df%f_d+_g8(EC|8E9G zOtnWZZ?6Y5VtRSK^+2;|9-zBQT==)0^yn4687ao#!FaLR_B>d<Ie5ATIg|AAZkq&( z3;YGXM{k7!^y-c2dqFw$!t0%oaTU<~61N8j!;5;b_zCdk2&jz%LPoPrNrOh??t?IY zf`-W$85oF(#&2NvV~fT=4^g6V?<0(86o*FR1U%7*8jBj;1v32GE428xN9c5WD1hQn z4-|(6pg1&w#$m~8cpO&9LF4fL0hr(5acJEHi^G#{@HlMOL61Yx2xuH~gVke;!%q`P zio?shG2+nOjf3IEZm>96aX3L1>d)`{k^Bid0<qaPxDj06fCpWBd5>8`{R&!b1Pb?U zo=hISqW#(+e+PiNfGXio4@-eGf@&EL1(YP!%gacbhac_2@UXo#2g8d~VDS^+Jq!)M zm`bHRdPSFkr!oUTL({+OAw$zI6Ciu3R?9#=DTM4v(B|f5+q?#3Px=I5c#_ehS9Fy+ z+>`cUP*0kIG-CCnOg~An`gbRWCyxelFuZsQ7KeM1za<CM3hQOPD-HFH%RZzi1D&AR zY`dTylr%x*emHoC3#i(HjDqrS<MikiRaAp}q%0KbksPodY{@gYk0g(nfE|od#9TDw zV0a+|7C-T_5Y!kzF2o4-KR{~$4uP7+puYW!D?7je^>X>s|NnhJrS8cWhKE2xKVE1a z0*!~9e4%*g$Nv{HAVM4}!hZ;~AY$gMAOBynJcaD2Ld<`G=RePa+EdMMEI{i+3_$Bc z3_z7e1ZW1S2Xg8;XvWs^1b=T2NF`+A_%LYw+yM{E6Sc|w+f0}oTE3OOhcCwg-8to< zdDElw9Q;`4o1m5*c)6e^_>N=&@VLo~!ZgVE9C)4?JaY`5;LkYbqEZ09$kV{1`G^JN z`c@Z}1duRzJ1t(}7prIf`2P|#5(1v?1K)>e0G=xZpB~%^T9*KB%y+t|I2?xDmk8Ql z&j9i_cxi_Nhy|Vx_khZ4py&sO5Ln&<Bo8xI0<KNrFvMywi5A}Afo_cOcJSyH@aX1( zTrmY+Faz#laCmf^sCcv<C^Z938asG&7IHM(?y3Q$axst2NCA)Ij^MdJ(8N3>P&|4o z1w1-$yjZsF$N$$4z>QAODc<0X>Yboj@?KVJaJq&p(EtzhbG)#a1j$P<o58CCoH&|o z{lIo{g6_oe=)Cy4-=numz@ziT3wMz5Z(lgf_(ABtylzmcLE8@o>L@i=a4?i4Lau%b z5b)^s1mCd*YW{<7Tm*NsB0zHy;9D5Mlh@#z@j$)-FKvfR_s6JscrZGHy#c;;4Z2_m zzS<q!fdh{df|k<1?tjrc{m1{85lB<QXz{ZF)RjY9zX4kM(0Y=;6*BGtS`7j2wt%K0 zEHCi4fQLz157e4?bhE~71+9<ejR#T3A@>e~(+;SG2MUSK9>^+H#LA`aNC^+i8})}l z(d_GS+!3@vo}t<HN);%UH9d|yg638kJUSf}K-0;f>IfXG(Dp3C9B{;groUHj0hJ0D zUY`LCk63tE-r#QmO?`M+Uf}Q9335X(YsDtW825|+J0KAcOZVW#ULh(LuffSL1GEmy z0W@xH;nDfQqw}T*sBamm;9+^WHp@fvmPg|+1||lE+Hn5%Y*3p7bd4rt^c{9lGD!Nx zE6_k1Vo7%Efs#d_iV@@${9&^Tr2X{@kIoA(|A2<`I(bxHto`%<|4YmN(Ce*1DXE(^ za5Fg2oj_D4sJH^JT<c{G>;{$KkdzKyA;a;)uOH%J@Qf%pX=QkHdT}({rc{E$ThOD^ zNdT0OEj&6ezHA3s+FK>?B4RUm$9^XyLa~Q`^H0W7aZok|Etdmdvs&QM%Od>p0?7Bx zKba7c;IM^Af|l`lbhB!JT;9#A38IKgHJum1f%C#|52%3OG3Ceqm&TBlNoeUAJW|;F zrUF#H7l6w50?74g9<a*>0>G<vB0Rbs3P1@4H1oML3slF-sJwUpUD^j)U6s-S3QX{# zj0i|J)w~F9q-#}x+YlQ;Nego8c?1V&YTTnUKmy!y@8#tH>xB;Ff`bn<nsOYpVG(rP z(#meg$S!z<7<}g?cyUq!Xq3eQ)V=ZW=rwf#A604s>-d1~MicCVL?(C<js$2D3Y4Zm z*#tC70*Xct5C=3r3*sbzIFNDD9F+o(<|7q{A>Dz)AlZx;MH@jG=f+EW(B{{plYabv zx#1t${UTWIyI2Wc=L}ts1!{-9xD^Xo+6XO8J6R*wgN}t@Du<>p-oW*s^on$+ivviY z^Tdk}8-DzM{q)7lNm%c@Xnw=t(W#^2(W|2Z-E$1;o`FKL^*{-z<HiBrn+jgK2|CfE zTSvvC`3T417ds0-|DOQbfGPmm;(P|Q0rfbzZUPY~>7~pYeeDip@2)i{gfzexW_U_~ z_9=tPRY+|GP7&bR5Pas91*k5P@Mu1waQKBH$RG1T7K8Uz?*>`C4PhS^{}_Yp5diHG zR)$m!44`!;km?&;b9aK)oxwf!pa9uphe77=Bg1@1^$%(ffO^#)9&qy;C^8?kt`dCC z2&DOdv_lvcF$#Fi2i;HZi9J3nLE!|t)D>Kg8GuIXAd9BJX%4*K9ef)l_{JP)Y)qc; z<Nxc0@YvW33auSz>*2~OQTLz0(pe73KJeb{ApUKj4fY=0tkK+{RLtuGrbI(Q6u5~8 zntBCQ+~5t#j4x!)K{7YEk!JuYV!*4q;dS&2$$Zdm?a%!`{=duvwUVK`wM)A|PHY7w zQY4IsUr_jv;NI0-VE4`eQ=&^j6sCI_UgVr5=-$jckb9kJ>|Se-3%hxBz?7&dh{AL) z<BL6K2)cJ?F37!;`)C`!-JIa?tpQV_tsn~1y$mmS2)maP?B2({v~}-okPEwc&wwe> zs~`%~y^JqnP7@5@$Q)4k8q?Ul+8`Hp^U8oJQB@Fy>0X8xYfce#@5*eDdz*V`8@{{Q z!RcWQm=fIzqA=ad_~Oq=g6{p91#<7@ZrZw+8|1=n-al+0XN$6ec$n^Gc;Q3Xy`EtA zD$~fl+o9#}Z?Hpo-+(F6uOJH3y^JsBoFEv!Gc!TqTiivP@P)b88|1=nUK=na>I$MT z-OKRe&2fV6eVGAr@8M3`y7x8<C?W8k0aKz^K@_HY8DH2CcCRJay}~qhuQteq-Mlhj zN>mj@VY-*$Mb9yU;oF%G3g6@o(!-a(Z6&B1faU%@(6X6s(D^c8H-Xj+gN~(nQI`v8 z!b96Jy`U9<pzTO5DjYA2#2|9uHVx>~LC6pRxQ7Wc0JQq}g)OQ9%?A}gjUiAo1Kjiy z0ClHdNWc|=8+q`n=3dmd|M>sX3TZR|tv$rwwwpBn#Bsqca4iQlnLzi9gL|149-#FS z-31&TmPH&T=HMFwb@{h@Nu*hNNR%q`Z}*Z)v-FTEmGtQLQt+_!P{46-AiVz*qayHv zQ3myfKTyYgIn>$^6$`_YuV=oP+xFxCOARC+qPCwZu($WJL8gG)dx7BdlsvjwbC|%{ zAr?%DrhzDMRH8NabdNxi7ql;jZ3DhmDkyoefE)&%F@-hvx<SpoHger}h7s((y<kf8 z7>L4jAH$2@!vx*el>%~KO6!mRkQrU-xQ_?qqHf;53?OHUvVnM*?qhrrdz7I2UL}Lv zccg{7?#lr?j5iiciKc-lO!qOo*h@Hmy}<5MA<BL6X!l1#j)n#8y@8b#FIMxR6a&o% z1yFN1__$NEOo$@z5mDe?kAg?@5skwy<~INM|GFDj|AD^^JW_yBURi*<x}g1fpk+8k z93GYh93?iOTw5w&c%TEczXx83c=UQfT-1C3+zp15J78A@uz@nDi;4tjFir+GbWx%r z@WKo%1G;J!d?+<&F!S}I7ayAN?N=eLzvBVRu($t;F?e+Io&i&$S3wkJgfhOUIRHs; zc=~6RiJ$}*400Ux`)6?XYJ*(Z%_{?@L{&i)rh6G)oY_y%y(beu?p@qSdi_;aO*lPT zg9h}#GYH+FGrxKR13-J0z%|Z`dVWYUgjQM22NO`!Drgtxi>@??B8;^9vEj%6mpMpf zH7MDll!yFn+li@<UWotw|G%L|MS!8C22_%Rsv*$!s{qJ|T6b}Thh=a8&IH|jAOSSK z<I(F3o{xbfXt2}knUNASsGbI|kp?B`Qc;i$G(kh}uw4YTI|f`AO?e>*@}CZV|FuB; z=K&h8bO4Q4I>5#tz!hqMN4G}+c($P1Ap-13(C%Gu;Dam!yB9ow3qCy$JS77j)>MED zRzVYaH)zvkr;ADjV$5&~cx4r6@CtHR>x-0n(EdT_a6|z3@Hp^wrk%<!i;=y67C+!Q zv*tIL?&ojq1bGd1d_}i|1ZcGfXn7YniGVgCf#ktSzPm>SJY)}A(g;!o-UR`^leN1? z1+;eoG)|=e8Sw$H?*I>)f;T{bH%4?qH$`-|sDL&^Ao;4V?#KU^o+!Qo?fLiURDPKV z@fC`Hh!_uo=U?t$;PU3rPY@-_3gUr1gVsRc*+Ve@a>j!4@8eoB@-N}>Ah>&@!4Bp1 z0aK!(APUpH3@>7K6LfE649LC4<hYmk_E*mjP`L8ef+^895QXVn#uu`CA<o6q{#q3c za&Aivb=wbbzJuL&7fgvh15udnV|Y;ujeb1t;{v<yNi{L<%OF@@g9eZVz{fQUCBn*G zaKVkykN^!czj&JfQ-s!42&w+@|8)~u|DX(XUXuo>tWp4#Rp8CGh_-_zSE*gM2ZyB> zM+uRw2Cx&P{(brnI`~oI^%=thuTQ+VRfYS!A|mRm)1X-_<oe11QD2?sfcO?(Uj={* zBX}1J+<Uqe4^f0sUpZD0uCL~S3N?)Ol>wyahPUyS|AW<6$)I8!R9|_3CYeCpCJ&G9 z0tXMvB8L)XPy?(4)I|#Lu=EHZri*ls54BnXb&+;Mt$=iq*1o8!{PF*#E2Q*8i(mdW zBI+XtXno`X@sx{71TE^LD;2b=k0A47kopKbJqDV`?e0;5)J>oozPkr}><XyX5dhUk z;EhJG`Ut$$4s_cx*7^v%-l1~}v_5N50as<+IV#Xv_Fp+^^-&o5d<bZ7Idp6RJpaV; zqM8YkT)}Bt!vi^+zlev+foGEtN41uf|M>rU3YPZPB&_Kdk;l8EI6S(IJS-zPO5DK7 z(+0ek2i)5alJKw$kie4nJK=qS5EX$JTEAfV{f6Oz*H=6`Q9AiNU|DFx$^w)r3|`N8 zajfje|CfQFG>cka6ImXDhfbJcAOQs(ducw%ftvY23lCo0jfN>gD-T`DAmt$_GYNn; z@`R{xSaOv@<{>S;I7$UPy1fKEEIkAu`BdVt;eprR(ef7&?QL-R4K9a*;7$RT-zZ~6 zZ43}+f+O7keEJtC6@v=07g4M*IW#XcmJ%+%Kx^?a>lj4*TXL1wK&C38OLQ$G1Q02~ zOTnW%NW!B#LIGDwfH$H+13ChnpwbG|U&NLYn0|oL30g`pJo$Rciyb9D{=akvr3Uoy zCEULThc7rie2RpG6PEPwLhb)2@M>ak4;Q(AZCiq`e_a-bCF~%H#lVuQ6qHvuAR}Wz z93G&M!>lJeQ9|h7H&7VBL+Hi6;vfHC_ki*(45Q|UNL2d`4}ex^SiIH+mCzC%y&?{v z9+81ZugGOcrRbx=@nRRa!UUaB(hZtR|9`-v`3HB+Sy1VR>~m+3)f3O4*vH?t8d6>u zfZ7Bapf-U9D1$&wIWoKiS~qvdqxlziZMjFUOi;JU&2F0;KD{hAEdP~A>;@f&(sH}x z&M_Ai5AZ@a4Ugs{28TVmOKx&_bl2Pz@aT@Y!Qp9HAyC2&R{Z)RXrfgCQewn_PQj{C z5qNRyD=3U&R3w@|Ga@Vpl^hDM7l6!W1a$}?X1}N{`tkpz1tjT!gAm0(W#oik6}W?p z8h$*O;m2I`<Ns?i!;goS;Wz6G3E^j3h&TMA$w+@zpxw~j$l+(Zi-Ccm<}`W=JXrAK z|LcBmtRV=L_~UO|M?`vT`~@-}Uy$AeB^wHor2A(Qg7j7XkN+=CVM!9jKV+rHSHD1A zBINLF{vm>y9*gtIPmdz_0*})C%=L+cz+0P#H$9S*pI3o4>!GB_<{vVc;b)vje)!4I zGW<?`AR+v6bEzJFS>Qc~sOgUhGyFd1kRN_bv<$zl_aua$ZVuJMPYZOJ5nB3V#tgsB z+2n^GGcCi<>m3Q<_cn{_;r9x(4G=B-STVz|IE(!7W2I&IalIuW{MKetJ^WUI?yN!! zKTgc>GtMMG{5WYDey3iO5PrECIK!{kMP&ixeCANl&BBduAS2OhAbt8?DBaxyIgkgm zsroH=8}%F&(4aK`He-j55*2%ghJX5?<=>!D2hj3w@N!_thJAj)7Vw}0hz1QYfN1de zLH8aNNI&d919$^0s7(VqUZrym<nY|i9`GSTAmjO4b3qn2|7YNDSp(j*4ABqTa19>R z=!Tp|>tlI<zqx{&f#DbnyS~SNh#+WVJ%dN*q1VhFoj<@wV5PD%Fz`2fbAwLzvSD^; z_^)5e=FwZh`1+nh!+*U}MW0>=Mo-HV{H@CD3=EDtL9KY#mXjr{KAp#3?*=L1Zv|aT z3DN@IT>g;@WKs<?BSh{t=*ars3MP<T>sB@f21l?HTw4zCx4dEo?fQl|%+vBhU4`fW z6R^{eU-S6%I{b(6K>LJ1VFp^}-{<uI|9_ZgLA%RAf#=(LvP2T><3uh723P)VER2o~ zKlDp?y#$?=22u?g`vIv2#SB=X0ZifRtKeX5c?Iejb=IgP__iLXv-N0(1S<o73+T8! zkP#q@Kt`a3@(KRttDFoBj>lLS;K7WfIS9P99TN2*%^*Wy-T}L#0iu~v4;Dvo%_Y_# zTS2yA)u@l5v3m;mr0JImAfLdJ0qpP@=;69PmIrF(`J0(I85rF7xAAZ|HvH5p<paes zEU;g1haAe*%eu}0vXcVD=Kv7`FSI{>19z3d-RKC=3=_zGAR2roPbX~W`xF&WfP?nx zg903MvKJ)&uJO0mgDz3A{OG~&f6l}5MyWh#%nfu*6-Y58FO{g+gZ5=4KsQE!jw=H9 z|3F73cuo27|MeMo2x9S_5`VJ|2RIS%FoJz2i0r$UbN_+1n1RMIds)xwV|dW(BgG!v z20HJEI1fGo^(H|he4TI)W=;lq5ESE}5cGi_|LtpeqE_0Sf13!CW5X}~QUTBZ2Vn8_ zvhOd*N1(H$ds%<$!F=SS!r=p&VtY~Xfnp#10p()id~_MqzeDxWbkIuqGoVZc>d<@e zxAuUyA?*PtR!07oN+=hUH5m9?K&P64&RqZ{EKmjj34?+Ilz==ffATj=urV+=A7kNw zXE)Hm18Bn@f3FRA_bQ|u<8RRe?c0In;}U(4VGu)mz$GpyY(a+lS{~wWUc}14;M`#& z2+M`9*MLS*92`1oRO~<+K?*^xK#vqf{^nX%1_n^n2sm2&Di!qXtzZNlf&tR-G6hst zfft1LvL@(aM9tlI#6}Gy7tMj@sx9E4^RT?f-~JVJ93d=fE|h9Q$3&p@RCkDq2TH!; z-&Uhy_wo~{fB;7l_#kCazWM}OK!3=i^Z3h0pdL{t_;_qc6oI@C@it_CmyhM~T1Ecm zB`lCkX6e}QQ@>Qe<NtBEw+oQ+Sf&ol+b*CK3EJB7>@Bh023ZSoKg9bmZ(rkYmq+&Y zjZzhmw*wF*3A(pmuExx03?LsL2PMl=0mJ_eE&usj%l`iV4?cYyR0e}0kimg}>haf) z`J07Uz$di(NHBqX>&QRlz)Opt|Nnz@zf}7RWyt>h|DV74J2O~m2s0xnt2**eIr#Dv zxRV4uxv}+jsSU_{P`YM-CQ{ghME4wU%7hhfpc=aQ2NS3cXGYWy-H>zQL5e_TfOfOC zfRE<whPD>Ew}4v<px^+{v|E1UZ(j<^WZg(12+o9^b08}NK<9CK^ny0X!3LH=n^+-N zuf15Vjk1#!e#|m-RRQ?Cu<j5Q@HR#P&@he!DC;P^=+{KjX8_ut1fH+&>`{RnqX%tM zH68&qwGM;41D<W^h8>s)T_?Z{y+6V7V%hGO&p=rkTIhpn6>!3^JX|Zyzs*F>q2Zri zsh~%%Gb6|{Q2f0-4^HWzGmm?D?`wimB6On`$cX|kVqPPq!~{?^1}Y#xG<tOgwx}CR z28;u_2%Z7?TMWQ9g6b@I-kJtlJTC#71+>;L6$G^pL2d&zrCw%3E~a$>I}M}>G$REr zwhz97I}MtdJ7Kd{un8+-DqE=QI?u!ETTzeBpP(!SuWDcJ0(GIlr2)JWdeQ?r(`XO4 zvHSX*;enSYKx*JI-vVy*f`Smd8%MySm$maC=)?%nkTPiJ8^~`j`qfE_|IUvuYFj{? z)IPlkZTa#4MF5D<28(<IoqzAp0@?_E!Kd@!3sHoq7FhIvPv^-O|C>PyPIz?weDS3j zWYEVKJYa=CKzbg6We>i%36=T?<)4Jo2chC1d64>zVDXbLRzk%=@*we<VDW=5CW6I( zyy%3|jbQNuFDjdV{C`mb<{W&H1D5>wqNN$Mlkr{jkN+<_KSQ>vg9kM|n%_u(2Ga#V zgX!S4v>G10PX9sU_6nd{4Rno54Hy456J}6JQ~J)M*Mrf+@?yCmXyYJwO3=asbYsf} zkMFlU4*s(DP`v2DcoUQd9YC?;0U8Sz@Mu0Fargxz$O$Opjr?t=Kz$92{mTI!tq1Dl zL30Tn9-XHQZ-XzK5%6fP<X|Y3f?oFV7TmJ|<tzA3Cy<5+#NPPM`yK}$vv?f*Y44$U z+M}083OxU&`2*xG=-Dp4tRed`Qq4{Q(10dr=Cd2L0u7ulK@%5&pnH&EGyUKa2DZr) ze)(5l)Q|r!C1GQ$pa4emFLb;Zc}+tvt1Tz!U<l|QM{&@W&2K7bdmO)3fc7}b*@5;r z#&~q{zO4XXnyvo><a(%1(7_rn_Mq!L4c7U@7Nk?qqm%bANGH<jO505!fzA^zE`jD~ zpL+D#PJ{{^1PRP~u`3e$cyX_b$_q$;brC3wH@*Q^m7QxKJFt79^zjyOO981X3Myhj zT~BbuaNI>D11u-#qEY}71#K|}U7HG;lJw|hbxi{W4QL;7Zwqvi8x-Zepi^@@SyW!| zmVW);dVs(6J_Gn*7|5MMp!%o>+@MFPP+l~EFU9at0Vnec56g@E?MFfBuhU1R0Cdbh z_?8AxEdZ|6K$Dvw4x~H=wHgZcKw<^F%nf`bDA*63uxWRYG2Ng;?YpNy4sz}W9UX0X zsyxa=^Rh?hJ&*6VJr4f1_fWj-!FU5!L3n6h1eNxnPywA{2I`PccoA0f^}k0qGzc4y zfI{~0gclB2L_y~szo`H5|Ha{Y&`ss6HcFr>2DB-gRZtVOr5Lo-U%lb${|PTXhX45g z(gy9wenk32#OGD~@d>J!z;PCS02E`Ongm3HT@DH`Na%qU8ACdqko*SPQUKBgKjZ%r z7vyw(=u#!{T^OKa0Kms6bwaB4<1Q)=pp}sxy{yhDkdy#EwE!Gl4xj}gFSv^#DFA%Z z2I%x9aE!93yqF{nF(0~vwz)<{f}x~t2WZo~M=!65Hz;s;R6tD>@FHdSYN{8Kpq3i! zDgo%yzwQ{w9X=AEI06L#Jo;d_N5M{6?wkWorxRXGs=|yzk8TGGP$>ji%faEn3U-Yx zh};PxJox=jfG*?!9jZU!MF2JvjziKoC@8@tAS8c*k`O2YK+Xas$_X!ov1oqrE);YN zHgXa`#6S4FlEt9VLs?(>LaOx-xWmoi(G6<3fEE?;?|NuoT;_4{p~u0O@*cYy>Nj5J z1!-iw2rBpuCV&nrQ3oBR3`!xO<Kp0v{`T>2uwe!snFkn7&9Vj+*%ls+5C8xFZ|{+O z(u47Y2Q%mlik%D$Qns&|H!bvFJOSwmz}B>QbRK*?^MxwNBM$%3_K)+oDT0!2^Bbi3 zVZ#HU^YYCl8Fqo11RwY}3i3}m)N+Zx<vi#HY1Rp5k_@2xq(MiRXCB~9lVo6x|D0#j z$y#nE$uMCT=t`3h{09Ylz5chJEY0dX=yCDIE~of{P7nU|7d#HWvdKII@`mQY-bN4& zN|B%$f5=5&8*EVSk!t?QSXAlp|D;FfDcI31%?}wtUIwq0=>#1M(w(CMnK|xsQBm>e zWvw*>oi@ax0!p1P3M_v9?{-m90iUP!!s*}t|J|UB&KqGS$pGF~@6pTZ1J&?C+4Sds zk6vCIkeulM|NnP@y#HcvHK-ZzJov}|mxsW~01^MaE-Duw<#{vcaN@={kYv6FJZ1>G z9}Zj)cDF#r13_UX4N}()Z5%`V;G>dZcnNg;{%dhihsXlt*X|gV1dr|#&;=);_I=|o z0d)oj{@!z-{y=AlO27*XP=ghG&I>4o!P|JyRyd?}56M-aMh9{Of1NC(fd?r=p!orm zok0Z>sMG{a%V&Vj(gX>D8Z{P}Bb?AiY%lc84^Vv%x_l0FOgShn1wf1!F5p=bQ0uZ2 zdO`{KL>+J$1WNQApz;lLs^W_*7D%}RJ~gERl)%CFz921C?-uPV0Buu|tp`z^F)Gk* z&Vf&VK+DP%82DQti<x~?0zCd7^l1Lc#oxCU6iA@sH$VwmG}T0s0lY@x1OG-T2mUF? z99q7WxW3T)15Oj2tUHY*87AxirCyI-UJDaRh7bG)8ULSXJy6O8a*@Z&IFNC@ys{>s z^u?nBs_b3}nEm|!`hiC;D?3<}Mdigkqo4m@uLQ*;WXXCr=&Tk{TJ-1^{ge;(!)FkM zIK}eMN2ni4BO%&a!D~VsKvUoh9{jGSKrvDP+V%zFT?R!-1t@;N3)ebf%dcV0`#IqD zKd2@IC%(obpfm|NPXe6Pz`HxZZZ8o+3iSw(A2mQ_BB(h5Rta^qEy%UqvUVT};b?c5 zqxoChVP~JfDs|8}T_@B%-H;PXK!q&0dC?8c958ov-T>V`;nDf|rRM+tpfe#@?e-!y z&_Gx8yy$)lDNe!Tm!Pv4JbFbdcd#>f@VlJa1zITN!S8bDCFmTM7Y+fS{VIQuTI*=* zkNDf(gNj|$`*nIj4J1(8Xkjg=0s<Ak-JmuPD96qPDTn1$5073}UslkWT`VduLVO{s zlOTtEG}NeQFqGtZSn|eXi!+oM7+z|*RFVsdx&)6-u4db*#-QdTpGT(?2dIG$zv_nL zMNjUJ|F2(zs(c4fwg8`<0OE0Ybc;%4i-Ye!5O}fd324qFL<PKq0K9s~;PqU?ORr}l znFfhlP%{G5`~ydFd^xBcqv`+S|I07n(hDOzwt+l|x*oQdl|vbH;0m%yAQuU|cyJru z!Sw-+Qh^KL7!?D<Z-)P02RbzTckq=w;KIL+$H=kar-QHLCC|>!9tWSXcy^xY{OQ?w z*W>bQAH~BiohKnv3Z9oA_$prT{C~nz@rF+)i$RBv0f%RAF(c!7pU#Iqov%GC&(*8~ z*&G218ARU!x|J%(@5ld_y2yP8Q2&8{n~#b_!%qhf$phdD%;WMS55+^EE*j{BSkRIs z6%Md_H5?lLf!*87!{^v}s`H~~=WU1sJroan7~Xg3yy(+;+okiIgT=L?%b?3Cz~^Xz zGASq(*13RUm&5QRxbSB8Xg(z1(|NJsw}Xe|e;593P8>enTt2;ljGZ?<FF*8D{OQAa z&Z$?1(bMu!&1KL?jY3C^ipF8E<_{ou!!X2r&;ePHQ@arL4QRhr6?*p*e0&(_AT7%i z#o-_qDR^|t9P;S3IRvUf96T(~6<c_8^L+B@W%<->%V!8mhC&{lJcm3wWj=ut52UXN zIz)>p8`K#;4mz&uJ816pp-1P37qKNj{=bMQ0ab#Je180YnTsCY_#9-2a8S22$YTy3 zmM4nEJi1v9d00yDPY0#b?i>|}6JC5O{_+3C+u|SpC&0o2M5Fkhzs(s`38I~c1*%_~ ze=zg+ya6?4dOiMvPTABv;n8{6<NFPdgFoy&6v21bWq2?i^l1Lg%-=GV5p?fO%gIt3 zNLd%6!ttVb3Zxec$<QE|gKHV2Jvq?&4t~bf1h{5!wFhcp!rN`C|Ns1-0P-@}IQWfR zB|`iyg&<dUJ8^h)9(tJyV)yc1w}&>a!MWD*G~|p;uvT#2OJagY>m`t0b&&dQFAk5+ zQ!k~#XM6Ut{;~yWhK)pls#Q?S_(k(aP>BjI6u{kbaDSmY22_NUsAzbAdJb)%%nxox zb;hWGFE|7hai>l|i?~AYHf7LlmffHohMn#lAP;$Xbh-;P+aA^fB_;4dJOLh^>>kJ6 zLH!N}kK^tNkXn>g3GS{M6^<7oQ$fKSq9OpgZR_PCP;Cmjk?}=O#*hE650*H4bbE5V zwgt8IJ$hM}ZUof=ppqC=*}s@^7F7B{SDI#kqNd=bJ;;R4Lxv|oZpwHG8N&m$w?X~4 z^rEl-Aqyx$yhu0?G%Dd;1S%6ny?*?Ex!^Bydl+>87HB6D`2MX~pee7$H{h#NK=*Hf z!X0$~7KjFQnn1G_;Ril>@M|6T#4pIA^5V&rpZ`Dc3%ICoeB#$RfnESz0NwBV{Q{&g z1KoH5HYd;lRFi}HgC5<U9-!(M)anA235Ne&4G(~ZlMZ`;ZZMK#2hF?k-12O;WGsE< z*<7i?Q1TemFauTBKCnaFIzdgw&Ki{h&^!~U;`i+iRPa#*+Zy1}`k%i~12lgNy4%sW zJLSg@-(H;`zP-6Wd^#c3vTwJEif?y~3WqP_KVQvHKHWJg8NQZZ`I|nmfllRK0-nn7 zvHZZ_e4Ys;5TfGY-OFO-$?OO|M<>Ihmsd{&)SHGz1IV`mFIHcLR~oPzNCG)P&6o@i z%Uk^I-Jppo_#|YZf=73yhDUdqghwanG+J;H0G-|jI`JEn%fROg{=WwAHbYLngUwyW zsCXEDd(D1~#e%^Dbi4AsT0xKQDh?0E?;e)ti(b4G16kHx#Noks&%^Q@fBQf1_2^R| zBQV`j4j$cA9v<D%5X&_`dUl?GbvzV5f(j2%qX;~!3L+#B?z!yI%R0FLQfa=h%7U~E zz&Cn<hbek_%Z`Hb6pzXaS+FEDPi1(3@)S5bm1u)cElUBX3upryGgt8ou;zF{uG#?| zxXv%YnhWKEE_dJ;V9kSa!6%@@2i-Y9NeiR_d{kC9sP1Mw0qV$uhseRv*P;TxN1&HA z#}ZVUw17`u2h9$FE<9+qy{ZMu?S=dTEs*o%yCGLV^9wS9gus>yI)bk<0@=(j=%@hB zrJzx3P(t+R^tt>4lu;u<wt^Bl=zbbV!k+^j`T-^0ZcyUwZUOJ^c=;bRFWJj#oev3D zP?Up16I4ce^ui9d2hD`-0AF*l2h#C^cDP;`r+~+I=2&nspt$8_2`H08_dUMgP5JTv z<y6q*7ijc|k%7V2@)duZ0%$~|m-Rp%#OfF68AwqI9yWl^&4cfM>IM~_nzulArM1_A z3I+jIH%~|`f#ym01z6poTu>vJUx3vE%7sj-KyHu%sr2X$Gys(dpn-mmUeQL7(>td? z=3Y8`z?UL5+j?n&QwnGR4;1zweb5`Fz@|fQlmZzIy4MKQurKiFjbsEh2P!-)KbHrB zW@<r^;?v7=zS;J-1}J8AJUUs<V~rrs&L19~_dyW}Dr;V5fv&#j{OQwq{Kcgt(1`u{ z*C#xBS<QBU@;#`<2%YHycS1q4BA~X81gIOT@M7U5P)Sgu0$ze{0CJFpM{fl9)*?ul zg2n@TMZ3(o7+%JMBB__xE*BI7u=1oA*4+U``eE=T7%h-_vtH2<kS;!uE^vj`4KI^q zXYqsFCZpofYXUy#H38%#$awY(zKb9yfqJRkpnF$A$H^Mr1{EL@4B%n&%f(zCmZyu} zzdQrVn3kvb+d-X3P=5wIXa`RPkq#c+h0vnIL<Quv43Ez59^dbI9Q<eRp?J-M@fg(B z8c^r8Mg^_#2s;QWA6Qgg{7nU=G-&ydfG8hA)WNaN401*{WHQ{NlOMg-<riS>af75h z@FiCQti4b!_?{~P);=f~bk!9oX#{{u29Q!vz66&B-JamaiUatF+#VIsm0KRYykVxG zQlUo$tgmyA3g~vNX50O0ptNHSN`??KAh7{Wj$kXH$q}RvoE&>aSDSJ%yiA2;u=s3H z^n#KNL?@`m0N<bjHV%}0Ufekj3J|DtFYj3sE{4}TL1h6b0$%tf{P_R!8z}pNjxPoU zPUjvK(7i^WVaF99KIn1-5Z!nLRF@wH4gdbk1SQ-XFD8QN4=-MV=^rl|!8G{h=53jv zwy=)Mi&#sL^)4zL(6JKGSfNKRFB>l?O+lwSVdL4ote?SG-b1GsG(oK^q)~Wm_u<C* zKziYj@fdLH2UNVhNP}7{;L*!FiyLGYG!MM!$pkfD!W@76f9d%B|9_<Y9=$Fq9gy)T z3D7uG;~U7_?Hb6CKre)bdIJ=A9=)vgV56bcO)qN$KPZ-=gV5cqE2BVjCcIVPxQE*B z(F;`#-bM{-?t+HNz%?O=@xs>+<e?Twy6HUVaqxvbXu$5`4^Y$wfJ%MP)ovc0mpwEO zdHg>GT3(Xi(Rt59^E9Z809Oy7qRa3<sPS{$!}2?SFXT3p8kG#6ZkC^*inqX{^>!Vn zhvjwt7SP>&9+t;zSUoJS*PH{j8D6Y5hsGEvmqMx<P`LuSVNOKlMK7`}s6+$hf&vfl z1#==QFY*x5pzZkGV4a||fO%A21i|E>VF)TiLBnRCN(n@Rk_LzdmB=2wyo>*X4$g;8 z{DN*_1to`0$a*i2UeP05pje#(8650}R)U>#AjKJMgCcl7q;rl6*1?``R*pze1j*hs z21T2U3Mhy{msG6$2x&b-5*+Acb&uXH;5rpl1}T6dO9MP`0-84hF<u<^0L2=30SRoX zAGA84J4Ph}G-VHtvhEs{jF%bUR=14G3s*B}C_@7STz2&GuKNe^G?)v@k|0lav&Kh& z94cx6b|`2A6{ua;%bF$znWBI=R2ihUcMha6)(N^e;<yX=azDtSo8aUnN2Ei+_tn1y zuhr^?Bz%v?BcS|n_=U9HkN+=^!3T*D{mWh#l@E~oa}3nUY<vUp1munzk6tJZD||s$ z_kkSTJqH}Jh6g}{3ZO<in+Is2*LBcBkls*`L7>aLeY$xL`GBU)JS`(R_?xFQFfjP^ zhBJCvekxb<?Unh_Y+I}dDofdXJ9&P*-s{tO-?Q_GPv?mjxzV74=)vn%paF;kk6zX@ z8$juXMFo@*I6#8nI!(d{bhr8o`_te4gS?JZ!}@^l1q4sBL8}ckmxI^s`G8XT%cB4P z|GOGqdaVO$31on(1W-BQ(|H;!?b&$=lz%~^#Gaj>eL9bMcK-0`yzko`$>9rX$tQza zPnwrKEpK~v-tpvjy6w?=o4>^gw3@OLw7RD=26V}H31~ZVjY<Hhj{?qry{wU`kXqmc zLp&r=6+n->@c>mq-QXn-+@O5w;L+*L;n5i^0J#;!TLN?gh(~vUf=6euLbI)b0=SL@ zA1dAH4W4ui@aQdM1cgY1kL9s)S5VUjWGQG_7%2PtFrM=16*=E*`&Awk`kWq}GUs3Z z2dD9$KAqP+I}d|KLtaLL;^2ly=lPe9!E&Hk%3fBd^^lkV1q#SeP+109Tmgz332<I} zvGydWfdOmtfLvnX(F;0F9yDkHKAIk~;44O@;$<4B_0kKvkm(p`Jf<iTR84(&X#!r6 z_Sm!Y*UN=}L3g*_dHn$tdf?`B=N?E2(g|BY1Wl%mM?k6f@C#k*AOBxUK#pPt4W}U0 z7vT9TJ5UO3ep3Ki(vSgK(vShFTfyD|jemmfYVzpy_zxO@i|_!Ases08kOo#xfZ940 z9*iGAg%4!RyAu>)y|%ZOgGwP#a|cvQfQNDmUjO^=(OjdVz`)=82Ap{y<HO)J${;HO zJeq$n@%K()U|;}`pw@%=P2juAn-Bc+=sW})(P@6b1nNUpcrf1B4cZut7>WUn7=Vg6 z3Gk6eyq6$T(9jANHV6{n!N2}8sHqLEAmANn$hvRPuvmsi^O1tXAPc))R4R6JF)%Q^ zo(=LN_%!|suywt>%jbdo2wDIH8g>ECd%W2L8mmAasSS8(1d7;h=rA)VZ$VH0ZukQl z=z)%FfUaNXZ(9bM1>DBa;i6&>s-G9Zk{IihAkbJaxZDKEfvVpZ8*(7|MFN~(TvQ@n zZU?2$Ue+mRLGFUKLqLZ>g8~E;Obp=S+C@d;#TDrIKQv&DLk71%V_2XjAt>>H>Q7K+ zM-GmIJHVb?p77(p;ei(p37~PoQx-q|zg!ASVo2p_i^>U*bHL*%b0Fg>ph-24&NV8K zbOohBok>s=4YWkxn}va4LWAwnNYItnEe4=9gPpt!B0-Be0%gG2n;9eqy+_=mleGs_ z2X^*Amp8RQ8av%nApF)#{4GbBLCf2t#5?abKb8k|W%zf!W_S2@Ou>WS<@baMpix5b zo?cMUg6`0K!F3HX3#kDL0Pr+Qw~q>9^+Go&Zef$xp!Itor^D*#?m6JQyFo)U;ATKK zwC)C#gvOv&S+A*f1gJym=HbyPqXL`O2G2KiH$ZYU4_vqt(h~+1ec(wv@Rix%t`f+_ z-H0hZ4p1!(c4{Z+@S_z^Kt5<uxdC#TrHhILf6o?H(1_=25qM$M>jmz3L6jWi?`;JY z1f4z5&N#Ha4Vv2Ph8>mwS{&Cs2hzy~XEM-<3oq6{LkqlG5L8`&TnnB`0=0`kJ#X*@ z$sn(R!@Bu_JSbej39I=B8-Kew$dS!I82MYkx_b-$c{KlH<Zo|ADj+-#K41a49DK22 zcMKDUM>h)-I1hpfn-?0OPASqtBgE~xAZtCqfdy@8f%~Q1kPGHO1vYpCxx@>xH;@nm z7YCq%@5;ab|6iVjIE{_J1$4yH%Y0A-!ltzFx(J-zKxGDqApu&N^P=S}q#y#PDzG)+ zf)G^6LL3QMS^*9;&@dB70vsTqUJ(COP?jxxig4u1Fi@G&TksPUSmjmlLL0BMUi=3w z6s9Pkbddt;<t0$|ZvMet(%{kjLxR8650r*b0tj-)r%$&FJ1EN7!BGMB9V7v{s7UyL zraE4jz5Vsy@W9JPkb&LMVxjQ}sI&l2k-R(&8Vl+?Z1~^slHq}u-XLDD?Zi;fglY>o z$Gva~`tkqeXK+G*h`fCE`~QE#|Aq$)FTIokX#kZOpfm+e)QH7V9H6j+<Q>qOr86Hv zfealHQ1Iw>fE+;s8nXp!_EF)0<XQnxGKLJA?NI@ZfO+(msDPISegM^@A6~>IfL3JY zGJwnnH8#NM6;zvmsu7MC&DxN{8*C1!?*NK%usP5hH{pTxA_7?{IE8?&eF8g&N9DyX zEr>xJ;IbXk^#b)nG(ba9f-T^_x<@Z>@Lx#N9NeRaXX+^`pl&*-$OkD0<uS1Nol_uP z{a(>Ib3s+m6o@IE(BZ#cR%;Vb(;ZsF*nphU3$YpGIY@h+86*l?S_)#kD6xffF~H3- z$ay=Ugz%yU>UvO5cf%i$6QMoL7mK1m)3J~i0;B-wf!xg69l{7oO^o0G1!d?L=5Rwg zp%IH5Kr=N_0|?X)00j`ZThq&H1-7*ZdNkP-@M<Jb(*V>D_2?CyJqHp1VAY*1D&V1s zUe*jAqySI>d9@dMx>+aa3ItG_2UJyp3INdUp$spIZLkMGHPm&W09gMUIRF+$LIMC% z;zLGBzzGF3L;y-vh*Z1n9xT;@E`0;J27X2ks9b@qLU_Rkw+tzuAeB9j%8LRGXh4CI zHaH!0_dqHU&{ZcvFj@TR?Da2@AD|;g=;^FiwC4jz8ggqpXjBnwP3Ig)a_gLep3XA0 zk%HM6<S_#2jK>;#FpEH44+>@vuoGeF%qjvjqQYYE<Nr&0(1;4SnG7dT+B4uAG{Nl| zZcr<v@eO$AOXnK!9rmCuB4~^Wl#e?=H3z6YbAuV&p1B$TZqMum)gYa`7Xm=-nMPr7 zdu9Sith+@8bbX#jC+nU7P&*GYybg*6aO8tpNFcw1Obi9LX9C4LFE>Au_vpOl!N2Pj z`?tfO=FBHha|Y@yQ1?UNh22?5x&U9+1FmPfLCwuh*sw&1iUugDgHixAR>94dURl+j zplF%{Io+?<wCpb@187JMJTl#F+V27C&)X&_g9aWz^E4$Y9H21<ux3yb1eEMS1r50C z4k}?7UKrc_1r_mkKp}&YIH5x#po|Pk5FodJ#)6<Vv(Gt*55VmUaJ>n>ZV9%s9^9bs zgx2TKzBs651!@q1{o4t0TK66mh_RsaNM5~$m<V1_La>B~WcNLg0WGu=z9tG$!b9q6 zNG65lOK{Z!c5|nTioh`!6$!={e=dM*hZZB<uxtX22XL#X8#MIM3F>2lYASFc8LkS+ zm;%s9f{gUHKxPJdc`LqyA`7<X09p(|Y8t2-*i=ww3wRd6qnq`N8z>4z=PH7t5HTd9 zzYf%MfR$jNbLu>Lp^J*(Lo%>(4RnVy!;5Y+P=<pR)Bg{E(k!_9NK_oZ_<Iu)9AGWr zrfUB^(4HL7LP%&)-pe}U8_0if?$2MK#0Xn&#L5Kr3GZbEkWXN#pTM~5GE0!7q4~D) z2q+sLHv9&jn%)I!EA-l$_(B>Npltc#x#y4nFVjF0(2V*r^3VVOPz{}b{{Me*RQt#O zmlwdz4^ZHNdkoznDjbHlK?9kGJuDB`@_Tff-14wISNi@KXk6<sh-5g7C||(+ac~Ah zxsUCIR1_%kLG$}ADjLUJRMfpadU;i+fNCYUZ$nhn!L8XBZ^82ipytbQ1_l-e29SDR zk6zZVlR@f1$rH3#1eB;edf}ROAZua}0Bdsh=w&?s*92PJ53Y53eLyyW=3kmoG%+|d z+iu_k4WfvFI=C7hove?XK_Qr<0=o&$_99pSbcOtMk01YEKYlSm>&O3>zo840pd~I! zc$7t8Kd(jy)XI>6Gzwx=48W_yK>-As-~YW6bTkxb0T<%9Bo@%vF!-t-*eypdM6`bV ze?19fJ=8Q%F^jSu7UUi9p{5RomtISP1|1YUdV?833=7cUhl5Aw4Uf)`9?id4YJETh z+Xo-9cyyOAad>pwF!^-5aB+Bai*R{ZUN4mg6>AEhL26Lp4Bei)X74A^8b%3*(j{nz z+JLyoM<XR^{`mjW7k&K=YIr%Lh8M`~khT_h9SArEK_eBMpgj|lpa)rlcV-};B=u9{ z$N$&Mq45DSLI6Cz0P6o)a+UJ)Z*$<VaN<BcMh&VOEj-JJJnsuMtN(%t?w)SYHWK8c z^IvS+28vWr&O$pg%@^cWJm+bH%N5l1ZJ1|zgK8@Qup9JNLt~@F4dijq!H=M8jli?n zpu-<EJS?3Qu$`a>O?{vk7I^UkJO+d~6>Nq2kN+=|Au)#%Uqqefr~p0BQ3KrGrPcYu zEFd>RW?ezIxLaEDw-R%{FleaG17-a?^n7IgR!9dPJkAL^%n{rM1vN&YV+`Ojs2kc< z2X%5m?IuVq4Qism8}L3V*iROQRJc>1;}Nit64<Ku7ba>y{=f7GcamZL0iW*Qsr)h> z5)+Uli-->__kSsY5&>wnUx^TS=|m@}V1-}D^^pbny00ss>jKUrjjy6@6ocGc76cg) zX}wh9<N-R%9du<psBpDBQLN+9EpphSTL@&Q0c@e%VGm0I&_X%zJ!a5_awmO${C{x- zMC8L<pa8l_Z3)N)^C8}XlL-HT>zB!p@(tWig>7L2yNtiJ2_yw>Pqkhu$v}5q5ZHCS zHlX9*R17?z>k2>n^s;<zw%x`7D)~X{3J-g9ihKr*7((0%8pmGc1R6d+4w`wL@ATvU zYw)^4R*>@<K*VZh(DA>mDnI_e41h)#$T$401t4Ch^2-e5=sE)m9S+bCe}jkRi6VXv z5EIl>V*qg-!Q2LLrboy!9AE&;a)W9Oa5IeIFjyf&1IP--6L4|H!yce(KpKz)AgeIp z!FdK;R)FTBKn&=TH}J)Q4GdtVAoqb=mIAQj^(+tZ_f7<dt;|J_ZsYw73=9n(mj8-M zJV6aqu-knsj}<F=G#&#<A)VOa(P{j8ug7s`&;Sy{>&>1Zzk)sIV|lJf(-XWz9OOA4 z%WK7=p5Qg&ARqZK-h&wOdZ(-5$=6#D3SYlR*!g+`+`Tx%vm6{u4IukL!3zy-&_FVX z3khuoa03@9v_TDg%M(RJg*M1X3_l3R0wVmujz)0FjF0P>@xkA;nSp@;5k7e0WG6gM z)<fb16hNRj$$$hkD1eaS1mr)YIDteIDAar`?-gl!?g0(DgOY)d<+EZ@&%GcnBpTmA zqp=MXjg1I}uiqo=dA$MQWbk!$XaR(l|FuB9&E_Kwp!uE(&^T2EsMrNL#^X36q+##K z0i{8wHZU~X-edvyQ*VO?_j*~+_JTSHj-U<1iZ7vUN=Q-O0_|ggYH4_X16*JtmJBGo zxbyt~e~(^PR!4~57d+0O)-7nkOJ|Krf=90@H+Z>0jtX=XP0bh9#{$it!0U@cpjDEf zZLS9dJi045e7YHZEE!6<JuE9ZN?G@T^XLD=9?id5YwkF-eB*EF0;S4sM-G0JMVtDo zL4&f8sSl5C4%qrlK^K(>{_vBa)tx6l@eA^(ykJ`mUELY+iC^acL|q4KGNU8|h~L2) z!6*qH=K}|1vjq!(D?iA><_Zob{#F)728NxWB+}ud67JE<x)ORw%L@S~kl#UzOSbWf zGfFamR;Y(}Ue-L-A;7<lmz7bHp~Hi**ZchUn;jlZ9>-Zr?8O;CgJ~YeSqq`eW?L&} zaP;(nQgkP)uRW;I4XstX13`O$CD=;c8m$tGa*Ipt(i*E+N>WPAnr)du+DaundRaed zfreOEwJkt8b5uY_z&9NB=(Uvs3G}jF*5Y7z@yrHPa5vkYWdarSpvd0>(e>3_oB^}~ z%=V2rs6WX%7o>UzNcCoqUfcb4;tVfV+5GqqF2r|&nw>AdgT{D4L-L?KL!i<YRFDdQ z7%v3GA>knb?&gB#tb2KFwuAb9pnXt~eMGFnU}y0@5kl$iv8u6wd;v;(prJR&+8dAw zpy6eZ4WQvo@Mr{RDH6krtLmT*AS9e#J_fHwsswrKT^BpUiyxp#Q|Z6||G#)A`{V!1 zo$$p1XU;(CA5deU!8ZB71Oo%OssLF4t_yZBFf=gi1kF5p@Vg!a$$>WwAeC2${D@d@ z@C%eyu&*~TJn)(m)YS+8pOFANbOLn$`C^bJ$W}kt#J<cyQ2VpOqgyo23>2Bh;FDOp zjX>*VT~s*0H*oN`K*pG%YjK+^IT%4(oWR2k%&(__+L*^&R5%<wx>*HnL5A=$fhp0? zHlTqi@LF6@`wSGWFV;gZrv$J6_3(g=%z*3P?hw!hHYtyTuh~EYG9W{>`M1gH{gYtm z039wI484d`f`1#Y<Ua`p{%xYdAPUs;2jxFO7nKaniw!>=Brkox#Cg#Jw15<}a<%m& zf7?M&Vux-T=HCW6^18D`C87hgbFTR(6Mx%OkP1j&!AXICo0kSCE;2em>v?*?JCvY9 z*N~#lMFp~HO&;bZkXI@^IvG8>9VI}6;*f!vC=L()^@l;x;Q;P(I!b`9WsU_UXz*yu zaR*Q?X8@I`APp~_!7`{b@;g8_zF>0(PoVyH0!^THO8@x(@(FYT6_Gx`?KM77ETi>D zK|4y1GlFUi(EZ$?1w0<d9ifG_4k%6Xw;lp{zn4{^1728vc?>CVJs^cOctJlXw{(Li z^ufh6X#Ed3S}h)d*6M?|mUswwG*^I25KCAIQVA_V4*rv1U;vjO8`MB~kM*T7D80Zo zWP0@4-T(>ovQAXvV0f{_0#br>gLQ$jS`kFoW@BgxvI?R)8l<`mq<S;>_V`}bsCIUS z7b&1wX3$cI7g16_{=d8m8#Ms6w>TgtUNeCF1{pR4#Wp18A;PoQMdblxeNO|ZDr<ZL z=|QYf0mT()@D@yW!<N6ns)~b<_5CbMAyox<Y_Hn`xvt1Stt)OVfz}lqpZEnql~yn7 zb}dNYzmT(mlyDI38K3xdPIN%n37`0NE_4Ji_VNh!dLDq4_(_)V5<ecwY_|3IE5X3P z-#Q(XG&)(WEyWo+LsVQ054`3DCEEy(UPs365EU0tG6c0S!7GuXz=}aBA2u%64Js|5 ztF5|4b&WuAhpoUU6#`8)fIDW;^!<7UD0n^K!rkD5+CdpyzuQN}r2{lNR0_TJ6Fj&O z0CJZHXmuk<k%LD!?=(;$-Ywb=rerHX6l6vaJh|?pqVOUFe6OXCiUBBsHJ}v&{KNy$ z_LVls72g8<+dvn5ho}^EfC@2i?(YS!qVRxp-J#c{cR&hz(76Q#pi&N0W<y&#u<Wk@ zcQkBaFQNp1#5<w{2!NIVFFT-_9GrR@kAM;tsP<f9F3#{`y(#FDgn$44Lvp=<Jvi5M z+JkcaWbq&WU&cXmJ)(j@q*rkMKM$H`XnvCcYOf`L+G`1*@F-|uXts6y4NeASEDQ|% z0<2#+K<j=%tY2W318Ab7{sAc6!A1#Tn^D1cCV<Om&<s8(nSh%uouFX1y$8wq1^nAQ zWcarQNHc<pU=PVlpmom-9+w}34rAz)O|uqfXsD25DB<Ma<{|O_V26hU|27X<XzL1^ zWiBp6$p{f1y{vswAP2Fiyb!hg4B0LNZcsHJ_zOxR939{T!VCDf1xR!RGI|_m)v^`` zZDwNdIL@jLWj5P-`~rJC8Pt^R<Yl%7rQ84kkN>B3g38v{Y@l_e{||ze<M)_?)O1I1 zcyt#CcvyboZ!QK2fHqNs$_fwuZ8E|QzmxgfTNxP`8h$77w}LZFx9mPEkfEmQ!IbR+ zD{%&&UN=S;{%syoo|ZStB|LiL7(F^=`>n(oTsnBnJvw=Fti%~!w}KKsXyjDwA*j^? z8WaMzY)e!GKs7UTvU}!Y(3&t%uOB?n1-bo6)Y}R)DU|aQRH&+Zbh2uL?G8~1Z~<*; zbob~y=h1l_9QmN2@_1PZ>NWM+vRH{Tyl^-E@&ENDkWX5{tJk_^-&%q_@D5DbzPChp zK-$ytN4c~|ZylpYr|mgQum_AiI(ZjciZi@C`0xLJm>-fJ!2AGO&;_2=>8ycG*k4_Q z%@6ICAU~}50rNvT*m_hyfOjJoK>Sb!@q>e<IKzu(BZwa|`CCCfS&wd6O|WiLBQRyF z4EBRNI1*$$EkA(#P|oPlY03rhgSAH|?|TbzhL?Z;BK$D#K8hbetI;}3V15w9>W9M? zAU{0$4)eoeP~p^#<cIU1B}3idaV;MekC#wC)L4i!yx4CD@k0@RYdNS**)3ZN)@>RG zrflOaK=A<agCr;+Nqh9hgA$UP1vnn;JUV$n?c0}@NPf6=59Wsw=#lzJX~}mXws`n& z4)TKn+z<CbjdL_V979S=P(SPdm07<HKz;xX;Usu8AISifWeY&sI}d>dbM6{|7A0PI z*#(*m>E*3k09v{UD`0wg^H@Nwa!>`JG6Pc66}&6~&5Cqh@aX*bg5L^M^34?b@&BdB zZ^$-nk8V~;kn!C<DjfXV0%bkAIYG6H0QkI!&I{lpk`BJI^<cc<aqtH-ND6#90Hkt1 zgFN2c3qKF`Iw+IlIu91MH664R4%EYg?%z|H3##8)w}KnayxYvg86dqpa7|MOo^uuO zX#UB_-<A&MI576|Fo8OMou@Ppww&bexyZ!8&>O(i@XNtN^0tkGhvYZ#Ccyvjz0RJB zKRkOY{yTP_=)CB0`MHPU{mz5Cz}-2}7UfUiDgv~i*Q3Kl#SJu@6X4R}qT+6N@^uwx zrh|W*j|6Dh12_ySI-sMdz2H6$*2ZiQ^lDM?#cq&k<Uk3JZrN3);tb&BkBk>QIzi1_ za2v&g5p>6s8)$ek0JJ37!v(~52hGTW)=PL;-sJDS2W~`wH_AZg1iMX6gQkT+rrS99 zN}hCV_yO7Xec6Nam&f-@KHX|QijRCdpL#0(={)Fp`HipQ8BfkDzKVBUK-{OkidTI( z-*|M3`kIO}_;mByfhkda5Y?%o0&XsVmNpCcfU7F-B4?|EkSYqYk_6l`fb`%&YdS!+ zFo*_K*B}~Po`bp!t3az^wgqr_bW4C%=XEeJFmymS{()l^GzAE%cRacy1V9Qv26e#Z zl|}1J#2Gv+Pw_Vgf`Z`SBNqN`;8kcM0-))0kYyc^b>JS|qDe5dvLLmvbr#?wCBaie zJOcdNLYTmN@<6WZfUZpIg|<&X$N7P}#*lWsi;4h<@uC&#X+&gpGJ?JCqLKk>Wmb4} zvwj3Mpu0m<B0z`kg4dXX(hVpEL90gix0Qg>j}0>@jb(t&J}&U+W?c)?V|jtUY3ASm z|6j*}PL2R?Hh>=S*DJ%(0NT?2t@9wbFXk(G5|p(6JA(JtdtQFzt9Z(@Hwc`lZ-Tel zf9gEA8<MCWdnn#_={(>FPS`J%K;>cQ#TPtgpaSIL3l=ba;l&?Q5dXr9FQz}BN3Ogw z1-FtO!v$_a1TL9^3Xd4RAOBynBYNa$?Ilgnj!x|DCBaYNX2S(Yd+Eu4P6mEKP<!bW zm<4Vxoxcuhp1_JTV%kf*S0Ra!KznJMkvIcp18Ktyj0RG!AjkzQDlfk16V*WKG=evf z+Mvv4TlJ4%pI!nLgPpudpr(B<t1!&uS^AKY8GO!D0spo@dC+iEpcMbMNO{d$9RZ+r zmlxD5kK?TFP-e63g%4n3v%to(Duav#jWGQ`<<Tw6Y9!78ZFwCAx4f)Cs=5m~Ji03d zJS>0lH-it!fwa70R6O{%nTR<w{7dF<Z)ap+aA^1kZ+n>@G6XeUY&RQ%N(9y=Agb3N zRP6^!d0Ku1wY|XA{$xXO2G<S|3y)6O0z+|z*Uc^+Au6D@*Q)D~`VzFV5M_r1sC_Ft z3tN5ZZwRU{KfH(4`TAfdgs6ZoC<T>-Ad@@Kdv+cGwOa~cZ7&W(afTPCH9_?y*e|Vr zz<mMJ4+dZ#d^7<2;1h^~`as&(@?g2NPp><pPbcd|15l+eV&~B*yUakG;pIMX;|pX} zFYD855Kn+-ia|M60OTDBP%)_RB4Q>sPjnf8JfRKuL>AZ;7@qLxJO=Ruw9<Dr5NCM7 z2J-~G^<}CJ)^4i<a(y?eE{KA9LI&9rJP1#G)E8%X`4il7fp|gzpC=~Iz~+gg`XEoF zy@SQXQhiWR67)o!zBt1RN6=jGC5MK8Mf|NrpyH<6G!LxZHeVkc6A>V)*AJYQBt0#E zf|`)vX0W?HI4v1?bjpf=JYj<5iRi14m;i-8WY;JtI-oIeZaOwkFn~R=@GZ;}5A?8k z;v76VL1SX4o;bsc8g)=|LTP@1#Zy4@vpwKem+b_Qd7UR-1c1a8!D75$7NfSrSbl>R z$$(m7v-%+Uz2K!3tR?pIMXLd*Fy-g`@&DznUyzm<qz~%R&B_Tfo+|CJ36SxYi=a+y z;~UVbCD7u3@EVuiHIOCx-LU0KXyYwYCWG5!OLaj($Gc1yr9D;wD#N!y+hd7f4n})y z6Egz?sII!y8^Hvr!*I99Zi3rm-;t}X%iwAY6xGo7Sd0qx_854CgP8W%p`DPb0$hcI zE7@*vdu)~t-u4)1b9r}-3aAuvQE>+iMuJzOT3+Pu-47ba0v`n24c#T)ZE_0K9s`+< z+#d7kmh<eq<H7mc<NIYF#oNA}cRdw<gBoD3eHBl8a^Ch;e2UZ_d+pIJYpVmwF}!+U zN>m<1b;@A2$6{0zUaZ;<@)u~N2HeK!26b#ZTOcb3yQhGc)izYgG4Qvrf}GneyGa|= zmO9Pfya2>M_?X3`n@0jRIK+9(MMZ%5h1m{}nXJ=6O2I1@;mw+4u%-trpk@ttxnZ{n zvxnh1k4_V2k8aj9kOs>O{LLm%W&GQGm?5p^ZqY`NWVdM%n6ga<QJpL*9iZ(ypp74( zqE-Ub?pAnleH+Mnke9%|>D>c9bD2N<fJblr1<+6obaF~XA2vBP1?*q=So9XKh7Q>9 z8fYJ7^KVA}HqdG^{%u7P9^F*}pwksVtxSiGEzl#RO{Z&tg61B7b1bOoc<>nu|F#+< z4v%gVBT%e@nwbtAd%*h*Azcw2aHG~k!lOF?+z<t~Cn0M``L}s+cyu#>mg9k1=N-_! z%IJr-f#zL6BVmvU7<q71f(L_ppqoxHTAAD+H^QT15on+pyy*b4R+N8R2`IeLTA9Z+ zLH@eH-*ouT|NpNuL5JLR9)9Tqt}UVEK;scm@o>1;gadRglZWJOXp7TB@)9T)|A$P4 zdR~6$qj<{W|0z%}>La+pc^SDEbsf>*bOe=)oflus)&?~&FTR+p4QpU_f<-=fbl!YX z2NMKw3c=zRUt~h*L@<5hMI=no3x92J)6_#7RCr!u{_+1MFSHvADLhg7qu}wo9iXBQ zdwaC;Ik-6$4=TO{SWCXb+M`uq7PvjS|179ANJ@LO7CMAUpgr2A0cnqV9Q?_QI%p@; zhtVdz$p&%-i^_`x=rUBuh&5>B?nDQ?6)DjHZaGG1z#F8YP-e63v}a(iLPqRZ4K+Yz zdLU@T4qV7W+l>dn?MBFmT_lG`cOhiN4$|BNwE#L@K<!2xBX&>JL57-M1yi>7)IkF+ z&Y+s!Q_9ow2dLFp4I1#;s}5>&@yK~}vd&UR9<eJq3#sNor2q$LfJFdQ_CrVPp7&#` z<}1}fZIxY5Vby#j*lwheqSN5E7HEP6yuB7WVrQf-&hTQYJgAyS9<h@K>o%1EQ?|0| zAP<0t96eD+?Ea{MJz(t7$$C)@ZNzTF8JHiijMyn-^}|**kRQH1f%##o8Ymc%{BRCB z+6A87D}ar5<*A7?ytpU_@dLcgC>sveZ5jclY$MeWevt7*8g;Zl_(2xrhri(FmJhh~ z{^m3uKg9Q8i-(V@AU_zv{cus0C_k)H6=!(C3-tqH#BMfNx9J=(W!tI>@&hCxNqRy? z9g9JujwPz#c#!w#WOY;(XFwUT(}4Q{Jo*bhud)O->NvX>n;&$+e#m<aiw9n?^+@q> z8an!m8V}D@#2H?A%7WqnrO9{>ByI?ryq*jy277r;XQMU`SwF&>ht(~x=3)2m|NlK8 z19fR?pc3xGzwiHFYNM8L9^I^-DxmPC#&}%=q<#1XR7f_y0ncc5t^v0gK=X0nai?zB zigmR1VM!;refU%v<QCp%$|&u_$)GY7);_EOnch7IyzrfWo3}jwHU~z=3!v$?&SRPv zS`P5{oMvWV0FT>2hwNN>S-2o0StmiQs7sEWr#lZi?g6bT@x1)RSMiE(Z-~l&aEl2v z0OHbl6Mc{mI>PterSm3e_{zhjBSys)RG<Wa2KT@X(Myn3Dwm&uj)&<D`wwb)MDTAb zmeM>28k})AJo&O8TDii8{v<%PIe6p>a;*nwE(@|188l!7n*0D)<sin3koBM@474f- zx40p#%^oF;)@A|djC#;$A9(aH0@ShsH8J_Om4MotpuPH_J?bv}+hSB)K{tzlHg@<} z9^~(B1~sxkry77-rQnSc-7=>@gJ&T793hQvPyinA>E`zA{NuxU-Q)XpAI0;&oo9U& zFS>Nz^t}AiQ}Lq@=Xqbnr=aHOQ(wiSKAi78x=m%3K<!UfJ}|}mUlFwSzy{X-^ihHI zhCyYu!V9gnAfLh;Yu%y;L8?K6byZOJWq`sB)V%Nj55S2q!MxZ|4QiKe0X4R|O{>7# z&ha-}gSCMUz&Q8})HpTZ-xdr$3%~Q?!ADFTAu1f;Db7h?d%+<L8WJ@;=+V0eJeQ2w zPW+>SXeWZ*(JjTlt%w5@RH!Y$7?lhUa6@qwxMhcEC_>tP&{I6RS<fke8dNv<o2x)> zKllJP9tqkN+X6m7z5{l%2qgdTZ;OzJM2JV{`Ocf5Q=Klq_fY%}YJ`H?hoH!H?||+o z?k-V@@KpQ&I%ELUli=T0ArESIf}7h=KY~}WgHj!81M=P)P+Wk+1iA&glL<OZSpgc3 z1tngOZdP@W^T9{AS)Sl;2G8R{+mN8pfsIs3fHF)4sCf*Ytn6leAP@5O3I3+%pk`zR zXz>MX_7z-KG#&vJA%|UhS(rfC*F*Au!!OWqv}5OGN6pXB1PMNw^dwSlKI7Z#qw*g# zD(`vup|9d8m(H8u(M@pc@{`B^Q!bqc!R+gu2Va5?41VFE_~ZWzXGKuE^5zR`#UGG? zOHdP14=niMg<?@InqI`9eey+;rqs1Qo2)e}4b}@)Nk<jWT`-UN4Vy7B^^$#=_$` z188l!$8iTxu4M4&<<&R>YLLO3g?QI;<QxFS2y9Wt3)!FF|G!3FFAuVU1H8W9fde$V z0-AUM9jWdCx(4FlOIy(CJ@APa&~8o!2IxvxNRt=g{@xZ91JHaPcz@hk(2ZJ+Z@_Df zI@drCmxI#XEh-V9WD8n1a0+41MNnfDG%cIq(H+168dnEJ>IBs0X>Bu7^Ax(<zn69U zVQ8bFn>Xf`1b7_?hetPW0F*88Lah~2>4Hm8$Vqs-MqpWJzo>f()J;(w9=)c=Z9(RN z?!5;$q(IA+p0psD06P8(G;a>^Mj!{M>@G08{aW0kw}=t6AEdhnYFvm)fk)?O570oU z<!Aoh=b#e36Lw_~>-w7#3?9w@{+F<O%=GyF?=`E(_Zx<nJbHPb9RfLkM+JN(c<&?z z0nkD-SdId1!~mVe{2~DCCh%%3=+RugqCR4vlf*<+UTl_x)ax7|hk^=Zk4_H`k4_JP zW?S~#pk{_AsMH3nhV$qY05L%;7d$`>Rq#XyGx(^)IgtIBy*8JdZ7<#e>EiV0WVsB! zqwmE<kstqGa)F|}^EhZR2*|7#dqhCl;>YW?pfVN|fgT4Rvw;da5c?D8s4!3#|HQBJ zp|eCK1#}<_=!8K~wE{Xh(ADsytKlVA!`rTgPh1V(x*C3a&FuOAAm|dYUSn1U2LEoG z6Q0fW91JBdLC0Q#yyepg*_;PD#S&DK`E+gp@6q+?Tmmi#eL5kBD}n0a3Xn6w(GS{M z*A0z(@QNtV4g??2{5GiaRd@luQWCtVs~fsU&(cwXzjYC~Eg=Z<8@No;yaiefmI2xa zQ~>e|C_#Yy0`e^AkQq=y0bN=KsvLblheI$hFue3*WMJ?-_y9bFQNhT-;MHxy?A2WZ z>NmsAy#z-wXb%%;#{p>h9&}aG{{x`d1XaMG7z5QE#Muv8{RpxjwA<FFdkJ{3!o%_d ze=lh9F{pL~)i0jiJO@EL!9m3gD8+&n)pjQe_;lxU_<+I-w3X7QGX+%nhJaeLF)9i^ zoiU&tq#-H>pe~aIr~q;B>C92_IOd`f!1baZbm~Vp=$<=RGp-XfSRvri?I7S`d62(- zDtMM)4rF&_cd-N_4Ba_=_}3o;ZQ}$xjqw90I6;$izLqEWoA&<u|No^gGXsOC<`tjL zN1(z2TsyU1;%@^DN%*$jF46Sqglsbgr2vq}JuNSIc3$!1_qhO44+=cP1K`8sJbGD6 z_k%JV^jIa(P_Mv?PYtjf-woLuZg>fFGN1s1$NxhfmZxgIAV&q*_HI!1-Cd%BGbwm> z9`fxx4BiR^auld?1vydybVgG567bQQKHY1;JJ1aeyj)9GIsqLu-~+nR4zff6RuD}A zn+{62pvgc`g3SO0HfUWJD8Yg%W>5%&6D(+&1Dfi)Ap=|BG7PK7AO{G5(iYe^Xuk02 z-U40~?g=``?G^(AgLk*cPan${{OzC}(x9vhaxN%3KsC2Vx0irNcM*qAH<M4dKO)hA zDg^;g&7(e@?>xWX^*s33-c#|Yf3J%w11P2-CoO^6f*L-ZCD4=z%E}I)*%D|<WCa&z z(3A+a3oRvrN+nR}K=Ky$ln8RGCt6C32Bkz$67>N|6ms}jeyCLf<tR{ydTJi?wfx}A z@ACmvDuKe<)$sqz_n`9%yDfbgzx#Cl@ae9U@aX*M(fP@z^KdtoA`uiTpmNT)^OtAm zVOZt`B@;-1Z-JcP&<#20;pI8-?edU4)i2{gJ-TjawcB_ER2v`m=>%WB)gl2Zrw_f@ zBLUi-^YX<NFb$T<gi0+0(-&SuN`Trdk3W3>|B~+q@>~I^1%Rmk!Q)->po$&ieku=- z;|$<HKkmQ*n$Au;?f|OMdU@~dMrp}_?r#7U#2(->13cvqK9>``G;I-daMHu08?7&x zQ4Q(~g2!1LAY)S;;H8%a(1n>NAdC1NtC89cpi$~xRyjtH{VXakT7{ssC3w|`0n)-u z4Ny<91ASrUNq+dk%wteyv+erJpc+!pqmy+RKd4&@yXMNH*LEtuIKzttyg&ZGe(_@F z`|tl>9)T`kg|@$-gSD_CA5@S+mbjpWuP~@@g0g?Z@W5*sk8aReXjP!)$>%*7KYDb6 zxS++-y^f5aQVLYoftP_!0!0sK91SE2N{=4hA_u{(7tnq$*a*Kzx9nR!kOxfffhpVP zAPTYq40M2aXN`)&i_P;v4NF9~3vvNbLzN%{e~UQCn(i1-L1+v*2le1P4p5Kd;Cn6) z!+$=V$2||e;Po{8;L-Wd<KTNyAH(CGogX|7zT@=mJm`7w1-GZ+2cOP!o(EqF_!=Jc zIQUN1$MBp_w-bja=$N2Z&=xksZw*xf4E(Lku-hkGR02TDAQHgKbwGu^N4JFwXs0Tu z4*{OIiSPg~4V?vUft9E@@Na{y4|P#V=m2dn=mjr;#=4e<Z2`!$;C>CrSDnnD>KBy5 zK?SM9%No!~cJp&akLG8Lp3Og)N;y0X|GkX-|Np;d^ADC%7mth2JUIWo^Z>P$ntw8u zX@b`yf&vJ9x*KTd2D0q}JRbVug$TH>@K6NWvv_eG)TruZodDho0lLD5_2_QU_zmcE z^J-Dh@fEIbzyE)E0p&b%aDMy>@-DXhudLSRB^W@7&%&dVRUFI)ZIJHdl|C<lwls>f z0+DZYPIT~Qo|9kz4`HC+u;9_jdi9(HgGVo`+73u{>e0=58YBYVdECvqAIcVZF|`t2 zx$>?Ai}FhGf;#!U(!8M2yp#)|tfb@7$@-f|oWbw_sODv4@Bp=ccwh2>x`C{(c*Gg% zUxLC8av_9AukCprafTOGTtEK5KKsJ>&G-K=-$8R3sHB2mwEPqa&QEUwK$AKip!KyL zpqK^cmu~3n4d|q}?h=&@(5k2kL|y5lQs4uc2Jz{RQAsd7@Uj<FTmL`f!+67|^Bqi? zXXj~9R(9}heZt=Z+WF^Wd4s?GHmJVuyy>ZV*t7GihvqT(Il;$#7_a$sn|??aWAN#= zeV8uB;MdEd<Z1bXzj+QL_{v%qMqkhY?9Cv{4nAP<?=E5I@U{Hx%kO{Bzng{K)A9p< z3urnS)J`)1wazR+dq6<dBnOBm@M4b?Xea{IWdxV3-Jp{vU_R}xQK<kQ^$7Qvg->^i ziUIf}_?Pmaa|l7s{|8D_y`cM+I$2csw?UhxpeTOfw-*$xpy3e6l>w(bG!KGWs1hEQ zH$C|MPlMPQ;PYM&!!LI`3<}r?560i1(-8$cEpL=YfND8NcLWj#KK$z+`*fG6X!tUI z@nAdwVpjNcLuTbbbwz<s=Xc-EAD*2j!7lggyzJBY$j9<ftqZ7Z)9~p{{{ONBlt(*3 zdnY<&V5et-rpH%HA_a&AX#E@b@+EL|M}UvS1l8uCsvnfpJUp6@1RVD1y!m3W;E(?< zpt|(q3kyL|9eMzCjioM_dGkf9AgIn<|ML6)mt81pywTEMC#a-EIe(FV8)Rz^B!?lE zU4yS%g%sJK$^uf{g74(B2bs|cnq%yaQ1Iw3&;TD{-(4X9ih581RRL-oL5`*bg$H<C zMdANtQ0|WapY8}=g4_*i%6EerP~CgLjW(ZdcMedAta!q+^<)XRkLCftUKS1?(3$n! z{v4o-30l^Jk^(FuEPwL1uLcQNp5|`{m$TihuAJfwpc^JF4}!01>t@vf3*7hke&6HZ ze|rzb`=D5_@L@deVR^h%&7+rv8x-8&$nV?&-P{d5Qyg?3fd}MRM$q6K>^xBqQ1dVV zJRk*1gCL&3i>u<GSOv8w!1vsCgU%l9E>ZD#J=df2#_KttV?7`7gIYkpUYz3p@&Cn9 zeo%b<fByad%P5pG10}w|=TRVy&w%Dz8$b!r!lRSXqnEXRGo(2Ias&9X3<pr|7XSq` z_{c}_^*sR~F@+bG*MX`O_`pod^Y7sED8M7Qppj+}1H7jU#87~bx`50A?=R*6B~<~# z+ph({=ZbXOd1zaDblQQBEk9iI8nz7-#r^zkrC7>47nKOmeu9suz>{<uOyK<lEiYm7 zkzHVxg8;t(Yd?gk;L*#g0`@9=3<{LxJ3*}%@TeZF<yHU+2!$6fpd+ObpnW>1<2h>! zAx*ak)a{oJ(3Tr?`(-<JRTikK5)rCA1i<Y$)Mg;mFsnjnb>;wGzU!i*15w+-I{CN+ z!zX?L9~FyF{5mH)c$;8+P<!wss2!+zvBO72yMs6VIH;Nvy$?Q^MnvU>8^`DWpb>QK z<^ycqJ}NqzCqSu!ql1xuo2cz^35Je95X<<u1Vb;2K(E93?>9OeK|4ARvBH~?`=QKc z+l-Uoi0B4orB2@2te}<{xaSfm;n7^FfOR{k2}lokGnO*=^k>$Xe_{-X0?VV<)*B?y z%gXYRo#BNzGh{Q?zZ0NZ7PJ}b=?BnGF;=yIVvy~evJlnhKCm;qc**qR|7*~8P63cp zI#0Z~!35pW>Cwwu^9(fJ1WO0KygtuC4HHnossz630(2_rS<qI>#ZSKff0>6;7J_PI zP<rNo?57kyM(KV^=>4j0phS-SepUW$ysMAGnlH$OYp?TvP`>mqJPFEBpu+S&c&CDg zN9RpYzYtsjfEGa?2bZ?s_<+`Y-7+UY16+{ipy=a2pn%7=lZwA352P1%f;4#RB`?Tq zu+C2A*X^KvN#Hfl9^I^)nL+W+y97*$P6bh*K|c?0-U4saGlbq)1U^y{JRH>xYL0h; zOFd})06Jp=y1Ya=P6K%}rau2R*@Z`-n=y|;jRI}P>^=hCjM)aFAe%80Kuzlc@B(1T zOQ0&;!$a`~(x%J+aH|_M_y%e`fm{Nf-RQOgHNF!-18NzN#`kP+l6C<#zMV8Yy1f)Y zzA5Mc9kmX-g$-2Np>50RggFk>X@P_ld}AiKqUc6!%R=6mX$q?GL6`M_Y=!P!0~rC* z^AdEqH)yppxJGS++!zaKeP?lkTi?l?pc>)r!|(rJ&Ol1FDCH@U<MkEmK-B^)k3d>R zxb|M|S%Fe5<UXY3c)bOvLjoSJ*9DE#HNNoxCD6__kg=j(DBaxxIp31tcs+O!jDP(_ zXc2!1vUBoO_Rs$lAVusa&>RqG*aDR6VcRC5z4OJ{&|(=>Xv5F?y1N?Ik>G7Qgc#W` zgR%u)_~e3GoS>drXAAhWc#m%02(WAqr1j7}1?qb6$o^YZka;F5py5i$GLIKr$R>b> z;y`I602C15TLD5;&`0(mayUlz!RfP`_3A+!Bl|pH4`Gb#gEJ5K2s}_Ge^HhL@)dMs zA3P-0D_Zmql!`=DUfg7aj#Yph3egA~+1EV`D$v0r`(Ry=k$s4pKzpA-v!a&Zp|ClS zVI%O!{`W&5T}UJQ-~W6^8QBM!_2SW=@BckIp(FdCacj`|?tRNa3+}tOsDN%_F#P|T zA5;Q>M!P+Fiv&PR7NDc?uz5z0Za46~NT307k8VEDa5E@7di08J{tSv45tSFe7(V~! z7hn}W&kpXNazIw;3!Z0Z;1_h@<riQTgD_=5-Un;$_5^Rz2Ac^wSpeJ&1f6rG3(om2 zDiR>Z|BIl}e{gt#x{*DQLmMqm@b`fG<=xOFiw7UDfm)!Tv<#lE03}yY)!!MSk^&Y0 zjh}wv*SXON>i2znDaiu5n`;Vq=GV8^ixJcVDFAf}D?q&%(5RP7caBO5Xe1PrxO_S< z_;h~s>3rnU`5H934YJ3l^FFA<2r4)|Ef4bd)-f?K_;rh%^zDuk@a<0G@a@*}?Jkn= zwS30kUI4PL6VgQhjU9u=EkT2epfL~7m@=qI3Yz)=)mNY?5zt^hc;fefN4Jp&<G;?E z9+%&FC>{g#4PMk=fgGy^8czddP0$REN3W>H3Q$x)kAUrj3@n1~zH|e%5uoEE;CbI( z*twmcB`%<I7hnxnkWU$2G&~1g-3dFI3A)ck^8%;_G4Qaw;lb~J7*zd%oD90~8+P0G zEs!9{-5!kK=@kvoMPaRu;2b$c1>|85Mkfi6?kE9}mq54SbvsFbhPT@NK+_4D2R$?o zgAV$JnRmmZSB4E#;#7bF95hx7n)+y$1Sy7=4xrH`k514P8t~Pin#Vwc-mn{dj)4Zt zL9-|xjQ>ErDae&Zpi)Ew<XTYFfjHn>jWR$AEI{M7pm7ABP9GHqPzM}55^epW`aP(C zhfZB~dq{XNgW3|{(dYmH(C(ye2M*As$Drwv3{XQx^Mpt94@Ssp5q|$;9-5~-zTX1x zi&MPl!FbxE*Y>hKJA;Sieg2jb(5iQ^H^2*zz~>or{rC^o`!XCX3B8UOoK3)!n;=EY zxj?-oh}6sJpy6r8<Dek%u{>TY530l~JT-s#SRV7?_c;by6#(+CtKrF)ejp>e_keQ} zC<TKG0g%5zC8Vq2rI#x~_gr*BwpoD^Cukho<KSZ!&u$h8&u;L3HV5$1U(j#`hYx6k z5j1-w0XktBx=-&o_&#&+;VPi28g`vU0Vwl>3!&~Q;Iao43m|JjQ4Gp-p!fo%Lde*9 zcMNE*aSLSL3x|U}Ef3Y2`gO}(^zBym?amhP?Jkz^wS33l4mvEzr@KnRvpbT*lYjkv zAI8fbmcRL%l>Yz!{}R*#2F>t+$`#N5hdeqzcy#{kyagH*^69(=as_B!)5G##Z2@Qv zFGv}v7y?ZcdUW%A02P1`=R)SHz=u9}L$5OH1jk|xX!Ed-ibMx!vGrbX>Tv;$toA@k zaOl!+WFH#-e`yD9`#>x3#v`D5{jf*p&6lE}83E9Ng+E_3F@Q!bKKgXNda<1W)D;G8 z@bu`s_~Im(_wmI+h9Cc5>;bF#;M4i=#YV9Bg%_R-pqA0)8{hxGT!(RBE;;R^8%seo z8*%NUIpASuc(>X229?`K==Yg|w$p)6WCaaBfkvt<Km!&IpkcBA(0QS+&0IQUxIO=$ z^7wzyxAjtus9&#)fUo7@VrMu0Z8Gv6mLH4mz19I$3JIQ_mp%E{U-DqQ;L~}_$MQri zn@?}h|JNr$jo=JWUroTH`G~|}kIoaIy|R!0egE&%dBdmk;ENmozW;|^ii!x|-WHV% zc>ApqRH-+<0aetXEf$a(cnx?W9F*L^IRVsugI+$~E84OMRK|;_fZN-k(FG7g0K|Cl zGa1zQfHtYX<#0DFtp##;^vbT30ciylmf&gX5EX$J$B?x^(le44(2bKGy|Nb<LiKga z?%M%s%Yn+DZrM#xw!n)9uqlxBOCF%hqkh<X9DISi1SJ8~t(E{~8gOObEm{iJ9HLSH zTPFhD&KsJD(wYR-hM*b%e5nm6FbprfR)$@R2c8H82|_Y2xRM8J=)C0tI=l^Z@x4cP zBnPOy)4~O6?{tQ!RDh5A@o4@HUA*!8HGJ`gCfJ{#Cf)?t!VPd0hiKkSPXdK7w0Q@v z(0WBDe*t9zQ2XaQs8R-HefU-J{u~~i{sJJsgRg)92XL><sb*W-ouHPR2&nJ_8`CN8 z(P?w)C8%TqMLS6Bh1O?qyUnBX{tNlf-~Yd4{r~?zv_TUB(g?2fySIQF&)|DUL8px| zd;C8Rs#1DEd#Qc8MgDm<SAkYtfZA~&&w!d9pq3G+HUO8)ojs7NtKbv;&~^eSMZuF6 zXbpx$M~I5Ti?6RBwIFyg20VE|c8h=<1@>b17RbV(?mghm2%wCY0m^s<umTq}?F_Qr z)$qy73E(?PA!}qnL){S|r-7P>p51N|pw<q^a>yXK4|r9@1&}@9)&TfSO-=9sE2wb? zs#-ubH>fEKuCcnIJ?L)e@%I?+g}HAD`1%ac+$^{`*1ZMXDg@n@1iO)>dkc7WAC#2Z zz;|zVLT=RXZM|J0;bVE*xATS%zt3%7%ftL_dZ7BH64V6fWxYBNly|U&=?kv}P-1dX zkuW?7nkC_2@c4hwqwyyw;A=jB`~pte(49C4KSLb?4_jPrKjgR@WE3blfg<Z=IH=44 zS8x35AA2_b0ZH<=9|cco%mHtE0i_L4v(~fQmBF(+RKT;llmj%D?9=VZ0UF@|HIKkc zrUX2DTT~br8vc899s=Fd?5TJJWDTgu@$5BW0jZM!wRJ$-zCi=m8lZ@StTX|)s$Tem zC%Zuto!yYe3wR?;Hv@QBTo>G6oCA&m$cQ-9%blR^ANaZ#(12xUh>8SgEF5xzwNGyX z_+Au{SFwd1;dBY|IVfF%I$ge&M{4u^x^*7=beBu`bXRit@~{8y%lORG@*98iRt5$J z-_Gxz2cNNcb(a`$_;s5Ycy!DATAt@`2A$>xYQ=*ZTA)@uC^><e51`};YVCuPr(ZYE zFHo?0GT!j(WclTzc^Z5Rk5A`;?hqA>oDFJ;fHJOc=MCS^i!WtC%fh>%6-46^P`z>Z z#fBfCD(AsYP?Wwn4CdVQ={)pe2AKK7r}N|sUoi6nsDg6(@%{e`-Pd3KPk3?s!uS6# zlYWBM2Bdg&^QeMOJOnLHz5!X^?FwpXH@*Sqwazt=%}%{gx*OU(I1WA8&VfS?+-Y+V z0AC}0+(80N_wpLg1C@{PMgp`VgN!*twmgCAQSjzEa3iY|wB0vv`hU=AgVUi$XuO#B z2~@U%;~q5V)Xn>L6==AUgI|F4^(xSSw*z>&9Jurc-53bXaNrH?pbjON0ZK71M3(#q zo!hLyz~52?PH0enbaQw#A4J<zb0P{>8G%Z|2+#qK{NX401wn)6F#mUhy6~W$K(`0@ zuAc;tZcx+MMFn(7)B*l&2VkR<g3zN|R=*cx=nydmW%lNu%>2C<LBZA?awft9)P+0@ z;x+&JU#jfUE!yxNG$bio2%>rm|9e<o<8R)?0J;__MDjmqHB+~2C`hi`Gz?7HhQAjB zA9&+w`J-$CC|CnP+0VhFm(_O`v>XCmyaURh$lGKN#6U_S$jJ<#`3Vb;PEoG+Vhqi; zlQ)5CDn*Y@)>rR9t_cBM28Xh_Bmh!v_1d0%C&uui<jwd0uWx`x=s;z-N8=Gt>NyOq zp)bBT{`LERP)PxaCk9ZV@?!H*q^Jd-FH-^9R0s-j4sf)=;_t=EV-S^)V+JAl9@P5< z)g&IhysvseUWA^n0bUQ;2|b#jmv>zTL=LR96M9`(FKfziq@J-6DCm13#)2XZavKV0 zQ9j5mkjp^QZh(5mE}+w`z~`|;ll6-{s3!zGdU+R32iXOaner8s(c;d2|Nn9(;`9Uf zd4y=^5Q1$26&{B@n%@Y3Cbv02liM7iI8gxgzcmaGyu8f7z~I8aO@!YOyiEGGPq&(9 z=UJD|i;mz9qvz#kzKZue|DW^eG*R*GeC~Pijpz4kzKUObK=*_z{`2L0=F@5B+5FeR zSMrH(Zz-ea<@dgd_dT1RGx~JC^t}AsSMj+o=TFdRhvmVVX&&8nkToD29?j3$eLD|< zS9&x*VE17>?s@PPpJ($Ub|1#;K8)u<^}K{{H-~TQ$&y%5I}mgQ@paGUpWvpJ=l7q` zrj}24n1nC?`is83JVHL5eiERA&pbMRd05`4mGS5{Ipoo8TlWSulg?WIMvMWpvh?K3 zLU8Ssqr&0Qd_>^zi@axF;4N#=Yy|RoGT`+S|3TGn^P37#5Eg)f5Zv(ug{;SMM$l=0 zm`CufTo0bU*#Oen%R1Krlr+HSrGA_VD#1X9LV*SuU<1>jt06#X8Iq(xt!|{lQx#sU znFKDuN^gP^1B=RwJ8vP07gS^^oC6hEpffDgz%1|_oi>E20II#f?(8J?tW;*`S*f6l z96h?x&q`$u1I^SylQq7xQg4MqOS=f@{8|WP@VA52cnxTN4YY(rV-0BjjCcNRkgq`J ztG@m8zuQG6q}Sv7_nV+Z%F)5azm1n^jRZr77bE{R-XE(a7&H&|I^Otxqr(X_b9UmD z7_`P<Jql$u+v=?Y2R*3v^ym~__zG0ez%nwPvrxaU1(i*pvrwO11;rMt{9};L9F+o( z<|7rL6Oi~o0==xqud*||xCNT2eb{V!5UdL{dA0(g>*XWp<k^EqVxUDZ6G5uifK-Df z&xAp)>Adh_HfWAH6_gA?V=$mC6sV;W_aR6GLrN#`**v`FNuY27RTrSkL_NA$A@1S5 zzZX<{L5m2FURK48Am@Xo7C?h>utQK2K&24m+E>{49H`CD@Z!QHP$>inpqE^r5rbav zA*e4+*%@AZe)j$UOE=KW-OCf-|G#_!Ep$M=DCm4$@+wN_>!A0oGJ~=Tspp@r0oA&g z=bz32H^Du+MWY{r;t=~hTrfy4?1Xv5JRBsuFu(2tRVc7|xa=1ob9iIGl&CL=0u9lF zYDMtYe}xw-D?oi2P+<;PSqCoBLC4)gPbdW~twTBmRgHg}tj0>{#G7v=L@#LKO?V}E z;*A$XK_=cJKof5`PeDBbs%SvRtirdQqa1+>pKSB!CgBKF8JL3*<p+GS4b<xNz%kht z4oW_-yWfx|+dz6=T7f089fbP#?RU^klHcBb|3BeH!?ExGU*1NVY(w8qP2_wV$5c>3 z3(FSx=i7XGK@k8SPx^b5%Hv6h_At0UIR{GWXzOF4LrmaZ1QnnmrUXz8=mF~K27r3H zpkxUeYI<o6TJ`n+qz`CVyhNn}Cg<6C+PCv7sD-BB+j^3}2XunC59mf-FL0Omrl;m* z-_CcQnpfeAr?2`l9`)(A?QjGoZPQ9e&^j{_4o}Nl{LS1<3=D4k+eE~DL5KEy0@--* z5sP1UjTnco<!@hp|NCCuCSsnJU-?@=bt$MHrUB}18~AkUsDK)TkS_L%kT0Mn8n`+G z&qs%-fZHA5WmO3OX@Dk53?Q4aK&!eUUdn)1ncd=V&jI%+L5}xq{>|vi?|;X)^CgBS zdQGosurv5tzALQ&b-TfxuFh+|{Ohm!FkbLvyzJ9?%CqyNFKBi3P0*-+FEhwk%ai== zpq1{Rf>FY^^R%btNzdk=j1YJD^826h?7WIR&VI^=@sLliX`}`_gQw-S(p1l05l-J; zum3OGL1X2eF)Co+gT}9+z7GS9xq$DV=|=N?3FuVh8WltkM8KjEDJWioHd29t)&Nw@ zD1Ziqp@xGNR{Z>g6tu8V#W2?Z+1vn#*FX#TB3{~oD<9|<(r)-7WzfXb%@=20egE$Q zV*Y%Q_zKh=ya3wQ7WN7>hI9e6?rk-gc>>fKT<{8%Yfl~e{{N*YEY~8^dvA-%2T;BT z&o7_iWMJ@Ud;>cF0yI7eTKx~&;tQrh?HzD|%mF$W0>1AIz8@?CwjT`CfAzqaU(WJH zonMA@3P49lLymBV&M!OrLYpO!`DIXd!lRe97TnlpQSs>JRaycXlLR--c*US>ffsN5 zAblF}&N1*%emC!r#UPcCIXKWD(G0Nb!Sl<#?4X(&G{68F+ytFz`(hQc36OFB9u<fd z$j-3@k8VlOn#hB{?L7{@w)0^8>~Zin6KFc>#l0Pn#sF-Bt^#CJFRyze$d(@PybEZu z60|SIqnFiCA5{HA`>gDs+M%}vss`33fHeR>V?qos?w<xV0KhdD<On^`5F_~VR#5IR zy!2Wa+&hHH^+0xmb<cr1znBAbrYdw(+Z1TU%X(`Oj;U|K9+0a+<Dw!C9*9kC;Qk$A zY_!@J6yVUYQSgjTuW0#wP=PL@^5WhjXn25XCx}K+zZtZt&1eaz5eJ_72J3=MeS^wQ zkf##Bo7${FyAr$SK=$T=r@sFz2I+!KeS=0EUi`WH{r^iga1H@)Y6F?|;`v=r@&`|S zLv~hp9DKwE+O+~ok?`?i=%zMMa~HBQ=o7!rkIovE09V5UFK2^yj_m=DvU)JOgLgWC z$0>Tt89`$+pcxt$P!kw5e+Eh=;3)%-&L1wF2YotE`E*|Pu)N3LTMkM9-8P?my4?l9 zdt|!BeY)c%d_hYtgV-1tK!cu;aaqXbHqclcXjTq1cm%et6XFEWY#eA%3B1bm5@_1- z@@o&p%iu(p3B7CyHPML*b%CO93N%5rs6Y}O^ny9i{;*zFOJz_31P!l%I@{p1)(zc4 z*a_M=&<!~k2UJZcyin={MYM~G2B^Icxupu63J9cG?USH13tb@6jdM~N6bKlT%HWUy z)t;cGu9mm>Te3g}RA&o#co|g6g641`+hAWzehVsPAyO}$K<c2wxu6<40yNtR%EO@8 z0+kA&!WNVmTn%r(1fLPvIR`w@3mU8g<uy;xtZt`>iVt{B4|J_2D0_lNuY5GGd31h) zE&=yc{N&v$!v%5%<R~Igt*!x@9W?+=!$LOLy;$)b)Mjac&i_HCkUc!WQ^=5T@#)QA z1U1wXK-mP;0s{qc2B_a30a_0X-k%0>eTxdj^{{PXE#MLNZXcBppUzVt4GEwLRfrKa zDmc9js=G2kYsCvZE$`L__;s7S^6jqU@a+y0@az`z?2eQ0vHZ>7z7)J;@4lzzEl|1y zMUm(CTb>7h+50G7_F%l_)A`zmfBk#^UJ-3i%TN4mpxew}rZF=x_%c5B=}zGAwR~JF z32JO)_-fwqwS45u@AC*0HU+MRZ(p~823-$;YWMCXDmxe%7+ekCz63XgI&)MiK=A<T z^mrV62AX{~@$B|70d-@bv(Ggu9H8j|$Y2&^_8F`iKKtyVQh^evAd5gnKgc4`m^vsl zK_Lao#-QvB%E2C;A3y;K8j=8wmxH8<2*^1qAhSVhM?hwSg3}xloOYhwbppQKVG^E} zzxdl-Kn=<60tw%45717@bKborybL~;_xPK5K+^_Npr&6pWQ^7G|54BY1E_5TN)Mo- z-KX;sWV=~Nca2JbZ|4h8I$puZz~F0nqBav$h=2>%?iQ5=;0>xg2S9Uf5YJ5kCmC>V z0o4THbPTKPK+P2ihYryGAmNLUL<-Ub4hHxZYsk78UC>N4DF1>=wAM@fec>QR_Z)EC z8Gd^$4+>t;-e8Yj&_WMr-2*zj5Lz8|&QSqNcWwdi^Y!Qs25%aNjNDs;CaOR~D&W%x zTEK;tPcJK96*IVq1l6NHy}aTroD86S#Gs<grx&u-(Fc@Edqwl**cm`cg2Mw|ly!nD zB^MQi7wO=Q*Pwb6a^(fAt3jaXDmVfvy5QQ5vw-dmhVE7e4FkRCZwD2L(2I1sA-AT1 zi$`!PPXd&Jz}^GTV1UCMb{_{M&4NlG@E(~Sa3TV&iRsx2F1psJfSX#4M?ftw&@d{f zDcA4-)WEyw(fRAeRxlHMNb{)&pvvxsPv_4U`@y0&KyAG(V9^UNd>(+>eAjk-|Nm0( z+yDPa_nlcM3J}>pd(jT6&S8Ouzkjx-9+ZsW{j=B|H0z)Bwy1zFAOp8oBS9^N#y8+X zpmPnR*6xMU(5;rBLlZjjo?iee;4s^(yPQzltDrd@P+^U>9&)l1N_!PtdH1rOZ30!o z;Pz_KOi(Kn(q2u2vISn)xPX!nsNV&<tGb)l11t->C1wh=6$fsw-u=VG0Gb>H)i}`g zkiVRfOaRTr!3qrz9P1%L1q!Hw!FtjGq|{+OIs-?e@DI2ti_s_qwM{^EA7q~JMH<*m z;QZGEz4cZ!=_)7{iKx6dc>}3Y2+;^@6w1y5HSfTULh#HTq)`ZQ6Kp+XDriO@dOnZ` zxKa3gCP){gQ7H2N|Nj@yFQcx91ex{X(q&LS0XGWgKpGIxlMX=94sH~JViwvcge)Bd zMIy9Om;h=NDuWjDbwk!iVXhqn&ECV;4uT>AeeEE~B68Lag33iu1q_<p233rpVguA5 z1ofOivyPy?5op#Cw8jGG+Ck?v&`^h#u%IbKk6zI?^`Hbg1+t^R6WT`UW#v);wG5$M z6E;wt(F@)659%X<%2<$o@C8kv<`TmTm;Io!64H(a)dV0ndSI>{1UVU_nFn$=*0qBm z4@1@tN_lj9ad>nW33y<v9Xtlwu?k!F_rZe^ybS=nbP!x8T7KYfmjX>_c0)1}Xq_CW z*$k@spvMhkSvm+_kVDGSK}Z}SFCA2P;cy&O7D5-sVJ;m6U30+zUOIS_zdZ-kKF~Z3 zI!y>Xo8!Une-C*`<Dy5e4Tn!J>vVC@n8pv-+CdxG);Q3aClelhM_W4xjs?)THDvk^ zw01E25u}|Ak|JmAAgJ^JwWdKWCQvH`)E-4%I|ynrff6TZULD8U!5mQQtps!~MT!b^ z?I2h+y!8f|s6$yh2(lKGu0Ym;8gL+MLG>#r(}5arp!|lqb`X@?Kr$em=nh6&JD4os z+pP^*JNS&h9dzaaXzid!cRXzEppWHg=-NTh94x5g04lS<YX?t&Z0v@tEC-zh&|RaF z;M;it6fB@-6sU1l2x^>xG=Q3PpvHh#H>7a}arhL-k}pscb<cs!v_ql_v_coufPpm5 z%D^cJx{MI))o#ejTG%o|kY^45zchw69>6PK8;^jh!^0k+MQJBrINty@9zZLZUsT)x zm4qii14X@H=EoPUH@^RW(EwHgUOQL{7QgU<_Xeo>Fnz=K|1X=sX9*z2i$Lv%To1In zu0WSi9S2RAGk~w61Qomt;Dc<yCkQZrPD7Gv0M!G;wI<@qK{*KCn&1byqYCqWQP6%Q zP?-eEwcs)dvJuY~v@im^I>LkBA9}kRsOAQrpTrE>l?l4Os5?eQ0CaAR$Nys<me*?4 zJ-Tf^`*gGWSTd9gdRm?+=JDzF5b)JJ;nT_f`nJb$chD>%!|RLC4SR_2=yg#!0a-sG z$ps!f1LZK#;2F5g>s<pr2lmCEyP#qiG^q$JD|>l0#gGG}S5!&{RQ7^eilA8`P~rQc zO&zj@0JM{D)@INo5=XP`he_bsvuU8Ax?W!ANucJ12Zu*5>kUzmfuKeQbWa8N#GGEy z-O?Ba>mnJ<2s3y(#9$4G!LKI54URVgZ60G$dBJuO<O|TiK_~RG$X?zgu&tmQgQq}t zazI80WdDP01*K=Wcebk`ymNXR)H{k0Tjzjww!rpWfsR1xWzFb;_~%9c1te=h1&v29 z?`si|B&M}WnASQVSsStiYVE3t;85g;S_?K6Vy&S*)VC7I)`ALpk6zvq9M&!s2Zb@r zx1d$`FHS;_VFg8=>?WwS#t>_pK<!re?N#8|yR{B#?ZWd&z6G~|dU^i}WB09_6tcD8 zG!ukm?J`)@?wJ7g?N`w9({AW835ahG!+fiSY%O>^u9vqBhqa$2F|56<f{0svSRlJX ztj&O03pN#E?U_2LZ#SQV`xdm+r<Yd<hn+9L*#j2BpkdP&=}2~3!$SCCKiI1e!Oca` z^d7`Q>3XPzPRJG(c=Ylv6v7_1-y|?R`Ar$&NiJB}CO|BVg<4nuF86v_SLs46ym%JL zhZ!EdyaqTdWC9P%!F<RGy8OKr$wJ8u&@}YE5A4I6;Gs&;i5L(c&a?oX9SS=C7umuD zk6zyW;5#KS^U4;KL<>$mB1jg#SP!+Z4q{;_)WQU)4>eq&7QR1&<iiM$US2;O7J6b@ zxL64hq}yQ@@<J@U2yVZDR$D=QDDDQeunyV60FPeYy8_tb@SYe(92y~6*aow3PA@n} zK}S`AhM@zXK74i(WGsuy3tnUkJv@4Ob8uLwA&6`tXvx5fgNg_rhQi`d8)D%}Q1h=F zv@#On!_d=E3+J3h3Q`A;Uf$pQAQ{X!{EK2C=+vGU0Z10AY=p+)t{$)tUBMQDS9Jw| z>Wn9+K*qADywFCsunUKUikLxqUjgC6%`hK&LM%K89xei(SpqKRjA22#>lBg?Ej)U8 zC2?4IgC8SE^N=ibg2myDZm<t+p%z*|<FM&C)Q6tP78-c;@~+?m$zTSlC#Hpe<q<xd zxE30u=@1J+mt%txjRDlck0(IJvZ%bcaT3Xg8Xmp8=5Py9D`*21kPl%sKNl$D_aIrQ z0Q2FuE>MRTylhVcY#Hnp%uZIG1E4u#NMpmJ@d&65a@eD{0(`oG#*1@DzW?{=wSB%H zqzKXgd9mxr_y4cYz|<JLSasz4|ChF)85O8Dix<;DLOVfiOQ?{;ix!X&c*ibWs1PKy z5wyh!D&+AZ4kV<DBoy$%8zi(6w44>HD&mC&NJte)DB*=FNN6Ew$Pub4<Ao4NNES(` z;Kl#LpyhR-Ma5866))a^ghb&&ko8Y5u7O1Reu9=}fr0`uBKhI~NR$yt6jZmrSOpR- z`GHUiY7)Gd0up@=8psD*1!?uXr~`>c!9;sm_jO1xyvPIzp8EzhpqF(eNH7E>nDFiY z{}*0MzyE)E^ebrbE!OoC8lbgn3ZS)X3ZTX+WTvItN5ultV+9Rwf-Wq7(bNS=Rp8Sl z3_O|-3V_#7f;LmW*rpGW1K+Od0NSepIdkX5*(Kjm&l5$oUqS8pJP(Yshb%zjX5F9@ z11-5qy+9{IcvyOIl-hWJ7QS{zNqBSz33ymWNR$W|9_Rp{Q<I|tu?#%q3~qXY#tdFG zseb~WxghcShT(zNR}2rlzVO0s$@l-@+j$_1`6vpHqE3jPv4zJnJ%}7ec<fy~VBt}u zh8Z4ui}8jBe_IP^C<Y^ru%!`DH|0fK2gKjdFzE$NV2h}LmQirLn4}Al1MgD-r62Iz z3Rn)@mjbt}WZ?!tE@y_t?TeO0-~YdiN9l@z;}9i1@wZLG>RyyIRRm5`pk+#+;T_8Y zff5_TlPxDpG1F787sQFp2f!=2AVd9NXR4{f!txB#*iMOxzzZI*3}_^>8`L)iCFIwO z3{SqE_u|mP@Bd%=qWb{N{UnC>yEaIeVhe929f%yR@CHZNTDSpd;VlAkE#dG6-K0w( z4`*V8w=t37{X*Xe63haxuc8I>Qe}_~YA~-hJo$S0i|7U4|Gx}_2Qy{i+t&&SLu}!D zNDCr|H++5J2B3xSmia`bXWEAEc>_==x~O1=ud5PB23z?4o`*Yp%bYRO0<^3EWd=}H z0nX||pq7SF3ncu&c?-GDm^ttJ|JTdGxf^Z0b(s?h<~J#Vyx^iDfm%zvumTys0%|<! z{1hqW9caksMP4(^58a@nReA#@Ae9j4;Jrl}5IK1I(16#M;6MQ9KV7&1Xz63hT%yv) za;)VYG|W-zaEN;qK7n?nNHCNnL+X0aC~<cHc>2)719ZTyWw1htGAMtRNP2X8Yj{|C zXz))#t7r`mynYAq3;4!a&<0OY1yEo^(~ALUVSvT!-B2rHR4fcnzFzy{;GFOOU)sQP zCL{(>@+WwHkl6Ofr$$KlLh~j{dqhngB8O4`+RhoU`uCF@D2QBCklQ2cX5+1Y%c4-z zyCL{~L5tTqpjuPH1AInwjEVvHs&UW|pN|U13vD%!*Fihi!0QYDAMmgRjf&Qs0X654 zvzgKC@Bd#<KnZ{THc;CWbBxsi)XlIky!2WU6tfB*y}^tih68A^hz008^UjYRjlV$F z)Ove#UWQzwV8Z0lT_ech)6K%=!Fb5SvWlZr9yE=p06#1mv}8r##VuKAnk-#(%tgfm zd@7ZONAnSb!yqmrs4)rQzG#^B{r^jE)C7d${;~+t!|QedXvh~iyqbS7)to~QEtXl| zA?L4kgGM2{eN+StFTG{~heY!)rdmxDZ`*KrSpF;J=igSs#KFHUgp0$$;#$e&V=gKZ z3?NTQFhU1&G1{MGxL+EJ`&lsE?=lmQ`&kCi{n7cD;m?Zc{+1bd+|NoS_w%>Sg_Nff zpgxfRs80mizhN;KI-*v;3zWB@2|&T4(?g-z_Et5hZyW^5A)vl7xRVST0uX4n-4B*h z1W7^q=-rUv2yl((0ZQ1=9sQs#Ugtq@art8QR`6uW>q{QJ6&j%3O`t30UbI3*7rv;T z4%&AC&jg6{-|M3C12P}56EqUh_y#<L+qnj^?y?t3A9qoS0nL|yXJtXVN4jB`7(;f# z?hs~RV0bOq5yKPX@&BAhuj7AE%I^H+(fpgM#untB9cLjW_Zg4QYaZWUgC<fB{$d6l zr~+D`s(H(!H{QUb*JhH(#pfQHzdf3NiWF^p37Wn2X#T}mzt^MrhX{W&<cv^ngAN~- z7|{9wP)``Le&Di)<{^*fLn5Hr{Q!?{L(m1WKD`caKqpNe{9*5-c)+9Ag(b$r@>h8_ zr~vT*tro2C=mp)`1)b#a{C?91v~=#p`=g+_N6@jo-FsBPR)7zu1f2xk=`PT0D^~@I zJMgKC6&{`JpxuPvq2k6Pps<5X?7raI^8NqoC!i9y091T=fQ$xhbxcYG&18d$U2rW3 z8a@Tx4*+tv!i%fQphXfjDi)w3QUesT1)vfWylCIyrOW^S|96Uj8bPvq!LbeD2<!z- ze$ATt{r^i<*ddcB@e7^r(Z)UB1Df>%ts;Q5xu>W=W&)!GJbFzeL2Eq0-s`sT>2?tC z>GtCAu{_4#-pUDD7YZ5#?}Ua@FAG0tiwnr7&_y3hK?6Ck?Hk>DAba_tfmz`J4$O-c z;9)RT&@4_jbd3@yOkq2(JtRE79|ZND_**uEHka;t$jaY*3pxz~S_<I-J+=rm?FyP- z1TCNiPpQKXnTJgDOqk%ozw4oWu^wnS_{E1F2Vcs2>~5&vc%2uNc^Pkl%mM3beDweS ze|wNI;A-tSWB>}3`aww!tfU)qFq7eJP_#LCbQehQ?|R0--}DYVs9qr9@%<QRc$&ZU z5P1C3LBeAts3FDQ0@@<Pzv}@DfAeIp4D`UBUXTAE=Ytj!yk-W?wYQ$+?@I$6nYm4d ztKqkP>1)u2T+I`pThqSZ0BvgbP&@&;yuK55V>;+82gsHyk6saOkh3a0y3N7sc`Xm| zw}Xxs1Z{H%pB4j7(!C;l@J1&nfeO6%aR`#^D?roH;M4$)DbRuz@G%jPsa(*kHaI!- ziU@o31~E3<@|S~S&;?X{z|Q>bln1ShZU^lJ1ziK>(do}|jD^_>e4HxmZdQ1^9<&wv zMHXnt43<Q?-8npZJ(xVY!v#FL<vlDfmYeUhQea?6>oj2ondQ+LF3@Z{tqhb8g*`gu zL0J$ye+e5(?7Z=!bHn%lub+X}v4bMG6EwHdYx+1Al>Oi<>0Lml;DDxA!TY+y6hPT8 z1eE<iOL^f57o-*Hw3j8|AxG2MSj+*nV?l=syx;?y173p+YTrZ7!G)!Mkjw9sf?UoA zDm*$tC$qe~{_p?)7uVN+{|{;+gO7{=Mbe9->p}Va1}Mxy{Flo?gPWbur5e4gVqizW z%M!!|R-m&mI_1Cp2krQQl_gMJ-OyCh4M{mKpMi!wL5{faavg}-4O0h|2i@QfNhqK- zVc;RM_nUwJ2bE3WCN%i4#RSkoEe%kr3jnzll6XKzxqvD?&`=;~pYn_LpP)Ls1yTxt zcBc0RGJ@8KcNc)~WNZ%xWeJd2X8^}B7H%t0VFIcJ6F{vh$S?}n9DA(hL<o3v8-ULA zYF`IRiEwlH;N}FNne!bqdI~?{!9#+7*Fz@$Cdd)PJy2JIj;4uX1YaB3ZRuh8xm?7f zH;U1tGm_&Ni@1VE=XuDP2feIa(V&C{iVM&gE0Dc>B`S~uy<Ys41tlyz3F34yC_zYr z6T~Ud0e5g`K^*eB^Tp1!-~YdU2r7cW!3$b72XYCds`Ie?T^@ySnV259qty+XIDr;t z$(KBK%zMB5n74VSBdBiv{>tOvFAfiA<qMt!2SpU9*m`jfG*k?A!AtONn_kvcQ8533 z>n+geKcwCQogXDj$bYYkK>ibi`R|xV=TGpU){Dn$zW;yy+M}1%3)Os3%No46ADp4~ z$`A;@Zm{`~40X(-^T*3Spe?3tAj98z^s>H<ggG9(ycKLXIC4N4C4rFPdPs&J1{n@I z^X!Et#PCkY=_H_}<uL&@qkvlOj4uLrL2`=%C@E`z)J1@DivcLNWMI!N;Ayc)(9nE0 zdTv3a@=DZHt`EyC5g;p&atmlZElT|k${F1VbFP3&N01me*YUy4$v`vb<X3#Tx7^sH zw~*1JvjCKH6+reu+7O_*LU1zdhK3WY9K8z4e7&r}5qM(em=u9%pHcuyR*+~1$4ohB zU1k3&a5>t``Yjx0I5^FMqaCzi1e~Ta2^nsVWH>lYT>}-;Mli!?;xn9wkm0xT5iSQC zZU{2`>PoQ7yCJt8gYr7KMbkY6yzAp-A2eTr>Vg+5SAPHh^2)dW|3OR5K_Z~|ssL5x z1s=Vuzr$c&2Ny?(s-Z+h;YFS#C|qk)G@$hZxPFTP-8=x<zV}iEGy~+({r&&T&5#)& zl=kEbNPj^TG{4^X2JFI4$n`>?&3B+S8MvDO*~9Z95t{zN8(<1Nx<RdN&?<m#a1k;E zT+V_<C?Ln^?FVh|`M|%?j(^I5mIM4PvzQqej=QK-fQG$1dRar}fTkW{%|z%)?!Dkf zD2vLAwq;-bw;tec^#@PHLr>lWja7X=2x)$4Ff%Yr*a>QHeBeLG@&9D&N&Z$QsA5p# z3zUamB;-Q6YYP0^d?4G1BtVUD0gujOAb0KoANL2jn)8DT|3-HHDF-}SPlA>ad}L$r z;CDT}8#IsZ!S8x&7r4>L?|Kd7lLS!hcHG?pEY@kG;?e7F0BW6p^9i^u1ilUebXp;( z^<Mz$pn%urgNysl5*2Vws{x8&aM@w;Li`IPM}k)+c6)$l-V#9VNbpM4UKv4<+6a&C z0tb)o3Ih+z&;0GPL4`)=9>~^)&JvXX4``zulw-kb{lG2(RbMY&?0~cfBS7g8Y)7Xf z_$Gq@kIq1cW?S)GaJvt*uohH|f#z^MIwK80%N@ZEX#K|D3ci^RwBFF8*TmeTw~W!l z@>+SOM{fY5M`xhLF&1Vnk8Vc=kM2MX@WC|Qkq#c+g%%#&o(4!oX$h#276Vy>39myt zA;}BWY6q<}obY1hnlJxB?Lbia^JqK*N|uK`dU-zugE9gr(SeJ8M1v2M5mLoK83Ar4 zq@f1Qe4W7#&9=rlplmAY(dlde>Na%V1hv3BFTAt@wF0~MfICVr<d=Q_|M~%_)eH(j z{%tjO{~a3sbMdz_f%eQuBPj*x?rs5x{)?AO;cYum(7gm*-Q&^A>I8N#yd429v%pmq zsGi*_3UY4=sDWAoN}8av8=yyUf%|@-&;YlCJ7ZKlKoyJwsKxzaUIyqO&K~g6K2Y7` z07}muAP0k@^<^5U-Pg&Y0-6MUF)91U|Cet-v)rA>4R0HsG`s{pu3^Fp&(&DMx6?%B zg#*}hNcMdh@aO;k7kusC|Gzwme8VrI`~c10R(qiBRR?#iK`Q}4oo;Xn1RtK(35u<5 z&~O9jXf;riGXvD2R(Mf65wwh()olT2fdDj<LPoDaCtrXXiJ-zz02BfeFC4%<3)qI_ z7lm!#|G&(EkAy%*B~aa$?$P|l0yLIm02<3N09ot+DjGlx@Qn-Lbqe4c7X)5xoC0>s zuK5tRNPq%Z0jwH}Teg6v{JV2h3_O~TSR8)wwDtS{*UcE?c_pOSpEwC@zZFsTn}F;; z&Y}V;WnYxLfpo$h6Vdwp|I1=Xz@UT&e;a6AgVH!V*$=Xfb<#XYIDt1H;f%8$P!k{K z&hxGyo$v_U(DMEN%V>x@A+d)(-;;qJeyl1WTYGs~D?w{vK!t&e3S=KDD20LU9|aZJ zFVx<E3RD*rjb>Y`3{b`gUApyfF37>KQ1a-ty)ajd;f401@1RS!R9e3Of8B&^f3J%Q z^!$-RP=SyA{1H%z3Lac&J;~pq!^FT~c)+7qRDHb|1NicQ5BwXY_@^9dxy0Y{ixFJ^ z8Nlklsi67~lwEpRf2<Q@0A+^+(3xo9kbKcPA5sBAdO)n-o`T9gP`={53|0*4!odzG z0S|S3c?7BJBs{tqJX#O%x13>M0Nv9BIw7ROqnCFHXg~wpb?$_$Q32Hxpp6_Jtp`eN z4G+AQ1-Sr{+`B=|h~5yD3eb_*E-DJ3Eo03;9Bb}Qc)`0IQg(pTQR5L%YvnK~3_yb^ zhL>LRgUX`<kLJSyplcC24}mgo0Vwlocyu#@&&ULA1O}}*f3X?V=;#K;8b}Cyl_uEA z3!uEI0kX0ix>(8bM9qrVEgsFb*Vl?MFqGtb^s*jHfi87=y9DBGaGM0Q#_`M(P)LE+ zR`IS}3kp_@kUI4Oq@_khqJ_QLRwfOUI(R{LW_Wb6zdQx%mv_6UWV{dt%_HvywdHnh z0BK-RX|{cr3R2DE(aGw&R*V6h#XNd#9oLF6ym$hd&rSOO|Nn#+FPCBoqh3}auya^c zUffLj@&DyVP*L5>de<A|T=11$O2MEc4VpPTu@ZEa*QCbp|6k_9&P~9~AH6OrGa&7^ zrI7RqY4@xFw`xG`H&B%Tie*q;1}?^+_f&!+h2w=WxU~cd|JDQiJ?0=IKxH#H{id(M zOur0FDCu{~Bt-hPSOW@ZQ0d+a+Pv7wqVnSC97y`z0&1pov&w-~^zH!<MMJK?egG<! zKY&W*4=;YM1hr3cL5uZyAA`aJny)>OPf2^BbPyB`p!qW~ganJqi(QAI;-Cf-ctET5 zQb`%8Ap=gN-O?@09^FD7oyWkVZ3kaidoX_RIQWCrqxlCje{&oo14B1UGymcb#VZkk z1>N}oZv8+qjfjdzFL>ichziGx@3!D6D**<`At&HU=w&*%c_8Wxiso)v7ZBBYyUq*L zbki_A`I^h4`H+B5=K+t-kDxm&Ti86htvovqz#Qen2x3AV1-c2W+oa8ffAO&*d2n~k z@?OpU2`~B=LsAwvW*d)yBK$BYcY%9&;Jz9pt}&etYNf)RZvk_Di5RFWs{pF#z`MV} z-3W=-y{}(Pc%h8Vu3lE3RiL<LQF$Sp@Z<l>*MC6?{>LIrXYB-+!*<KQ|A(+lmVw5$ z_tky>|I!jUO{3ILWrnE7E5De>`R#u<s0qcQ^5RqJC(v1qr^`Xv1jGkj@_qM3cHQ^? zuXn<-2kLx&nGLFW&>gie%DKM%hq66-Sp&NvNtxqCzx~($h-sM@0wAN;!HotpKqUo- zN9%zStHug}>$l85lp1>U@|uAhWqG1hA0#c{(algQ?a_LmmdgXQ1p(AcIqcE=!?E@Q zsEn03j1UF27a3k(0-FpWP{M=14SZi#^Bd&(sO}(eqea1^^*~K-3lpd%dJudNvb6^z zXha#DhKjSgO`7-@-z(-q_-6wD>U*6gO&-m^9gFQedRZr~0M&;)H#}NTmhgIX^V|Rp zO?UF#cztF<r;N&rAkJ@~@XiLSicwK`eFtHbN9T>5j-dIA*d?$C4qgI^VB4DS|6hWx z4T1RP%o&hhLCXhWb&<p|7ZnW##QY~*9x4RxaJr}nK)Gk2e8^>&XJF?UTC&DW6=Ntd z^{`}3o+`#rD(2D4`r|)nkv6D60|hz{0|Uchk6zY|N|4BfoU$ki70nYt76mOwf!T)= z{$(2I$0M@7nF3A!m%&T?;3ow>ss8@|^&#Z;1Nb~AVNm&px_+*g^*;~DcIakDP~f}> za|5;OL2mB`k3F+)oenw_vo0RoF$48JJvw<;P8S0m8>eLiDk51_UVNMjDfl>=Z9^dn z!KGg(s{_c5y{wEdg(naSbwCPBeLXr^wIPb;7(h$|#RI5m!tg>$8DgwJv+ch)P<;n# zJAIuDN-MC{cpkmBPbP~oym$|qlzrjRYkLpGdkEsK@#wWZ0ODPq@%{fx&{cyTy|(YC zfdcKsi~S%mOVG&9)+*3_l>h$!M+tBKw%?HON&wXk5un;30@R|&@aQhC@aS#^`>%U4 zxLoh`U<4iG+t10!z`)<W7o@MV8=@e%0<^Qec?n3Y*Tli&xXUN7*Z8-Es1&qZDgoz- z2#@9?35Pwp5qg?`GSxiw=ym)D)}08}&Eo0NU2^D#N3X~skKUjc9+pJ{wFf~RdhpF{ z;BjaVk6zYqQji&9cqoFD2)sDY2I}R38hQW07k*`UbO%;=baz6W2^zq%Ji*`m2{dj8 zJ_qW!%PCL+&fw8`&g0-iX3(Gx=xPYTA0E9npFDc&Uo_ih$AY2+bOMOr3&`c5oi|>j zgXV<Q|NsAA?hfgZPX^o2D+2QSHL%}3j=Nj~B{c?*UYl#pwklv_cs-7@TmubbynOiY zKj@q_IgmkDL2aO3*+nX#lmQz12amad5+~?nTTtsk;l)>|&%o2&2A~SW0uoW3;Mi%l zJsbmaC%;Fha|NjC?L6@k)Hw3!t*&^nbK3X+uV1}TTJZh<3t12$0V0AIfXa`#72p5A ze1TL!prnto1k~~zRN5+d9CvU4`2k!~I0SeccSrznk2z#89CIjO@HpN83Jj2t$MFVG zTOG{oWKjW~;K%r)8q^T$&QXC(#lMiL`2PQO7p(Nc$j_ktn&@r-EwcihtF{mvkD!tI z)=T_7plfJBLE-^A%e$u)ELQ><s8jIlG-$S67!3-cc#t0qJUbmYJUcxEJUas<JUb&4 zKzGFj7@mC1;n?BAp#dtFn}2fDd;-<h5uo9V=7+y+I6e4XE_!wb7=YH(yto32v~Eyi zy%W?BgL}vmw6>tzMaAHS)70<(U(fRBWzBR1MJ%|@$rk{sOhIkVkollCr(F5>|1UX_ zdt@l#&);?s<d0_5`%qm}BEW059XLEdH|;>1^Wak@!8H}Qt1kdbBjB!p1f*AiNI4lE zmLVz%C9I%Yl^@g{kpfjT9v+~ZG(Eamqd)~!H*fS5F^1O<!I2DIO9&n&1I@%+#6x-m zpzf0esEXxyaWn^7h=GDk0^Irq4~pc@19{WD?E8Q4X~G!sJ00Rb4N&=mSQ8)c+7e`J z064C|hINA?9b_V?c?b3zc$7xs#TjTk1b{4d@Bm%(2fAC*@<#n$PzZQ<SY9aC0m&Ia zCUy|!z%tm2POuU1vbOW!3-h_4ywO<t{r^iJ<j6rEZ{Tm!29;W9dt`l7EE*~`IQd(b zfIQb7DB;n1iN6KBva}mCy41@e<<aW^Ugv>%T>=04!ytD%fcDmdItpt+X7sX1fOTLh z?*#X}&VLqV@aPsj0H$P5f++C36sV^P3NY{j7=;%NP>({EcYz`u!UC1k0WFvKTm3;z zYLJn=jvAl{fJ}yf$}><wD*<M7gNj%1!Wz)5I_UHjO;lrhSu5<|30~J1mf(};fKq~P z$@l*+cfb}~V1}nHsF&LOCIgfn5<vA)0-|F9zV9A<B`%~#{Swq&@N7OP;nVp5v~(f@ z<Ye%<OaU$(Au8&=-H9Ba<A^+byEQ@A*f@B!9;oB@=`J&H<lps-mA^?1bd*u+TmIHA z@G(W}K)q`ZOYoXJ{(jIFYtZTQzLs}tW`P#I1bF^G09py);bYkbD&hEBPlB$~>Grem z=nnJn=?;tV>g5p!)sgMsVRXy${Oxw2PG6^qiihSekIoCAv-1!BviDH@<=M+42rAfN zryRMcNW5SI4IOrayAmGYiLL+-#*3h>=Ah~qbo!Ww59s#dW4@giJUY*NTHfIAJqzkZ zcK3l?<J0X|;R&wYm>3xRdqo`lyJIeL_;>SM^yv;W@NK=#-)9aw%CXZ$#R7C>lZGSz zu1746{F4qD9(cJA6oVjVcC)5@1SKWjR4^s#2cjTJ37lPgR3u(Rfky?w?(|U!cp3Ho z|9{VJpQGSoOF+R5x!4vI0}?OJLH%Ta5sLw!%GLsOre=f-DE+B}j;zvn+4b-Le~^Pg zu4CeFItohtkkGa8>gCY~hc4(yOb<}#f`)iNp%?%@F$Fb<uY30LSb-!tKv54~mn!jM zK4=gR62u+g$Odf}@UWZ!s-^1nL8U|hD4O6iZY7|Z9Z-OE`+&~cic!&UHN51}dH&^N za3#ib5mGjRGBs$71!SE7Xzr5X#Z^Qgg{T<3UgFa|4QwGKb=4<BlMKRBnxKLN<SFpV zE%2R@pa>ztQ>Q>9eh^QAcJY83HZRS<k-~Eb6flT5fjG?y>NLD@0*(#P@stiP1HpxY ziHb)zYyW$2;%ER<qJ<y|k~qMF?Vv<#3v~=$!;vB!bViv6#GWEhT7j*I>dsL~@Mu1g z0h*h9abo)S|1TC#2aWpwc(EJAnFi&o193X1gHAp;@WOFAsMJ24|NZ~VW$+<%wEA>A zD950kA3g;<Oybc!3zQ5%#bts=?<R0;b%L)M-vhp~0(8U#Bqu_q`g}T1yk_?Nf6&wN zCx5Rf$k^^KNJb2*@U%S1-|P&|h%%o3-66L)JpZ5avAkS+9#l?wfM#ewB?)*CO#mb$ z@!|_KX(G-E?+z3IwPzAQb6Vgj2axkTJ3lyrmK!pFRy!R7U1OEv(;Zmh(>)Vxx8*(l zX7F)ky)tF~-6^*?{JTYN`S!-B{P(fEU2EynD-#VC_UX=4@MV1JX?fL?-~XzAFGx|4 zfGhttA;*Sa48E;T>R3Uy&ddSvO259I;@Wbugx9Cr6I60OWG-d`<$@AWmgodI%BOoG zD7bukBN=_V7lMW+eJvlCS9$hEGJ15*1gY@u6gdU1e?e0eAg>F68tf7;;y?utxD^Fo zT{97+-=iC>&Ex-R56jE^?N33)0K_CvYYcLF8%o^xs6=>zF8@C9G81GZ_#Em^P}2~! zKO0gTflm(u1-1YvM@WDQL4_A{e}hIKFy^s9f$7mX8RV2^+l)X^!%@?tvl-+|NbTGW z_PvXWhiB(W&(1@jhDreFJ}(YXX6dd`$#~)1{r&&zOQ=x|szxMUa6<El0%#HwWCf@u zh8}I+dGp1tDWHV@0dyc({uEHkKJg-b3MgfN%=!NRr4CYC9n@+@OW)u<s;K>6P#MR+ zEvlg5w{@wM$A6~;k8URik4~q6V@?I&#or1Z%||p2H~h9MdD`&%f9Zn>9^GCJ9^FwE z9^FMA9^Fm>9+oG{V?98p=)lfJ1YKCj_!+!MNb?}5*n^%66;}r7R~vYAdnABv(v$G$ z^hogN4sh`33<&V(4lv-~^@y>E!=w4&Kd?;ap_jR!{^`@nARqFBe5i%e;zIKu=!6vy z)b?;Ms67lC11+2h8WsaBoB}Nu2M@}F2i(C2xHSJ_<!|i=rK9c`m5fGfx17@AQnrR_ zmW&cVk6zQ%5Ecdx%MYby;1#f*9HrHucuw%>G-|fZ@CU^|pGPO?grXc3XhXTTio>Jx z#EY0t&~yeU*ctYLIy$e9f?6RKpfGU&rHTkp74c%(C&>JW1*nd302vKlo|^Hx1(d}z zUV_d%0i^<{*@&?+Rawx`1;|gJHAbMhcu)ZaIg9c|fhnZBYXCA8vD@XvjwD!PyqEWl z2`KgRK=%aRn*?fvt;qWR|K(yxBMdEkSAjwZrF;bU03aJ`kGrTSD1a37vbxql++_h8 z!-eD)&<tcR?8phwUjANQ$t$2CBG6pxaTk>g&|w)Ky*{AncpjA(!fl`t>rx$r0W2yn z>|m295DO=~;Ozs&DeUC`2`~QkBJ*F|%l!WTC1`*Hloh}j%|A7uYPI=I0jNEh0cuZX zfC9h5qq!=Ap{~B6s(^vN)t-@oVZt$|3<eKK3GUGyRRLaz4QfuAf(+<o@$~5BIqcEv z`NE^S<nRa3l`lTsE{9+Ebo(6s;nN+I;L{xy;bVEeJkg`qkI|#k2c(9j%(qkKfNy8W z0S?bzmIEHW4g$xZb3{%N5c`@SK_{XD4rNd@gXRQYxI;^b3UK1d`~XTkIVu?*%|{9j zgOV3`93%o%pm~6bF$YkZNbu-oz0V0576Fy89H0^wQn`SN9fcR0zF{fqVpIY^^-F?B zXUM%D&9=2Zpro$q(dlyU1vsD}?auBP6$jARdFKs}&d(m5=U$3Ii@AswF>T-fzrF%0 z=3W$n8x|od6))F;rg1j)gR-<u#`pg((;;aM6hVmi>1{ze-|rJQ_(&blfkvPsbwG`8 z(3A?8KHdU8AQ+Upz`+DLBlS4s5GiPS+*%1rkFYy`pjV`JLf3%x_CSxwgq*?a(aU@K zA}C?@sDRcwcpPs5PvJn4C-fBQP9BvPh0UN8%HR3`wEP>%5umm#==dj(?k&)x`}Tk@ zXf`|ms+13SfLi7$pjFwOE-D2s{M%UAUHG^86}YyX<ZoTa&cNW(;iBU1*ziM*zkN3= z1A}X?$9KnupUnJiuNfH_K;or4eY*X?RV_pDI?yZ&_)sj+YUDnUQ#3Dt(m({LYXCY8 z*;DhP=l7eQ2Y=f8D4z0Q{N>Z_=it{X!vQ*^3shnGbf;PPbmw{aTE6CQ2L&+bC^>LT zrgI7S$Xf4S9v(>L48A`QbcmSRG|&tYq@e@KprA9hJUTrbK#l=v_2>-nXtwqD0uPt& z1{De2&<l(^Bf!gMBRm)jA|`lr7X)}%p5t#n2MP|&PoT#2cj#TIpFkTKIz?1GG(Un0 zOGsPjg=_(+0)fUKY8~R?;L+&;?&cSOR%?L5WCEz?;NI{{tyJZhLxDBurpE3$;1hGv z<K|`ZzyJRme%X|=9djtKdwsRx*Z<NB#~cd&zdq&BTLCV`K+ADn^frSkU+_9&ke{Ik zzr#v3=zVy-tk<BUxS*B<2eeI*qXKPHcz*u+AJn9^05xvGdl4c);Rfohg5nGmelIIP ztrXY^te}{E3R;*CiP;R0Dw1PXf*G`{0CJ}T=+Horcd*2)52$qkJCF!=awbO1mU@6= zb}_hFiyE^Ts4=Swb~h0*>zqea%!1cbP620lXykJ8PdVHXk>Sz30bE#iS5)})y8ZXG z{J`Hl7j(K(uSuCtFUxn|UI$Qd{QZM(Zy=*jcg*)6KHVwbU-)!qB=~d}MEF|X;&0~y zov7RE$mrAQ^8JH<FN>#tr^ylj&YUA0o(CT=J9ose2!Kl%hX~MUrbl<o_YWSObHF=U z8h&w=s5boKDN(?e%Kn0iz)lvGhF?7VQx0~3_6K&q_zyl7!QBR=7q-m5`8Q*U5Ikpr zZnfeMKk#}9T%?ml<%Qu-xXw~fP@w}#ksjT}2B6W4;zQupS2yeAhu}V03z!n^1yPW3 zGH{1IL`CAo%GaR!19I;7>u#7quNy)8Q$PhoM~sRa=oCB9>1v>3dO<x<L>aLK(mU#9 zZDNL1E-9e%{lE(&V?b#hv=lh>1D47K5^|ud2r?2{#Ynk<su){v6$6f8kIwI~t0F*` za)Tln+^7cMJqYnKcqhd@{%tWTZZB=XIcE>}T)h|f>Oqr{C^`6aJ!%g20Cm+nr>KC| zx`7sag0i1SFRO?ys9nUOf;9)Xzemr(8K4{tHUfI8*2@Wh{{MGu_+i7}0=o6kvEj#m z{?>osdK;2@L06Twe*_I@L2`8fr~?Vf)fYU!-|z(QtvUoQuiYH{dPNvOCxC*Y!>2pW z!lygU!`Jc=f4drJWU6xs^d84Ku=1K2t-LOqKumc(-vykjH)1KT15k6dA=uqS<m%ik zqH=X7>^yTw2cVb-eAjCy<mCSepmG>ru38RW@r+bTKd(iNW6+{PPznaeZRZr|iL|T+ z+ORl|0i{?M=!uk|c9X)3#cx4zoT6d@9_j!!)dN6r4BAu+iYbs$FPr}T|L<yXg1;4X zgQ8Eb2O}h!L5)hEZZ}X3{eY3bITzGK>^un41*()lrhwwv!}0=uJ7|Q?)A9g+`%cgS z;Gm@qKAMoL1AR0PLB|6<6)$-8im>~1gVKQv15&;1;nSUG;cNMrza123pi&*=EKuHr zl<q#D90_XM!z=FGK2Sn{F7xe%9n$US04i8OdObQFJ(_K&If3$^FGvt%kOz2qDrj7n z(J=xP5*47HDcCQdcF@d^pz;Ge;D@7fWdkj*?yW>rqi!|d|G!=X+6e}lUxP<YFY8=Q zSd@Sd2}MK+XpyGC8&H(wfF^BHKr1ytE`pwe2?|`0`(J(sWq;5W3@2W8gP7fr#v!QD z)$R+52+&>gF)HBIyx>@X2eXIb1rNp_9^GLM9=$fgpn?vP+uS^Sy8SGCERXZI&jStU zc0&3dpt1rKIG*64T2LT^o9Cc&SUGx$31mY@P#{~Q2XcZ3IFKO+`@_O{!V8r~P{#&( zqBZPfPvju|T=o6`>wO>%FF^UXa|*aj0PX+KfCVXN=@n=JGN}140crH_eFX|q=n$p` z$Sq*q-B8`2k(8HQ;8j$M8?ZQ_^X3ch7EoS0=+k-Ng#(27LIO;Gcwqph4|;T7c%cer zet01Zrolo&Eug^*(E6Jf%fYRx4=?_MX|U{<W>D`yF6R6HmoGm<&q_k=AAr`o5_!Ib zAZTeskRznm3!dyi-gv(=n$q(vz~(Qy_Yqu?qn~dP1u`DX`4%Ymf!_x;+_B#W4sLO@ zsDN7Lpj$7&BOJY~C2EjZ1x>tgfC?oLLjc5h@$xyOt_5F#18P-H0IdiFrB!gP(A@($ z9v$2Y0F4@eI!?zyd*MN$B*O<<5(=8P1Rs?T8XWQfFXjh15V}F`IOOyz@MuFXZ&x~~ zYX!UC6>_14M=$jBa!~o;SqAARtpqjlVY}eKvywe3Am>4v_aK*m=8ZxAkO27u!~pf) z7+(BffVc@fI${8Jjt<l;kp2dX`VxDjQ1a+z{Z|269>Mz_M0NIndtacO0qT`acyY59 zl9Ivg8R%WQ4Hb;8rMnv{n0!jNHdHXXm9FRC=E2(Vlaar*5Y&S0<$at7nyK<pDd680 zz|!!Gk-x=*oq?g@7ZZOg1E`tV9q8fFE4$YQbXcm4ibrpO2B?D#nIVI8BVAM^K#c#F zKt(8ca1S)}2^yOz@aPV-@aUDThw1L#0tuPkIxA2<g_v>-l&?V%1!DZa#J?RBOU*wV z_*)%7=W2GsN_B8a>|x>2E2<ARPecXOrUQkPM{ltW!d2k==s@Oy82>Lq%!4=mr+`Pb zL95w3KoJC<JOl4Rgc`&GY94}0Z;$RhDxgBc)$qV;d(ebUhA*fI-Q5Eg1q~2HfU3{{ z&=naVy`Zj-hY#pb;)_0=uY5WmgBpM!gM2`<RtNZdjX_Ie8-Ie*0Dt>#c2Kv)MI{5Y z-X1iL0hvAmB@S>Z@!?;8(6iSBJYKBu;@m&TmN2B0vIW#sflPmRIC%7mN?U_s2ofeB zTOnqGQr{m~_<|V!FE-bxD6sRlf`%JG5f<Um{6mAk^$KXl4#~JPRtV!jzVqlU2D=3k zWnc?HK@4L2zX%@5hQ|}A)!H5C;L$7F4mLza1r!nxL+V5j@%{$pE)e7YC9ol|Xkt78 z>gzka22boecywO$0No~B2CDfwLE~yD*$vt~1y9L<JPcZY0uM+~BrCk={fiNR8^N7C zNTLD-;4MouAA_g1K<0sB3~nC6x1f3%mdZiCoe4Go;#*L-AW|eK;K2rf7*L<Wmq#Ol zD+0*?XmEi~a)*RDSOgU2AO_SBXrtVtH;~z*`OzQHplX6guZgfnZy670oS}OTv=>v& z?9u%Ek4LYFl}B$7k4N)Q7XH?SpwMjo!OY(}9mIm}vTms0ap!Ms1q~Zi@cQw$R)bl5 zq5Q3dU=}|Ee=BHQ%cJo(C|vmawLq;8Xs!Sk#GttjQ0jv$g##%9g@nQj&Oc~zP-2K& zx?z-OoRyg68K@=)`5!bu2x5bJO`wzl2_lePFM@ob^&!-7kf28|?`}p=#R9#xp|b_t zFY@SRwb}_95reLg1MdR?-31Tw5_m5OD7-;iA3(7Os%aQr7{@~jZP?&*54Z*cmBOGt z8`MrvWj5i3M+K$_pb9{>o<}#UUNN{lmj_Xp{wJUvL&J@7O#47tyuhQ|!^5Lj^oJQ* z3hynJ07VTX@IYl9$eR)%24w9FMqv)B3O0dFfh2QqRP@#<ATl+0$uKC?Knz%w0Np|G z5_A?OasXiXKn#lykTrC(@)UtX>~A4xr5}0?c)biW08q8>1?lVNT?e8tY@b0;dmTt$ zH*Y?O!q6UxMY{*2AgnV5g*>?WR6v9{D2Tuz4q`xaG+HSNsy!WHnh~|99wNXm!AemO z15tZwl!k)xMFlMWLDl9D6Obz)RRkpFiVYC10dIo?#T<z7A69LGwrD_a26=h>$N&GR z!I@V8j*WN_g%KW}rI@kN@RO;O$D@~*H;t3wW$Slz^W;DVb@TFrC=By{mSCEP>>LaY zC$MOk@M04dQLM&H0gtFoc+rSO!!A&%@`Akr)R+$p`2PRppD##_dCc`a0ie1cb^Z># zO|9EUCByKN;qBLA9^EDvK(`zoJj~(IYqQOx*W{lE<7W@cQ#DUPaaaMGmWc4^HuC7T z71#+X%4{IloO*yYm^66wvU~t7%<crWo<L>S3(xh?f)KP-2V@b*hy+j_QDOM)HK$KE zgGc8v&`tr+HXc^cfJ?W7fQO}rMClU`%Lt9qdxrl(1N+B4EYH{S`*!pE@aY!$<!gDd z<n`;r9<AT_TfmFhI>D339L=_t#^6zwHK6p^$p>;Xc)4D$XyOi#b3w;*f;wv5;E6EM z{h=$@A>7w&`^yN_ZA=AKs2U!fj8Ofcy&8&O{ji=WxS0U<-^-04{m>0IyTRI}K-x6G zhXz2_N+C@yg3mWvn)Cht>qnrg^E6(}1hJ=sZdvI(@uCMb1?vu;-YHRu@aV0R@Mx}6 zVC3)l2O7ZWcGK|b_EP}g^xk@szpV^B=p3Tr;Q9ZgPp6-P=l>Hvoo*V?@h#8h2NvGF zEKJR|svuYKw}S6r?{t&!=w|ci<qg~p3J*;G%v=ldkBbUuYgM!DD?@N_`hZ(0prw7C z%%B;o3XjfW4G;t5MsOXJ;bG}#!QZn1RA+U&8Gt+bmKPyIFdm)W5+0qw3eC2&A;uMh zRCPKFfK>T(yIFuHTzo7K^0!Z6VqowDT?XN10lJ>0Gt9xW^8{#dFm$lSL-CYnFN<Ka zZ4ksDP-W=R39>FkCBg8(Yj&Sbp5q?>5BOLfs1@_*t`_j<_LlJJ<~ihHd7|j?O9PNM zn(Y|)TegAH2RIx&w_y(lLGV5(XuLi)03{1>G3Ee@SI~+ikLF4ah7wzk?m&SSre@m% zU?ox>olYDcosI&asu<iX=*~f!vwpEU>-&F?-b#TNi?Y7|e|@XjwiB$4%cIi~tnKx2 zkKRg-7cDSl)gWb?LFviiMLvk#@<O5-6hWX3TE1Yt;1d@kK#8^U+)E8`+Nn{Ac;N^# zqVwnLmoLmg6VA6ljjP^Z0ng4K9-Y@;C})9&2adn20tt75PHyUD-7gLb31}mP0o1Su zH#=Dv7+!q2@fC4&N`%L8M+=C&qXUR;wmqy53LjaIPDf~B0L@uK(noiVip9&9pkZJT z>&2?f@Bd%l1|@xm7xOYffl;pE0dmuK&}mzsjd3s9LBgG<U(NxYg;52XWA*}R@2pWV z@aSc|nG70sgSrwN!XQ_I7%%+LU8w*P_BigS0iv62Wx=jg^5}E~9{~fNjE0m%aHkr) zoCaD5)m@`v@Zx{Q_y4c2y~rr~{@<hXgiq(e7ki7o|9>%~2y{uePv^-Oenp^y_lDQ^ z|1T&10vEi9`Bm_Kh&ph3L*A1M+Hl5uEmMqP7pOh=fq$bQ|CEC*C;40Kz)EcoWr8;S zftTz<_u6V!LZTZ~kAhA&pSb}POR#*~D?05EsObnglmJv~g0C~!b_k@UMn$28-J_c| zVz($mv#p{YI3u40=R9`MJ|M6;piP#bjmY5PieAyGbI68)c9p!yL@`VmY}gfDuwi9z z!&tBxR=Ww=F!13ZGAM=}+XZr2JH#+~xMADZgM5hQvhZ!lhJlZJIS<|<2lHkk*f1-I zVUV*2K;F#4W?1rOWWzvH&o7!#43hyH_Era!s=;Ta^|C6$4FXj);NC?qZzb3u(E2-2 z;DZgaL^0^~PLLOufDIA>mmFZ-thdv}7+#8jChBLVfii*ZsdP{q#zjTrMMv8A|1W=o z(tcgq_y4b3UX+6b3_<I3azQLmPJWRLVl{*GM}k;7plsaBS_?7+G<lVx`Q!h~wIDOB zJih;bnF?FBgpxkXTu|5c?*MJx0-c|qF2?YI{~+W46RihI!ATLaTfn22R|aeWj|#~9 zFXVN8{D1x6#SQoG|6eZyjUHmGFJB5OWl`4?ABWV4piwdK`qbl~4N@Rwy{zveKto-i zL(Y4_fyAQnA}1L%xK$e9(aXAUEi6^A&PxL|yFmlO;GqIgH4G{tD?nL9;>8Z=g}n-( z1_!i%JK=>>HmKPKTh}<@g%Jw>g|Peg|KO{@A^w1oAY(Zor^|u&EDM18@e;7zQ^@}9 zZBa=8mA&Bm64^k7XX6`4?X(7R`&%!Rh7AycY7hRF{onzQKn>6$_10Ks(2i<Y$q*>O zKjn}|Z=?XI7h3_^RILP7>?i?VT*U|GfL#T;d}PsQ(A9-JDxj7;Xr(w<Lg0n<Wmv%t zZ_+~tC_yXZdm$%kVD3>3%?FLK=Q4Qo%5ts-g*#|t3}~987kc9}XrTK=9rQTu1jCb{ zX&2Cd{%d8>ZdK4mKTzNJ1rPMp_ykac3Oo-FDv3dX7~#<jv7CXw1-#9odk*+i3Xg7M zpKfOkkM3vzpI%Q!Ps@Yl&Y+nLkUr2<KX{}p!K0VQ)2Gwr6zIIZQvx2nHm90x?KMHU zmD8is<kU;hc{(1QA70obfB*k73luM%pw+~kpgE0B(5jnW*}_kt0E77pvR2;(G@<IF zqVR$R9Bd(=vnpIvz*U+BXaWk<Y6Y*@DewU=#P0;}bBX}(>IWsh43JAf12PkKgY#1N z7Vu#32mXU`0{>69-sW%B2bXfNRwyXtzR<7*mDVmQ642&9XxI`o6b)WI*US5I8Uup| z{H#FOS_tR>aCeAG1jtUXTF}xukIp%e<1%_p1#W^o2s$zjlv8>k3wA)R6nLRp{Odnx z+ydlOP&|Q>IXGa!16`0EEH5V5fZWvr_5mylbc4>E>z)H0YykDXArsr6B+3CA2L%l| zfH;sP-=K(A@aSbdY6BYG1!w9I6@wSYK;01VMW>LBB|YFS4yeTho-^u(=9I=GpbP{# zmlEVk&`8*eRqCKAPtb@ZxD5uKN_n{gyrb*oJ5c2f+RmA*0#XJ`>M<%6FAsyHx*;R| zAk#qp2aT10LWBdvfjAvBZ{N)Xx<D4xgXsqEPbA>JURG9KP#yvAG2)Vfc$MSDhb+)) zzg<q>|GxySM+X-vpnwJk4RnVmY5@$A1&^(2cvzk&Ht^_W0Ut%I;L$B|(4#x%ASiY~ z_fUXV)<RB70j>J$hVSOum-+qw1h73Y0#V-gwy10XwW7e~lL4fBx&Uf(bgof30it`M zba#u&4G<qZh0vn%0L%vMnM%lHU;w!g+_YT*7KXOMxIyJNXzUFv2&xT1^M+s!D82N` zGOYpCw=pUTpwdYLTunam0-4sL0y3zD!K1eWv=ny&c;pUr{s^e)0a6T_MPPX0+JI0D zjysSNPy-4y_3{F25!luql@CykghwxL&uWmZppG7>k^$SAgJdg)K|i2+1wf`UfQnbp z6@ebTyt@t}8wB$03uP|`h8OGDK{<p)g<pVGS%Q-Ra`;4dj|ylp5NIByZw}}v%<u!B zJovQ^eBu}2P37Wb_{1M~@DoUB1Sru7fQtM}hPMsBy@bqbyQn01{y*T+c^bTr@H!~K zGeGVEb>4hB4}k_sJV3Lj2SARsJjCA%+C2}-aSou#ganUnmW!Z#2nts45*2VwT^bGw zKTy(cJy0qFGSdT8AcGf_fed=x4&j29|A041S>7s_0u7ggBt1G=&Vf(8zUa~U3B2J1 zlx09wG9NcI*>`VIfv5!UodiV%;taf<XF)Yk3A`wcQLzB;+Uy3WT^|*P*YiLD0dX)W z3xURZz|B!myB<6i3Tj({8J#tt<*T4GE;~z93_$4vd@R)qRUe4|3ZMsRfFr>21b?qI zcs!v+We2EV*?0hynm+LhfR`*E0tFM;)!ij39-sk;&N)zDK-7c66MUMLhez)o74Vhj zoyR~cS)u1Rd;)c_x<Pg19_VE77O1Km6{wQ)P$jVWbI{T<P%R1C!^j_g;FBl6*1=Ew z0zN7c@KnJ8Qp*9_8r99=(|O1dG6X6Bb~Sizwc?Wpzs><rVF{`dLA5QY!T=@a7jhz? zHV!D7JD~+62dL%%B^}V{4ycm@-pT;-^~+75(g1X`5hx*n+KdW0(B-i$DxmYmKxa~c z3x>ucpmO5yix0Nn|G!)f-`W8#Vo=H#15hIrT)tR9){_Q+jb5YT0it_hH0$<VkPVD4 z<Pt#n2X>@Q3$&fY+dctO<3W}!K`zaGp#<LI2s#`AvR)KgS%T&qL6s$Fxdey?-+|t{ z2Ykc1M{oTFr1**lHMGI;)dP`+E{k~~F8K{~>_TUYN(iWS1|6RYaxmxsHc<5fVt0zD zKq3OXP%^;qBq%%$zr8L2WrYe*HCF(thC%7eqgPgJ2`FEHYDdWFQlL!B0h-7IO;Ccy zOa(w3aP)!BqI|)&6%q~#9{(9Yc>x@updH_kJQAW(0cv;@fHEZ5hE9-3XN(H?R9vtK zXj*C;$no%oLW@cR$l>7I4(6zIfY_a&#*U8)2V^`PJZyk;>JvE2ftqz77f682>V@1} z45<sj)7@bALx#?v$FcT`YAyzO7BZX*8bJn?Mc`0^w3T0Iu7-K8*@B@&98~yJfJ&PJ zP?Hhlc<_cqk6zXXi$Df}N&r}W3m&MOq5`%R;<7oAi6l_z^5Vi~n8DD2eUDC11*uX1 zDPCe!Dm*N2l?TB??cf6zP~HLsyHBSJ8)$r!t=X1S9@J`-0!0YK9ve19VJ8Pl(Vz+u zG<W|Y0yGYA{PhEmUS1JakXu2!KevFF!a-sf)SLr(AG$$c{|THWUje8{2SxMCR8Zrz zm-TQbG*58Ff_w!!{1DQ&apwR9R)t5Wzd*BXj2yV%y%szp-UGFn9~6*~kPnye=w*#? z2DLyyIi*I0;{{g*q^XwyN&&40`1@9Y&Jy(KWi9*(8Ao|BBL-w6sEr7%@*_Y>LG=bG zC4i6r1A7cq3WMuh&_)DM7XwuOf|iIg9CJ}I-~{m?rSbNIpcPB71n2=yV-BF~0h-bQ zmAbIh2+vZmL$iBS3cz-Q0=7q`0?Y=j;!HJ!I1$|R0IdTA1s~)9LLQYD#|<E|kdv_> z4OUQD0B+s&@@6oD;tJLjge*k_xduG>)ho*R6Vyze0$EGm*#cS3(93G12MxvUIpCRg zP`q+@^qRKb0ks7{NBe=o19ZZMM=zw64@v`&1`fEcI_{zZ8g68GQQ-ucsw)6>+xk9$ za#lAa?hG%z76OF_XcQm$6e-yB;1p<&2sAVZSr+BdEeUGjgXTs&dQI2O2l))NHxP2T zBdBNr^@vo!O-zXw>y|?T2GSE|QF$>JdT|*CsQQ3~BiJy|wqsCX2WrWIX0Ts;f+~e9 z$;AlN%ilqvhBHvVfTuYz0`;yA_CUR`2suzegFB!q7nHU@Ucwfr%8sBwg{LtEP(26k zb@qzRm<I|K&<RG+^>Uzo1E>^$coY<M-CH0vc4vu-#EXt)NTCFp$_6Jp*Z>>!*u(!9 zJbGE>;LeAvzVHB*ncx#%UKE4Yh(M1X1~)=L^XZl+_<LlaMF=?Uce1Fw;5-A$9iU3O z8&tk^_NYt%TiBv914MU2tI5VApxP6>6dsZvLE~X9DzNOx@#11Eq|F1)6Of61wB|S@ zFM(QP-BX~M3DO)dUH}Sil;(J_J;*f3vF-$$<J(FQia`wzP}T>fCI(QpM6wl;g+a~n zzw<%1qBO_v+JOvW{r8`P0hA&^T>w!10Ldw{!NCE`Dc~k@J+wIo>h6MD$(O;c<ny3{ z3VePf^vo}CLm5^w*Qg|bRs;7Q0;la5<PI~Wtqch~P~`~f=6FKek^-Q!8N@**gDNRd zAq+k(JmO_FsKf?$KB3L!1W-LA4oYEQmEh*`2hesz$lhI0Q>>Tu(SJ~e0YxIrU0B-6 zy@znt7#ScJM7&-IauCG9keU)4W}tKkiUg4T3?K%m{$_v_NS)y3GHC4lxQhy;fd1wH z3U+9r`yYJEP66l?m|k#>>)r!d!P|UX0CWL6XnSQ0XhkQ?-Dss#CrGjrD%s5libwG1 zYj-6FsGkCE?)I`W&t_%-wR%A<U{GBJ8p?sS5^7W=UNA34%7n06uMsUV<ovy37LNQ4 zwia>@?H2H^0Z;%)yjZaa$!I+J8+?IKXA5Nbq#KlNIw33QKy5vE?1B!3eK{Z6c7{d7 zizf;2wlg?4W68y!xOuTA;QN1%-f#(z&fgxL*FkX!3XB(1LBgH)U)ufn|NljY5omuP zWFi-o8#uuCuXln^i9)ou!Sf4?K}j0zysQuv1&?mfUP&R4X7ETtr3d8hBmoYOZWGXG znE+_`$^dlzdYI?I2P~f5JOaMmJ_4Y<G)x@6y*vWVwjV`7?IK-|P97$&&KM?+X50H< z5k9X@&{apUF$bT{^Pq9IEB@dAzkUhYk$DQlItyB_Y7qJT{|oI%&=kp5!|(rJI{g2S ze*Td$s4{GR69H<a2YB@6fVRVhfYKVs{oq+taJp<}1*rr3p@f;kquYiV<h2Y?l5K`; zP6l-^!LwLkMLx{nWo!l>-7L(Y20Cavt%OIfY26G^$^ngtA<onVovF~a0G2|)1BBh+ zrCpv99=)PIU>&gGI>=}hC}nhmh6X|B1HJHq>jmF0+|3CZb+$ZM!p}b+bi8vn$WP5j zBEX|q4&cHPbUY2nRp7=d_y89HkasjdViGS_t^fGn1GG4ffqxE)0WThefB*mDb~q?J zQw_fVf4KqWJgMFm74Y02xc~JAGQI=q6oK~hfk)MP*Qh{f*6(|uZOwT>Ab*3~nk~>S z5vaM@qtXBhZ*YtAl`y!)Sq(C<dx}Z{SVG+6xI1|5sK;@44RB+pH{PJxb{|BoK1gk6 zJa`Fo1^>1=Djkdr46oTiQ+^elpF3hyQbCIiUwq{L242t`(cz+!Zo>eo@WDlRFSuNU zccD#Upd-=UJ>Vm^L5*E-%MWw}sU~!gCIiw}Z~n>0-*Oi;=CUnDCAH-?e@i<P1H(R0 zqt&718-MFw1_lPsdo~RGt@;1||95ElRuTfrf(c+xfr{o96>$F%-1hBd?V1d#us}8S zaTjpk6kN1|Jr3@Df+lNbTY%aj(4cKR0t#_(osHeh?iOeWf(-3$frdGFuDt~s=q@S> zpcVz=3q^3l0o7!OjuMqLkdwh71!_&4Rs}^NxbY4h;05hw0uQ5ggH9_4)eJAxz?Y<f z>_nOb02`qVYsm1Zyl6l+3Oq90-J=5T6G6{$2W>M2H+f!Mg(?NNJwP2QkM17uE>4ie zFU+B`9H2M_wQV8u3&?%(pJC8g?dA0Zx4)s43iN^+Q1cwrpz!DwT?KAvPJ#4wVEeZ^ z=YZqGqq7IRB?r`o20OTO4rH{ta|&dH8n*DT6FN%WD=YmLR1MF8wDLQl+W@*Dds-p6 za|<*LfRbUat-*0n8mLi$tRe*67Uct7nFm_t4{4c#MixPpt^tVgqSNdTI9;cMHcX}R zZ!1wrd)W@EFPeXH)N&bqYyQUgG7rQD?Y`_~&0Gkw02G0s;Vw|O>c#f;pr!65DiWY` zvC={HK6s|O0Bqpv{T{oEO7luGb5r#^ET#Bc1^)j3-&n<wo}XI5-^%>=|NpecDxS;| z{?_k*KpWU%R8kGUz5ELu{02vQCyUC9$<Sl(V0|WVGoqJw4>+`;lM9`Y8-zgV4UwFu zK!XQ786+z>9prk@Wl*3j0%^E!Q2`qUKKUIq@CwR);NSr<USyd<gU1GvF<<6`oYq?| z0bZE!-LvzcN9T<fEuNs|2`79ykH6ds-qd#p<O)#f^CHs|)D6D?DhELPmj%E7|9=sp z{r&&T_rJh%@Zf<dD1lO6g3rf9J|7#p(I1+bIY0-rFuqvH1Q|qA0F}q!jSSF<_zzm& z|G!2%9~0I5Fc0F-2ZvfJ@nR*Y4hO9Y0!6d|$WrjVF&UsWLlz#*M;s2nC<EC%1>_}E z`;tkp&!d->aTO@CK&1_+2M->`)*)>9PtEWDU$=uSM_EtST`1tu`0w7Y|Nr@0u1PR3 zfCeH9K*h1<2M^l}83qRaw*4UC#y1s`py9kVDg_|A7fOQ`^MUxSm-t%~B|y`jpoXIZ zNC(zf5oUzO%4=rOUbSA{O(vk>3mz4Z<^x8cK!Z+SftpD#+_r(5(IAh4b`ycj1dnWh zPDKEfhoCYHd{7YMi#-e=V|`Q<8fsKD7)q9a)}}>(k2(4y4rwD#0K4)4e~TGN0JiG8 z17;}1JkXt>iHeYt7&eV}090`bwy0bHDedJAss)8Yj|xZ_R9Zqz=utTVlI{jIdOD{- z)OLfrD05V9fMj}QJx_p2ENJfp6v|)|!2XO2hm7qsfZW*2TMwFgvOK}x+9(Ec`xKQ9 zNd^Y~*7c&G&HYsp3<3-c{5=anyv`{q4H66t6FhoZvz~*(kwxXjL@$W@Il#qWFRLNQ zG;mD_YKDW{0<sg7ks+N6P#OXiS0E8kzX5#|XybZFKi2_N3xIk7kXiuwB;;+NW-n+& z7SiIHq5=vO!`rXzJbF!TdmMbm>d|c@=E1lJ(wOhA5fcD$z@<xfjTi@r0~$l~=q?eH z@L+`As`3A@hvn&-y`bb20CIIVWIK(AHmo#*?yJ{^oi*U25&-h@iv~G(0JNxpZg&G^ z$N+GSmWnI|x?TkogJ5@ncHp=p%Yx2d2g!nb4A!fOESms!5%1P%A`BiEAA;pM;qtwE zR1`ol7JdM2<odKfytb5n4oVF@V1=L}5bVy*DJmMEB+%KTVgRB+4K<KOol{gSKzz`W zR1n=gMFs4<&M7J$Abw|$N&txNo}vPFSmzX#2oS$l^vhF_HB(d)K-|t2l?)Kw%PK1k z8^4*N0uEfzP?1Nk>|bus$_-dGpaCjWdgnmg*oi#M07@&6S~6Mx&ws-MFG0iikhU9W z-U?JP{gQ#k0=R%|QJDbpNH4GQN05VhAl%Ltl^I}R)*f&o1vTAJ9VFEYD(YYk0xdlP zjnaY5!ci|>(gQW*K>IL2mwa@?hTD2nB0x4-9^&r_0rmTPSta3C_CUh`G>p?L8q*1~ z61ENtRM&xgi`rVu1zXah0@~jXPIErLKO@%Ffe%*X@aUD@CJ72=$ov5$m_dyZ@CZ0~ zMiR8R7Su>&c=6{mB$H)8$D9&CeWKon;07&t+=1tS$H9lJpoS8-fdm@j1No;rMo_?` zyF^gJL-Vsow}~KVw-KZrS0f1C>`?)lJbaNW4UG&?ZUQGY_(4&iDG1Gr;1ukRtO}Mp zKv@}-Kq1z~a0!47ltESsPK%%pIoL&0AVYH9GF)JlpQNBxL({<nXmR=hN;80RieQV1 z061mxGCzT&50EmDwP43~PEmOQGP|=!1*8om4t8GW6qO%PagZ?}aj;uJ`_w_kb@o7v z?QBtz0P%ZSRU|+$f|<_P!K>0>=?t_{5tPorj)12#aOwk%27?$cvUEV{tOZ=ufyxPR z_%I#>#SkP_@Tj~n`w2-E;N~@00c@xclo$;!z03i%AA4E*#9^*NO^O^SO9DYRZ(=6J zN7@i~fUDeY4sb-)Nuq{1IKTArs)O6i7<o?sZaZq;b6pO~2(U2Wg%$>2v*3jREPH^) z;2B<op}55Z;+T&TSRFI#4J4u==D`bsW-(Y$pgN{=2eM;8M_+sN&ViO9u#ySYF(<W< z1I9xD95N}`9m9*=G2cY7J7&{7WXFISV1yiFgX$Owuw$-^V-1;x*H}X)6Nh73p=&ZA zJsW-^qG`P*O2{aH9pi=FF`r*yb<7<R>><NWvSXA`9Rogp>&0F%tRa(z-7)?+95ZnV zax@W>fTwDpgbetw+ZP7d9dq*~){r?Qj6GzUHzGR*Qo`U*z#OQKu>eQYVo|Ii<AdEX zrZ^nq1nxn=vNkbkrdk~(WE{Yb5yS47{V%YF%nBjwA+sGN&471X63E)`)KDDb0d`EM z2-c7>!tNMp9FBRrp44bcM0E_Lq4`G`t7DczTYYGSUY{WLkYU-4>=<zQPatHjsG@{S z1UO{!u{%ZryJHw}IA-fsWXFI;PzV)z?x>DQ06XT95Hw^!O%X`rqDKW%c?D*GMy6gI zfT@7C7#2WV3L8LGeJ^j~Gf3(J34>~Uuo<0GR91kbJ9|{X$~s$Ac7XW3tVROZ!&VKv zs2!FCU=<43P<ZVI9ufuB_#noM3Kf*FEdYB*80J_k-l+h4=c6Fh!`Qtu3EV>OQ32Zw zYu4fRPBK4s@3^8AFT{9fr82U2I>4<)P%p?4=3Y!6O$4`LIz?1o$iNg~_tai!D~?D{ z_3>f%R48~=J}i!4Ne*l%jySragyJc9=PgG7H4?xXu$Na9>P|2h(Hr^4i`_l*QPK>| zJrK><8zC;J?g965L4kaSAFF#>A4AeM#7KCfC=Z8w_RdAllEhSSTNF{k2j2Dd!S0@~ zkFdJu9uM~L`MV6+J;apcDyZ%O%?E?C)gC^q;ggEpJ$^Xcvll#54NE_KL^O@2D4>K7 zWSEsl<%JG*_gsC5HGKAQ!@>u(JueS-53FkmanBTJBNjB>hdIj1qVNZ{M;4qWdRZUw zf#$wIJs4=Q**g(DjRNYyzi@>{BY0bs0H`kl)`dvfDmYvg2VS@Va~Y&TfZt_V^5`z} zfV->(QlR&C8~_~(0vcL-u^JkHI9=Aog+0u6f*Wx#mqF4LewSU4L&RZs#{mHb22hUs z#f>#ZUA~Vs2LEuvT!Y#TiI|0)!imXoPAIN90(DIecGtLKcTFDLHK^&yV;#sfu&{xY zNBF~Li!35+KJg1QoDg7O_{1;BqVmEEyNf=b!WuqzIAGy}>LRJ_$S#7cs>1IgB_tQ| zZ)>;+8r%#&09y71PP)Ckg~yRx(95fIR)oO=be;#ibPRyIr3E^W1Db{D<@E#SHqb0D zXifu?reU35*y<J3F5@(rKmR9yCMY0-H7qJGR91k-Wm>?atl)v3!+oIr2%srASXX`p zXk-Dj+yF#(Lx;s1kAOzYK{q*lx(jkXbVUzn=oZw47XUF{q|Zkh-UbhJ^zte{1kLI4 zsJu930!^<T#~r{!z8=RN6hPXVZRNg+Gcc6;fkqv`x;j0;E8W4P$DJMq&9;BPf)t2= z6o7_~Jvu>m^B#J6_T~To9-w17I}d_936gs8SRXVCbK>=VkKPIa4~PWF&=;qm5=%kX zI5E7e1?dG1AcK4f9))iK4@-lF?7_P(Ak8pPz(9t!K;>CC>y$<j@CGLEnOQTydcpf} zT2vN5ITkMrqClhEpdCHn`!G6tRPYDs9u?5$<eitm*H(V^Snbg}1uOz?Z3%-0;y`;O z7#SE|7C!&~|HVcN&`kSpf$#rcvOR}QETPW7n0uh!J%ToK@gna%WE=;)ZrcJhp#!<` z<Hb^e@Bd$KMww3mowt?m(fq~(v=+hvv=#z<fHin%8niqBI==zlt`8oF?`1tZ1(az( z(;T3&c<_#iUREJF&`>OBY8t#$47}I?vTgx19@q(5me!r4;^5JI#N+S_S&;84kWTzY z^`9E*ePA!n-T(66BN?=^6l7^P{5G&_{NMk-J_4E|LeUSu&kfB_2_DTqm}<;GzC!cf ziyly2+YL$$kexS}ZiWxagBZ{|<Ducv(~cY-pq;QDy{x}vVBrB?{eV9_c=^%pb3^mb zZ;<m)*Q@lhdfY<JsSE<(RRRJG3<3-<_Rfap)SV#iYaNeXRw<b3i;qA9-{4&v(Bs?t zVX8r11K+p>J{!scRDgiytiX#}pc7Y@KZ24Ls5k;&{%m=%Cdi}l7Xt$WOU*3MIlqt@ z6;BD!!to5yfGUXL0a?Tf8Ax_ffnH4n-rlAGvY-HzD=R?hG{6J2W~H11WK`#=*Bf3e zHU;H|x4fYHsu0IXquxKr-v%1a^Jsp9e4iOwo&fc{z^!Z89xDmhgx<pspau$fLXQjN z4(Nr&ptyZeItv<(APH!i@d4cw4H|j}Z`}jUCxRlUTk8L1Q1D4K)Tk&xC-gkP6MB7M zY0#z<P%#8*T!6w~;KfQ-NP!7%VPt?>ks$pApzwe#y$00<uz_!IDFqs|1{v20ihy3; zWvrlD4ZMvSboJEpbD+W>w2u{%@n928(21z-92LlIY%lywK!G}g2XtROBv3(l0=#$^ zx<3ph{ekZT(*TtZh`XA=`*<us+r?}>4n6=o*Z^G3v8cS@e*{V+ph^wAnhm^n4{|jF zcqSAy=hzLqcj-lwF~}`EJb3Q|TL_w1z;-@8C`!Rw+pYC5x3-5t?gF*|&ldKwdO#1= z2kju@(Sz*d&46BJ#iH_}QXRDAm%kOXUe2T0_NT5G0|S2x=yd-E+b1ASNr*=;Yu!mu zUWZnXGN8BsEuR4GDhBr~L6@O0JcS(e1ig9-w)6$MLt?@U1AWlkFYIvpW327EVhoHP z$5~WTK&$;fo%#s6G!xQrWVL~oBH$_u(&YsgQqXB4@Uig@XTHGO+zbp16JDIxL$<)9 zmo;ubN=d=M@M6xUkKp+qfo9u=cc97_blWbwt{B5he(-FQ?Qb11h8JZT-~Ye-_YZXW zXf?W-r*uFe$fEM1@b&lqFQ<Zbgu8Qn|NqhnoS;zB4}aTINc^Fk#}8U$2FekjN*WZ7 zpef%MC5Di?6`U3m3{M(f0%cS1T@w+YY!d(qCx(Y#Szk!;ef$67=>?ECK%EOvhU^Bl zEW1JX{dJds79-ZEXt;ouvKWAlUiWuw_`v`=$1cGKwB{US8z`$m?mP#TPvDb@G(hXV z4PMMOhByPfDH3#nR7ommhCaZf^-_s9$Vh)1@Rq~~{+2jUc(q<C4FYAM1W=Lr!rvUE zn{|gaB!oPAS@&NAEi?wL@(tb$axv^wf6&Sr|AyZT9-s|&Cth!a8y%t&0NS4B1-?@a zwp{_7o1o>IN3ZCNN1&t#S`PzCg38b^lmPik;e|JNBQa>_YqyUI__Aw|ILC|043JVs zz@wM<_$5%XfG#+HvC{ywZM2yKv>zQDhoE{DhSA~^ygCczJRfi-+@^(@2|+^$AooEt z;bBQ=wdm2y+O7o(AW(VL3rf_WS=cYipiEdA)?izx1v-$z_84mhhyjjvQ1-Zd929=6 zF<`CGYzWGIFSPc4{6FEv6>Uh;huo+!;l&X&{uUIzM=vWg)SwruUVQ)mG8lB&K?D2u z|1Y_b8YdX-B|_~lKWG7n(f(3p$J+iPWZt>QkOCFMysK;&=JmFy7=V%_cs-^tXv0q9 z8*mGxa}8v-GiW~?C_6$E613Rjcro)K)DlpRJK@pG+c62!w1=&9fnGHTt{7t`!z)JU z!eww)1}$KP)Nr5^j@-c}`lvwb#Fd~dlmM<1OF_F9Izj6MyOFd*bb{8j^!@|IGGc2w zXcGgdiv>Bhi}xL<1>V`C0ulyw8X&_SJu2X3(cK}SQzfB?B7#o31#Mgbtp@byHT}8- zl;S}PaY40HZwtf(u#dV-AZuE{3o$|am5jif#i8d6_wvsA&cOhh<ZcxJi$m^~;BWN? zEjn$i1owFOd%*YDbwc*agBH289)T`$S)&fgc92Cbu+xjdg%ErZASe%jdVa7zo&q?_ zz{Xh6_Bx&Jfz|b}`lXlm`%h3Q1I^DKy`p~Lb~>m~1Q`piQ9uoLP<DaFy2guOzCZt8 z#GU^5|HaSWkT8d>%!BM|;Z-^c3Npkwu;9U)Ue<1~U7(V83S^ZGXgtTGSN8G@P@x1H z!h%g3fz5&sfx<ipYPB)ExX24$k&y*Tli&@8uoW2@*c~+SJXQz&`T_Dhrh_`NksSn@ z3J1-ULmULEC1BwT>gGZm<iHCWa{yIO&=ncrdKb3W54Iu$9D*$>V1s&DYtDik1>^G0 zy#;bi4`drvuV@_DZIG>spcV_Ly5T@}24pT27A~OE2N+)L1RvQ8ZM%WW#vM1H85Gn` zgs<6P0-Ft4vjH(1`<e~L7ao@&Nd~f68I<`zJC)7A;SO4Vl6eB$^y!4|u<V`!-H{N( z#Q|!_zqs)enm|AU?ckA5Slbk?R0gt!VjWB+G>||WBtY30Ty6C7o<9T%EX1;Euvws0 zXy7Ugy7spdvfb9BmsJ6j^T5RmYErw9j~uh?AkDp7AZxYZYal=qA>fz=F<zu`gJKqP z5DdKB=w)F9-O&T`6l8drMdgL>bx2Ty7eYXyAJo8rHsK5}z5EJV2+<8anz!{pDfql^ z$ZP|P$_u|*^g$QUicyda6`;@tZPEbIjYmKQ^Wh2LMnl%L|Nmd_1nrMJ0}35j)h56I z?bZn}FuZuy3oUh_;R;%+3<_5XMg|5D4O$KdqCp!FK{P&jkZVBtK=<H)6CBv47VtV| z(69|?#09jf9Hb6p9w@vZt8t;nPWJYI4-tLI^ZWmQ@I_cJ-+?c)gO-@!2!pL}2A8(1 zfeoMtgB5e!;0R;Q%z_9aEots$^%aD)5)44DfRyf_+i1JN-EvTap&NAjE@;!li%EMS zsX79bD*`}6$oRtgMX)-kyD|6wxBo9s{D6(F!0tN-HK)NF-UYx1B|!T^pf(Vq{ex1! z&L*LL<-7w)Q}B+bMmO&I^-ni6ra{3E2>?*hhFZUFzYQ`GTmAZ%K>e!w9g>w{Yeqp8 zDJa3f>epb%no*E2DBd9sAfkSqIv137vDU9zx@h(5E^s{xO)b5=Rv)1C>kP0stbV<W zQokMr@jyElLH!($URKq;(E8O!fy(u3b}J-VIe;PnoUwa(r+)&K>WKQarW%xVVf8CG zJ!90bpV|KWfAR0|$Nw*8eu0EJTK#%xFDS?`>Q`;BU7$FJu1|y3uf-EVc9K%R7P5f~ zJ=UuG;tb$|Mi9F@s`g-Y$KsD5KZD!>Y1M#x5gxswZs3UpnBQST`QX~H6Eu{HS*^Zg z#Zk{Ue8w6uE1~1)5Hq2h276hB;0{6!m<7qm0YgkZAHfO=7}DzbDd5%+yq-UL2^2c; zdcF*lhC$maU{}e4cL4WpfwU69I|M;3G1PkgA~=4K>iG*7K-n5R(g_{_0`>5EWu?Go zL+W{OdhEni&nKLMBwcdr`41nV@c~Nu;JO)CJ%0?Q5?UXj)$`AHL6Q_m7&QI{HVbz> zZ}A=!n4qMF8nZ9bkz<yadcKYs6thIs^Qq?{K~1op2ZuPUo`*EESX5r5m6Bf1H}yg5 z`OYJt(7{^I|7(So{?Ks6SC4~=ZG7^eNTf<V-}37}w4P5RP|s&pfFca7o^MQo2%^;U zxtv7T^Q*Q(QZ<qFe6~EOp5OZY+y9pipnHV6Su1aeGl1F%93K4ZPk@RsP~`_ZA<0KY z;1hr3iBJ53J}RJUA5q_f=0~JFn%{7Ebn2*h^y;X*cmV2Nck8HlG#}wO{37W4xBss% zpsFv!wm+tM2Phvfl*)N@cYrI@?g`)w(LDp)>}>o6YIW6vk3EEJzwzka02(~;09^<T zE=VBb=b(+FYbX5$58rus9B%*_0_xJeKKkO=w{QPn7J^1DP~5}cmJZq=gE~GAZcF`d z0J&*`M|TIv6i}decyvzySNpxPCt^VZ<Dd;7pc1GXwD212f^O*DVBkXxl(QfeFX*TT z@Lm@F7Vs)?@Fu`s$gRGeAu0hAK(k$-mN+P_f~VL7UOYDeZ3O{4q`L!Rsc10RQV|u9 zTR1>%4{*+d47JaJ_B3NuBwnn}M6<WVa{?%K!OPje_JCUapkaG~7xgBf@i^EBJmh#2 zP>U3Dl%9)<zzbU&kP6uNILMp~kM4<}Ez%y{Gr_%<PS6>|-5WtiIr#KCGkSt<d1?i< zU^{Pk^ae9}bWQ{-JNTFdRMJ68h!8o@Sub+Uwz4-tL#*zgvtA(Hv61uWZiM)lH!%hj z%&=BPCuqwbc!O_dF5HJcDh{ACW;##2lmMqa$ms8j>q4Np(BrQ+d-SsY-UlsBAk_k> zfdDea2ef4W#kwX)c>*1g2G86;4(*!^@?*1Y%?*$PWj#8ZK_2wzJO&!fbO2qt+<DWZ z^YTkckhQ(LL6R>Lg}(oPeTTR(Dz68fX@eO);4W1sJbeCM2U+g|-l^-+i4-`pF43UC z0i{7ugdqaQ2Yf0M^rSpk;DC2Kf=}|@D+mdhZ63X>55WyA(BvVw!bA<3DUAd|CJF2` z8F<Kmk4J+YA(-(}0V!ZS1i$}(efNdq=WqXC*8D;AEl~Rp;QjMYKq&{yo;^r@gdR!< z$^r%+&{Cokyzv`)%qBQ#cY}_T>;!oSvT+MM?hQT@0d%R>YG|5=4E-XGtpj!YA-M@O zBMX^S@=*bwB&G1;7<lx33goP#)=Q<9pk#nB0b(s^Oc^|4#$gG{G@yBK(CEZ3aHWu= z0xP?i{$F@~;DyPjZ~tHZ#~4mVpTAp5PWhw}2}>Jz%BSX3crIdqmQV8F^F2TTfl@xT z9^h|%1nQQ<a;E6<2#^J!vIvy;U|VxQe)oWH2nLl!^5}My)PT<LhyZn7!6kU>0nmlV z_zIvs;3)%G0fbhPF@sVI$l4cM^%)pmZ$*;^M+;bb8c4bmmNmfz68JXs?wO!$?g1;l zoESYVZ}7K6imwYEy-}e1+QF(1K4L-gni#0~5^J`Ny8_Cd$i<f!qWD@A4hkgH;tLcg zt1{pLk0`#Lg7=m~i!TX2NG1gpUpC;TB0Q6V`W2wFwjjmV`&t5-bnj)51Et`Z^c125 zyZKTEDT^-U{r>;;eQb46v>qri`>15R`~x1^0VNMYH2{&Nn>^Tc*h;swFi_ZGmTr0J zSi;T|>@F9TiWm2JAOQy|-I#acDfhS45D2)IOQ3)ws&vZ&&CuR`k^Ju4|Ce@Xr5o~j z#NhG`+`d6wqtXo;z`-cr6g;}Y4H!^G4L+~S!=v?5Y2XCVQ~_wVtyBp%G422=4k0JE zyyycLZaFHDRV|3aJ0Up%G=b2g1By;)A4=i%F0d)^QV`UPd69{#q~}G)+i(A08iQI- z82*_C8h60ienKj*1CYvV575$w3J=ifyV@ZAutNbr0`EZu9;}xL64(qC0Jj`K0*&C~ z(%|hP>kv><0hL9dfk@b3G^8Y-1Ks!z%65~XM;juw$3&Pw%`8yy_5xhIfd<jw<~|Na zGZ)qn6aW<j;CKXO#241+=9W}}%D6E8ZII4z3&A4prV%JgU_~6LW`QiI0L2QZQ+Lco zMS$^zu@M8q>uqQy9C&{}xP;rH#lQf%%odV8LG2N=1{$cKgEY`Sz)H9q9=*kk9-SK@ zB^;=Mwgob>-B}_9D&eG>ZEMbh@&UMngZSS@3Q@ux2m%EWY6%BAzw1OYmR$NATEc;h zd11y0$*7<bE@~?*qk?KHaJ>y`KtoD6-bw-)_4YZC0}&0hL!j_;@aR0}0cxOqMroiO z-~cty(1H+jL<A_43A`xOWMFvt4P2N&LJ<^XXrTxSSV$;dKng{SW-hqnf@sE>fgK4B zMR2u;(vqtR1cf4IS=W?=B@}(Z&Vw8*^5O$KA{0foz(NsAWA1o4fl!=!78Hs&i#sW# znxYysQ+pR)Y=Fm;K#}&sNCT9NT~sn&#(@ry0IekerC>-M4Z0>&5T*cB4+nq>GLM%^ z$Vwrl6iDeab*NcLN}qv`oq#s<z#~OqYj<N&x(ajx#ibYD{=f7^JslOf`~scN0}4&> zd@vJekiYRw1gNm-T%!^IqCw~LfN0P>B$)01PowsVa)8r4QjwDZk_HtyFW$OCA`Ns` z3+Qyg)+&C`NerxCuS25e#U3t*0`P%<;K|qS9u<gw4v${Zw<VyVZxNLjQ^4v#CjlLI zhx81OyMtz-7@BQgoEB$b;BVyvncNLMrlpe~^8_GwgJ#=35VfcIK;zc#5}@<Hz^S^^ zM&$+Lk+0x$Q6fO+0UQ98fM_TEc!@xFxr2_K0m*}oodMCHV|`jPKxQJJd{Qb3J@urN z2YTX3iv~zd_Y@Vd>CL|w_**aWGBE4}r3wDlUA&-3o&xcGZ_$6ymS>Q{4i}a54j+{? zkh26pX9tBJ04=eCp5V(P0CUt9l?2drJKb%dXz>MIR+Pxgz~I?!0rDkyaI}{-HUN~l zK-XG>TB_jc?UW}Z1|&e8Xo#{vM$i(P43AC$P|Fl#st2q>hKxaULXVv6-T*4TKn?|K zZ2tM5zjZSY$Qyeger)~E-<rw8z`(z)MkReGXr9{D@PJQmj|#{mFMC1l)o$qg9jI?m zcZfg~90&De!3Q$*fPD+<LxblcU{z!{^k6mc<UZ_V%x=iQYUgo~7eN&#=$KVd2OD(Q z8$^2x#5m}b0qjO%&?Ubhd54Y~m2@9?bnj8Q!N|bCSE}X-k8fW~sZw!AScLPYIYQ33 zX-NbfG~C?*GQ^`-be<0=_>po7$Q)4pc>$D+K=~Kcc#(K9Ed&xLpvoDXZGM5SUqxg* zuyL&?`CD#-#RWmf5rM1VURG<c6`%$(wEo9og)#UTS8&I;2U5%Z2k-HQ6v!N)**?&$ z9%#S_I=Bd$)B_D7LZ;1nR6x?8^Z~J8iVDOJQ&d3eK!b_k1rneOC_#Sfo}%&qM0diD z=xTwSEd}c=foIU6<2IJJ_}f>5FL;GrgUZt2(Q9+8+4k>oa8`%h{<;U^f=-)b9=$Bb zJbE2C_ywS|L4sf=$f5j#pbgScgFB(-!7fLJ1t@3=5>z%r3UBaOdXLHnuya9!XdYid z=M=f9q_-U4Z}kC{kuX<7PjdwM7L=SolZxP|0i9O?Dg(P`fyye6?oE)AZ4WqagDy&C z0o{=b>Rk1L6!>-?^gQ^0&97U8&C~K%IhS8|2pflw<@F*yzg`hG-`*fg#|A5glGC2O zk&NJG;(5??B8uUjmN)sE9k>`6yn1EW_yw3j5d}IV4aD{6jTV3kO<;tm@aT<JfC?=@ z2pK?yK+DiU=c4TZO&5dChvNL)F-HZ&^XQ%h@yaHUyFD#G@;4vg1Q}_<+-w_v3>-V3 z*%%o31zNzzh4BlrftVm``32nsKy0Xe%wQ)7GJ}M`_6dS++k!2$fsS^ABcvNP=LK3S zv<F;iLOO<^oY4n5-VKzkLE&zA>9rInH-QR3kLJS~;5HBVU?y1XfMq(NSA;;0ss*j( z;1_V@0EHN6{+eIFQ3AvUpZo#8Nn#Fo4jbfHuo}?04xqphfE>^TQV*INp8&EQQeHNL z224SRGJ*oiqkA``pxgt=YOUY+TNi+*FQI3OLvMirc?49eff5pU{Y>{{$l#x8iU+9V z08N;JTZN!B3Yvs|aR#ZRfDT&-f%*`jR{Rri5`@{{0y?A{a#$(Icu>m?RC<D4+dT_v z&0lwzHQ)mX;nt*LS`!Hx9RXRR4Ptb+fENUST8`kOqd}novIY_gQy`@)+?r`Ptoav= zDEFYgnGLEVS`U;Kf{GfjD`B}6+*0j^7GB`i#(XtU)dT5gfX^F&PDO%_xqSl7w_yK* z>YAb#ka>a(Q0@gE4Al*7=rkSywR;YOug!+o2yJD7lOwo$5h};P0J*lM8&(majsnk7 z0Y^A&B!$30a0uw6boeNz_+e1%(+e~T3=Te&=5e$eD26bb$I0RFpzDFy-O1t6dE(^( zXg>pF(u;F{zWw*;JpOuvM=$HA6_6&F2eyIWr8!ty$a5gE*a;mAE;s~orV@NG1v28< z0<jz#EH4F-n#uuxzWslF9Wz)#%^y(05qR-WhJoQF_!bbTevfX*a5-q-Feo9AHb4bB zVZAd3Had0SAjrMoU<D`sP8-DNlqicUC|E%?Gq_;{9$W`?lX=3h2P+daIe|=iQT`hd zth1M+1S{$Qm0vdDV3h<r6VZc%j8Q>@we#jnF{BXv_v_pL*LN^O6w-45O<1gvW?*>v z9n@7ogebVh3!ARMo=_p>CAJw0v=RC21Molv7g#81b-gnvP%+c$c0_4{l2+Zo?u5+X zzfk&x2voOaD1izZ%SKFE{LCaAs7LmLoJk<9@*#!nlAoZoic#i3`dK9^0xyE4KxGXi zOT07zjcNC??pKGbGJ#~NUPu)PN!drh!y?dn3)XCaHWOa5{QUnP)Z_#;;XqAJNYQ~- z7ee9`)Em43+F^#?1c90bYT1H~>4t>t%d6l2gL@L-K@C_o>V%F2^|JbugF0BC<|3$X z)eBu^11{qP!A^$m4e6c&&DT(!-CI;ZCh~_LcschQX!jj26WAos!T7LN7P#jPn%xBr z41szS3NL&!Kyd{+3>UJ`34YfKB4j`fP>``~-~RtMy!2A>E6Du^K@}^g<qoP*K~+7d z>H;Owm-630VRyi%^W@7?kV8OsNPyaMkV2(KMc~Cs(0K{4rXIF_!OJ+%AyqJqkSGR? z4T6ti!mCl_^Z);b|6k7j1gc6PJ-3&>AWrMaQcjQNUkoo5K)mKXDxeWH2B;VhR1DO@ zgo*w5`2Rmh!%mR(FW-ZB$6HiD)e@)$4!Y_D+y{qNO^6dVU#<ly?e&-N==|l;dH%(l zZ=hUr{iPB}xVr^>Q1*-Kpy}S%A3y;KF%#W9pUx8=ogZJwGk_1Z^ys|#LWBV{Ek5tY zxBoA1zDJs(LYpt{1l9eh_vb;TO+l+OpwmT=YqLTAdXbk4nT`gpPj`4RpB=IR2E2&_ zJYNsqL1y964JwF3K(}eio}LTJQ!*+qCjEk~Hh~-<+X0IHX4_Z0!Hv$#pw@af*v1Y> zIss26bbwM5C<lVKAsK)sM<H9DJUE(d*F&_nLbXPCfcBgAvQ~nZ?y#u5P(awoyJZ~* zgGaaMRuI)0z|m}51kr2?(hR!R!$-x#<9H(|Pk?;tal8>!%7U29w(bz6KS32(XCt`A z_zK?B1<H1y$(~-`Ry$Bh2^~5F_qRZWcfUWZ@;MGl1q{u$e|CX=I~imY<n9%ay`A7G z;ui~`hBn(?1t}|y1&tPYcyu;`TD>ot;IiAnvN|wXaCQRiBxeBk+`;p7uq83D>j)ug zdv}7=zUcV!?f>huFd?wvr68d>Fd=ZG3FN#Nv0uLZf0+y}S9gLv?gJ9|3u=>e9tWMq zZSw_`UVpsY4H5$F)a`ariFly~QdI~R+5wJD(EeS}j0P`AdGAhetb!(2U;OzD(tqNm z1ZZE;`>Wsnzl?%iB?+2NMV~)B25J(cjn9XuWHeM6Fz~nZff6%lS{USy0#JzquIylU zF?2(Yc?6ZPAp2j}g8J$f9=%TBQ?q?Kb5uBdKwWsB&J@@!eR9ynf#CUc3lByQ1JH16 z0H~P{_7?0k;cn1XIo%~H4v?8`a3R(C!=v*v*d;I<K@CEPwi1;9aOdYZDD^e}VCL`1 z2VXqE=?S`{IGK@w!L#`%Gk+5^NFH<rHMld={GZX0f6gJ-mP`DtFBupZe7XY#e0rn* z`gY#&{C?Z>;BR}+=EoAgy*k`Jnx}m`&v_nv$n4p9-{bpjkAuJMeH2f7FrN0&`~bew zdI=~6w*KdD%LL#1%m=>N88QxwxZRn<hw+%l|MPJ7cS1rClpia6I%`0S6+j;EEKvyn zRezvR^=-XWYVX<nkEPVor&l!YDI0@h3zJtjkEBnxY`{}C2GEKP4^U<R1+|CcZcq+$ z+yf$gdUI4fUS|CJ|KGPa?ypbh#g{Sv{{MG1Jo&oaqnGt>2540gv|9<@Z2;P91TqMk z+az8Tu7#9Fu<fSkhYZ7JBwP(Iz1#s#o2;_nHOY8Ql_t_uurr{G``{ZeArnK*znS@a zHiH7ZyF{hHquGwRG|Hp9PQat}e@WmDhEubwJvuLXH2?o!!tOEC<NN>DtRCNQ7+&(| zbhJ3;Xut?cHsFNZeEhFx=MRtX_dO2&xA$m%F5$uW&!gA*FL?RJN1x8aFF{8&d-Sqi z057D&>n)cx#08c|FY6D&LH}(v#58a|F#vVQATtJ#HVkOLFJwci;Q^1%pD!wYe)~V+ z1=FQ(|6j_YPcS0tr`{G7@FE!Sc(ycXz@+gFcu1;q4P=O_7ec>~WQ15Q;L#0gy@2}H z-E&kxgGY#K!CSV1%iUw(<<ia?9^eZ=xjp!IJ+$X<UIZ3B0Xi@B;7fUrT@CdcuY*s} zV7%zjI~n2=X!A++|NsB?9?1thGEaizjq!xXP6mc&heZDP#(_5t$*?gnG*lZf@VEA} zfQC_6y;pF6m+XUTJCAN>4_M$B9xy!lavC_-=cp9;_Qo=T3M{azdrh~2I>Nnsz|(!O z+y@%#1U1n?!<eAt;MsWwlx$!PyH4;zdB{+UujOU_-lL!r0(6HLs631C?q<0O+T7vM z>%r*TdfS8F<sc{<bG+F79Mtv!wengIl!7nMLR_T^8WesR11kM7ZX*F--UpILy}VB! zq!@fRTrX?jY-pn&I(!RhRf9VEplvbGE3E#+;%HF1s6a0ynFn$96v*kF;MLKftPgHZ zgBIC>8K8aAAb*1II4}UswOPC<yaVzXEK53oXDGmt2|FYoHZTP~92p*the1Vr0;rt| zRtzeAkAU-TnE_~^91@SvBgnhU3_QB4Ej;+we+S7y3U{bnbDai5NhP#c0QKHML$aXu z1p~;mUeik|pg9caJ{D+PgGTy6)huWy8%QbWD0q-oSm_E%10YxVb_YuMfX-NEU|{g= z_7nhhIzVZ|)$q2f;ggr|L7m%P(*lqUP?JG}mODMVn<1lF-QYn$(B?OLPz?hey)yg; z8h(TB25LU!08fye7d=216GDb+_yrhY!)5#ejshSybO=rX#0Fh*$}iw(0Aho7y?}<? zK!ZsjKB!3r;)B%-Lhb?r@xgioA&p%SAFK~HM7Iqx0A|AoP6zO=L2np%lA{9DFA)I6 z7RYc=5|aRNz%7_g(6+DcEs))Uo#0Ljv}*&qfd+iZBlud6ZrJ@Yd%y#Qpxwa^Ak#c- z82P8Ts06&Yd>@pWpo4Ir{0GYJJ!e7n2s}M?fZfnN0Tgu}-7~;3*$q848nn0*<ao#w zH>?K?YJw=dm@WwFi$bq%=!Ud;q07Obi$=RQfI5ZXSxTf8qM*s??hH_q9HzGcR0o4s z|0jc+>X0JRqwxr+<U9-yK~R0`(aZYJ7F4N%@;)ddf#&8tdRe!dK?D)&cVA4u3i3PD z4%qM=#0=i6#vtXOfku!S;9gs==qn$PAZ&X8H)ua^FtQol9vU9tivuGhJh}}$x+?^H zx<MHfG}HhpzafQ038)zcn&IfIQPFtu=qqSn3Fwd((4b{MC=<Z~9_A#FMIODpPFS48 z8xD37=r{$?js=j)7YD8&d8^yQ!lU(MsQ{>B3huvm9)4;2>p$e!9f$z5LIt0L0&?LC ze(>xKBwSt}0}tasR6@raxj>Et_r;%bGBCXK`42L#Q$z*S2;u-AAF`8yLCW?u^QML1 zBNae>hTY(a6KHh<t;b%j`U&d$9ReLp-+A$6I*8X>t?^<%XiC`N=l}mNwx0U-|K))n z$oJp$x~RPH09T%$L3zCK4J2u-fvm0Vh0(0s;h?yJ`VDj#(Tk3vf8a&O3ZT|2c>f`2 z1vThKSqG0^St%`0xdmP&3mr><+!PGz{D9*Ql%9G)d$+&?+}$9{VMnAl?*NrQ4E(L2 z3%Muo3$XfL2i1-)DgpcgtbtH=0>1!jD3o2mFTffJW<z>3;NlddT+l@&0py|rP^k*a zj-XQYSc^(00|Nu2M|TIv9uM&KSu?<8UH1m?xV+`3@+z=!=LE2dgAds}dRe%eZIjo6 z2AFI?SGNZ63o?M{1b#sW0g$XmuY&?epa3iZbqGkV8ybj>M?hhF*rS)XRut6R1qB6Y z7_t}CIRwXltKX;pug`n*vc`ZFfI5zd0s0aZXl3|53{)9{)?I@4@<W#I!UB0R$OFx` zyViglD(cbM4E7i3Jb@damAh)7nzwg1Nbtq-XW#z6e)3|$iEsa3zDDUzA@!$vT~ro8 z(nm6ACZq8UxbW&+10EOh=!Me9A)|Sq&;^Hm3wYlps4xc?NWHAm@}T6<0+|=-g{TI# zalmbZ?iLkLj}z2i0(CP%c?(oBzm@<E%wdxOUuwJnGy&2H+NlcOIo>%1+zy%mURVy< zI_{zZKBb21#ae&RpaLjYx1Qwh<ppVkrL|6|TfocCJ0b1{RqX{J*MW*=Pzwyy%7(hJ z6S~d3a|)!w=!V^M4yh19r@nwvb|<(B0L{%pu4(`kS&%W<383pebYDmzJE=z)6fNK- z^_Ca;+n<5Dubn<B7O-OuK;Zx>GC=7CRH%4>21Z|mLbu(68`R))kio4rP{AP}04h~L zg{=dqT-6STY)w%B-RY#kz~8zMTqT95Sa|$D1j@h(9+s#0+f%?cgT@A+#{qzXqQXP- zf=B0JkMB1;4*szBP`m)DI>F9i`~a~JbO1Z3YzK`1zBn)mDS6^`)U+@(NAZ9h1wI-E zb`AjOY%B%_2GHHFEGi(kbFlFDJpKFse?tXR1%K;pP!k5bTJZU1-Jlv2<`wYvdPv}b z#{4CScIUfLG<T+etnCC9URdmgC30wjxZu$Z871TJX#UCQ(Yyz|3!H(!MILMjbkA@n zbPfyV*6tP+P~rn+2~f)plqEndH&C>5fLa6Ku{4PnArnDi4O$D{4XyzpU2~7-UtIh> zGN2;0H|m>5=QR(_TcB&+zTfgV_{-iy@v;ZwVUOnjT>LG27#J7~Pqv)oZ<z;5F1>!= zJS;!*w}*nXf(~;92{!*?EENMe0Mu@6ures+1ZBUL1n?Rr@CiPkatd@kDqAL~rUWH_ z@TpndAu1l=+}Irgy4nI%>UV>$j0eq@z{W&C=@_&q3VfW40w_6wkAN}&SqLhnJU|CD zz5EQGGJrPjn}0ESbbfr94$3o~u+1kjDjvP8_n<8m@YMkVFTS~fE->;@0hc47vKN$a zLAAh3F0kHfh9_S#fLPt2nK{dg<+UEYj*Ot*c!r1Njq-Gma!@-O)M$r<K6H~hY}1<N zVGqqi$RT^dqnC%x12m>{>*YzXo1q0{;}KA~dDx@#(~ASIKx0`KUi^6V?f;9*V9w1K zuR)w+ufF}C@Iv75xBoAzQST5!^moDQY3)GqgXN4iQ2*<-ghy`?qeo`}hezkR=93K_ zJi;Ca-?4jiegvhP2#>~}pb#i3^XLxX@aUHC=q?cO=&fS(u>4+j%%hi;sSny6Lv28U zTDl4^N&`U24Xx&>0Yz@OK(npeGEki(=F!Ox9%?uY3I~vjUS@-iQL%jR?f>g%n6{RS z!rTjTDf~=0k6zaDUOY~D<&V!PyO)BTB8=gb*OOmty#MY0>z6N99sKtH<x<#A1eEYC z3-Ca@%MhHmAh}q><KRPPkLHt1pwQ%akqj!aAbAfY4{CRUikTPQU~$;(gfDCke*6D= z7I>%<OrYB5L(o1@efHuzsKCHt*L|=!+^!1;zWska4{QybK(UX%tp_v&ijjUYK<DA{ z_dNk6u5Qq6G~I#V6X6UDZ@)GHb;dP7wLyYMx5y1h7b8T4<3$qKa!``!jbH@HgZty) zb&duemWOMOdvt@Y4F)Lyt&N23P2m97Dd2DgHD_zU!3Amub$f7lbO#84Qzob{W_gak z{R+rMn&9*+;nDn4U_ZES<M;XL)9WAs?!|j(e)52oZl63DFN4<Hw7v(GUZ50S0X7nJ z*pq=@uL!57<uCsBQqY)+=5^1`%RZWypn5$OFL^TF^0B<f-|h_>Tm=<{<{-Pl=SNw7 z_u%*c=c{=dI*RJ6c-n{Ywx{JS&<K142egL*TA|ba9W<z-dD=(wJaib&NAbKzFN+<> z@%-)QLGH3V?ZNMV)6?=mDR_$?<RC;)mki_|$mPi|mc9G-|Mf#3P*(tw8$t726W@IU zH@gEswYG#0XdOM+XD`eDgQmzpWihzT2vVDhqSm9kL`4Iv;U#F}h2hE9mY_ro9;^n% z>IqQE7Xa@4b(esyrv=aKfu_hH>u*7c8+sdjhKJ?BnnR!wJBY(~fnwyvv!~zwgXfrT zzL0(fWqy1i@C;Pmee~(P_2Ma5!3EIh-mj-1(GM>^Jq49{GW)*$e;JNwgQBI^Uh1XS zO`thk@HJ<Yq}MaxvK?1?y$VV*R8FsD_|vNoEWLu(ErB9h!GnbK3Tk8f;7qUJh6pj~ z_1kMK>Gk1j;?wI^6ty64pr%(V)bu(5XM&vrjb#JSKqjPAvOHLG5Iw<~K8B{!n=i6p z%#SbPAA^!6IKi5LMZpQy6D<1Sh2vvTg3aFj?f=U#c!EVsuc@E}(0rr;w1Bq)bcSjL zs09yhN`OYw-hm1L=$;M3ORxDs-Y7u6cpbbKFGM8*R(3jo?^};iNtgg?l7Pn+K?6n5 zsVY$24BZK10_yX_*9?G)Qc#1dS4I$2d<Q^_C=biu{OzDd0BGbY1Ekx-qnCvbRQP~` z3U;m+DC4VunkU`hbiM~VvI@GH71TlKbQfs04W0+8XSG4vGC-9rXj%`tBn#|Y(2^`U za0@F(rNX26O#^t?G~<QNjc@;7Uj)Ubhet1KUn{Jl2bVbDo<t3(w+q_2$mjv8=xbCg zz{vqr=y`zJiw-aCK&^_$JHP#ZSqH1evDbHHsUFR56hLty0g4Oob(R(_2TH)s)_{2% zeB~k1Bz7ctn|O|jgh%rc1+cTRDFyX-U+De#|Nr&n7vVd<{eRsGb^}^^_ytZ6ZyZ2w zumHKi0u*8%9-zvu6MSALsPTZ@N{;Y=^r;j;VI=S(2DH4R8x-u_pu>`(EjMuec#Xfk zA7s4dCs5}=!lU^Yyng%zs~-(KG(UQLzv*%Cr@e>bN6`G9<zfC-@Jw}ggaFtX-4>u) zB*M2>M9>q|69ip}?4x<nv-7r(=4B7a+@Po8Ew5e~ac~6*8W#aA3~m1cPRyV&RLhSZ z{Ql=qDoC$h6L}BI&!wpzaC;0qEl={dZv)qmCw(+eL(K-yJAMYap1&P@`mW_g4}Sks zo|ZRC`8@uE1_I%GNjqg!pp_(Osc7SKNcrON`Ube_f~<1`$)v+%K<NcsQyPH%_!4yM zF6a_)P^N%(6|7Ozf|DAkrZjkY8`LuCya=h_Snq?&ix;*K8nl?g=svu#U<Zp{cp(oK z`ry%d@rB5JP+?)W{oDVSFX4p+%K3qy^MgQ5DDV(mCg{BR#y8+KAfWU4K)rF$`FtQ6 zbVwYC2909ER;z%UngRD<y{^s{l^>v*5~Sp~iwXy5_|l`7w?GjzbO*{+py2@Uddgnj zw+awJr19J9`#}al%xGbN?_%pwVF20NJw>GfM1yY1oCBI?gV_Qd;q?diy<oP0C&qet zCt})Ch-3>m?}1zjHRHJ{#8Hs*&!EnC#xz40j~V*d+`)-y#>;&O-=e!i3Ou+C^9$4+ zyX7H{Lkh8_P%|cg1LgpK>rKdPUhQhocqODW&M&}P2jzmtOhBX2Q00A@;E^cEP8iVb z?uOr9eg<#ufSkGJ30kXv&ZG0XXXg#jNPq-LuJcqU_-1EtEns<wzc(I~`=PTupq{D# z$n?${l?qTMDe&p$x!7`>zjYHhn?fQN<Xs<dm*UB3P#}PM?5zh%B@GXNYys;Bk41sj zD}XZ#sMM_h4~T+<JS=aOi-9U4u*y!BbD;U!k1yRo<ve(Pv6r=`9$KP<CQQKYL6q#E zjp>~sDhe;uoj@f!s1QS2B?g+K1TFG;y$IyWjE)!;chDiNFUppHG=PS{Ks%@n540R8 zkpUf%>W;<Pps9OMZqInh26h*V%8T%2FzwAXDgqp}EQSYO?*WAc==xC&P~?J)2F)6R zcCftIVFnsKa8Z$XJ>8@AKY#yRP>}^1)9?h1n88+9^{8lo{0BYv*|YPfPv>FJ&JRAF z*9`xAH2-7b@7)g0k|io0AkPGV%A5$$M4bXN0|RKoi96^nRq!xqCyUC9!<|Sc(14>D zJdV}bqXLS2!%LtOV%<Su57G`=MiKxjrXpT`0+k`1TU0o}Nd&sgu5%A$>wY(MSQC_E zyP@l*K}83sQ3B4>;KAn~V1K~&$byDH!9ymU&;=4OL$nbiQ(#wXLytQG84gNQsD>_v z8Va5ykN_<e2WJA%eFM-02_D`8wTeL3vx6cMY$|BL`h-X4Wzb58-_Rjt1CUwATT~oC z(?=lPy}T}<sTI(5qadly78MH+4H`}chdD$kB!;J`Kzsulg#tw}c#$`#JOnek=YT^F z6gdi@(1o>*G(a41G=S#-d-te-9RZpPd|?60{2-_N2WK77LO#%hUoU8=3^XGTN*kVy z2S78MKA;<=4}m62!RB>C;tCX6U|pTigwzQ=)UX$_`^dBN19(>_{2uymcR<x$F8H2$ za7guT0dG?RH7%ff#V$jY7=GIiDpy?%PrfVyB@cMGu&BJ)eeeH&Xt2%zhuInx4-nmW z1XTGPHazgM{U4}1dCar(hEM1Dmka*>2hDNyKq{E-iJ<X$k6zg=;-Cd>puufWxPnFu zL56@foPkF2BwmQ9z?QakLylW0(VqZX($sPtGG_*@TR<ZNplSeor3^SR-hTK0|4T?; z4Q?m6AA(rW3<_h9?v0>vHOSu75B%-mpamVlg0aIFTtp*w_|BRPYPf<|iFbgVjI_g7 z^qv^VSD?j-paKDM$_Qu@^%?ZkRs~Qp1zQhUe6I;AVL+{JW(I~AfoDMt0?6X~(n@GC z2wsl>S~Ui0a)XB~6kcf9LW(2s;JpE;jbZ^x9grFvy7>O{B#<*v7Bqu5x_~VQtuJO` zV0ekVp!xC{(1K>f7I?@kB&aFh`4&{vLn?rm_CNmrf3bYsxBoATzJr#1qP17SBPY#o z(9U=_@Mt|yDg&Ba058n~F#<sCUr?_~!K3+z#$ixB0h%8zxdrl$gGci(#(EJ@N&sIV z5P(p~AASII)Y3ulxr!3};U_^n$Z<;opZMbre&QF9eNoQAkjDT1Fn{=MknF8b{Begr zf$w^eGyU-&d@du$Cw>85i*gQzPy8__KJg2%>VVl`{jejPJot6MYgjx!@eBB<NPOat zJow3jU*`bGSx7E=F>~#=|1ZBldlKjaa$W)+-9a3nM!N>+jQb3aUKt0EZj)Oc-7&Yo z!U`Z^ACF!Z2aj%_LmVF6EQi2nmH$8FVR@=n(Zljqt&B&v%>|!smTNwi$4j2^PXZ06 zbi+pNJS=bW&p{D+QE}zl{};tqzWtwoynh!w{#y^K@=?ye_vm&4cL5_NcpP^CRbLF? zdsi437>+q8FnILxa?J;wrUsvK6x9|4Rd}G0cu*k-DknhkiF!@2+qU1J%aOZ51sr5n z2GTC^=oUE$8rXkvbunmO%|!)rh9Rih?&W2y0Ht~G$&{eQIlZhC=7DU6ba23<{Gh9a z8AU+WgJ)-4R3yM<aBqo90w{Yx+E*|affj)<yx6l9vUn>1+_{9#16W?*?@0k?J#bgq zi^HQ^%A?y!!lOG#z{B!Hc^G{93^Yi84LZo@p?JuH@fN7B#NnX{K1p5xWUIsrjtZpw zr~s;}AT<VTX%Os${ulO_L5=#8tG@kznL;qVfD?wNN3YBQkAsg{J-SVVJ-TCrIXpD) z!Bdl(M=#3(kAn|bJ-T@WJi1*3zz(xK$3Gu6L8M>$_WwoFB~XIEoj$?#S$bsN0L=qK ztOAdAS)M4C^T<2^5p`kW@UT3LZazE6e9(Hk31?_x{_>08{=Zms5#)YEdDH8nasx8I zd>@p|8s9+L2W!BSxuE%F@Hhe}b$2s(bbDyDFnDx3foH!gJi0kOdTUf1FfVkMy9}!& zMK6JuT!YGT&}3{cc)2*JbLjQz<Nw#8paRRo12oRv&FRq%8pLG)_5Ccshpd5WBv4ZW zR8k0lng)=w^g&5S1C&_|K!x86$L*kf5umw1Q2TB18%T}-T@p~b5VQav?Ec0hAa5Oh zF=Yi&=O0Bt^0x)3Qa1pN7Z||uw}wYIXfT@t+@A(joA8<iG_9=g;;;e8e&|eh59l0T zP$N;pqdT6%qubo0J6r&K;QB{UScB%eKnMTa0dX`BdvwNgfLdIj%n~kulv{dPjGApT zdq6c&3V4twT)?N>ox`V_-?#NZorPnAr9!C@cxA9VhsXa@9-Ro?&5szpdRe%dZMDGK zSv@-0U+;gRckJ8$*E>MD4t$CW_&OMHQEcGRe8l4L3qFwi45-8X1w6XLIXt@M!OazS zj%M3?-5{qZcpL{UMPdL&4EXjML>&V$#_+(4qes8}e|-<M(V_eNxBoBF&Vw?p!18bZ zUv@(?E_k#Ct$Zzu_CPy}%Spha+lvFV@CQ6t4?WWg)Z&GwkzUr>;1U(omjacjpl&wU z#o##(9~FZa5?lZOe|_M^#AV<9zwU?H3!@PE0bIVX1H}kx{oBi0<N+!&p(}7em#My( zRtP#20AwS0zO|F}=}}RJX4`#Tpzw+D=;Xb7RFuJ^m-ToDl1??ya)20gox8z0TfjO6 zJvw<egLJ}gW%1~>T?7*7Jn^FF$hZHmpL+D#HbMmwK?1X0L@oRF|D`G9v>f#CjPOA5 z3#gmvqhj$|2b8fSJPtl!0S$l}cre}oH`PI%h!>|#A?X-Y9;!%yO8w>^tTpFAqhSt+ zX2gppOTYbpJqgV|{<dk5@G}7A9}Q6c0nc1PZtpO>^jZ>Rp91)tzHZRgB=C~_&Ksa9 z(&k^RwLYL+06zMvgo(qW+lI-f+l7n6qg#Z_!}5BmJSf2_cy!vRfD$kVsFN-5qQ>&$ z|ArbB35L=o$6QoA7(h<c@Mu0_a2Uj8L~vgiEd`y=0ShOr@n2Sr8eX7^0&?maWK#>M zAOm$_K-K(<q%KfEL3?cm9=)I`J5Xr{x?E(MK15EyqZ@STNAp4Oz1^Tt=Xg;CmxHWh zfM38famly;FLTfwh?YLVWg1GHg4}2UE}KC6c`Ug~YapYFQ34*_MI0WM5dtM{poIn{ zHXhv`5+2=ApjukN!!kgjM8NPs2dMZ&q&CnVEl?@|m9zpc4Af!$@Ee8)US9zh%@A48 zE;%8vEO?F|bfQ#uh>F4M8HOicPkC`-@wfjkogp4UaerAPYWTv^qb?|9Bs_XW8bIm8 zz@t~>GN_dUN^=}9qCn$--Jl_%Zct$VKj6{)gQMmwND?_g1~2~h|Mf(q^;6*dEC(si zF~@&>R03el#O7Zd{JqaW2^Tat;?XNJ$)nrkhDW!}O`l$tn;w?`O0xEW8buB*-%2FF z8zNe6mmE9hq7ng4ZO}5QyX2;XM|aH)1&{8S8xo$D6$&NnV8x)(c2GYJJPrez8@#Cs z3f34EiRRDXd0X(-De%0l!t13T-6b~!Ji2Rca`<%n+~DxEED$K+0$cyG20YCOYMFG# zsAz!3Wk53s9H7h~@S+85Xo-qM^EY%uL17A>%`tds`Tzfaki)_G0^;x&Qj5O*e|Z#E zDx<^~e;fAnhAmG>fJ!&Nc1XGhU!?`g6B3Yk1m%e)9f%w>PxJ<AAmq|e<(dzI?<@zU z3dp<wXbt&`k8KbGAe-AjIUCaVc@eP?M|$IL1CO+0<QJUow^M<ZCj2enngBG%3&}R1 z%+(#G;L%+q;b9q}P?8PKQ;8nkUIrfBQ5qiI1sWcf9tI`aU~xtMZ5|dDUKXVi{M#HX zEu1X*r=Vpu!vnA1p^cg!R0gFB)C{%R@Z{_DXc=!Nrn;8};MO=S9~z!~84sGHv0w1* z|I1gfs09~!i1_VwQTYIA|7`(flEydSu5ITU$ZS(Dl<s!o0IdUUT?-mlby4x)7hvs# zvLpBfSbL%D41NLDiBNV0sFMz9!Gku>bwh`-I>8GYpgT@FLCcqr&K5`j=>d<bfR_|@ z!-lp%^Ksp<wLd;86~|gs8bOUekM0i08YRdw(e4@G<~3;fjpe=aa>z-FGe9#X9tR(R zR*(ud+bTALDrYOuLQxO!LQxl$2<Qq@LD&k?45&l}SfU#m28~BRK?7PoYWEtnn-|`f z15FEo2Tj~mz{^L$1$r;51XvYlJpib}fQ-q2$6iZR6ke>>LF(+l1|LAHbvvO8NGqE_ z?nEpgJq5a4v-8GFbx;&T7m%jy0WTokKlj`Jms&XD7wM#AkM2kXkJj6zN}$R@z@zm* ziI4|)JvXR8g&&}7So`t+1W>zPz{AqI^omEP^6T@c<w02~YWWQ_Hv=^2U;w(4%MaXK z>lOl81iqBc0(>bQ;{{Ly<3)WjWQ-MDJb)K2gO|C$+M?juPIz_r>BzVLFXq;OE|V$; z`LhV@{~8qokn8$DuIogZ&mq~rjv%vf`xk8`5+nozK|WOg`P31~r{JLpZP*xufJb)> zWFP`mfEj>VEf(<FO2DJ}h{WL+q9D&5sD=i(0?12CL0(#b$e-4U0%aNK;SDbRK|OlV z8dY#z3~G;nma4zF)Cemcp=}NU$XX%LNE%2HxY4o}t_Yk~;WhsYkvZS~zixuuzaaqX z>4d0oSaOvrc6+c`da;yB@^ACtu<+slt-p5=@UV0efRtzwhYb(B{thl#AOwp0`P;zR z5@kOqv}s-ezNaj#UYubUsBZnhzfq8X%0bY2NYJn}==KJXdp&wtrPqR*(4dm7msPzU zbaetKne>7i_be(ee71l7587|g1gd_{uLtEVNbqoglDRA>1}Z=!3ZQZ22`}vSgAyQY zqv3HD70~J#k6zYwYao_@N0c%^n!wFp@O79HFEV1j{0AM*0~*a)Qzy>Q&It3wgcoo2 zA)7ei#T^vBM=xt}9mvfrDlaZofB*kd8B{E<nDy=d%gc~#ixyts(^yc}hk?UMuMRz& zN|HbwAZQqUUk!1-0_Yw!uzle00>wP2Ypk&i6h@^AV545wf;{lz$mXyAC%n*ux)!pQ zXu=CQ6uw6<>(*MR>qV-+|9{={;_b|D|6h7Qns(p_M{$2yC~E)hwKAyf;o;F;3~CyI zRx5(q?%+ZZ)OP}{K>dI1Mdvn9tbrU0-5)66(R#A<D5!#mHc`rFe*6D=D%d_y!NLI> zX*&!_uOL47hGUR8C^a1hD+Lp%?(;%EKOWNh6aY8UKJaghbl{(IxaD?<tVegC1$gl* zIJ{O@fx^oJR!YJdFCNYK_Ww0fe(h~hNdOJ@TRRHyx3PlGL}+{io-68H1D-+x4XJ>q zk`8$E@`hHSM<{<wgE;u;zFTiWbqtHj3&!1$3=Q5G2RduY@Z@VlP+A7plihQm^IsyT zL1n8)x6%J=pg9e&BzUn{3;2X6(8xA;>csM9%^{G=7nLA;z^fU-omcQ>jh&$N=-uGu zYjeQMq&-?smPD4YcyxPmfD?NQ_=E+J?VytuKyy@}(-k~=Sp%km(jK&PYzAsYgKl#J zwJ1O}BWSb+bm;+jUomJhhT%n&5NOzjwYU{D)X$>wLTVSxo4vfCb1OYMIht)ts=@m~ zJ;gv9uX-RJ>Ga_6Xs$G1C{^${?q~oSxoo!efv95xsp}QxWdOMa)Ubq|eiq2#(fo^v zzyCOB*G}st{+0uvy`;^*82MYgL9-9dznDrw8Y&qXO4L1iS?70w225d-S3Qu4nQmzG zH68)Q=HcTkD$raPxEN#`DBXgVw!d(S0Nr>9aqH_%&_JsIohK;RqS67H7VC!Yc<5|V z0f~c>vIQt48$go1tX|?E^Pp;vx2Q~DVqk#GfJ4>8hCM*7VNk$=&iG?^@yhBSXo3h_ zAc7hs;7IN4Q2}pq0}oVzoCvA`oR}CGKqDmE7#SE|O!kBf>?(j<0BK8s*293haUQKF z`CD@s85kzOO1)zh;tU?`jG*)dF&0wBf(L#$UYzKK#GnAw(<eZ&-^=?k9yA`^qXOo3 zwm{CW16?x8$iUEG!NA{g2Ao=0H3OgtUSJ2r91f3O-YcLC1WE~6pyXOw4r(8QT?tAB z-93=Q?Lg;Abc5QMogNC!whmyGvLKZZM}oS$koW*?)qW`o>PYrhNPyZ?-7Vnoc_F(Q zG+sFclE8YaEI>ylaDv1-FTMnAcJSz};DD+J+5Y0~rf>f}dMm-_HiE7YdvOOO(0Srz z3AlB_+E@UJLuhVL0sF578UUaY4;1>K0tys+klZkb_y7Nw-$5>e9*qb(Y7n%)5=U6a z^gzN0d~rLdSqjRi$k`M$iv`;L1DcQa=oQV014Y6V$n0Tf3uHw}XAfl3wYx<HY*lBA z3V209FYAIfB=_q<-48MnQYV7k4@-RtAjXRxzW@JUUik~3)7$|~@FzfN8Y#hp#Ni44 z07$ZzRhS24S&Ir-?eP|s3rq|Qpr#CXULK?bdxHOI4o&b9pri|#n*@!!c7jhQfi=4^ z68s`Jqy!JTpbauM(+%3g0a{w~43uiSS*4jF7tcF@(h;cC_2>>%@aPm}28)ZRyoiF1 z`+$pO@P6>_9>|svP-X)!-vA8_zt{v-2g?;RpdqsY<g;Gh$w8oq=}`d*gRBCZ1e)@P zNN<UONP|^_ChbAO$e9Op)wf44>zfVG%yW4QBw>IukGKeE&lg9tt!^2(7zMW~Kz9d$ zya>)fQ=q5Gf!2G27AkfINHp92F9r2HB0w8JKxx~fGe7~<+^z8F3@~W6eF&Bn1<8WL zyfZ)poUQhOR($qWXn?NHg>IgCacn&}n^<^sUU;!<{kQ)wXF?PyKox<Ef3XmxsPn?> z*+`iT6yPs9KoX!#)(M_+1;y2i5|9|^6rGDNy&zfbCUR2MhbC2UXu>NVPznWSH4x*4 zA=m%^FHb_V8fd>QD64^H2S8a3;w4D22EK%W<Hg4gNQi>78dzQHNt7B9lrNC78e=pl z%5Y~jh?VfH_No<>`k_Sy%>5uEab-0{?*EX}SD?l04QPUT0Zkf^jWR7NAaQtBdjOK` zWp(8MS=OQgR(rff<pWYy1FOfL)%r}3vl?izGAPr4mqtc_+7h5{4P=A{rEW2IM#^g7 zUO2c*0?%rdpmYdY6ak7gkj<dYDqyo-)Idj&V7Uf-_-QZi(nwI)_COb0v_Q@}Ma~YO z^Hw~1S?@N3YH(0Awm|oZgNB~Wo54+v1D?>lvV0>XW<h!7H0W4kP({O73`+griU#at za6Un;Xh0_zDKy(&F9N9qS2W-t=|rn&)_~TGA}Sh?nipHvU{*8>Kw_O2U)Dg>!zvn( z?JrtDa-clW4Qa}}C<X~2Dw;3gTh^edM+p>;y)Dqt!CuiAv;Y79@)k7pgQvNm`!+$T zALK-Ed?F&^b1Ni_z^NZR42VDVGe>}82zTm-SP4)42WmkH66$^(sQdAyer1mT|6d*l zHL#n1vGDiXLE3T5{H^am*&zG?C?iIIn1U@T8K6XuRQZ6!;W;7!B-zWV$P01+R4wQz zFr?NNSUo6Rg8EpXvIA6zKw4WhhR8Vrycnk&TKN=!LlE96N68VY4v-uHJ^Q8`wDrDo ziVC=U3~Fs<f^x)h78OwA;DtmSs85M>JRRgfF3?85*cNE4K+6D71I?qCw=E15_&ty# zWI-EiLB=6x4`@?spBpp>EL{gN2b2R|3P5X%|M{SF4bA~zhhfP91s>q0)>W`da1H<m z0eTKt^#zpS;7u)%nirc^V&;H(AhFJiFRLKxVL1R~`->)!9C8jQ1PLH=z^61&1VU2~ ztf>VK4|w?x3QbVdL7G~IEbyjQiwbzRMknON3{VaLIT0Lxh_L?H1PLQ>4gl|_#-9Ti zLqU;%I|o3lgy#Tu<QzauQ%jNc|NocQ5lt-#XljR?(2M9>fW+ac9n?zm=w+2;0R>iz z3Rvy&78L`e@*k`odus2~Lr(3W1z+&;A97~|_S9}}3rX$ZwTBv@HiQAVPXRi7&!d;u zq7GC@Lq}0xoB}V80*^$3CV7bMcV3JG`3M^QumTX$H^p86K4OL}!vgyP<X!MiJCFxn zutP`1V3p1cQ1<ENH3$YpXpah*+u5Q5I$0T%RzQsua0g}$xZGmxcmwUq`mcth7*MV% zhUKc2IiOsX4Qk_onmr!iTm>2n@AQypw(SPXf^!nYVW3?GNS&4h&^d#kbK5{Cv_VdC zf03~aGbe?B#5ym&G=Qjw<s^`eFD$@vCti1W^s=sUKngY~u=`t}9>gAOzZw4je<==X za&@+-fHD$j3;;5^KSc#}FbpU}AWjEWyI_xjN~+EEkgx#f9<X}+x#w^oD6nzo9<Wu2 zj>^eKB!3t|{eiFkc+3cievlVlmP6_hR{oaPpxU7M7aM=;xA*W)%n#7f(}FE393V-g zdITg6&shv0$zImS;Pq5cwZ~h)2k(PU=>T<N!0JIs4YM9G(T3(M@Xl-S)=lst-4h_M zfI1T3Wx^Ppn7fvcoP}18fYxe(b65;0<-!&WgKP$0{|8RwN_Ef(fmR*hRlmKw-T|O+ z?@<ABL08yAO@JqIXw}i|0IfQ*RzS=FCGtMdeG8z*(~(S2A_rF;V7Gu1Icno615$O& z0;>ckc!*!o8c+G}P^u1)niu7ZF%x_;NUZbXOM8fVSb_)H{=yw3hg@}-fdmj$M>+T^ zdT8090SfWn7H9xq&jjE8{)6?>AqSF!G685v8I<@zPJ~q*8IV@&wi-wnffGMi9sb0B z%nuX^xD!A4ctJ$spH)X<;(zw<-~X4O6S|>sZvm}3JV0p}DS?B;;R)OUB-zW_qXx39 zMFp((c#BE^69WTi5ez7SgVlqQ8D;_x)_^8(a4#Klx(KwPlmHGbP@)7?&H^Y6r9Wnn z1P&gS0*xGkDjcLL1eU<T27+wv1}}I7l{k*o&_IWJas?<Q_wr`>g2J{(1<VEYq(Q=1 z+D40Pp$WWmDa0I50=ET?8-fz}<1}~z2fGSO0#AS>@SR|l-~<kFBw7ORdySI7L26!1 zTY#Csn?Pcn7hlFf)WZ@u$o3a$AUWg&9tsjbByjdpP@F*%IIL{}2>|Q~T=X|QfkV&3 z=!9N0(G58U3>;;Mu)bOe2_tX<2e19cpTM7bgCYTU0*Bpc0Ud@?KrY=apcxcj>CXBG zn!r7JE5RcXy{rtcSQ%c}&Ihdtzwq+aOK_mW0ufZWy-)<pod8wLApXnwFX0scxE;~U zst<NGbm+U6mmvVuZh_uh(c1$Z5r>{71#4@9+O7;Qp8opx|E2zm|Nmd?Zus{9<+bOa zwcel!36%K={<hN~zcs&!0Iin_0Iin_0A;@f$eIHO&_qZ{D5ywr01XOwfFl8vZ$S0J zf6ypyFSMlvo>2vl)_8!{?tmv`!E5utQ&{jBFwmSzfJgI@h{NDK!U8(FgZCroNF-RY z0IlqMp{|2;z!Ws|f!Aw+PY&z^P0DwIZ=sz7-R6>`0x|W)+4^t)!FQvA{0hP-{spi9 zL0KE-#L-~EPy$}t2U)cR^1cPwpP=JsLB0mh$$5ZS;5oSf5K982ECR$*coFsE-~ZS9 zUTm(zw*KcImhgovVm0vS_5v>}weSF~>i{jO0k1R%-P8DDS{bOZ09qRbnp*^q{eYK( zfD0TK6%CNh94{`E!7@Q7XyCn<w>}$`%6U{?xGjXpfQpb;9w0%EX4?fxpdtjk+Q$Rj z3hd>zjsWFTXbMsWxwR7(5wP?GYOgRrn$VyFSr9P@A2oAP@putB2b9iFyk70m4Y^#t z#QgXFm+qk1##y!B{)3Ml07VDtd_I30_`Dgk^Q9r-695Yz(E1hy&?L5lM|Y71Xtj}t zM|YJ0Xzh^&D8ziaoiseUgA5@1IzcB>{4j-to&Y!=ds#h-K>5Z+1ss#$_!9sX{Gb&> z3@>(@K@@`z+-=<g3Ip(_v`*HEZ-p5^@eE1!knjhM=0ui40vEg%Il`ltmm>=l!aOQ3 z&d-O)fP%f)9VE!nY^#|F4|dS_4M;959x2#C%Q8Xn32_rfuseXK0ztu^;L&^}<1kXN zN4&T<3oY3Hn*RR((i#-($~E8qzwCod@`6JiLZIXa{<bJkWrQ+6j<m=cl0F?^>C*rd z+MwL+;n8c#_#BjLO;kVu$pKpO`l87M5|E(v9sI3VpaJOt+Vs=9m4Sg_0(d&}PaJe6 z2b8#>$r-W%`vqeOB=o@H8sO2(yCDM<ggh!Q%I863Kw&5C1`_0Gw!Ih+57z)_au$e2 z3RhK-3vefA3wXFfj-Evd*MJvoGtt6zsmbsEFRj465OAUOH6JNSK??`a<OFDK(2ER{ z|Np^v-M*Mt_3i)5PUJ{H3xCk*4Ibo#ztU6m@MkiHg+C}*ds&0<Iq@h|F(P_kTP9ve z`G7`$Frz1_2oeeK=n+f@#RQMaiyLzwGN9<GaRCW(G}{`*VMLElB$cA)`E<1CVKatC z&kLQ(Z~tFTM}#<9c>9u@-XHx%3UAQfsuz<CVc`ubqd^C_LkbHJ4_0D>PYwqOLzB2% zAta>WVR$wb6kI$iFQ&|f$biDo!WksU(QNxJ1|tk7<spS3c+{TEB))hWS{NQOgqGOQ zQd1}wPZDo6{Qv(Y52&2kUH<L=%W3ccM+@(3piJ2OCIHmF@Bp<hJiz;OIze?<x0e8@ zX#p<8LB)!}i~PHgAca@J8lZxa<HhrQNZ^2j&B3FWcUlT4gm_e5#Lj}qfP#(D2_(qT zY`Z-g9&8TKlHsBeQm{#b9ECgB4B#b$hez{~0OS(a;YH3=Xt2FFRQB!vOJ1a<DBuDX z&HrSlH^=u#=?yd~`9et_5;&0ZmALe_E)Nns;D7@asj<nRFyc{pp)wO90}8kk4j@5} zX4|?bc)-Dm)E~%Il_vgx!&{^pPk{y;tc4JykDA^VW#LJ0qWZA(=3R;}y$M0`H*$LG zWqqLq@*lJ}0;*tNER%)!9vm(T;I=4e#Zou#)ORAFYarS{6nITaCwSiwsLV%M@dh#l zRP}*+Lkus>Kf>CpprRMl7X{Y?3$#8$w%USHdoOFEJ;)glwyO)ovj!f$AOV;*n6Z#X z{DOD?{zDcCMZgxR1v>CgInZ*TR2*JhzcvMRSaVdMX%%JdP<|L_?a=GXFSeC@`~UJH zD3hY4PcBG!q3!py@M!+wz~2g<lLXD2!B(nU9;y}R-zFp2@SBUj1+>+o;WuyTvzOpg zJ9=3iCqO%}C#FF{7rZY~;&=mS=*$+BbU<c#Se_^m2NyA*jsz$S1;FQ2ZUzmJfL7QW zo_x)5%!R|@zsLWB9*sXi%N}apgL>f>;8v*N?bqD=+f1Z7VhkKS{vY<RJY54mixO!q zzDF<XS6fh6gQAVK$_WzG94{Ws{`}vgm-Qw>&JQkkWcKI(6JGQcfBXOPCMcZ1nHgn$ zCwM(Sc&r6=Wo9p{M?ci#=cmFv4w;kT05>XnK?fal!uN3tfx3O&xeTCtP#GB*nt$?^ zL^S{8DhY1<`JaJ-q23!7+ReWlYPtBg$p~1UE`9%6qO$`Oj-Vw6;Hi4V2GU+uc7%`C zIKq7UX%^VGuWjHV(EyjbHVfq21x4Tfzm!HT!4T=Aw?$<F=%h#Rda_%fbkg|d18B`s z=Ngq4Ai5Vuv%V_<m9EeX29C|CpaITq7ZrhKTg@==8i00?37xDZ-J+n4Nq;bOBqMZu z4+ZORf#~o8>F8#)22&iMfv*MNfuRBp(1odx^$GC6-8vUEkdey(8W#gA1Ldq<@YP3K zRM6CRLe(CJ45|GG)n>e)2}<a)lxEvJh(lI^(rzc~$}Uj`k8ajoU<&LI@SI{V#37Io z1h_+VpoW7S0#=6X5Hz(6P_@VoX#ocZWPMDt?b8rY!7T34$*Kdk9UAhDM?evB*rV50 zv`duX#f83a|6f1y=(YXdDa!ETP~W%z;3K6ydTrT2(i=enn?N4ywS5axu>d5H54u=o zTEVyfFBw7U9ufbb^}o(I*Z+cwOZX76D`+U-QYa+xV{DJGEco{S^>S#2LN(us1oM?X ze*j<KD}lOa=*6x4Z~tGffEs@W)YyWQJ0KRMd`DW2iQ4}JpAUt(HwQFe=AZy8VLf_9 z<CH<^8+6bCXy8K<oE$*=BsyJG6kfctge)J0?&b$?mxgRFfSp_P!W`s8^z)&5T~r=G z_CKV94uffY1D@pWTmw0-q8CC>0Hq&rq0@QLqxpfnNAnL}{#GAQ_Ja<ae&!co_{<-5 z0JI@~xdmwByxu|nHZf)f$in89OZ=_UObiTZ{CWrYTLnSmu3g-q{L>9Nt+s_>LYfDE z-9ZojT_5e!JoxiY@`qna<JY@b%EsRfzVQ`$(U?c`PY3>1&>E6Y`~sr7=3tvH^0$E| zr@*yS%O(C6PeulYU8xKV3>_gV;U2va8sIG^unG}g*h4n|cYr3LL5Hn_avrE9Xz=2l z2IRb5$c8;odG7%__awaGcWP<4NApjXnm~`<$Uh#Ir}>*fCv5O<i(&i&lC<*Zjr<E1 z=41xlMjXTVx8b*Lsj^3J<Ug?RE0E8(#W4PB_-#<i1Jd`uBZlLj$N!W3+vb3mPqo}G z4FN4y1<$mCH==<?3_wdOL5)+17oX03{|~z49#ku~8~`muheVM_;}KBIfsf{a=w(qk z=Ay!(^kTu~@Bd%l05xI3w-7{t{FnfWP*i1=mm!-uD?pW80?5-5FN;B4&E}s9r52y~ zqfUP27ZB|><zV2~yI3X;3+&d(|Nj5qmBzrp0Pg04)MSIzoZ_E+==D9rORsN%VyfE= z98(~{myw{%4~<WV&X9lq|AUWK0J%6F96{jN=x%{r2iNJMBJm<Z4H7Hh)_4KPmEcYC z;CY2!(Nq1P*+&tT7Z>|L1puh5g--y1PKwhE0F_-apkdA(;GDw`Vjci9{W(CU6?k;| zD>U0OfE9{>0tjq$C+Pm}UoUrqRDv%+`{B`f9=d=Fq~OJa&Ts!;&H+h7&$fASt`oHG z%bx>u04-cC$f_4xKr)@zU-yIZ$$V3An4I9Be(2?GP#xF&gRfK&WHCtXCw>8jmuo@7 z;Niwz))mu1K4ejO@gBU;6y(F>?i|7l3=GY--u@up@p$yI?f@@wVt<|L(aXAqgN@;Z zW9PU3ufxHOOX#sYy{xfupz0aQg`fDsss>$*44Tsbk7k34))xhdpa2C8$U)ZBLYEf4 zkeCeVp=Wq>x(j&pvYvPZt>QatR5)Jzn)La<N9T<fMw37d?i(+(ptRB?&>rYDS>OJ@ zEJxfDk5V4<x2b`OhGvxWKYCd`HZg(+^+3r3wDy?c#j_2N6an5#C*jfU-~rm}0XnN2 z>RJwuPTq@cpgqZ2e&EU+bcK^gC+pERaP_}U0}`Shy{z1ppb!C#t4%~Tw3D>}Y`{xj zumS1d)&Oq`!~jzaCk3PGMsX52l?ixsvhsmVoDMOO5n>_}#6;zd=$>RG#>6|VAWynN zOa!lU?Bu=B3ihO67{rq=c63AH5!BZ@0}9|y(2fBP(2*O>wp<{srC}bOteH(<ZMQ<9 z+8U9xO+{z}wXd3OFZ+PfouEf2s})Ead?SrVudQa2D8q{*ZQuUCe&Nw;D-7ao1MwDn z^xB$&oYr~b#nQHK|6fLc3YlJ8MyS|Ckk}_s5z%Y=w?&izv=+G*B-R5F<7^dWc##7V zumZIik}|&if4LX=Y&%dL?9uI_!qLJ2D)b-=^FTeJmu<(s{|CuJ_e&tjgJwS&z<I<) z#o(phd6+tgYE<>dTvP;LY9(G8T>z;?w(q4q+!~m6NP7TkAJiIwm*z)7TES|N^@Gwk zs(b#OfGY&6Ms*KJJtz`kZqj)9?j*W;l>AlZjd~s>Z2y`y$Q6(S9y~$0i38L>`hO85 z3QM}+jaQ&9XgBO&$`=pQzWskaAGCo?1GIl@H)#LXHdOmOvD;?}GFii;+W|anV}O}= zK^DO_XhDv_d{GRtZ6VyYy&&6mpzXgei}z@LgK>5RXjuk$I1yBzf{(HUkG+5o7X{TX zAjd%FQb0$#C3Lu`Xn+`r9WE-GFV2AO{OrzAF@PKp;hXyH|LbmOT!1Kqf3fVp(*hX{ z8ZRl4f^13$r%UjxivnUJ%}y8SHaPIU!!sa{9S0c=#t8F4?O~Ar(E7?a_wpX{g5-K= z7^CdL|Mva^_$X&^`xL3?`hpj?|3LQFV78Z0_TEQ;y=m#iQR?LZIxp1HOQ00C?_R;9 zI|#COSO9b1y@(2^aRNPkddG8UOZzo=-@W01*B1;Aygu`yAm!VC@R9D|kbw{=;ltmy z4OAPWo}mHT<pzs+<XIT-sj;9m@Zt-ofCEpwv>qtQ1)WD;ZVlQm$~yZFXhep04u}Gu zF$me93u{}0a>@($Xi!jt_I35L?vCVOczxBQ`3E<siN<OJ($~#vdq;%f^?r}$A7Z6v zJ-S)VAfo0V(UqWkK3*?=F)8`m|ChR`y<xQU&EE!^$Uxm=2I?k&cgbM$AACwc6qon* z+y;AZFNo@N0iC@KT2Ii8;=PP0g!k@5pm;A9q_3Md?ly||!Xcs&AW@k2HYa`i|56X# zd#L>({x;}*WddltHUczW8v*hkX#5eBmB2d_!SM&4)&<XpR)C6n@I4ElHW`Sc0BT6V zS}__R4tSqPr;Ccg3t3Q|3!X{?@0)2o$=}inN;2SHQ}a)za=%gok8a*|w?LsHIv+%J zLQc~JEtUthvq1v@kl}UMHcC+O^};0*7DnK5rB`%wI0wV)LmtgP!Rdh424qyXsO~Kh zhSzI6ntzIwp77}AHG_z%gG8qpo;1AldcupYM4a=LW%eG;Zv;SL!vP8#ju(6rK(?}| zG}}76!s=tEhak7-sBm~R9}xic%?%%lFuX9S2i3<fbQ8b*f4v%#b-?7y)$e{nt40W4 z`aKFCC4ZI0d7$jggm<iZS#xqhT~yE}tX@zt+sUHxVq*<>+ocq!M-c!X)1L5RZwsjD zn4<#QaJd$R|DrG9+yB=+V23~nO#4yFKUR^uBH%(*z@wX&0nGMMkubdUS{2l30-c6- z5u8Io=fi+f5Qj%^0Qh_eXv@*^P|Y>a+$QuS1wI1ygTf!_JZF%J8qo1%k6zXUJJ6Up z$ot@e1XRns&~XEGuHbd%+IY0^NA(}5e8*w_i%npY;O*O9(T}#Q3?7yjOZh>G-T>qa zNL2|+j}YJYvg#fHHO)a69c&H;?IhO)*^j;c0*@~)0%Zo2Gpj-EdwXb$Fdw>36g<Eo z;L*#wZVG7Rkw@i4C|stOHxj(M474L{of9ZgI)VCF0v?@=6FhoF_k@9(+oGk_pk`|y zSRQohPi{46Yzr1l9=*0PAc4*kFKTMQr~Y(<4h|`(0re<;zTW;KE$-X@myV#q#N#+? ztAjXbkd^^F%z_f0{B7VKA6lEhMaAPaGx(}9(5i)Q@Blk>F|J3iXwxB3BqASA_hJP% z=n6Yf+J?*<!E}I*WQ7K_tPfZl>{vO_IQol1ur|=9LQ5YNg<2j^KJf6cyja%!S`L(Q zz-w9pKrHYCZUiU>q0J1jR#0E5A@<w<m)am-LNf!(crfCAtWwZ$4EFo6x>*l9h%>Yv z;BQd@De7gtqX9|~(4kWBND^#F>4o4c&<0M>LS)DtQoIKCpv}*)pn<6awK^q0I$vCH z1eHFZMQzPLCHdPQgX)-0AC(M`Ue>8Ep-ILDybKo9Wrf^x<<8-8++6^qz1g<k0o=2y z2I&Kxy6e%&4_Z}G;Bnj?+^qFD?hab7&EV1NZqRI-2vKbVQVr^w_p)AC07`u<Dle`> zmv(};q=Bn}UdW;GkOSH-fJ#j05v7f-umM`|Djpt{7g-ix|AR(y!8JxN_>>y>DC&+5 zh-y%KFrwM^o;}#Xjo?ZIdc<w-7RZ4ihynIysHWEpEeH5pz^mRt%k4lp3w#1mfB@v+ z7toqL*!>pZMw)<!C2L8AC<A}%V~}FdD%ft`=n7Cz2-LO!mnU=XefSSP?}@)<A4m~S zkIra;I31L}z&kTuG@9e~==pYtYN$uQ+JQ2z2K;7UOi#{(Y5*^G>Tpp>^XO%Dm=B2$ zP?Zag1<)vhM=xwa9q8~o*$1FAUR+etUOoVI>R{p03Ek|`jdp3#afmpm4+lEY4!o@u zdZAH=i%PmjFYB3k1npdTA7W?v%Pf$c;GvxJFO)03{eQU~JjxBa_*(?Ttpjm;Str<n z(mi<crWL%&0yH|HZ2$fL%iVwe|K9~lyf3CSf^z7+$Z!8&W+LAaj8^`D*YlyCm)Xl| z@f+gi7xLg`vY^b-S)-ECY+GjwPG9ptNxT<!zZ5(%^0z_a2vnSAcytF!cyvb!c<}Ff zY|q~u3)0eg%j4o>kAtt}J@z542>$HRdYix13?$$92y{De>m~jvhd{%!j~E#kx~G6I zlj{uB01Z8YicycwNQY+IFE(J8tOTd@Igm^8IwLJSx+NQ`L0gw2!4ixO6`&j4Bq5v@ z29M?g;O@waFz|(;pi?+O4FT{5i*DA&Qc;EpkWL?OZ7C>K^Qb_EY{2zN1gNnJIgf&u zEfv(70396$TfYr1A_F{nLqNAPh^V{>DFHPu_*;8HD|<j`JOXt10XU%?cL1-f0v|sL z*4|s`0V-D#nr&r4-YO0C=yU`R7`@<Q292SygGF^fret^=cL1L;+uZ{WnAVg0ZDx!N z3=>{3*Fah>1s=^uDh`8=2uv_M@ZxSMC{A2dGG3p1aj_IM5isG!&1z(oFT6loKf6I~ zwE5PcT*U{8zfMPkm&ZZr5$Z&P7ZX7<%Uk~a|8IBzeBjjw{*A>34*XM&IkbG^Zv`!- z^5}Jjgm0S#s8!*kk^zmsmjxh`dMhnJSr4?C?S%`-FkjF($*eZWy`<n459lyC70{s+ z86KU%0-*b+n{7G3&f@XtbXM@_ya~Q8z@xWX;RPeeKW_~$y*v-f7M&M7I&XP&9)7t7 z#Oq}({sBqEppfMNjT^#pyub^KJ0Brelz8;=_EdtZQ)nIX;$9tS-fu-1==={zu0iA% z#C!y3klzFQd<1Cd7Sj3_Y^VYyL~dBxJMN+q02&<h=oLMX1WG+2DjAUU2)PgwG|>&- zv-3i-2$FPmfyz(VKr`sb7f*q1(4Jl%70~`=P@_uV#av#{0Surz5j>;XdZ45bv<21y zb|?h6(&&VZr}n6Tdm7!WdHJBBG+uu%P-6$`d5>P!bXJhVKutJMS_h?4P{2XV05vZ_ zlM$e%1E`7xE#zl-VH^MZ|AZIqm7o-bI@~|uMIjbZ!vnD6JfaN1$9eF#-UBBMCrHBa zH3KCa$RSiOkARYgbm+JLFUvqvZ{S7-w)n6D7amyWhcM#fE|&N(NCbHsXMA)PK;okZ ztQ9)&4~h>^3FiqJHUQOypxWgBB~UI!?EVk{rDX}w$*BsU{U0su3=9mQ2!fy50SR1K z6$HKWu$%R4E;xeLd7ws+C(22hGN1$k+8F_&L33@OcmeIk2A}2uiJ&>Lpa^<aju}Cq ztO!Y}4B+Dl9XLF?JtaWB5lEX6ekeC&pbhG$){~GFM#uv^5D&a{NA*Ak_(%a*3Ii`R zBH)4BF(419V)4L)7yMX6v7|8Y<(a+E6!zK}DTRS92Y9hF=-dC7OP~X_DCw&KGXDsv z*55#CpEZ!mrWZ<gL-#Rvb98&^bWZ{A7`41uEC6Z_fC@T~#v>qo;Mp(GVi<7vcEfgR zf%{@D(7pbkUeMb(&}tP>UIR~QKwD3sv-Ux|j*;4li|RlHdoF`V=W`Ft)=vvm7V4l* z&@GOT;};->&5N(!|3k*NU+fP2_8)u`1UP#kjd%3As6hQM587nWh~59atXzVSrZgz4 zf!5_f2FiLx6Lx`0R#-;`bR5hJ`J<o)IoNlg8<-#m-}ASu;{a`Ng$-kX##VbpMZj}5 zA}XM2AL4cJ;Wwa^)9Irk@#4x6Y(}-#f$m@FWi1oH?xyA)$Zi51Vf>;St3fR)AcH!g znY|NqhDonz!$VNt1h<<Uuo~6+1#~@dFY6<I>~7kL5>DWy!ru>L^IXd;kU^l`!{Gc5 z8VBnYee(dno7NzV;sBi~*HEJZY7%9Erqp{`{cyNx%Vp$n0x#!GMi_(~cLE@TI$>95 zfKCnU6^$U^CJBU5$Zi51g#emB24xf21Tsn%>SdkBhdm6}Y)5u4IIV3y1P?<bOIor( zbp=v|2;NuRE4uVP)?fr}cm)r?reZa%6?~mpFDpCTSuNlK08}?~c=WOgf}I6Qv!MDE z>?|3q2DN~j383A5;HZVP?L2x#`3N}c+(E3KJOrvzJE2F?!>(?IU7*{`TDlJuTA;G$ zxQmJaXh#XCE&y$G0y!K!RyhIe4$$%qZ3&d+8@-}c_h3Oa1$u-7XyFH_^9RcL5-)Bc zGC9lz9L=`QhM=~kAgGXlEZ6Yhcqt8XW-sUtO2{=VFZ8p&{eQ{&@BjZDps@1j<-M?# zmEq+RkTS@o7RaeUpdnXqOS+eJ)m}`m!0w?2tw8C9)vP`$3NI4G(Y&($F3c-)pk6^O zWcU$Y0r$o^nr*8M;Qjzt3!NSuFMoksoDhG21Ye|sCXqqg`C$Iw0r{g9qzpX%&<WWn zjO33;d$9QfJURp_?m$B`;2Q!IUd$Fl^Tew=_&wo;D3w5CAE3>0+w?)6a0NvMWM{Ak zD77vG8w8q->Vbv^s8`=TMFrvvP)Xhmx=#RfEZhr|6CeM-^aCvdfJPw5pci?W-~PYe z0y<UiJS)RaP`UB)KIlS7Slt6!8-nEPH@mU<8nng$oRmPmP5?DV6kaS9Mf3HS+xUGQ zf+%BrR4Tw{mL1T8`x@+yPOz_6gAKysYlt(Tz6Lu(;)UJukN;mD0d<(5z6Kfeq9Oz8 z>vvmN8Fqq7@Ry+=HL&9gz=QXQ?Dq{^;G<?gNQ)QlYw#v9g%|5ZAijpSy?RCe-NNc? zcrg`+C|$rCy*Zj~PwB#a4OvzL_Vre<L0EhZZV`fyM1_qWO1$tm_VNEqE6@dsP+x-# zdeM{)^|jtcR)(FRy7c8~(9M9LGm@aChXYbvGhp>Kc;h)Ng<C-4dZ#eN*U$xHy`mgo z$KtMcGZ3X9c<7U(+4hPK+}Dsv5wNfK-vk987GFc00gY?0GbCOF9sT(K<p$W%FWr#K zE?)G2rg=dryb<JUP%-t=@%#V(FG@VV{eQXt8*CdPq%nmu9ts}6J_-sM)cL<&)(#_B ztp*zK1$84pb2Ok5^~GQ4wg(CD#AP>wN9)PDI8ZXtfL)Ji0J$FXkVhwYC6xg<48aY0 z(4`Ha2E7cpLmdIyBE|t}%R@)=T2Gd$fVwLR9-vE_zyrZ2YF0y60VIHidm-mLg6sp| zeVKs}d(rIv?f*;A4VG}Pf*FYRGiW{*w0=<n)M^y~%?E+!!xS1SIG{TUA!n^l*a=!u z^@0B&$N!V9Crh4zTF9WK&o2_SzyE)I{e_e}XxIX5IA(Z)Rs^HX`S!9#XoHFs7L^zA znULxcJbokbq5-@yjYS1i%YkMG4CaD{2zXRpn1j`^MkIg+`9XzVuc(PNsN*8oY}=&; z9%+K~(;PWGdPOa*KvIDc&9-?UxzZp|IVIuI83^9rDd5o=C~(|S2b46LZGFHh<Ul22 zFsPI1m~qTeg8>wv;0Y1Pw2nt_C1^}KFqn<ug-*)1|F3U*^j0c>Vn*VHObTel7U)1b zQ1^fjB)iC?x02%pGib6o540xtr|Y->;7Sq{MkwLW-*ys`-ZVgQpa6;ku(1Z9OAI|6 zKoc=9e(QkxL!dSa_&&8>(W(sav<-i2GpMgx^ui0g?F<rX5+1$0QqQ5G#+U&L-5M2+ zX4_OvP<Dfu4Za8iG$PUI4!%Ot0+eW=9tF)iz3@y1c?o3^8fX=r5lFc6`s>r6=t0s1 zs(l#13;tg4gM2E5GU0&c-y;zJqO7rSQE}+@&;b=^mKTe`TUjBq7@&mq;tZ&90a}v- zvK4%fDQMyql>R`&U;&_*0uM}q7bk-AO#(<v;l<^T|Nl>Tp<4_J0~ZzW?JGPg&9>1R zppei3Z>s@M-83HrhqFg7uVOkVS%Q)mIBRdx`u_hVC<4KY;KA3rz0gYn%^+QPedL9T z%eVh8<x#u}ie9At87O}xdo;g6*{|!O0^S=0n)n0{)PS7;UZV-}7RcpbKY;zE@M1sI z^I$!o!2?iFi{S-$;HNuB1+wG!#Z2dK|6jL*ZAY8$FUv%m?|t_OvIj@Pqq!o3p+v@` zJ0Js;juk**4W42JB?idMZ?mnwIw-7oJ$iY=0zjF=BjfcskKT$5(1DJ<tWE)J3@>C8 zK>>2&^|lwnAXhY^`k%k;7$m+uK<V27l)gc?OsNDkR9Y~U)Pq6+GUUeU>kqO3)bs3h z1n)Jo0FAeS*Acx~83!8QDFy3{0OjQXk4`U%X4?sBAm>Sf+@Ijl>7+2>#r!nTFbZsT z*rT@!oZ=H+l!K;aA53^rk0Nh)0K63S1OLWA1L%-;7I;M&$OSAaFA|kOInPHW;AJo< z8yh)&`~OlFJ;qVecUcbV`k`(Y6$j7&_I6Mwthq)-fuTg+qnEX7Gbo{f>JrePBcy!~ zTOkCikzW||A=R?r6XT#m*oehM3mm`we_4&{A{6)Vx7C1V?a=mTLWk8s`KJJs;y7NI zvqL5*!Asx4YnQ=0+Z;Taf3WiRf(Da4nt!nH_r7IdU?>%6w9L#)%ja)71diJuETyWA zmgR{>d8M+)T~sPS4GnM=1sTZzr6=eHRmcP$sCIWz0k6N{cyZ1Gl06{@2Z2JQm-iPJ zs1X3_(SzG%y{s|dQ)!`7j*u}mkV;S{1?34)cN9FJ2HxrY!dnV5LIY`&fm{UciXip2 zz*R*zZ1n|51*k5->gJLXP!N;~HCm>mCY6>ZG*q&rm)L^D_*-@U|Nq};nOano&)=%> z|NsAnN|qx2R<Zy8|AUMKoqC4VNRT9`35rz`Wb*4JpmHBn+<_{yQ!7Ae1=R9^cTrF7 z`|#fboSN!|L5Ux<+ld7fn+`AI;RQzX4_1hf{r~^}`CHT(85m09O8FWsONtVcOW7JK zSyD?3J(_>8^S37d19=1#gZ!=G|Nj3^Ypi6+%;RtM{s;0DTRMM>%D?~rU)ubG_>7H# z0kU8aBZ6UrtRB6h%U2>h8|2>?LU3pE_k-8WfS1uU|6naG2D`M;GA*$r5gf)jurNOH z7v!{p#Jo)Y);)hg&S6RBZ{744;u28AVhu)67=wyetdh_$29><8=fc7d8d#7!li>sI zqO(v!AM6e9z3|ZIhv){G1X+d+^AXrTFG0&rU;MHC_Wxx%WUc~KM5E=;iQqhhvgQ!h z5y7?|3$flzgbPwUK#vFm?al#JiZA~B{{J7eZwcfC2~c4P8rLon0p)XWA1MQrA))mv z$l;x^v)IAyNr=P?caWolzy%AMd%;J|qU?tSIjQ*v6My?t1_tm#fvKQ%<v$opLHDEk zfsZg|QF&1s56S2p#~DDee9VC*2b97SKp8j#6s{mf0f+&PGZ&Q#kUOD!0()86VCLu| z%mL-RV-74uq?of0e3~zd%8RFQaQA>x*D(i{WTMRh6@~3nz`kTI_4epxU6BJSu~<}I z^dn3KWvAl~%z2r4=?H_tMg4IXl>!h0t4C|WXW+4@yl_Dn1uAV3W`N2naA1KLm}Y=V z?3P<#$FYH<;B+k1ai3!0UIvu`#~fHv5vGDd9&9RzfoUqJ5;HP_>W4~Oc=Yn-odFpR z8W9Gsw(Vu*`v%JQ(6SmbeE@FqfZDI10t}QZLH0n(>iHs&vKrcxJno{B0MFClS_+g7 zU?q1qe2-`^>o@S}zAP#)WD%YMmC(l=SdfAn<QI@-IMUUN1S`<~LFmK+D05==UuHt` zCwluFbT>kFV}*dr*3ZVJNglntOZ7MyJS<Ouu8Vh3u_*NiDRA`Y=4hx4U?^1vE%EAh z5CAo@UPOb6&uuO$9H`sCKv4m{<m$z#W03h)iPtAQjvoN^y<USZOL72TmIP_1gPYv1 zXTF$k`RzaWIA5sa(cNDbhI%#wH0E^p1zl7uK!gXV1j_)q3lvTqj3J;C^j%bRK&}yZ z@!-mTa781*z&{04Wy9;t7iyN@{=c3AvK6I#D2qZ}ul*t}1LPM_l@DrGLr%(Pof!$5 zyXT!130lH+S_agJ6ZGg5odgnwuMYR<wQU3mbY6I|GYqtZ>%}IEZ~tFUK-h=4f5#d$ z@rUdF9mu!<C<Z_W8-TB=>Sq161+?l9R)O)p2XlQ?EDSHb76Z))8bGdCgDC(daLZHt zy|7^jgKnM)ojfWp?t?~=z%_9RX#L8jm8=XqL0KEL%)kM%NCz~G1Zv;EU}l39*x<Ad z?ukP0b^?VAs4es2p(Cih3F?`3_o#ravUCjK?*-jx=F#aG@G=?PI0fBR3d)YcEFkkh zg94zd2wwMqCbYmU8`y0--QZ~eaNL4x1|`tRm)k%Y5o&ygqxQ=Wpefu4^KbuOE{06u zBElCu9s(Y8##sO606x7DboLVHL`sH+3KoV^*_M+fqWs$&7@I#Zc7WPv@OG-<f!FUk zd{p#3dRc$&M=BmbcP786x$+CVD-v9ffh#P5mIEd79?d@)OH#pe(Ji-2_;!HG4bTaW zE-DVMr?ng?5dkSEb!f0M0PiO+0o{mxA9S2IxWa~(KcK$Fi`kb!Nf6}i<{A|V#!}V> z3xkr2pmj?6uh$wLczp<D#?-^FXYg<H(0*wMYUz8Jef$6NGkSRRwx}?G#!A8aiOZxI z7(5!^G=OJ()~Hl~=w1j7&SWhrphYX7Gj%~L&_Fc!sJGrdDjA@@KyN+rnzAo((7D3i z7Kn5w<e<?P`vt%L?`BcyY*A4GO^bB5s7wG^1d7?-J>Uc7APdwUfVx-E1!{NThKUv& z1C`FOrSZKzU>%^AfWQmZvXB2?3xZY;fRCjEC8$Lepz<AhP912)A80NdGz1Mgunv6F zXy+8jarfPvAVVr(*N*m@vV$!$Q2{N31Nj@WrUR6yL4#TnFVfe7j0W}YAj|YPnr$yj zK=OTe55!E+IDIZSfkVdWLFekf*bkcd4F`+vQ32m}-Ms}81c=pgmY^PJH)O{&SP97K z>m?W%8bE7{T9!&MFnBcYQP~0RmrMm;cHRLF#6Sa&-Z|iC0&nsJt=Z@Rjp57!_mLqH z2->X<o@(jkP5uYkUj;pC3RFu$%1D`m@I{Z%^P_Rbj8iNunt87s0mTfDibwAhs0%=I zG`(}6F$B6EFd00o12NO^B<R$5kV9T;qQu!d@CjNiD&P&-u(_1(DUf9(ojoexshn=8 zv8}iHTR=63M|UxYN4K<3uOp+U<%Mz=kS9R~_`s*#pavX#$l}pi!olIuYs1lOt0)eN zF;0(88IG6bpg8Hg@j?zXISuYKcW!~)d)qlj1-!k#SJvn+D8OXEqj?;l1S0?%`h;E~ z{`3GO*ubSB<Q6ZGdqBwz=4P-l-4J6TTfJa+V02CaZ+Hbwih)yGFYmEGAiIzwr}+R2 z$X{Upf)e73;{6aCB|vt7Qb0o`D+7N^nJ5E;;U&;4Hbm(Z70}>0NGs&pjX99nmF_|V zk6zpTA3@Oux`PL_%(K^Y-W*VIR-ytq`U=$CcyR}M_@M%HKo~Ng+wu=|qd@l@u;D(v z&Wt|YJz#@<El=>bSA!*|K<>FX_?X3`(?<#v=u*wLYed1LoBkjboh(wIg$Cdvpt;V0 zfxnek7?il{G8p(<uYgv}_3nY(l!M3}(y-E?dk#bjln53IGB7k$YcTM)Ob6*|-UGg< zg@J$S;g;L{Ed_!M3_hJbDxiKfC`mxp3`|iu0IFrXTU0<{=h5u}x-Ff*#ZCaUz6n+? zMHqmyQn#c>=c)gfL2V20Xcl;p4F_bAO($$7r3HFm=p5)(BwJwD=J2<!2c3%AJq7Fn z&=OLR%|6}GsoU0X{H->iRaKo3oggPdq6}&RC>4X83bhFo(jX^0HdIP5@VETrWMJ^= zo&wGp{=G5+KAm&G_IO%e=5NpBWMJ?GT`UZak<LAk80lqA{{>23EGj;r8-_1<e81&+ z@Bxd*!C&@1iibRUdBj1fo5Ke@D+8L11|>}J*7Hsm6$Oy61}M#erlLR!4PJDB?`dg) znBENuX+(;HovA$qQqpxtd4N`6w#)`E!kD8n0d%%5<hb?+Aa8;$BLLNo44|f)sjdcS zsm2!Q`TkoVk=3~cdeq_+70{(a-E|JF|M^>#K?Ziaf!92PPk89|698>afvm$bQF-wZ zG`9j<VcU9OCurTO2fxcfkY~UedPNUBWM%N+cRAHiqr$<!-<kwkl+g{%Lamqh`*=XZ zPo2<aRA-M0SX=iTu+I&@z2*lMYoNN_qc=*xqw|<Y=N#w}>|4N*;?eC4b{@Df)XfcY z9e8bD_Y{c7x~mL)dRaB!F)=_6&jYQ5?X_*64$74^&|C@XCcSW51h0U=ts-cyTnIV@ zzk3R_v2~HZg#j!8PvZt2y`rbzg7Q4P66IYr17tdAMi11V0q6M_(9;Uh^86xjHG^b2 z4_E-j^jtL4o2DY04yv<WbYVAr9jG0F>~;^Z0E+4SXr|Y0L^d5X{rf@(VLI|Mj8~wD zgPVSVzoi8%fMWW_H>e?V9L4S6a6h*IYq+a{4MPeU(6t(d2SCf+uY(#oy?Y>MonY4X z-jUEc6twOVq!d)!&tZbq_LD$D&3nLCcrfr!1)InY$|cZSAi%9*=m7$tyvXswM+M?S z(0o(FH%10fiUbuG;MRLLB)fqcF&v<x7o7MyLFIk-7D%G$ECH=225n&gZS8m=Cl4tO zL1ia+(hi!LEl=?G2!NIsfSPb1_jE$eSm1hLGYQn_Zvkg(NR=S~z9Aj7w-r=3yy0hH z@Bm$Le$V6kZI6S$?L8C^dobPt?IxQ6N=}*wLAhc9h}pSCWd$pIY;FTcs2kEG^=Lc- zYN>)3xq&^`?Ey;KA6}tGAk!4&<N=OAUg(LCXh|EgVtWcC3@k75x7=o6V1Pv6DR4ow zN96}Njxm~RUEzob1S<hW;3NiE1cG}o&3jZdK(>P;utXnJoI+0526ZG6H=A<2=vP8S z-r+Bd3@`0K<Db2(<-0*111-Ssfi|x|oviLTDv$^S?Xm$ck~j}NL=5IT(BTZ7Cp<bY zzWn+Zw2b<7gW-Xfm7ul`^spnu$SkO^f8oCty3rJrYCwh5%U}Qg|KAC6yGJkU=1Hs! zFRy{uW4|m0oA)vYoCbQJ?tqOmg3V&aFzf9fgjv}jvrdARb9A>rb%SR7K;8oDKDq|J zts@Mo3fxZU_Q>$)4oCo}W^gYsMiCKY6FxICyyX7-|Njn9QQ*<b8@ra3;Uz0rKUO!! zBW#%j>I#8UGdP)fICyjiSRicqrhu?T3uMbukY7N>nMW_{ya}ufFCD@9vD)%;HQX=f zKpkwTE!`d(pgwmt=s0IcpIdteq_fRyISZ6<p~3m063OzlpBNclYJhwM9aepD`wOHU z2yS^QygmV558~0wdI=Q5zF_;Xx+)prs#~C?7Eo7tbbBaxbO%U)GcEYk6;Mka)Z>R& zlaS>TQy@qEg09GZ;eP8Uc>6SDjsl*jUhJ1g1l#A2j0`XHej}2=22im1fvtvCf1RKu z7gHcb2dMi3YQ=(M9lSKSdk*BrxK7a3xu7~1lrcMFR1`qUAdQh26%9lmC`QEqR3ut} z`ZW$OmT)sLyz~V{Qm-526v$ratwEsm4=+M_K*biMeerS|sG-oys<;HQOyWg{C&(M1 zrCOkaR6xZY?51K+*MyaU;iWM|2meP%y9(T21m8#R0m_#?AXmOPv;`DV;CmHoRKVMC z!G!><^zMc<|3Jm^0R~W;4ssq4thonk<N1IZzKjeEFI7Mr@jGEl;`XR)U}RwMY_3#b z;BQ&X2x{^2sJ!_9?muXA6sRfV40bl8od_y`z)j3v9&if@T)KBdm!w(V<ZnL=3fk^1 zU^6{HrM4Rvs2K+BJ9KUVw|6{xdF4NV{L7;PF3|6T3v^IB5>lx5vdDpg9(<#(04PMj z3;p2jNKnXtH`&0V&ftXu_!1geoea8ctOsHfXd(vcZ+P7W>E3|rF6d2{;0_M7`T4Tu zE9ii4(c1SQ7lP6i)Hj`=o(`x31u_V7^1us?&7hb8oeI|-qXOPC0uCLhQJ}IA)Q5Zd z2~-%vI}8x#LA=8QUN#I;1Tqy|wL*LacAnM$>);Rv#{i@PeR=oGf6yh3&`S_OvmHpc zT62J`gcuN_BJe^IdN?9zF$6DYTox1@;8bg$1j=`i#f+fr33hg`2qUOAMUB*3{Ov6$ zk$RE8y$sY~hDWAo&^u^QX`Tk{Y=PF!pgyzWX^&nWIZ$Q-FKmJIdqMU=d=G0aAiLV& zMe!O?ra|o#ynOZ<6f3OH--4_H)qW_k;-ew}3O@-@(olFYX(K3Bz!3tD8Z>W0`%~Z% zE|7mgtKL02_kfS}2lb)AD|z9u1#TZ)fUWTc)f^tZypOs;#Vp8HP}3Jw%e{CDJt`4a zgF+kDcfesc1-cYJiV>7c!4_J6E{_Fu>A+{{AAAJr>WXvt^s<OI+a6>CjW6hXbh3zp zq8~Z4dxF+ZeFBwRp!--rhJmvD%b%dTS~`FFbRK^()d92);r#2Ppnep1%Ov=^^j_9A zZ(u>!1NJI3RzMS~khWWlio%QV4WOU{molJpO1eu_EIfK6z}Izx%>v(a(+%;?OIJ{P zpqKS(7b^p}rhh365<m^PX|R>((2!fxg%NU_p=T}P2sv=CyB892;G_u)IZ$WV12yE@ zS#gG(N9QL{;Gq?kFSmX8|KFn%9CFe2NFfI~p%Ju}wwJZ&H6rA|mV*5PYHfhdFqZ(O zJcSps>v4u0*evjEP|#ftFQFkfzY{&=z?BxLP1+qO0ZN<TMUJ4&iO@d2M|YuyN4KW} zq^2xU;dn7s0+L%0I>9kI1#*R3w<q{am>2KGL8@F-IG|&)p!;M%W3k|SX?l4lyaM^K zM+GDd%8cN<@;axeKyIcHHGBY)o&veW26TA{sB_WFI=d4Tm(U?*Q2!4!A_q1CTug$p z259mhG@$~zJc{APNiEPUSBZ)NXg~x!6aeubqyl^S5_ADe=XJx|h9?cb86NQIJoQrH z{r~@lw_oPH`~M#_5(6592W3(4T6oZ{bKsH@R_=qdsw!+DIyjPgc_%LhITDstLCw1t zPLm;lp#WZd=)eKZs^EH|dyWdoaM;Kc$U<Ms6XlkmPyyfC1Rj-gfsIOuF@qAEphqVU zd_?MHJ?I*$&J!=VZNL40{Ss7@MSyxH;G0)^Syf+x!V*-1^+2wH0=pB`PVoUXk3e<5 z!ix)QK#2)-pD1V@noqZniiJ<F1NgXw2vG3}HVf+1mzn<&?bfA>SQ%cLgR)^K<SJTF zXn__ygF+9q;u~C`Kw7Gxj?Z`4x^l29dU<);kV6a9Ono6T2_9O=BL?8}Q@ZD<fDMO^ z<AE#yg_ap8v_Lmucz{C-K8E*#5gJ;E@w=C9Z~p&(@xc1q|JQFoB~k{c*aFRodi1jX zdX5MxuxVgNq6O8`)i{C*x^oG<DHQ6?m!KIW@B(0uURK3cR)&|LgWz8zS%3Tgay6(m z4c<P}*`fm4k>=6MT8ZQUa2o-e15|K30Bj6+IU97q^QHRh|Nmj_?5uWHhL;~-fyy7~ z#aZ2spg2heuWkp8vw)_|dRY&)g5tylc@(Dsd|C;38UZ;@HbN^Ka7u3e#aLtuswqI{ zI_?7vjCpi=b2Qr~Gk_vN(xa0Zl#;-mU{IxU*`xE*OE$1;?!O4I`u6|z15i|eHtB)l zCBdVYHR~B7K0t<o#1Th>f$Clfa9#)5^@4X5C|*GAYETjet-rAF=q&)(Bw#Z^H^96E z5199|-fw}GgLeNxz0H>i&;S2_G28juf1l0+KAksTOmP18|3$YmsC))>N?$ZMgZ7NC zlK%GpW$IJtN@m1-U2ltu2B^gao}bbLjgU6Jfn3D627Kob=>BxbR8EUZ0TTm5w}(da zPbU8My*vyIyW~M(*cqad0P13K+=I;fg4)K-JPZu5Zq}t9P?W&BSwi>zfIBe)AYXz< z)j>ByHdKPfm5q5A7#b=yK||Espq4OrTLP>>vIT6tM|YfsPq&+cXY&t6{+>Hr3=F=# z9{+ti5BX}I@a;V8`Td6H!5{X%iU<9AS-3r$e>3v8gmE!282)!?`On|t3|8;--`Da2 zf4dqFsQvEd&}>`#PmF<qzm*T%{Eo8#%}0R;Nf86$T<);(hdGczQqX|-bxzoT_*u|a zy5>FLTgadT;;oJB3=D?14Znd#Cn1BQTfn6ycmkpIGb_leYz+J@7r`SQkif;?Eri^% z3*G+$Ip!C%|Ha@8sCf!%fxvbeb%W-2p=0r&U<P;OUq}c-$|msGD7c{jZw!N4)}T#Z zpe*~M0IE&^l%zpRM?py++?W8hh`XV?jloJ?k(Gj5YTdAHIv^uq8-+kePDmjug|r%b zpfMWg;L+`A0jZ@y7n!^lfH+hD6cNyYYY)`9PeUhYeDv}jyaCE+J&^t^w9No&4S+LU zujr#kAn7TP>I2sM>YM{@)lGqPzPjhAfL#wCNQTW@z|J6mj6H*lg*3(?6Ko#6tc$ro ztHwb!)EvnDr=6e<Y_F;E2~eU3bxc4tJ9z1B?-qy~J4;j)Kv`dd6_k69yQmm|7%xsL zg6jJg74Wt6tq1t~z>Qtdo$E}Xxu(}bpyCNs#X@!l+ysw0LAR8_j@0aS1a}=WJV0|P zb0E`Qy{7Y5fX1~<R6s50382;p=)g=+LkxTlo5YL%ZIJMWv?)6wQ%s<x58#!Q-61NF z^mBs`q6{VdK>AOh$o5fz-oj?U4^anMoe8NQK+Pv`%dVGK@H!|$dLY#XQhb4%%)O#U z4?)t<I}SS`XH<LivRZRu59fy2$l=Tj3eny@5VJdLpy3Q_SAlNdWO$LN010PMu>%{} z1>al?O3|R)5&$Zk1wgejxM~0mExfP+6%C-V8;}K{Z52I`cmj>krhtmiZfI%Qcmz~< zf`?2&r6s-$&3oJov@t>S0+^CL2%<o(8c@tAfZU+*g4F@C3c&!B6~Tj?pqc=bQ(k!S zLP8lOHXwatNNj+YwsX8#1XYK~%{$HnMa^EIzrzLOMX;frmp#6}0*?|O{3YX|c?&cm z_u?fFk_F)0firL0A=`mJZwtef_U-{^2gp(c<aG_9cJRFY^a?03;LO`>_d(LQ@^%lU zdHXmU_B5w_8ad5DZu7*Sx8KVC{{K=QG@jTETZq%ejTF3iO5;aRb%^-G84cT!mBL~S zk_rPYJi0xhYl}doaSu!>w2K2;Hv!6{pb32t4Vpy<(cqS3FK_H+P(VZ1Tp;CH@WfoN zXx&|qH0<U&=m=LQY}|YbWNII&j0Jbcp>1w($_DjJdRfC+v4{83O~~O5xdd?wbo~dc zECmfKfC^Ou5aUIy%<um%*Mmo8;T5X^<m?(x0Z{e<WiO5wZCsEzfR$8`rZn&RJ0S1$ zK(AeGf$Zb&Wi6@#IRn~*16c#=!GX2FdvKt}9LN<QQHB@nvY?U%Trq>!!9i<fP{SXT z^&l}5W#G|Wtl`letpJ_`2MwIPc*hBH7qZKt-Bd_Afp$|pz^V}4R8RvN6lc(K_T_De zFF?W|>%e+Dr$DY??iKC22$IGqXTKnO5>zjNJP9_U6V|AP6{nDHs*()Mli*fI_Y@Uy z!P*^V;n8}#v<|c^t^hRj0`_(5fl^UWrwUR|T?Cc35if6phFDu~mvVS?9`@)w_xc`e zGXtc_47RtIHL4U8`k-ZQ$6dfN!vGpj&;S*d1~1Ou2DP6-r>%l|e<0t29PxS`$Sz1Q zdw{$49-!IaTcF)?pz+CW(2NfFKnBoSIGC=NVW4L9?UxoHXZ6-ucy=BDohzNC2X0`$ zy#Mw8f8WjvFAsr6K0D`tmml~*>tFD6axd$t5{OedKqJxuFA^q$rh95szyq^jpLh2_ z0}0f??S_~E3L}tHK_k$hB|9&@!4@4d{QuGsY!yf?Y}0qQBlt`iP*UUIVqjo+@#i~e zU>y`X-JsrkFLaI#G}PZK8VGg|Xo3N>F&rFyka`BxC+cNY2TOzQV*#~AKuP#T?JY=n zT7YsVsM88s7w!Oxj|xyQfjt2-8@6$n<ApUlD9O60pk<|$o1j#NmX*4p`=r6+vEa43 z;JzEQ4+@TNP@@Ut5|9renQ50KD4m5M4TpfD_~rG_pymCbF2iBapl9<hmZF$lpv?Jl zGl&nHzL5bZ5YP~6w~LC#%iPcZ|2O|+F6G*#$iTqx((?2F|Gj~~n}0EubL~<EiKu`6 z|9=<gcJr6ApFyK32Yos(z4&hW4Khpj;;reo{}Wz>^L_jOa?>YJmkQCJ0<V8G1r=z` z7<;%HDwr7fTR9m))0SEc{4HNWtqE|K7jz;hD2FsuFf;JC?gxvzs5ls2@&GL$1?_bO z-H6io8`P1g&Gh(xsQGtd4Quo7s=9m4za49Wn}0_+@XrGs*;IPA`L{uhUGr~Cn82Rq z-?}B+n}6$-Y-;`;U$VCOw|>cr=HCt_i<^JPmCS4Ytz9znn2QSNfJ)frFvD-Jj~ae^ zy#d@l0=J7m19&ggc76Td4W1VQ-C+RQrUXhC9xwC%|Njp%0ld~2vb_uoml3o!A0i9U z#t+qoB=Lfo_uK!M>mZ9LK?{YU7%hCkCyryRFZBS=gll_9c(k6ZPXoIhJOm5&KsU61 zBk;l@ACgzV7aW2v0I+@Wwg@5wnX*FJLIK_(3*O=iJ_c6eg&{a{;2W=?Q}PNgRzl72 zc-{KKmgn35mmc7q5D*WbxgT5sV(hP~@aW|=zQzbz5zgOg2TDTS(CNQ!DUa?V4*piq zXd9@+a{!fn7NCJa$SQ1brvtRY;00*L7<y3Obg)A~g(5Vgf#>KrUQA#{&Z?j_x4pc3 zu7dKZj|z0NSTC!50jLavR(9YbqZgzSN9L3j17%K75z-AlJq>&?4Qx;bG^_dIbS@}_ zVY{b5E(f0u2x5Q_qy!(Y3wEHui}|_V|G&NjZka&s1aF)V0PXDqM;fS90Ch~k`?o;# z8pDfjagYnZX|qH{;pKI3n;a5)pqmsJK&KWmyxav^x4eK0be=P65<`ohd7z*}y`Qre zRE2{UyfRvVb_;?kL-5Yp){~{+&8LuSDxr>c0IiY_@aPpy0XJYnR2)2dMI&yqGl1G1 z9-wj*d>>~7=w2OV@R3ln|A8c-gCro2f<pzeLJ%DMpjr>acu^?+`~U0S7q>XS{Ra<? zgA*8v`^)S+(C=#6(*~VnRn~z-KIl+>^%tNmzo0@9v<d~3J0V-QU(|7a`~P|k*kCAu zFu%7&r2sT!3LY;4Pj@!H0Y!mF=NicI61`CRxQmJf11KDOYg8OSt$f62N~jUEg%2&B zLH-5DJ!F6kykZPg1ArJW9=`qX-|)a|PEeU);L+>I=+XQ`lD~I8$Y{{v${yW5N5F#z zFWi4ZYAwkA&&b~p9=MYWp0)>HUIcCzg9b7{Q+po0qI(-a2_4kF0@(;U^7+O5i+{iy z7!(+4x<D-mh!#*EAC$sD`d;v?g6xO|Zz7iP=;Sq41#L?(c_YTaP^#q7$ttZX%HVOF zHQ|*Q1E@9vEwcxuSdYddpuhs1kNi_bl;MSl+BeW<cwUZg|6k5PN|K212JHu~K#k94 z+cU30_V|Nxj{@xIzzGvTTn+5!5%N~6fcl!CW6eY>Kul2W4e|-7EtI1IiFZ&4D|qx) zdKeyfQLPF}0xwF~zx@Xn@6dDrqfp(ahw47?U8>z)9FT@K=oHJ^bD-dY+4G`~?c4v? zdthdPXjJn+=N%)TH+^qENIU2(!^^Kg9tYk3;G_WZP!7_0(+Z&bA5_5SP45L+fPCIG zs`<I7`)y(O5hQ>D(7~hiK#5y711PJTodnqnY9&EhiJ(-{4I1C+22GWA#(;{;5EbyQ zYw$__37}#bX{+3e(5D~%Pk5ov_U-@6Do~~YWd+cs1=B#M?N0=G9EwrhSA^Ytsc`ps zL)<s*1Z>2$L;*J1no<r*&+w8QzMTTrsD2@M36w8DxgOLMdVTrDh9@9LcCmi@|FRU> zkrP3Vgr16x>OLax#{y@jO|L$H$9p9}b34dq{!6kV-H!$GnE>eUy$}@+ORiG!ZVwhq zFP2h%{%sB%7ET=eQx0^vs7M?(Jn;HEIK&X{>1|O70ClXv<<Sd8@cs%=ClFK~ftG`T z#;?J2x9E0Ip6uq`0ir-BY;FRn?snqv=;lHs)kP~Ho0=6sO<&Mh4k%Y>faatimG)0A zk6uyTwV;wyMCHX5HCS<a+yRua8JcYkUVsOa1we-P@~#3My8t?p=D33bXojfSRsbS@ zRROf}miK5jD7ri(K(>KLp^iIffVRqd9Ct8)(x5FM496TC7(9A;uiOIF(>y9KqQMq} zR<3sLQ2}qS>@}UP0qQ@)4{m*>2Q6iLS#2Xg+CU{(4|J3gv;+*ao*6tG2ujzW(hq!~ zKd7PsGkQh!xIo+MK{IQhp21R3M??AcAJAojXTYaLu&BJatO^Z)V-9SL9^JgFWk9>M zKwA`eUwi{qX&{S0t%etp2SAyLwF{&Gv@Q;0Gw9mJ=0i;F0Xhwppq1&upoNglwpW!z z85sF{4$6U+MT(wK0_AkslVHkp6Nqa5#mL|E45YXdHo5>>kqqifblZWJCxEAF|DOi0 zmHBP&(fnAzgYh$HBp$qP1*E;@p)3PKgCzrhs}zV)$;iOp8YBZ6lxJZm;qW-_2)+`< zqnmXisDke1tpZb`)gTI7eSrE?V2?fc1x?}L%D+Wr253OPm)CPOD9n2x+|Cx21t8&W z)-GvK0I{fmPB8%2482etAhSRX8_-BQxM2h7_k7v|3bq!=a`)yRT&2n$J5qDfjB-<R z^*{sj;3R6vSjv;uSjkal#NVPJ4GOguNIZjP$2%bl)1a;c?X>sk1}&?JkN^b;m=79@ zVP*gwlM9=-2Cd}*-!%{NX0PbWR8TU7r4xA13|zm1jt>RxH+)gP1vIq-vCX6Tr-O$i z<fsIX%a1%14}r2ccpMWnZUD+u7NAT8TK)(+LbJ~kv^A>pu;I7;Ak!UpFfcSQxEh{x zHN5208>157)4K$GNPy#Bko3zK@G`6;0-!N*P@@>O&fl~1I;hi~;nN8^N3XL-<p4OD zK{v*APXUkRfEIg!2GT)`yg-@Dr*nzQ1}4yC&>rwobI)#2({+jpXuQ}HT;6xi0dK)@ zY^Y*p;BSc*2CV`6$H?CrC=6Oc=Ax3|+v~yT(;cId;R{;WHAM(Cd({FNY5`qX2XaJb z3uJ{rH$)t~7ofWbEauf2!^q*)E5q1q`{^;b^e_=(VDRb`Vf5?`XY{dr#oq(!D|z&W zF@ih{4l~PJ{Jr3jp5_ArKHXbXz#i$|1D;|6jU_-l2-*Dr@~8&5KQTuI9QvKtd^&IW zcK-71{Os9z#<%k<C?$c6g$GUN5^&J@bgqGJ&}mWG0rtff@U9`yhzBIx4Sc#QIDA|G z^Y?EQWngeM{N`%--w`~6>eIOe+#+-}{05p>2NjQ?2^6qHI%`x4JbR-UeJoG&w_g`w zVDLQnh{eCtMA*OAMA)~tjHB81#UpS?%n@N=@b9b<<^XNE0C^IWXu(!@LMA_aTi^2c zrHFtcVhT9XgF*w;)^auc?`rtp2Xw|YluiKCd%!+(HT?gQ8Qe>N4)DQ-HQ`|iIRqTE zq^<x|Iz!wIISLe%azQBzv`_%lIrji9q6ViRm?j&Q7c0I($_4NUJ$SmVy9b>9K$Xpl zE@WBo%wBg7^prs!l@~cMS!k{Wt$7BG?QyU$Fo0+Y(5n4j-lKaVWei9fG%y3U1+)|e zB;DDg0#XhV2b<qHMFn!KV~+}0duNM^0!UpiYu^n}?uK^uK%*X@K|ipW@InbxcY#VL za3%Iamjl$>0=0&_LH#{Y{}R;6eqjoAHf$6q0#xSp^2Qy9I1|k6Y*9%733s#Bi(wXp z5GA1A6{tc2<#n*FAjXU5+d)Mkv>yg)m_wojG<XCLJJ4zG_5A<-gQP)&*dG5+cz`C; zZh$rifwCYt8$k9n8eRg;&l>)JtpzGRK*`$^l$kmYf~MBG=YU5UL0JhjD2|vr>w#v3 zDJo#|JE7`2p?gm{_o#s9*t#7#e7YIIBZ8oI_KRKXVeJY;TN1Q0k_lAoww^2rKsi6y z0~A7?J>VTypu`sd;&-$9ib6sR6h9CpAkTwF^*{jx3Mp{y@nS7Fq*}n)!K2$l1C$29 zllY)!KeQACbwxQK#q@1(e)YKg%tP@Ws0l0ZB4;Dqwa^V!y*x~yR1XOY@Ja>H9)|8c zkWjMx$>079G@lNdBLkHamZ$jJjU_<EAVdYI1OSIiFYkmr&~zkdIqP-L&R-ti?|U44 z#O!hKzrBazFCWH35O;wR9%v7g1js!Kptd7)sJE#Z)Zl1=1U_UY4%|Nj&BU2P^E23@ zZs@2ZXha$m>7dDRkjpxu;+;^BffFyNmIIGd2!DoTTF@%c<IJG;6tqwN!qNgX%?=II z<_ZfY{`TYC3=E*_b3n=HwG1eUfX7PzANT0|>CyQKv^5E|?jCIG6!1tfsPKZ!DsEBf z0Eu_+ft0V7Klyv-fO2DJ4|tUjWT@~LDB3}5L%XMd3oVcC7%mQvZXRfR71R|0MZO~w z0|O|#ECF3eYq%CNX9#Y<f+v%~d9*u3MPq_T>m^7+3xcg}vjEuuniT-kJt__$x|{Wh z5F(*LlweP2E?fWqKhB~8ncrp&V*|ApK`OhU^P}J}{VxnUiHW}zbUW*W2_C(yeMdm$ z0kphf0PO_?`2xgv!Q2Mwoqz_iK}{x52=(&rKMoqa;8A&zCJl;vkolm)_QCob6hPXV zZFk)P*A~-3I=XvQAO)cZXnT(1TTnC9!=TxA8bnPvSj`m31a2p2|3M3QEg#$;yziJn z{(!e!?V;`mH!7h`*M>^aP%dPk7J8H>blD|njj4y_=kg#>5d@CogO6BzdRZf1v4i%T z33&9{2zd0?b2Qtsf!tFn1WGrMg@82z951(l_Ih+40%-;bzW5{xTF7(Z<vfshFYD!v zpv(hl$%73CmAjxUBLK=M(B+l;S|Nc59`!H)?Vz;q=#69qRr26I2K4aHmr3C69_#*> zpb&zX39=J3J_Q+x0hKB*CiH-sb<ijQ?F2*wlrCyOi3vd>8hkt*bgQaIZy>nQ0tuE| z<tZSafn5$t*S)5Xz?PeUHm-6&g5~`!P#<3%6f7XyJUVMQIABiq>17oJYq;mpdHH1* zsN)CP-`@h>q4?sM2q>&hyuRqs%era<ETEt{4K%X`@)`2t=cz5I0R>tH4R$YN4Fq`q z@PrrjQlJsPHQ?Pv9*svpz01QBUSwhs_2{jz09PK6WgIU|!4A6cawa(PDg;1Bpn;B@ zWMW`=Aqkc{@iOu+=)^f`Y^H%isIv!B)S;FDCS0)oJSf^B7ub39%6^UkRpg*iP*5)p zRA;|%f^M0HZW`&H0yS<9q(JF(5e5alaI<a2O;E6dR%Z%>LK{?)y=(yO<mf!{B3Br^ zIulm7gNn@V7RX*pP~`@SQc!ONR7?wiIFPm;==eY7?U0HDW<7Y~0J3b<qxE*34XAR1 zXoYQPg-swrE-p9x|C+_4`IvxDw;P8~H=l3o$<jKH|HnNn@7KJ5wvBFp@^dfi&wZdm zr3Ji+&!d-B>li3ex2S-QpZ4fx73PB!Xvp0zutrct1Ftwi43KLWUR19K#Y(FLX3T@y zdKDlmJNKx7rffhpn*+G**8^U-?$aCmAJjYswGMnNuk*L~vw+&BbHKaad@S$tHwy?d zFnAn%&H@T%aC5vngrCEsTZSJp0}NUM_2xCC8iF;XPJoK#US3hKAA3~5T+qIDurTY( zd{DGQ%Tv&NAn1Sqh#HJ88#qhn{)5yJ&{zTYXF=n1rD)c2fX(Nf2W@78xu7M@AmMJ- z{XCdq3Q>Z+{iePS)P8G$v`U&mHE5dz*d$26fx3Y$|3M+!9moM%f&xlOppXS6D~=aG z4}nq=^r+}=Xs)n4!QZ+ctOYu-(OhZ4$lpE}G&tPd0*(*J)h|6N5R*V_W1zFI;F1%% zzX4K$!rFY0y-$d8LjhD4L8|Q<6%9~h5mcIiRv#OHZjymcTq12`0ZmPUdTOA43aAAM zPA}b%^zzacbfOAmEA|hN(|cL%_CS&@gv%R$7?gB-R2aa4BO1XC3T6?c5rZizV3nXb zIZ%oPxA{N}NYXv91`^ERjtQvkI}OzQ>4x-$4KG0!l7U*gs0+!!BAu|UOQ3~h&|~r; zYso+dl7J%R#l;tpq>0+C0eJ+Jj9~k$K!N*0?IlDhEEV4XT~aRCqVfXdv0h$w@ZG~b zDj;D{3l&mnPf>XQmKN364GNVh5Y?S6Dj&eYtPip<68jv85uKp<d{8?GG*1E!4-n%; z!*A?~y#wkInCn2hi9LFGH|_#i+oJ;Jf~*C3t()}{Cny$B$88`=uqSq_)sR>K&y_>k zso)3!wNtA>2jj(n>V(dNFGD~yv{mua9mMH8_3|&MX6x<&7ciip0Tm`5mKQxbPk?t` zLQ6i-UGN^gtp9g{T->4p;qo#Zgd`sWP<VBVN`f7ZntZ@2aU`Ec@YF^NWQ78_q=%Kv zpaxO*6i9;~r1{0O=aBdXHI`@n0NDy_Ec598{10^`IE(kPMnN43;qqo504eWL0re+6 zx<yMkK%tB3NU%zfJ)o#WOXGJ|LP8gm;z7kINE#GQ_%a?S-+~GO&=?13ks4^F1t?r! z#zPkhfx8WOeGGPq9B3Srdo83i0=1`J{s1jC=$r!{JOWi{pw(2MY67&L!=smVej=<X zG6&K(giP0hisnwxvXD;DDqgOdFaLc&Bl4g-p1`}~z@~tfih#2(w71d?wWS-f9Qx(t zPoOp6pian3sn7radv^Zt=)CXIdEvz_ZqVp2WYor|^Z3h6pp6#2te3Zg(h8)l2O7Zu zwTL)CC7A$d+)Tm;?3TJ}<Yp0QT+70z*Av`+1Pu~_0va?n1}fped*QkvgF+ye7l8bb z018@A$oO<aN7lL_!$`298J}**NE;}yz}sd(H`y~WFuZ*B2C~8*wBpF{07x3Vz_c5( ziuC0qXt@p+1ZAoz;6XZ=LqIVMYI=ZT7&87f1zg#H#=k&AN5nV>Y;<P{WHD<3Xe=2V zOi%}c(i$WPP`um%UTW)W`GLRpFetV_-E2@KzfgGs$sqzDuYk)pXioR&4ixa{j^sd8 z`9B{cDFqMMboYRb1rHZRLuFz8Hqht{XdnnQ!ULkgBRajjU$%mxw+Fh7ehRc7G(`n8 z2?^@HgOr1!4{SbcK&V%=7Ccrx1$|s-dl*tt3!aVWodYo%Uetoxn4nw%%Et^ZM883b z+60eY4@S`HE(6f|FAY!+B*Vk<W4QyUA_a#h=p>ZR5OxlaUJ>?Y+dt<(ZBNi4LF_MO zK%IC{GQJL7dG&$g+ka3B29MT(hD^XAJO^^tL$4?!*vp{tYFLvN)GY=#>mXb8K)Gv8 z1t>+=fHnk!*5g68>VcdHT8`NbJD>_;=F9m1|Nny$eFkVRQ35E+K~8>I@CqahNl~tb zCk;=&%zg#Rald>zzx#Clc;Uf;oa3&)^nMLmIkW|Qs*+FV9>|dby{sQkKuQBpeuJF; z0?KsIo*PRAs1yJXuehiffb55FDFoNg&@<RTQ3xLVLd|)w(cUTGQD9JN2RYmaDf59= zmVq)K=m4ab9Uu>Z2ARMcnIUBps3-tmNf7`_`k(>k2|k@BDxgtR&}9-geL*P#qsBv> z2gsiT=`eziUWS~~<k5PGzfBu-ObY0n9Z)Xr>;dnV0F4NP7BzWvGlCBb>y`cJ4+<a9 z>Gq~#OrTl;v{Vlgogpd`FM=W<V*m=^#Xg`-4&eHk0hGZ&z5|W+wfqIy&<(j&4OBRQ zS2_Pb?a_G;c}A!kvSGu6@i%Bq4F^ae*eM`|pr!;U`G9Wzf?eMQ+OiKjcuPbDG;<Cr z!a;}ndstrZ;P<}(D*Gfr^BfUAmIr+JeGY(1L=JE>7L;cB*B=KZCP?`K9f^lVAgIIw z?Nb94oS;S{sKf$QM}*=K6iJ}5Zja96AZtKr<YoU0NZlv^4j9muC#0J{C*OybGSJ!? z+)L=?-2-ikfw_o|g(`UKF0^AI3JTQTDbUUb$m^iC7N|b~E&xD`7b2e^1psv90aTfS zTY@rZ)@Fd(CcV6_P;0^5&K8vdkZ?C^8UrZaK?iZPs6eE^V?Hk6@p16n7^uEycyVkI z#HZkR2Q|MzRS3(!|Np^rNFJRRc7bM*Kv#i+X1N@}rw)4bmZ$`{8XkD5{Ng{Xi2^<V zu9tQD22kPI0y#3Smv_Z>NE2lO*ipO}|AP*H<N-Is!KGer4@4zsj0+S3U^jsnphgM9 z3(JN7|GzAL^8dfb@fMW}pq3~&{8$@5fwB-p2sDlhk^-+)19eS7fe11JREsgZ5c383 z&qYO|g#k2Srf|GP1+*X=bU>j;^8xUjF?g2?=tdGy0_%mygG_s|iV-vxQ>q0@juoI} z2da-j<p!w914`=Pnb7V%;C-qu*&c(syVE$abazo*fBGZQu8;7+a6RbICS=z`Hq-P# z&Y9|Mfu7>TqVnPm11YYzdjxX*4Gv7#LsR(*P!#sEURwu=U<j9Y`&LK<Zve@5^M3dX ziC|EA0jmI|TzF)F7~mLw5wHN_FT$ZZ_aS&6{(cLPwXlO%dRec(0)-*05Cu=G|2g~T zKWIb;6#p;ymx3}8q(l4i_XCh^thZx8*Q@j10a5T=b?i23W(J*B=+Vpjel5tp9`NCX zpkna=*ng}EApiA>8r}f;8Z^TR%2d6qf&Iv?5eFyI7N}m((ihP5F34G+001@17+%P~ z{e^IB(h?-cI)EJ8TOk1&=jvsB>BY+M!iW((CihbMJ}4|II3QA!-B}r4h(o0wfHn?x z{s8S=Ea3vFzVPA<*SG(m_TR-9NnGFlgOUw{N9V;CTfxFF{J22NZ*M&M`v2wUyP)N_ z==TSJds@wJFwWlvg&cT=I6P`WOWa@Zoq&WR_}DoEk6!5T188XLg;Op>4!qI}BnLfz z6*OF;hAP*5Py^If0}oe#LJNG~^oxzhAqHS9fRO;X-x;z<6tSKKyndJql$(in|GyOY z(KnD0Y0%-x-Jp^myk0tr!=t-Mz{9dYprpp|WXs8tA`kG5&`}B=-9-`}mJtdiHX!j* z0YlI-8>B@#9=%==FEt;~K=W2t$_MZXtP-!!cyyYmfcp`kYE<Aw0aymSO%d#l5EX;h ziwsY`o(E~`f_jnQrK%p7>cCAeP>E#$Zaf;EeCY=|S@qq6um4}(L|Pt)8sB9xsCUH} z9sre=7O%lKLP&V@ia3BzKIjG=RRcN$3zYUjn_$eZfZ8db_43`I(e3{SJeq%S*PH@* z1t|<(6g>F)|8*aD*%6#Ti?4i0d^vy)RJ8!zR{>s|8vt^KhvB8y%pU&_c{Kmx=I=EJ zDFhwn<IyV<1ljNnZtRsva(HyxF#B|~aQpP~FoRlz2A-CM9Q-Y37#JA9({m0j-%7IJ zED6{lw@Z$>s6>DlUR!uHA8|PB(Otr<;L%+pso~Kb!>!<HS*cON4p#j7A|wL9?J3a3 z_Q#VzQ5d5l(fk>_TOQ(GP{=F1UJ5ctz@xiHQo^U(g<HVWvQnai3vB(%8c_Qfl$9Vu z>L8<Pz($p*NHl*#HwxSX0JUTdUV;)kWJVOcaH&K^;Ds|$8W|uf#X)Cl<fuUW`Qqli zum4|`{QD2OD+tv79L1v{Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OLn8zj85o@y z85rFd85n~Y7#QOj7#Ncn7#Nco7#LF+7#LF-7#PzS7#PzT7#K4c7#K4d7#On|7#MRI z7#Q;y7#Ir}7#Ir~7#NEf7#NEg7#K?!7#PbK7#PbL7#J%U7#J%V7#Nr`^TA=klwZui zz@+EK$iU>B%*epxlF!J%<d(w7z~qt1$iU>82O^3Y8JK)P68^!A3{3t7j0{Wx&I}Ap zNd*iHOvxYvQb4S<6b1&Sv^)?2=7J>B4Hy`h(m~vG5I4h+fq^N*2t<GsXJmp{IUu46 z<R*|<CdhzHkmAe|1_q`akd7RXj+}fDw}^p(DHmj3ZYcu;Q(h8?0BOt5W?*2-2kFfR zX)6Fp6eKe+FcpAg3qU#wK!z59%qs$!S7gD!z*J-jB0x%t(m^bc9Yr7mipm)nn2JFL z6od2@gX}E^8BhW;paf(<3CNC;3I+zIa*zS#AOp%l>dHX|RF#7Qm^m%C1Wf1Tr!z7z zJEo*CGB7(Q=77lpMh0e=#7YpES_UFZK_ae2MT`v0?x`gpG83fUBQK4Sf!Q-JA54P8 zy;DKDd{Xlm8JK;*Ci^CW^!lZiGcqvymF6-sF#D&0bou9iOz<xS=?VaoL560G49r2s zMvM&1!Kuk$5+oZ8wk5a(WP5OF5=b0uPH<&0BLj0tBFO!rA<m2p%%Nacg@Jt$kzd5X zz#N|*4<ZXdWMWb=0|RqnN(zX~Ne7cTAQBY9%!x%H^+|~!*(6YaGAEU$F)%PECl-K7 zkly6<d=NXY1VomC)Td;E$zqU5Y92^$YCcF;D%iBt3XtB^3Xp6XDE&Y}ojI*EkAZ<X zJ-Hl2rh?h2AT^nwM9Q3*m(0MxoSj<9z`&dXmd!~6*`AXJCi6kEIr$*>=lTaTFfivP zR)EOVTo4JiCASzXTMF`BUMh&4mkP2gFCRqaCuK1(Fz2U%+?k&Pa&vwu$o2xT-wQzb zhPeQgXP67XrWNFad{F?(kjw=oApaJC)fX8WFfcF|85)8~BQR+UCQZPkDTqu2*;oX2 zVG$@>G8ZL*Tw9b3CQ~v&YEr=LRFHd$z~NAo39_-M7%U4)=FG*ZAYT`!7BVm}7lYLl zXM+4yoLL1DDFC^lxB%pa;sTHxii^PNOR_<-U^f?6f<mt(F^PeJxg-&!w*(y8B{^Vm zP}XHGsRY?umIw;B^2`)a{$R;SEY4tHU|`8j1O)~IOL0CZ8#1sISAwF6fu$rfH<f{b zMJqF}gpq;8F(su4M3rRbGBU6@B^IZGsLYaL5S5>w!^ptmoR|ZqAWB>kOA;9wSX>fI zKq_7G^FWkqPAW*dYego=Ot;J&kf2*;5tzyWC3qIM(!69w1{U|C{BjUgm07^Zz~Ygb z1E%szL5}duOUq|uVDZcYo8q0Bm%_-v;*(koa*IzU*dm|IyljwQej->dKN-SHPh(_Y z@l7lS@qBYZ8JNX4KLupFFT~Y;iMb%2Um_^$v-qV}fZXX<49c`D{so}i&k~SWlEKKp z5|Ek-G9@6j2;`%n6i|7>5|j$IFDNy+jFEvQ7@{ON73{#^%qo!4!TCiXGlNSKAyhud zW5MNMw**&${T`ADc0@>J0Z2nABmzS7GAkGvSi%#F@)#LdB2yDVArY0I2a2P_l$0U{ z2A0GkP`MAHiWwMKk`jwSa!HwqU|wdr2}m$A-2zON6hJ7jTz-BIhzHICEJ>wlV7b!b zN|0b>3CNV>#2k>4<WvZip8^&v0Tlo&$)%ujh9xDjB$0suM1kZ|GLuU{Jct8R^2_r; zydqGJV@W9mB}$f5aB0Mnnghz<ENO|^Abn{mplXICEi(tq%LLn(mI*3>Skf{<1r$qK z4k(qgq~(@4GcbUtKnN8KqKZ<%`iejqiX{!4D_GLN$)6>y6l_>}J}3jQr03_SFfg#B z=Y#4jmh>V}2?EU%EE%bw5(7kmLLws*R0^_WKn%-F0lN%z!zBX)OJ*LZ&Sc5VD***- zCd8ubR8W>=$%eQ(ClRbYC$S0?o;j&$pm52_1V>y>W?nV}14|CXhMat`wK@68V8MJ) zfyI)OpPmNJrC`73CKiL_ax=gYo|~Ch29nDK73D0skXXqD7l16e`FZ&ux%?tffaDf~ zS_3S(5C`TZg0psB64>#1;QD|i4_pbb<fVe0o0kfzU0L!{%X1kRSn|L{Jxg91sHA4e z%S;BDnFlU!S@Iy(=H-JEN*=hNW61-TYb<%ipn{4eKL^xYVJS!id#oTa#eji<r692g z<duTNk_-?pIR}(N3X(ykB1=I|DJWqUKoVv_5vY)4DJV(>#aKa6D#+6XMWFhKrJxAZ z`d}%5L{32|s7zxiN-hKChayNc7NsVGG!&(RgP<rMtf2^8<gyf%<`pt9uoNeO-BO&I z3UX3$YAz@x7lZ3Pmg00!qlu+BvkK(t;%rb64lOKMiVG4!t}ZS~1Vw6bNh&D46qhC^ zgUke%qbwz^;Ivzk2)4Z>F%y)JN+5w;0twcV%sf!iC@oF}xw<S7RB*GDB^H4UD^CQ+ zQ8^@cltZ#%c_KJ5lxKh{QI_)jB2c)LLwr<~S_F#sDsaXF74!@jbbZ0}h6Da!T0kGd z50Lc&^CuX3f@y~zu3-9toCBCnP;!IN1`zcPauD?o<RSC|Lx}hW6^ObAtbSni8&o0u zfO8&T{sSY3It3F5Ex-;@cVLnaSiXTBqJF^_i2V=LAp8af2z|f^>OKt!Kfx4Y&WFhm z{Tmn|;uoOiGL%E)A23107nni#6F4CD8vKUP0xS^u32WTJ?qK*0vHt_q9syB^{DwCW z@dFAFe_vP&QGehs#2f=Hh`I;n5PKfHg{XIU=nXbEU?s$z7qlSq3nZbmHiUkl2B8nA zLTCd82;CqHp&#f#=mnY(+F>fhynsIte!^b}z2F~&7GQw5tAG(gH!wly3Cs}s0Skmy zsDP-y0Hq%oK+}f}gjO(yxZlA9LNm;Ph%b<aqz?fZ2>k&{GeFagf-NMR46-2MQjh_m z6_!G1hW!w86iOlL7|J1ZK?Q`~Pz0ebR6=NhUPwA>m;t3HLFfrHA@qUy5c<PX2(7RN zLI-Su&<#7FbSH#<zzT7P!XHRHP2hm=4?KeKFMNQ|4G$sohOZD>;XQ<&zzI>$zzwB& zAoK=a2)%$0LLcCV&<%nR`od?Zejx~d!*d9K!)pj#APf<|0JW##DMVc08H7&w2BANE zgwPAbAnF_bLiiK@LFfi4h<JiDgr4vpBEH}Wgbw%#p&eu(@&&ITd<9tup8={)K@P%K zkcZF?Q1=%+fT(v+fQTn3Lg)i8AmRu9L(<oTKM;Ds3P^roSOuXEK<NdmA^e125Pc5W z5OXG+hs2Y>VF(?d4H0M1fzTgxA@l`kxHuR<_zs3p+6Y1)kc7|)-y!B-fQoNWhKLu4 zKxhT1ItErqx;!uoBEDcIgidgU_@h7yk{&OJKxt73od7Lg4WQ-g0zF8+dLRRlSAdqU z7ohG)faa4A&~SSIEsq7D<!b@7d^LcUuMW`iwE$YaIzY?U2WKJSQvfYrHyA_gUBCu$ zZveD>Wq_8i2GH`g09w8ZK+D$vX!&|U9pc{)&~mx~TE03!%hv>G`8uHtVow3Id^LcU zuL{ue^}sKP{R@~O<|#nS*9p*gZh)4r3!vfe04-kwpyg`<w0sSKmahjiA?6f7%hv*E z`Fh|1q+DuP0WA-q<?96ri2E3z<*Ng<e06}9uM^~<^3d`%0b0HqK+D$+Qy}JD_zh9_ z09wv|fR?if{~_WBpyjLrw0wO4r4yj#>j7vz6aXz>8=&Ru1Zzk-TVMon{{?6{yI?j% zd;+w5-2kNvpylfVSx9+%zy^}8He^D=MF3j9E?5HLJM4p)Q&0j?S5O9_8KC8>0JMBn zfR?WTJ&<(704-k?pyjIow0w1dmahfS@^!&#i24f~Av6QDd{uy!uL{ueH2_+l3P8(O z2Wa^k@Bx}1q2-7Hw0vFg4kB&<EngeBApU89ma_)X^3?%azA`||*8>6&bp_D!$pBiu zGC<2$18DjB0V*B<EngX+<?9A0{Q&BY0BHG|0ImNSpyjIow0u>7mah+>v;eexb%2(e z7ohE^2hj5Oz)Og~7C_6}fX7fiw7gvaEoTpWf`|)1!{q?9+<gEmXQAaQ1GIeo0BgrU z%hv@fq2(#Gd{uy!uMeQ*{Q+owEC4NECme#r-v?;>r2$&bHbBeS0%-YqKpzsm2cYHb z0cbg!04+BkK<lLfX!&XYEnh!C>$3$C5d94-kaXz)EngL&<tqcUd|e<3Nv8qO^0fe3 zzA`YdCY7dvhEG|ODoav}85mf#lE6I!R?y&t1&Ch??j*B1rj?`?F*2|^=47Vlf$5z5 zWJU&7Cy+iy23BWK-w{M-q-28BIcMbNr-1qS<skLWIf<ZA1XgEI9}Fa(lMfo`V0F$f z1&xBRx`aChxiK=Zx}+wRri1Am&?pD1YhFoFB_ji?Yhfv9jE>c{s3;#~zH3EEQ6eJ) zt6O3TSllf$6*M}?>Xwt3UJMe?$p;Msu)5`x7H5F?MX9M^aZrx}#0L$=Ffy>ZJA=mr zSv?Yq-2xaHSUvLdvq9=S@=J?Av}Z~x*nOT*|9R%6q*gF8u!2TP(!g|ynF)w4b^-g_ zv)C^)hmnERCovCfpHFI8Dwq$|?~|VlcCSx<x*I55eDc$CK(udaZgCYO1FLUpQF<zf z&d&o4hOqjUmVoW^19fN_8Cd;FbKRXGG-yDZ)j!zL$&-<R)gNkp0BFR5k%2WJF)tGo zKLHteppj43fQ)?57%FQ3sOtujFM`BtKv6z8yn=kdBRQ-=iFsiAgHnq@qqeL;`K6#S z7S`a5%(N0l2G(GxdBITgf^#yHLGBGMNd%1qu?CkUf<|FjgG=&3V^6HXrMaNdS=Qjn z;xtft2q{Vgs}F;^Gb}Nu6r>+C`T`2i@XQpjzr%|%LA_qqc+jX70|RS(S}ADoj5WTb zvLKa#fi)474#9K|Xmpb`u_!e!5yUSlN~{E>Yfw4^(WRN7@k(&|E&%aC>6L+jH90Xk z17uEe26&K;H5rs%LE;&upn(I{<eb#RA`l;xPC;~j3OIixgVHOA59!LXCRZkdMlD!D zV>BT1Q&Mw4gEg!vnMEZaccfHi=A|<*u%?0(fasjmTu?qs%`E`Sr-ITqNF0>DL3B|f zXmE)&6_n0Fd`M50HMI;pKFpe01|I%lO$DWY1_su&#L}D+5S^I^8iQm_%PazoGO&V@ z1IV5<Q2GbS=ax8u`MD)d6%c-4GK3BW^NYYE7p!STsh~k4Fb!5;ng<#zVNG`fkN>cy z`+!GOSksfiV@#}|u}%X92G)$!!~z&?2<00wFtBDq20vIcLHP#EFEKQO(8dt?5;GGB zAJj)@&CDwW`#-bTB{&2mUR+#~0;Wq#K<>>+EJ_E(TMj5+F)*;^Wafgp@vNX>ACP-N z*#u03@)<}zKRFx3&rPfV4M(x&LPnWbb2Iax{5;Tr2y1R0c*KA;7nI)^7+66gI3Rs_ zDXE~j7S_BJaJQcoqzn|#d7yv-sY?fs60_#zLh1aZEU><UM6f&a3W~t#Gp{r^9pwHz z$gl%zeo+e8zXgd!iMb36tOd#7u_D$2sQW<UG+`idP<{o`B}F0m3=FJ=rKzPL{}+~K zg2uF2i$M97fq}IMG@=TkQvyJv?yR7pnL-dhHMtBFzeS+@4B}^|XMoa65j1}kLDO*& zG+z~!=7H0BadHM|Oo$aUR0A>xM1#yJPAznIW?*0~&H#_1uoi>L1rR?oJqMIuiZj!} z;aZ%T4jOM_EiOm|4QYXB&<Gc6aRGRIj<vWr9wHAaH$eJ9<pzWX=lfz%`2pgWr-Ful zSWA4tgIcU5iAmu6Rsu@k3=FKGv2>8VB}J*Ap*q%*B1ky_@(w5-K-Cwh9xDTtHw+A{ zWr;bUK}rw}4##q6_?0I)f#z;l%acmeK<O7mgVJX?s61j|U@b3#gljppTq=iz8yh&E z7BeugX+_6^2T|Fyz(OFA%sg;fX9Lxxpu`SlfKoe~7T8&g3~Y|c$*Bb;AVzU9xMF2< zN=*ZooNP{@7%FCDU~?)>O9R!tY)+Msk#087d;nO)IWaF7R7|rugNh-LVsQPL#>l|t zl9~)2e`j+^%}E8#6tKBwfJWLF8Q5I&K!FHmK-GY2Rgf-lbqZ!ALn?AMH*m?w$N*x1 zt8zBb<N~O!Vspzc$^})jZ0@Ngsd;6L3~U~W#f~l!P=;$Tlo19Mar1SAhy?o@!x$z| zMwlyzk(!tS_7|vj1yzr1AO@&@Wb-VJN-fG~WMK0wE=WyHVPs(QNh~e_RfTLmiIw@F z*+4dMT?+~XpZxT6usJ^Y`Pra}NH*Wpk_=FLgv~cIuM`{_zNLBKX$m$_#sQn-SDFi+ zTV(T3O9NMaZ2mC2{7Xv;z*c~(RZ#c@6s4wtrVZEvKou!i1e`P&8Q4Hm6^@`d4NA?; zFH2=)U<*!7EK1G*Gm`T`)hSzWYKb#wVgkhQ1T`=~4DcK%TX1H29;k`H2CiB`0To=3 zSOjV;v4JaD1CTi-MVZMUD}qakGC{K}Y#>HnI#dcYyTJyU@&NlCT;+mX2%7HzO_;KQ z7@%4i%m6iC*h2F_sg03=Ei|vZ2<$LWg$#}Y5Ca?q;3~O@k%0}&C}Ut?i%&^S1C?TI z@dcpf1_J|IVsc4lSt^K;k`fFWTV_kl$pH_yvn3W3fQNnAz*RLU%On=1`+&w-*%FJ= z!Lybi26)7sEwQ8|F*$>Qfh{Ss1R|1Dnw$+P@z|2U*@1z9Eg4i*gUm?=)zK*+5fGyY z#K_Oh1x=u{C6|_f2kqIw^)yIvN@{WuXx@-51)MJ!7}!!W)4_wrY$=&#zMu(E5F-~f zhryPTADjc4zF<p*wmaBT(^B(51sYpw9w_fHFtDXUiyF4nvedj1kQHg5wkU*=lj`Zh zz`&N4TM`VK#AHhYXBm(PsJ;cODa}iUs3|Qe1<fe1r6<RO>k_u~WJsfdEj_g)05l`X zmYD~se%UhfpuWh=D^3N?F0y6jm6(};49v_c0aqn#nfYa@pt_qavlx_R85lqea4pW3 zlbDnW8VhI30p}eC2DY5kymZiX0UM|y1<h};<>V)Wr@z^9z?q1Ffh`vlg`jZGg|?p9 za-q#3wp?)j0;$O?E(TQ~Y`Nh41rjMOE^z`SiQLkXL{QnzmIv)@u;qcHkb!|MFEup< zRNb)Uf#$40zQ{{02T!W9<w0v~w!D1j{DMl5;{4*|#5@oKRL6o9Lu*a8d~nWXU|`G7 zNr7n3FGwr|74&TR;4I9*z*YcGkzhtqDrmHy4K!Z@w!I(;G|35)N`_36u@$5iWr9;e z0XV;dq>A#BK{W_lK}ivKmWQpNw8R~pj0;Mk(Nq8~3K$sJ3Sr?>Sejn~wgOb0gR>0C zJkYEeTM@XFU|?V?N-fI-wSCx%Ky@3)Uq$)lo}ig-wxV)S_GDmSD^5;?1aEO_i4Uk@ z!3L^`!6t(k1z{jHpeor2#K=s~gGiMmmVjD0Y{lSm10+>a1a6nI6<6l^gQoRC4Dd`3 zTS+1`SC(Xe9LvDKR+0(LdL{XwvJE7XpPdS-&e=+eD&4`kvZNSNfwPsAfSO1kHD&SO zsVX*5T?cCOff?X>5F`Sw2TQ@l4g&*QX&z(>i>(yY{sX14vP4k9%D})@mJ**>S^;4s zgX60#B|Z-vEM+Oh`JmN1Y#>H(07y-0Q3^PBm!%dJSLT95GLuU(!HoPINNEF_;{gW? zxNZl9b9rW73OJjC<IRA9fep;?gE2rwN(D43!4nS*?8*6gkd`@=UzD1blM0%ch4D-C zKur?}kAZ=`xTGjKA2g>35drZt^FR|<Fn(n*WF{EOFU`w@lrQXBkj4T71G`qVA*7AL zt`%(zXPLoS7I0Q9q!-Vw1#XmBfb^#2mgs?&4zX*2dQuviATdyK0QFAT!B&9c2*LtI z5`+b+9M~OGQe41`4L~eV2bqz9-6<y<(wbm*PRxTWVPJR8NX!HGg4vz(bDY2{7}%Zj zb92ExXb`I)5mae`SvjCyExR)$6d4&ntg=*4&ygM6f&tY$5EiIvhOj`D5W7oeF{JSV zVkIXQr7$wEyXKWZ-2!gMfc1h|U>)El4Ok4!f{3Lif(lzk26i{lI04v~pteg`gb^bH zyGLTNldq>CoCOtg4e||!h`Bj~S~4JYZUG(v5V2qnN2rcq4@anuU=K%QsBEw+Of1+H zDwdH6s<7ETQWFcnV-W0~!T#akg$?YU#bKa!DkB5CPkvsyKd6Ps4r$92GcvIICMIWq z7h|ydg3B_9Sb=vcXmu02Z)$OIBB&3~4r%LvW%E-YOCQ+%@^c|04D8^h3^-iCEO594 zB$gJZ`hj!=WT!)xW3UJ0XXb&&e%QfH9f%H4-URCaj~js6TI@lvHUxW6VmYXsU}Rti zQNG3LP!@P(h#fql5Ly5d%P)tflHgQOy9i`&aB6Z%W_}(c1AB04i4%DF3y1}3v4F%X zbCdFO!0I6Rg^__B<S}sjk3A&6z$vrD03-%xf#L%q1`2y{GYIT@FbnMZkfKV+iW2sa zqDshW685mvqGE^(KrJ6g9D$-G1+==29o+T-weBFSkbFi4_K5g+(9Am{13PH(hzn@^ zfju!PzX)97vnQscKx!5C#FVl`aN~g;JbD3IDZrkXlLP9qGBAKxkdhO`%1@5Z1&QUR z=7B3l_QaxeP~)G0fjucPB`mcl3CzlYnwONAmkq8d*pomN1*mjm2NePS1tlPL;HFbC zn3V?U@31F<#zjEw4)&zVcu?+PU|<IoK&eF_b;+P%3D9@~dvbcdGk7rydom<<gVbdt z=0R*r&d4l)vU2i^!8HmysMtye)rW{Ol07-Upb}j8vL_d%CPKm`xwHsU|FWlm#{59N zWA>EPv>b37fjuP^+S6rENi8lZ%CBT#U{A>`f-DYUPl1#IV6kFQDF9&=<b&!@_LO{Z zN(G7KCl`SiW3i{kgS-SXB{d#ey|SmqXM#re7#P@7;|oCJT2L0a#mJr-Ukn#3290)s zWWhbB6fi5rIU}(M%!0WTWJ4yX@xz{4QIM1gY74T1+7aM-l^r}11CE)r+!BKdQ2ocA zmJ3ef3=HgP`9<Z4pw$NKpn(N&Ulhy=1}*adu__8cYv<TOg*U`~>B%Lau`~t-_Vm;e zNHdi^J+IUQ;@|YVQomHNZJ<>-koW*C#eu|8I;gV=j^B*LV#qQz_KcJwXgbI!O-l;~ zRi5mb>3R9!wIS@8dEk*+1_t)bVpqu66+37(4tVVbdroE&DDf~bu;*l!q!xiqh9tyv zkXU|lc4`VJJ?ADC6=#5Da}!IFGr(a5X*z;ZT`r`QVqjp;%`Zv?H)7dA3u+)K2gCw5 zRls79FaTv3M^Gz@9mI+Ur=dJpdzC#e7hF6tFtF$4f<hG(Z+ZFPP83M20NT7}FGz&N zWkF&}3M7OIz$IZY0|R?Ok`Ji03}R*GLBqKKQaXafveO~!Y}gAR<s?WfzrX{$K!&{_ zzW~x;2eCrHD^%DEKqGA6d|6PGT9628^0OBdWtKtGeL+bPq|wg~T5$vM8;AvN`LGw1 zmbifzJ+XtLJ{h!NmmSnda|Nv(1+&1bOTjEiP6o+Bd{mU0oRbJ@39%QY7U$=HdTAgQ zq}j$^1Zz687r`23AQmWCSs0iL@(X@|PLO6`FHVeytdwFePA&q)A*gMWTH*^{6UAPf zS^`?q2N4SfFW~`+f!5nW#Nxs0G(lqVMWFE?_TtQV$jA(c1u8l~>N3;eV(ADmn7#Q$ zCE%5=?8ODC$(i8P1R70(q{QNqcxV|>ToMmTG9dFxU?m*5kqXWgU>0bkioLibzn}mr z25J<8QetssE;Jt%R~Ca76oO2F)Hn<b>?MiWsgRffH31=M64clOuSa4p$%p0rlKcYD z@F++}Sv+Lj7JErqd?~nD%ns@{LiJV_q=GxS?2v{r$OWZ&nHAs;G<#V}Jk%6WyB9o4 z$zGOP1a%*%otp{j>av3d79lYW>cK-&1c+6Tn!?V&z`)4ZIKLNk+7kl<1C&4SALvv` zD4&HJA<w|T0q)*{jpNXYHiWh@K}<_XBa=fb+6ct5U|`_Tinf3@I5{8<PEbn{$^<nf zp-fPT2V#Oe3D%ZeP^wp9VFofG4b%*Ss0A}2YC&z*wA>O#1`e(KVm(MF7R&^dsvyyV z{G1#;Hy4lzAX5uK3%)s=67#Yl?FtU3%o6B?0taLi4K#AZ0cxk_rGlCf9L^b;pf*D> zBLjysXx$rl-7JSoYGMkgAI!+W0U0X;H9|RDD>6$!vk{C89N^g|@G^A{kgGuTJ6JR) z6;w%sn5B8pb_|DmYKaeMu$qy9!#y81Ov3?cZ3cV#x-c?;n9c#Ao-k&RGiYcAB<kWE z;2!`{;1%o~76M`V1UUydLzn@+p*}tkW^k~pi6Me%1Y?3*n;f7vre_LhO)!UNUP&4> zbUcfLLBpoGj0_y0X(>p%i^CU`Z@~>x4&THA=zJH583dUc;_yWTly7NHNoGM#B_jie zUuiBlR2UgJ{7W)Yiy$U|8<eh~Auo=g#By-siIIT=)GP!|9736HMfo5%fJC7o31SA7 zg4P>@)utAKT3j4KsfDGPMc^oeHWNYd25KjQCUqDYID%74p!0taCU^=E#Dqmaa7KPn z3Aj1U5u8z6Qk0ic0n(OHS^`=}3u2ZeCTByO4vDHXkl#W;gUa9@5C>?nFk}rg2ef&Z z#>l`Co>`IsYKMZDpaEiE&}w>)#FP~9G$jKAi0PM~=bjH@=H!4DHx`4K#h}3(P}#$g z2p<0h4e@h;mKTFoQZq1snUJE8BPp{4S_5-{hEE`s1P6E^23+oNB&X*mXQYDGfpUQ9 z0MLqij^zBroYZ2_h!qE@B!#p}IFj>0<42&u7!b20F%#682QwklA{@#21x1;;p!yla ztOPANXJCLZ!Sg>H$)!b(kSR9~kW&i5tLizxEjjRD2nV!@mcqcmk&;;ko<0OIbMn&z zQj2^+Oh_v&4OG~~r-H|(K}^v67`Q0s01X9!3Q3S?Zc=IrxFO7u3T}ddYI;x^6$}~- zW?^6fHEmXaj<N!kTq)quaFDkAG;lu<#01T!LCUkV#Nv{oM9|t%4se?c;$Bcw43vx* z7&y{$A;}2F%m=MO<p8xHKv@GMT9ld^4qiSA7KJq6IY6tRA@x3(390TmKn*s~C^kr2 zX<jmD89bB;sTDcWQ}a@bz?DA-sGNtI0AdD!*W+@4)=WbU1I@Cz=Yy&|kZ1v9oR9;w zj2cw#Ffed{R!u`42_6sxcK|pti$Sv|;B=N*9GnUnsNl#fi7x}qzA`XyfL2n2G9;Ag z243V176s)*s3@qg1u-FQy>u`$FB_UtK`X35!vG-B{A9QMBG9-D2dE7QX)<x-Cgznu zQy;kP2X54H<R(@GB&LJY8EA&C2s~8GkqhsCaO7s@frn`r7&t(ULQu&CVuGu0&}Iyd zyrlGE$Q%&|XsQv?4CMd~C_>ija^$6!gBKAnFo2lQ)*lC`VFQ`c1T!JEDMwy@9=HPq zQk$O#oulQ*%Lk416@zkY9%#s<0PNnp(p*^X&QHn$my-+(9Qmd2Y?@z|T9gJJKISM$ zOaWzDkO|<1B_zp#nepJPSCAB(m|Fmv+2Vk<Bf)C(b8<kV9SjT{1^LC9paL4iEJ`f{ z6^>A5W<F?WkD~z8C3ghv7XUHyi!wpe%N(GlqZ4>>IG71dTm_{iPLQ@62dEthEnz@S zMIZ1Ad5)sgoYcf(aDo9(9D-Xr97Uyh;LOLs0Adz`(j}A$={SHzLF=$t7+63SLz;{n zMdh#-B}Z{0tn<YI8p93G2Q3Z<G0Rf(K+~lh#i@nh6$A_n9L1?6AYX#Qp*Xb!l&GOh zXakC)7+P$D)Mmz~78QYptvQM_<3XJpP)S>y32r?afE9q5h9G86YH9(bB!D&`!RCVI zC7nT2h#cT4N=WhqG4r5mixEyQiO&aBaUjD=K)poJU?fK|B0Cj>YLO7oz9Ej{lI)WF z0#H+z1JuxjtmOwYVQwhNF90>&z=oBBW{bhjDNV^w2ls$Dz^OYG)GdcF!F@T7;>u!2 z(98-014l_>5-f|9B&NeEi4xF6c?qb6%Tbb1lwS_<1!yR@7*bz=dyfz+z)bKWcMkCU zDWo(iDXN59QB(<D(80jKQJR+wom}B4OMwRo$Oq7(0>p%th+rlpMS+-5&x4qtRKmc( z0cxMR7J>Z+9&rWtdpW>NkjpqA?biSQ|AUSyV_*RD85;lBGeY<b44mK^M?W<u4Ki-Z znVGMjnO|B0+6w>@;mj}A2PFqkk;$nQZ4e7;CR#8sa6-pYLG4U18zF9F0Ubx>)QUC& zw{{`w!OdN$dLtxrj18deU{0-QV?$_58N>z&L)9B2sW(9qH$f6N1&M>2z?@pqU^Y~* zDUup9B(^yc8|-4JUN9S~*8)k6B@#OpJm3rQS1hQ80*wcAYDLF_iZ{@RFo+Eb5>QJX z#)g^?HU?roXh=u_ypD)dD>Wxg57bOxWPq?i?P3@kw8#j?2Gx2XHfa5o9=Hk3392{1 zBVvpUoQ`?<d6l{OrNtn2Sz;!*{9|O`1kZ+pDmf6lvLw|Xw0?q-ffF<p4xT7wWZ(o@ z4{h#qx>V*t8gPsZoRE2H@E9g1sLTh|bRhHHV2PcPfzv%d2{vO6V!MK8_ZS&CJravS zR-_g|*a7|l&VC^fcCd$|si6^wotjtx&M8o~XI?32x|Y)uG;W#(Uhl-|Ssav_UYY~i zTgK_@3|f2yZe?=%fhGiVz#G^&{YrCT^VFOH8KB8Th<HFzYH}uIOp-I8v;;I33Yx}+ zu|eGh7#lQ_%?ZkgFh7FWu<!woyt;rV@i~J*Ll221ptW?I!Ko#F`Jj@YkpaYpO?HFW zuxV}(8y=p)NNx)T^}*9JL5*_Gkks6Q{GvqAdOc3?x-Q73L{89fC8+wUWMtq3HJhN_ z08VHF8Z;&gV}nLzIYF&yaJvnpKC>hP+OOaQ>jQZVBo1n3`{#kyl0n2lbp;~>XGDBF zYzm1pF(t)6DGNL+!<m?q18%PtGcbVI`N@!$1Se<!6|~j_EMAoESDKpynx5lK1f@Mt z;4v_8CMBjgf~7$0)Z!9wqZ7o2HpxMHlQK(upi`)v;3fnptuiohf*a_d$_vJZY%JtV zPApB&C;{(C<pi%mgH+9&ppjB%NHd-j)UXDpdr&!-Sds`W+Bs9eOFMi)l@%v=1|HPR z0;vJb#zS^?al+c%Mj&xWOB=Kej59Sp7czUmz`&Ur4;?*VVPFNfbs#Nh&eXgV*W%<v z&^kNLR7B&46EwbA830}%%9)m#lLM_1I6<2TeIe}$&a}*;RL~R=C`{5aD^gRS;Q;Q{ zL&F?28xEa+<V*w2gTu@$N==2X6XQ%PN-YG39RmX=c&!;^^oKJ&8B~3MD>KgYyi(9$ zJ!qto6Ew;RQ=gGo99ob9uEaP&?P!?WK&|I^$oeWyFgpjlRsq5WwMQ5jI5SGqQ=u(V z&dg%x{G5F7_$Oy(vAbU=q?O2-Sqz;MVPN3Q1T9F)%mb}4;{>lw19cM^7&t*K>X1zE z+A~h*=qRWzhOt2n0#48jdk!>wKvC#lo(E2QAa*LK>j*LjJa*~{n)d{$&xWoW<IKq~ zE`c^bIU&QGkTA(jtbk3*bLN8jnUFpLXP#4Va8Q0eXiS<jFSRT&xfBvUpms1gV=ypq zg4nS124aIkIu|4ksv$s$5yS?yh2d;?3zsvmG#9KD6z_Ska*Tn26WmaOx}hL31yY7E zFmM(mro<<w=f@j>+3BgCd7+@Odrr`lKB%(-G6%e<Bpc!{NLv}afP}Lk$v3e8(tP49 zNY2RvML{aaoa}T^bc0&woZ#j#C?G-NMfsosql_vz8)_zKO<hO^=zs=JP~#W8R+xbS z%m$a4P<CojFlbFAXHjZ0s7()Q7IPM*f@1>8E`cl=g5@Jf!<Vx-IT75hO<`c*1h1!q zG>af?P)iIZ4%u$VS)2-9<pwqr)S^xXt(gX?DM-wNj%0I!q7)&XnU@MGx4~*a?f;y- zJP;ey{Dg^v*dW6o;zcE($`-~B25-mY1b3!D!xv!j)B@0eCn!8Y<zlKwDQI~BC!}Ej zDRUrfaMuzl9t_$P3}zQVMuItuK@C`F_=8)pkoA+CplTl$&c%=sRdBw5vcdTR910<+ zMWD0?8drt(2slgfOA>QH0t^hC;3jJlxMu@lL$;4{f(8sg!3;`2pazVY3B;YCv<$94 z7#KJ~?BY!DOdMx<Vor7lWbGg)Xw4s}s0QhUEC~R&lsUoeQE1r(VS~4BL&TlIn{;7p z&;k_*8yfy#^`Ha|vKLfmf$Aw38<MU-?NlyM!qrbMN(MEuxU|5nDNw74fq@IuY6WFL zP!o+yE7}m=h6QoJ%K#vHq7C7#NstV<Aqta$w;Mq+=CFn)hy!lm!j!?Ah#(nwTM*2F zgeBNHM#wHOLblWhSr4R*3^f|U0ks;rw4xy`W~huYxLu9lfGn~A+hdGu8l(jcQwDE? za%n}IAUnqd-dYC9z?;7y4zg(wM}r!-T%g7_Bte5MHG@b&Twn%ohjVE~n;{!*W)5}& zR2i~8X0b@7nIm&7;H_>h(1<ybGKhYt=O7$tP+20!oF%epvEZd0P(88HNY04`*R@as zz~bQJ2e`Dr&2`YUFvzFL`JnLz@H8Zs7HA|su_zbRdgKD9J?M4~2nV{yfJ+NB9uF=Y z7#X;<GV}GE!Rzgy98hBk)Jz7;fU{Z=NCq^!sOMM&+GM~58pVe!Zv?rZ2(m;*FCCea zi_9qiaf(ZdN|Q?zs%t@}fr<}3NVAVi3(Ntv9Y8XmazPJLh;eCwIgpLoTw2hjG<qqF z3|x**o}eZJcz&M?+-3*0CyN;wxSaEIL2I#-K!fC5kgh-qctIu?q%Q#FfZL+bO)y-b zJ`Ske2KKgFeo=C2AZVQzBLf#~c;6)ei33_H0+tE!_w{rRhRC>vg#?EL`9e7U!4biZ zprx=}o}kzPt(*b54O}_G912<+Qk0pP0@~ie1zGzFX=ihRNA97W4=&IkbuoBZ1tS9& zs3?IuG$^qgwC<(|bhHQ;DArsuK@;(e3}6nVhGt~o0xeO6q!9=Q+U5aqKy?bt9+3J1 z=(Gn{2&jYx2P-23S7;unQUy61&H)vEU`}yjS}Ld}Vr1X~wf4cGTFk(}6%Sr(0_rm{ zFmNTNq&NqJf_ilj4!qXq0@sG%UKB_MG!Ehn*_XiuTB4Yj0y=sGBm)|u_Q(XU%H#r# zuoss=QwX?u4^E^E3|vX+paR+jyuhCe(s+l=igG~~okFTlF36Gz$UX+Hq|%&hP;(H} zVB-QeJfXD|gadXU0|Qqws96kNUjyQ#=R^9EAfJNA=)tReKr)~fKe!1F;()fEK-Va6 zfwE~)YH=~>Xd*7~5;Sn|GB9wZq=K5SpczXB1}@NI1;~5`h?AG%3t0pN<{(;ZT&dt@ zy+??z4+8@ic$q3VDZ@D6PB@s8lM@bI6UPN=-NU+3TxppV&`~vzGRW$MRM28fF3_PN zkmLf90d;=U!J(6uTLMix5SdWe(l#z|;~(0K-~u(AeG~IaK|?iQPCRtTlq)?szN9D< zw4|GXfeW%I7SbEwN>9#BECNsVfn`8#ct|^g3$!KzvRDWt1L-J$=SjKJ^Mf<fp~Jvj zptDL~eLHX+3~9(QFmPogmZb(jSATMWdke5Q1#_VLRJb7PZy`ghT$y>`?FEqHHnSMq zAO<%zxiX7gD+-`1g19n^L5&;8h8-?&rvYX(XcQgp0&w>r9^@wm1}?~=2FUCI7pU>? zSdyQcnasez1zC&>X<~EbW)>HNS{|S_B$$(#mku5j=E}`aDa}dE1Ml+T$^&I8Xm@}s z57g#?b3iL`L3@ip1#uqOaiEA{VBpHjMT~}W<rRZE9Z(s_(gD!O4FdxggaesD;L6WQ zfo35F1};$3z8GAT!8pO?si1`rT;Rq%EJ|P;(5hyxf}GOy%sj{n3ohu&3GmVnE^q@M zJj%epzy%t`hOAWqaZ(dgAcY;211h3GgIZjm@(8j@4Jwmb6p#oS*9Xg_7J&vQz%t;` zZ}6Zu7i4*^AGnnV<$%Hqq%0q_p4kU{><kyQGIItk$>1tZjL%5SOUVHze{jnm++1Z~ z;3@`BzCeqb;>>hVK@6VK=Yot<g5nOO3^Ya^ng<@n<N}W-gNqoD45;iahQ>Cy;SQbb z;sUqUq1|mRaPu3k2Q)tdax|#iDXz?gv^*f3;@tc^$ha(5aV3ZY>6bt`pq4TN16N5f z$OKTL1#v*5$;AaZplw%NAl0A+65z-Jowfo_$snUa97tLK=>bI$*bpQRIO@Rx1L`b; z>Uz*@G;Db`7i4KMWVs7hDR`kUWGaaZbes#gwoPGR;3`XjjbeZ};Kja>E;UzKevV^a z3TPE00|OVxCq=1=#h|4UT;-W50ibyeP_LS+JijPAsWc6I?hhAeK)N6`1-yEK3*2@G zXJU{6pvF6>G6Q)IECZUWhI63(MQ+G=bV_+*5qLzJ8`MV8PlV=GZY?cnIsr9$kaz_Q z4BVi0KB(~vE<LzG4SjgS7Q{1xv<of3Dh-X`O?9vwykQ99L7I%9#w~~kQ3z@$f_R8l zBbbM5AGBEyYBzFgMH`tQ+h=3~F$QA0ktxIq7!TQYBSe!IWC}zZ)OJWG0Omhqi1(m! z#)!THw^lTy5e`#nY6#H@u@Bk~hw6hi#G$^0@}PczxDsZHg#pAuh$$9`CNnpvHI5t- zmJo$dGocD0_JOM7oYV?KBd{r;W^;Z4Xj+bufm;h4>3WVOphYO$kXCd?N)c#u7Qq9x zupvB9lMEpT;z3*uYGcE;xNt+)YD31@xwUfg)Ab;Gn?R``zgRCNvj{Y|46+@R(DcB& zg+X#)UMi#=#SLjFgGcW`D#49oU&#JqZY|JUiJlYaoGg$Wln34m%<WW~3~u9qN2<BO zZ8WD!P!+_;zzxoS;Nh`i5U(gT71Blk@nDT#HxFM%25uNH$OX(xO)NmD^hqqq%!5>T zj11g9`H3n1Md0=TBLg>NlpNa3<o1QE9P|qI_XEj6cp>0Z<ha4BP>Ml?M=m1+H=^MS za*JPSF4(Clpd~!q{?Gv{PtcYtZqUjR&=3W9jW39YSoZ_sAy&U|gN~NV%u9!?{^17K zR6(i9`JmP3+`*7h4Cnm3JVpj?P-_-is6%<69oY~Y!0k;)WdxD~6(~jEl_?Ai+=<EH zwJo5y0r5ahT3BO<8(gNsT0`8B7A~~r<A$_q10lOYxgjlCP@BGzfq@&`egZXZL9GF9 zaDx*RrJ(&9+~EDq2)Ug6q@>g$NPW(ooS%}Ko|*@#!?__VcEObp0|PgBytyc~q_ijx z!~^Ye2Gv<$8&WFs5_2<?A;m8@XiY724ugS#8`MBW;^h^W7NrJ5s()_KSh5Rj<u5m= zz3H1+k(mo>2ts(7c}P6aSO|DUEl4i2I0IThbA#7%q^3aTl)2NB!Ttp`4;dJ^)008X zC~)Hp#4E}#cT7nE)rbrX+>n|Y+D7NjNGygW5|CVKVnIB}46y&eW78qftuNe}c`2Di zsmYMFh}@us7YILOf>t4xq!xkqAaO(2#6rUpGAavgKXT`SR!~9GE&~I19wcc&#%a0p zQp<xg(_tZ>ms$=QeT1wi;0BG)#ur1^3~)mlYT$GNG6lKW#hnLkP=UwbKyszIX`qg3 z3TOolH>84g1+A`OVBpTrNkOs!#stsFau+0lQyggaj)8$2G|AusTcOPjIz<j%pMrRx zo=hfqpDv6C3Vx72$ck4`0tN9(i!(qiXK+J{y9m-UgVgcdpauhIG90|dl^fJ>0}Vvx zCW2BOhzIWrg5^?+p#48?=*k6XJ}Lq?(SksGo>>@RD{3L5v)s^{7&P$4z`$Lc7+;hM zZbB7-d1<NOK8qX3KG--e#GNo6cnt?PxOJD33YpL5E>10hl_4NgQb7w(p=((|a-fw7 zpv(o4g9R{%2bx6#M_)FG2WsP`mnIg0PV?gi$3K{72$3r)f#+gy0}wV>!(CjGl3!Zl zUj%NwaDzPq&zq1oAGH0#4dFr8tU!4okd-D-9=I0)vJceq15XeXgLsg#4%F&H@F1ht z+@Q6v#i_}V?V8-+vD$dBmlznhL1lA+TV_rPs71_Ong?!~Li1l~UUpu7c^))nm4YX3 zz_Yjv4BVhr8@PoCI+zg5gER!wz;fBCr3KJA5N>db3{tj&<UnOBJg0)?AgK#1mtT?! z=^t=2Ffi~Q;BIVcVC8oN9|6PzN)Gyopr$*h!e`(Ck4NMs=IAFElrk_d@IVKX^h-<9 zEEpJgw2<mnFc(pQg1FI!28aPt9<69YW5l2)m}?Fmp98ftKwP8-3y)SbsF?+cD6ml= zE~u3Pau-w<#09k)z+8w?a9Oay;I<EsR<tps0R`t8BgP1MKqIJ#VMY+w5YqI68f5}) z2|^tSYm7l<O$`y_uRL1O(BV^<MbNeyoC|RyjB8<N32_%VB%@=&Z6;7l2<+cj=(1y| zMbKs#OmA#vz8<(fErKY{FV=&NEb>6sF+c_lA+n(2Ko2q=$O9P$1qB_b`Ng9J9(30O zr+!8T9%w5LI{wK6b`pHQ6@&|2dkRtvTJ!)NPXt*6;%4TRfSO1!Zdqy(Xe}Cu3*OqI zmjNjNc(fqg5>RylmMzUo*3eV{4bOs1POr)=(DQ)qhv(4(b3w%>Xs8j&g?JyN7?f!B zK%-F*A7$q2L6m}4&GKkv73b&aK_<3AigWVQi%N_2K$El}dvg;DqBHYKKyv~hS?D@z zNUM?uG++xF<b;f;fw`cWG|(6@NHL^$UaaQ<+RVqJ1>*)(6d=n6C6*&|gF$-`c;FqO zjMNH{GoYoh9{7A}9!Rc&?Dz%gEv_uq11)<f0-a3F0~y{0O+tG5gJi*Z&Lb}^I4=!k zR8>x9k{+mz0EZQLxD4Ln<Z%S=LU#kLx+q~};Bf>my9Jeepq*Si&WU-TajPOw!<mtR z2Qmx{DOEsR$gU&k7%vaBK@2WM85wxoK(pO0smY+G3L^uLM`Ce+vyX46PoPU6h?|;N z5CmOS$H>3~9tJ_U3bO12*0|>J%}g%JhYa2pGcxe_X6EE%BDk>j2`E4q8F&H`Q^1W# zNMo1>yy6->4h!1H#{)_TDVar}aUYOTL8%2fiOG-|dPWAGpj6PH6l72m#D$E=L)TvL zfR<B(0}5Ph^MIO~>3OiahX>NB1s$gZT7SrslpdU%nCD!SngSXa-~kQ$fRZI-q=E;u zWFfZzHjv2!ZbgC`5ujCG3_Q@yUcsd)`R)u1JgIr0?g*$M2dXZ3AbaK^d4Yj}Cp{Sy zX|R!8p7dnU2_r?|S^^}SS`rKy_XC$3h+#l*ISF4SkeZjm!T?{M$^*JDl7R<2u$P|% zS}{`0z`z6A29=wb2W?;SfEEsb8qAOpWFA=a541{|fq@4!gaI1ehBSV`-1zk5c+fTu zsBApA$p&h6@qi{MK}8ZMfEXBfauZX^Qu6akpalh}y$Kp2g3QkHfViNeb-nUIhtb2i zpkpgQdcjp`JhVB<0~zH5nG2c)=K(F51-D~TL2K-IKo%5(k}r5rk_Y4<&?YuW!xYSg zr7Z>qFgGAEvj`>&-iHtBE~R1#I#^o<8aUvY`}{oU;Y2*pjZV-uHjE1{zZe*Jz$1C! zt|X|H%>&B0uoTO{zysa3<P19O0l@`lI?Nb^?!pE)Rl$nWa#AZY%TlvJC*$)#+lJ89 zz&u5%;Ko~NPG(*@no($61_qwu#9Z(s2k7*45Er`k6dFh1Hd-+}7QoFi_z*k~xa|!Z zTTCq~#$s}1acV_o38+m4N_C*kMM$v#N+w0c@Jt6C2M<at0iD{$Q&Ln34n@ex2t1(X zk~3&UQw}&J!R;Ps_Y*Y9%;4|<a!%ZXTM*g<%6|dn2SE8Bp!^6Z{|A(x0Od2>hUm|L z@;RXV0w`Yq%CCU(C7}EUC|?1}?|||(p!^9?z5$d!1Io96@)tn)4p9CIDBlCh-vH$Y zK>0hM{0J!j0F<8q<)47^GobtnP<{cFe*?;|fbt(e`3+G13n;$>%Krf6Pk{1&K>0JE ze1?aRa9aT7b3pkkpnL%+e*=^+0p;(2@)e-`15myOlz#%sH-PdlK=~F>{tYPK0m^>> z<$FN+FQEJYDE|YL9|7h6fbtWde1<y^e`G-U98i7%lrI3~S3vm^P<{iHuK?wDK=~R_ z{sbuB0Lq^M<y%1c3!r=lD1QZ%?*Zj+fbs*N{2fq!1eAXO%1?muPeAz@Q2qrdzW~a= z0p(Xf`46D{1}Ogpl-~j6e}M8QK>0tQ{25R_!y`zzEr9Ylp!^k3z5tZJ0m_$v@^?V_ z3Q+z5C|?80KLO<%K=~J-d<!W529)mr<v)P(J)ry-P<{ZE{{hO6fbxGp`3X=y!(E6! zGN618D8B&87l86BpnM4^zX8fufbu(_d<`gn0+eq6<<EffEuj1bP`(3{zXHnlfbus$ z`2kS=4k$kY%0B?*CqVfpp!^Id{{oa>0Oj9+@++YH2T*<kl>Y+C?|||@K=~7({2x&M z3@D%BF(lj;K=~X{{t75x0LtG0<x4>MJD_|8DE|PIuL0$sfbtEX{0mUN1(bgS%6EYB zA3*sYQ2q-jKLE=A0OdzO`9Gli1Sp^39>gCRP(BBgUjXF`K=~C=z66xt0Ocz{`5jQc z29!Sm$~S=WXF&NDQ2qia-vP>B0p)u@`5U1804RS4lpg`*AAs@`p!^e1eg>3(0m?6c z@^3)-6;S>ID8B*9e*xupK=~h_{0UJ04=8^Il+W-45^f8id=4mo1(YuU<!^xUC7}Er zP`(0`e*ntYfbvg3`36w_1t{MF%D(~SJ3#plpnMM~{{@sE0Ofyx@*|-9A5eY*l+SP< z;*Sg{p99J-fbs>P{0b;v0?KcI@)e-`4k%v(%AWw`8$kIppnMA`e*u*50OhZM@;#vZ z4N!gnl)nSYkAU(IK=}z!{s|~Q1IoVu<rhHtH=z6qDE|SJ-vH&mfbu(_{0~t61StOp zls^N?XLt$;w*^o>2b8}8$`^q0H$eFkQ2q`mUjfQL0Oe~y`6r-!11SFjly3p$-+=NR zp!^3=z6X^50?H47@;^ZN5m5dQC_e$pXMnbAGN618D8B&87l86BpnM4^zX8fufbu(_ zd<`gn0+eq6<<EffEuj1Z0RiB4Y=b+5z5wM<fbt(e`3s=@4^aLFD4!t^qVE8dF979V zfbtcf{0C6J0hIp%%6EYB89X571VH%$P<{fGuK?v2K=}qxegl;60Oe1B@&lm!1yFth zl)nMWFM#q7K=}<&{skz10+jy%%3lEGe}M8gK=}+o5O*Jd@&%y$3sAlSl>Y$AH-Pd# zK=}?(K7%L3oB$|a0Lo8*@)e-`0w~`A%5Q-39iaRPP<{ZEzW~Zlfbus$`2|q^0Vux# z%D({RPk{0tK=})x{0~t61}L8abVe8h1H%C*UjWL#0Ocz{`46Cc11SFkl<xrLGk8J$ z59JF$`3X?I0+e3><r_fx4N$%Vls^H=4}kI)K=}z!{st(&0LniA<u^e27ohwJQ2qlb ze*u*L0m|P1<uimp+<gGb7l86FK=}$#{sSoA0LuRW<vT$64BilP0-$^WC_e$pSAg;h zpnL-;zX8g3fbu6m`2kS=0w_NL%HIIx7eM(3p!^0X{{oah0m^>><u8EpKS22#pnQf< zh`SF!`2tY>1t?zu%6|am8$kIVpnL}?pTP%WP5_iI0Ocn@`3g{e0hDh5<u^e24p9CC zC_ezoUjXGNK=~V>`~oQd0F>VV<zImECqVfRp!@|;{s$<31C-AY266WRC|>}|zX0Vc zK=}`#d;=)|1C;Lo<umv~%n5+<1)%%{C|?1}FM#q5p!^0X-vP>>0Obcj`3s=@1So$4 zlwSblAAs^3p!^F^{sbuh0hGT0%Krf6Z-DX{!XfTH0Obon`4^yk1t|Xkly3m#e}M8G zpnL{Dh&cgJz5tY;0Ocz{`2|qE0hHeW<vT$66QKM6D1QNzp8(}=fbt8V`~y&a1C)OO z%AWw`KY;QVK=~h_{0&e(Lj=U#2cUcbDE|VKuK?vgfbtEX{0~sR1C-C;4>2bI$`^q0 z6QFzrD8B&8H-PdRpnL}?e*%;r0Oc=$@)Mx^4N!golz#xqZ-DYIK=~7({0C6}0x16j zl)nMWXNZKj`v8<L0OenR@)e-`2T;BNl>Y(BcYyL4pzVqPC|>}|Pk{0jp!@<T-vG*Q zfbt!n{0UHg0F=J~%1?muH$eFXQ2qfZzX8g>0Oe1B@*hC?3!wZ074W1c1N4|51{fcF zO(g>ZoDW{AhQw!J0XMVY@+@e44m7?58s7kop93)tZk`32{D=P_zzmoF2jc!m@WEUp zei52^;A?4-+`k0Pd>%CQ6=?iAG=2jbp8-5SfC#Tfba@6Qum~)CTfjVo`ZhFv2O7T% zjn9Y1|M3HSv<W<XCZNerL*vgt<1;|deS*1f4x0P|H2xwqeg~TV6=?Ev(B#*l$qS+J zx1jNb(f9|@_%lEURw2c&2%7u}H2woL^)Jx);DZE^%wu3s0Gj{{pBrEvBK{aS6u}}e z`7+Q!1W5Ytfn|}@b3z1Q>Lnmtc>H}p<5!@m|A8j|6OI1>O+5qjI0Tq|OlW)-G`;{D zUkHsagJz!sn!GHUJTn?!4vlYtrr!>Y4+(ul_&K1-gD&|7842?r_$WH0@UKEs--E{2 z0BJ^2KM76#1V2Op%={T>@(co~@&aglEr>Kcem9`$*FlrlMdP19Q*VGK{{T(?85$p2 zJi+aU7DsTt2sFdM{Ko<*uHf<}X!dcS$wN-wK*Wy(n!FjBehW0d0h)RXG`<5G-wloL zgT{vzH*ojcpxGCPrrrXY0b%|xK$9;+<3nmqgnwWBhFAd8?+D?-?f>u}A^?+TfM!S- z-w7fOS3d>KK4&!fWoYs)X!2{&<e|kI+<eIGQwaB8KvVw?jSsn%4WXU^nxSFthuk`c zkmo^@hqS5?@(O734)PEWz|3PXh1AG!KC}RU@k1cW;r<ChGcN*7z5z`hT0Fw_N1>^o zfTlhHDi3lMBSYi=|NM-MjsNW#CTK%a0<8T6<HOodFg~pP1mnZnPcS~L{RHE~+D|Y( zto;Pz!`e?UKCJx&<HOodFg~pP1mnZnPcS~L{RHE~+D|Y(to;Pz!`e?UJ}6v3K?LK& z+D|Y(to;Pz!`e?UKCJx&<HOodFg^pcz=H8%?I#!?)_#KVVeKavAJ%??@nP*J7$4St zg7IPPCm0{reuD8~?I#!?)_#KVVeKavAJ%??@nP*J7$4Stg7IPPCm0{reuD8~?I#!? z)_#KVVeKavAJ%??@nP*J7$4Stg7Kl%0X%)f+D|ZfSo;aahqa$zd|3Ml&WE<2V0>8n z3C4%DpJ04g`w7N}wVz;o251I`@u9^x+`X{&6HFe~euD8~?I#!?)_#KVVeKavA6i_) z&4;z0VDhl`6O0dQKf(C0_7jW`Yd^vGu=W#-4{JZc_|W1AZa=L31e1rgpJ04g`w7N} z7N2nau=W#79@c(>@nP*J7$4Stg7IPPCm0{reuD8~?I#!?)_#KVVeKavAJ%??@nP*J z7$4Stg7IPPCm0{reuD8~?I#!?)_#KVVeKavAJ%??@nP*J7$4Stg7Kln5j;F$?I)N# zto;Pz!`e?UKCJx&<HOodFg~pP1mnZnPcS~L{RHE~+D|Y(to;Pz!`e?UKCJx&<HOod zFg~pP1mnZnPcS~L{RHE~+D|Y(to;Pz!`e?UKBU%UfQKKf{RESTwVz;oSo;aahqa$z zd|3Ml#)q|^V0>8n3C4%DpJ04g`w7N}wVz;oSo;aahqa$zd|3Ml#)q|^V0>8n3C4%D zpJ04g`w7N}wVz;oSo;aahqa$zd|3Ml#)q|^;CyHS0OP~jPjEi8fPwL0?I#!?)_#KV zp~Wve{$TAVm^`fg1mnZnPYevapbc`MWuE$=70bwc(5hSp2Hw=1w37V%oML^@qFoRl zbkJI6Ub;SLl`;bZFMPc{<g`&<(AHml(3)cqgMon;x=vWX0CWg35+Az$lNWMy3UrA! z0|PH&A0>F@IEWA3NeSo2BCQ<<$;X1$+(B21^MaP)Ll&vS)Ek*X&LV;FVGH76d@~ah z3&;X`3$XpLorW-Zi&*%H7GU{U_)_R}G=2e;58liNI_CnyN9;O;@DckCA$+iM@ZLX0 z23{@5GF?6Jl1LCg33TBrbbUC24_-xB1m=Sd!PSGDmW|+pmJx#%<ML{OH<f{xSNj)$ z<UwoRA!~C%i_dw%3+5qw&|XIfAGD?)bTBhaJ%|t5?*ZaNmcc{JNAMx;0r>|apHvB2 zh6<L?EzyIl$cDHFv|kc&U@tFh$0YO;J~$tI8ZOv8&^mj_whB!UADrOQ5|dLEs%t@f zP&(90%}e$#C@BWn2VE`?UNnlt2QRq>@$-xId@}R0p=+jjK`Z7#TaMv;*rNEbT+kU( zyjq}it(TSp-Koi|1y0C%xv9CnpljE7wV+E_^}I7dr)DGgpzs2@4<-*fAOe{WTHy_H z4>W`5f%Ynb<soaj^^(9R%=5w%TLu~*bdVXcdXRZ2{Cv>0dAyL7`9a}e_k-*MXBPPV zD7>K4Rx0#BTg5X$_fSIlx!|kA!08K=??LB2g51Z*zzbT-ot_6@HqQ$>yxqMhzZ7~Z zJFjzo9_U^m&~7r&3VmMa{XgL4!l3wZE6vFPEjlhPNz4VMM|WrEfKaz0&^>gZTV#0Q z%lSbk9xyWSLidq6W#)m;DddGMwFTb;#K^!KP?VpXT3j3ex|S1UKC~opssx2UBLgp# z4@u!*eo1kDa&~?}i6>}JDQ{>V^o|S2PE`aSvOJ#`bc-P<v3nM~`nxeO@Pf|X1@9e) zoG---y2%BFUs;ms2s(=pv_}C{p2E)%0iTz~3*P0J2S2Nr7qrkmB{i@#F|VXFmj&r8 zGsxL~ylJ5OVL@jQhJugx<puAW^vibv9UWNAz`&c9pI?$%1j>FTpgUZ6VXN((K*a(B z121H^BxJt=NImEfU}W{+HT2Mh)+ylfJh><jwmKZdF9x4(2wKz*TBFRHSq$0+=9vdQ zgNqj=0X|6u6sZghyr2UuGV{_Q7BVpKf|jsnr&dC?iGleQC7?4s!3)9}7<l1ZA0a1% z@WPglL-!@{f)1VmuZZ`{2OWQo;Dgp@GcfQLL(gmrE&v~Jzzbf=otc*ot6xe|i*hsb z5=&B@Q$hER@Pd|HgXJLSkMV-nTo-}1LV(Zm<Ac|S;BpMqiAKSEpj~tNpc8ySJJyOA z82GfHB`l-_1`EKlG$f1ifvYsg7Iko)0unGo-Yvxk+VEv=2C0NV+aUNrJKT}02|lgp zSV&F=?+ORm5*-WM3JyN77o-AdXB0#Q#0c=-D2M>4@P}`B0%-vWl)<(<fds(bhHZL6 z2$Vp!J%I$E1uSGg5=a1CLO{0jFf#CgD->w11Jy7n0-!2}4}2^YWJeEpqY6X-bdm$O z5C*vvv}agDQvp)!BMHEEf$@P(vjSyH*ojtff#B5CY|uU;KG5cS(7q|~uAgF%!=Mg_ zZOG@-0&S%NnFv0C7o-AK_B-VyW~YLz1sDDx!X>ph8LR@-jL{2#98d^W0T#$hEeDm4 z2mz2NxPst=R}kPD9i#$QBS5e4MW`qN)e#_DU<t?#bl4_X3$#*z-m1+9uN9!zTp|R( z?FeKo>5vO;5GvC1!JBvx0vVul#*npSfbIlB7RUtEx5xsypkf0>0JKF0MF4d0A&LOx zqGp7(;HwdlRTSrBg6=&)QUTgmi=qN_NFlNSIK)r{GIK%A41^h={9cHx0(x;UpH^{x zQHfqoYH@LJIp}0oK1luo?Pl@M1Kp4T5&+-vr{@YfsvHzA&_fUPJV7^gf&{>osY_`Q zXnRK<TmW%72Ol(#W|n~K2u21zXnhDhpa3KQ-GmR>1jWd}2RXhh1b+1hpL=SFb3W)G z`$W*mSd0vOp2gtS4CJbl6h;O<@Ik?;DWJMD9h~@sQ(?6ns2*To;7d$N0l5`?`!uK= z=L7Hb1)U!NE(}2`z#HwnQ!8QV6nyO~=rAtujywhizU0I_P(70hIY|K|0KOXxRwFYo z@PRH<2SosE7c(Eo0#JPc7f4Tb0w3N5+bqVHo?Kj#Ur>^fTAp77y7-+BdPr<$ex7Gu zW(f-e7i0%<a87<XWZM@XXt$IvXp1;>e-a-kF@m=|!(0lvZPhU^1zbEZF!1Gq~{ zJJ8&an^@tQ2fEAyS`YE%rshI(brATVRU`pWO9EsD_+C`Ru~2+@`N7a#MbNSkx;r1* zL&D~C@Yeo#$X;vEJpz0M8I{GL<7=JrOY>5S85sCLd+3W&K_{4j;tQJ@MWuPciSX_2 z7%DIX7#R3ql_WeGic?Eas&&5N%3|<AYT(q6g3S!Dj8kbEq$S0`zy}rxN(En11x`}1 z)43t7eXxp3q$5HY82G@IEqH$(=x_i~#mW!6w@4p!iwbDZA4n8|k>tTGKn4bWEqI9v zEkHpcu<{XfoG3&MR0LYMA*lhM=YXOHeE1SbB-+r}(7+theFW9R{94h5rm@KVH-4>X zBLhowLsN(%3$QMuSWq<zuUGj&w|!!YAXSrKU8WeiOk>g2SfJMW{D^h|v{L8Sinhcs z2eL66S_gye0__$~1@Dpt_nP>%qGMsTA?T_jh#KUc4MYT1Q$cb7zZP_#y&miaW`3<C zaIEM-TCqhSU7*TD0jY=1581yB??W>(@PnHOpl!;Kv;-3Y^)eA6kcLQ5YH?~w38+lt z2R9pFH!^_=d45>m5mbkRTUJmJ*s=JUAoqZq5}A1=kgeLtB08XBdNe_{gZq%so(9BZ zun35NHc9xwjRi=11QeJcbMlMzK=poRPAa7D#}D67?O2qXm{S5W8P=k3EXpk|NkJBI z$xSvfFhCPAH9{9LLluFYy@RkT0DR&avN-{ubL)zbMS?Q&Q&NkOMS?R@b3m0G!W`K7 zfe4WlunR#xh4~^SH`&z408PXUDgtWq=z%Y)2A?|25A7)z6y=wIS{xwTVd)9hJK%?B zWyqz1U^UQ;3vT-qgY1H}hG4gs^CMb6;C-veYCzkVLFeTl)TAV(hb4kjCd{1F9LQ~n z2sNNI;^~5HPCE329)y~7=n3@5B9LwN$ReP64cVNWv^?-hbO<%M(4G^L2zW~{vaVdv zE^QRQgLl4`Agd|POfN?DDR@&Wikgz#0`O@t{92IH>hvJJL2%jtH-A7a25_+eG8sG$ z1={58oROHBhav)M(d2+)2i5|DoZWyTQj%B_54IiNJJL%A_qq5X`~N}5IfN7?=7G~; zX&$I!rw8uYfpa&wnGV@d4{Av>GVptXE+;5SO@VF?XJp`qwKPCSzk=^0<_}IS0rdi4 zXSgsjKtzx?$@7En5KApCfsa}6gSx8V@drpJgpq+CJ_-OCXJBC9PfSUH9$5n(Ndk$0 z&Uiv@%<zLwhDcA%12@>fJGA*>y~oUa==mu8snBC2AxRz-r=aVsg0nLVK<7U|bfqW9 zCl{2)r$I+S7#R3rcT9l}s|Md6!w)*IC^Ip~BQ>$W2Yd=XKWGPgJgDgd+s4cfJ+}zS zJ&+?Qkxqr=&&kivE-i3_jVdrO@Pm5rpur5c{354B(3$T1;DaB*hdntZ7K2V(=Pv-= zv0Ge{npXmCV(~+_h`Z$%L5~UK2i^aZnwSEM3kC*$aDoKo2vD;j1(XL%^HM7cO7qge zr=Wux4g95fpvH*@;uL>G-49|3z{j7VWe`XZ2@8OS13~A#q~(@?k~ESWh>4IdNi8nP z$xmls5I`L22P;vKgdnGLf>ojB8IVx4p&@EzE1(q(I@%9jAc2jKHbiR>fK-7h4Wt@a z0Mw4aFw4jSQwUQd_zZDWv%q)MpbCMH!bTM`N2~M&K+Oqo0D}5=;FbYc2(@V-02+IM zm7S1LNfaT-C<jOg-l%}Ihe1M+HU+4fEX_*>2VpF%5`gwIK&oJ-gUfuVDrhwT?InU# z!O9+R?+c;|++K2m_pSuA5{uFc5{rscK_^&)?+p~tf;24kV7Y>kK|l+78N43+;5PwC zlLUNt2DrutsRA|Opp7WVU^qk-R0z^0f(U^JuR#S7sPP~GJt-!s(g)O!2Azrq6UqTK zwm?U<fLsr%bTq&X6R>uWjhXq-p>l9Ps|X|njSFz`2(DKJU;`VVLkK`cCCDtOD)0%x z-k`G+1i<YTXu}AUgg|D2T4j&}q2PXm<|;k!%mUD{3Lvu}QI=br4r^-&Xo0Hs%$!u% zxCh8ASS*6><^<m%F95I0LFe#+2X+ubnUMM&WIRkGXuKMHohm|AK}iuTRAH(>!)<7) zKuvtd9B@v9tEwzWg{gw3o79{%SMU*`0`Sb4T9%mXQd|Od1XL9$4l?s{(1pOq)gd&3 zRDn;1LkJ;z2x?YN8o0beHVb-@2(nOaVgbkl$U?{=0W}L0;NY4YVPioFw7Y{4Dk{%~ z45}c6iZjzg5|cuZjR&3o489Q@MHS@IT_hpMiDt+ek;5M9E>NEoEhIp`fkg*Q6=rlm z4{Ze*4=q+f-G0cOAOc#&B}K{kd1at(1mrXxuux`Rda<5UX=Y9eBwK;oC(xs3{6H6m z324EJ1o(V~0Hm!E04f9Ei5z+cm>&2-RM1UK0-#wBQ0)y70u56NKn~RjC`v6UsZ7fR zwMhh^V>R&R0waR}=rER4@O|3g99hiBAP}5d0?w|miVq?Ln`8*^ElMp!5%S5*1D~@k zkXTTVQyG*Bs-r<ik`^;C2q3i|z(YI?3<BxNzKKQIpjtGw2-4mZNKY=#C<5JK0~#L# zpROzbZVaR+$LA&H<%1%Lfk6OdZcaSpq(RU)3_=L$ENG}I(BZ$}5h0KxkQxNg(GdZV z5X1@KyS2fpz{4Ss=^)5lhd>_qq7d*g@6h9^1&UHZhed)L4PbEw27zL<@M2&PfDY2< zfJS^kmj!@?iYi@En+gJ;1BQ$8%bhBNQlUeI0+4A1&%Cn4qRd3_vGD@nF$VD2PLRGN z0|P_|d`xKyc<=$#FaTrFvS8?WasrMHjSY<Od+NZ_3=9l{@b(9+W?^6uL^L4aB?FQe z^pZl5IvZVZZy4V91&Ku)T9~2M@`9kNnbE31uoz112G(l=86kkxcwjN;r~!%?Xbu}% zCqU|AK~Pl>DcRwbu^^~ohloLkzQE?i!V7X}fdf_tb02iT8AS{_qJkm@9aBLO10~EN zh#jzc2hw|mh`~y8SX}|q3$qs1i$fNJ_2ZDmV7)Yu7<xYhES8y@3SKGz?ukI`MemCU z!bUYfW2fMI>p<$DBOOVZ>8^PxnTdHIF;MK<=pv7H2*TSIpffcqol7bh83e)Cb%2vU z=m_e7#1xQT*cu7FoW!b1@QA!1wBZ0N1VC2^z{FBAlOd%HIQ$@EBj9V4puH18*jNZC zjG@<RK*iwoU%DpPUeJ6xT&zG7<UUZlBNcrAHLMXM2swogJUW*OIzBEHqz;;-Al)YL zsEi=2H3FVr4le?Yqzi)E9*D6L(5RjuJW+wN45*d|nFoz=P`?E_e-2j%76YjRxfxj< zXpRJ33{-=H&4X4zzNxt&Hy4A|LB+ts*`A=AKLz2w2gM(#{z6ull3C`Pm<~FrAE7P< zehV@}EG-ju^(?Yj1-KMNHV@=qNRtntE*;u1Lskds$$`3I$YP*QUr1tcHnLc5VnHhC zynj?N*rf^xI}msBB8g$Kw;-`78{K`d882iz3b5!cPR&gOmGsE!z>OgAS_Oo?#U<I` zt0Pd=fv&;;)$9m$pcV({NK#~VpacynQc=V}r_F-fM+m)TDaH99e}K{fs3Qn%Nkivd z1mSHAztUWA!vJI+tlg1XQ376102Ko_JQ9nOGc$uhBP@cDnIX`~2=okHupN+=G&rw; z+y@dvlsMoP0XVOM4j$D@OioTMC;_)W7#Rd%BO5-MNkxf8nV^w5LBx!WC%DM~Dqp~9 z36v(>@{6D~u^{MBzv2>5T^x{F1S+5y7zAMjMrJ<rY;Fby!IadL%;dz9)D%$b0n~zF zU=U2pFDg$gO7Smp0?jrg7F9AZ2!f|dK=;<b23NphnTY8D(1-^EgCNNF;87s(kWn6} zS0f1Oxu@o(r-F=!juZ%jqaSimBCOXh2tH2@G|N$pa5K1?2G_<ekO?pb20^eGq@IS= z-9n&K_R%W~A<$*8pmc#;l7j_Ni*g1AA<)tE@S+D=p9z8LLg?ruyyAlhLJJx_6qT?# z2wL@lRbp|HMJyKE5sSrOV+}%}S{G&{Y`79E2yGZc$LPS0kA<~5p#52hAf)(5>P&*P zL(67F7Z4-}^AEhc0v3dpi17XpvLL9q0(XCq1+i*}cQuezf=W|xZvb5o+&u#cLVby7 z{(}V3TIdjOq6mW8=tAILI<)_dNFk8mNP!$k4!M6q2wIhbs!hnDphX}vAu2&tOHpDD z=!y_V1|iV20;IeE4V!}o{lF?gwPa>qiLsF;XqEsp86*U$Vj=Y!Y&Jm%Qcr?!@B)u8 zfI1FBuu2iKCIqw+5M&WFhQJMbP^+vMWD#f$0>nu00l=UI5<>8K1gHG`?9?JZXHSrJ zP@xPiZSqS?pe2|PG!<v3Ru(%|f{)Y&sf5LPF!&~}ki>M5MKHmn%#yIw<PuQhLI|ES zz^Mkb2nJbYPGV(#X$g2Z0ihDy@&?t*NEU$t6tZ9uNjq2&o{ylmg9V|J_CoM#7@V;{ zM^}QiLsKWXz6MP{BMT;FmXskJ4^^3(lNOd*l#-f;tTGLBT~B5esD43Ml%5;{yO#)A z5VUpyJb#1`1l7jiDP?3cGeKnz<cxTP%3M$Z37J(!5=_m7&5|Po^YTkP^FRZ*$Yz3K z72GsL5iH72gBJJ*?FA)?Nzm3FLJ+jd0y-6lBnUbK9CX|+vYFr`y*<I>G6<DmK~P2j zsf5*r;KU57N|6O~Qqw?nLShM6ure=M4^$(7X9`?%z=DX%FTWU^K0zr2I_jzit~EfT zj37Z+#&%9DPKDNqLdf-BnHi|l%)lT7sq8?t9H{01ReB5zLa=2BA?5jyFb3r#@bw7r zDQ!@cFfa(g2GkRC5S5@1=$<Ih#m6~`C8;49pyeJ63_{?t6tv>cH?hJ8dM&U}F1Uva zoA3uMKwv>%NC7&Uo&|l~14xj8K?qhm!kq6H3=)K08S0mx2fGnU2wXpc5(a2wA6k(L zfv4A#5|gt*=YxXIh6i~Qd?P|)Nh)%WR|s5fLTgc2sVI!-qar0`BvGWqh$M=X9)zJs zrK3i(FsMF;#UD8CK*x*=Yk|)GhL<MLTn`b2Rdui)97r@4s|l#0pdwcoxn~TouE3($ zOfbS~g0T@sk5(8oLyye_W2`2apo&6$j3x?oFKBf+ykLV2jSGXWtb~if+5uouY;G_` zwGC>nnF%&un4zkLx(rPeYJxddwP>PHwV-tendy0nIj|W+1_ohJs|i|#fvXE}#Q+wC zMKP%I2iNmpQEYw#wUIKB2j0NuT4Hq>nkdv|vC&9PDv*U>6Jn!d5ycN=)=^k1Iu_Kw zL+zh~L?NvJ<lZw#6y^zVy#wwiB8wt=g~+0aejKtWq89@ag_Wv^J_bk>y;lJ81$Y{u zSPx9W*3byU8ZxP{Mn0(G7KSuMG!;Pe2Rh(0=rutmfEsTanxOFr(CP}vXrVByF_MxB zKHeGB8Ud-zO)SuZG(Ta-$-_i5Qi`IXO_EH|ja$Ot{=62b{|6dZ0@I8P!dkF4FlePV zq@@Eg0WzQjzWET|xE6-CQ$WMozKI3US_~!%?lM5tg3N`s6(B7Na7_X-7bXg6m4I3x z$fA%THZ)OiYXdf#Bn;1ii78p7#U+pdaD*r%EZ`<U+ZM_2<=bG}V4?-3Q1>E>rh@A7 z)Us4an8DIhdNO!|6x>@y*amJjfW|0NkxhV{1`esYk<@~30tBskLsnamlbD%@2py=) zKp_mPR}dx?CFZ5%=ep*V<|3O=oS6<;c3*-bigc?S!US+_1RlmkRtpw|PTHZV1z(JV ztQNEoGYER28$vB~UI08(BMce42MvsYPGtxC4U`^G3KHnO55kavXV6N3(vnngf3}#B zK^UnOk(XLx;+O)Obrwb+Xg9VnV_?7#bqw;wA{r3j%)lTF>fmQ4=72&sGba_?FNcf} zi-4z3LG#bh^a)CH5GgPz0!;?`aCOC%#S9E0T3B<02%^?TP6{HR737#@BuLm0vxf)~ zMhP@fy#Nw6vcRZB;KI-Z1Rb{p3uCv^7*rEMRYOO9L8{TJPMDQw!k|(dq}n7F8ZdgG zG927vga~7GH<qdvq#CWJgjs183n}5jjXFp>7Ni=jRz#>qu@dG$w0aSy8m&@-3WKiV zgItmdn@|EpakP;k)(|v8Yp1{rK?^FFFq-Fa2t(a%ggs1*&^!-Qjop_<Xw@{#O6;Bo zx38e-0oJ$?0qtnO>Um={UqW4CjOI(2Fq*reE-^+EhN;Hx9b+`_Kvje8!9k8RsM#iH z4#Xi0GX%TYpe=`3%r-@f9jGCuXzqpzn;D>nAWRs$1I@69pqVK=r@;qoL?D-=BRddg zHd??!J#UUC3{`D`J$+l?P>rSOCIV_yVz$ymw4yE1!UXCPOSJHY38N)Vr~^@K1m9r- z&X=(A0kS*;()|_DiiWRD0XJ_Uqak2nSmFj1Rghr{WMQO{24rDy4G$R?Ko&;o#e;-l ztwW^lHAom%B*A;gAa_G&pmH)xN^(+RlTaei`;b86GMRbl7SQ@p1Uys*svbd`d!ftG z7#T#M%}H=~JTEZ^T*rdUhPE8RwG^nE4qgl-0&8YM>o)Kl4U%e@Fr?80RgJP8N)xUc z-ueRdi4elk;88CNO$BhP7p@w-ECwP69+-ieotakxS+omo^1@YvTBHRfMVbmAh6P9% zG^z&K`~<q*GZu776G#}+HZ2BkwaBbWg{<lkf%jV><7ME9eUNIH_aH4u=yD~5YDkk4 zT{Wow*MpCj!c~KXLDN*={t>D$sK0<sxUwJ>S}{Uh0yYGc2>sL2KyeAHLcwh<$XE#2 z-O%(3UeJ$47&Q0~8KFT|4UPa<w?hOTU62V?=uj9!I43hNHL(cVAVdg58k*2KQ-m<M zg%6n&K(-PRg5cbSEDUZ{I+dmcloT;Ah@dy#d_4n#Sr{-D13<P*ihwSo3@Xjb1FcAK zcLt3ZfkpsD(vx9ZOp(WeL?9zPkftO^2WX6kfk6Z|auWo)CNM8G#XTQ1-2raTiKgf0 zB<7{-<rk&vSLhd47VAT93=##6i$J4E59}jI`5_8wx?w3M!QyBoHmEEEi=)*NFmXe) z`T#DDr9uFigWf8EsmInv0I4^@(k=mso1uw=3RkdrEM_kjByNGjo!H7(QP4U_?B*L; zpov4>Z)}D=JP}Pwq@q_8H1>i#WB{!NM75&L%;7a7qIWN<6%D@S4xav@EnJW|_@-p= zIweG39wZJo25B5y6nT~hX#@@=4k?8|eF;Qg7$go&KHyvqDRUSYz~a#SjNHFO7Dw)3 zB8x-15RiVOD74^*-jM~L6G0P)PGNxTh1Lit#W`FYv}+#JPlK1+qL8WrHP|%a>LEqB z1*C+A#3R^z6mdwp!W0Ls9}v|-s+tsnOY#deG!<$Y8AM^D8=%2k___p;y-4F5;A#Q1 z2ofZY2>r~QRQT#LQD}b*TBO2z_oDF10Wu;28(&3;m*yqs=jOuJdyB$D05)t3>3|@_ z!MC}BcD;eOnIXi}lU<4uGxI=oQZdM#P?zYXLnh3?WjwNYL2_AQPH8H1?f_v9xU2;g zeBcoWWO2}ZJEV$3HU~VX0l%;cp&sThP<;bZ4{NEUKyJ(f&#N;qh@uzIj=nD7_`(nm z^2I715a5heyx7>%paNt*_`*MMy3EW=cg#xx4Gl0bh$1hGL)w2Xie7d@htox&J$QIy z4cdnnOV2FHC{5B!&d=2^$WGS><;u*QR0aky&_N<*@T`p(=>th1gpme!#I&M~5shu6 zGDu7-+RPAihzPjsKx*lMBuq_>43LZi&Gv&WkA)^kkb@A#gc!J3NlnX1O)k-cRG*N> z7eoSDP(U(1WEcr70c%cz2kgNuM2H>H*!pfD9nhi(Y6Y~71D1eV0Zxvvwgs{TIQhY< zdND|;psAn%&Vo9SR-Puva&R`+RDfqM=$rvu2Yf9#c)Ap>172W28R!x^;5MM48Nv>z zLWqR15e^A66R-qQp#jP7kWxboGy<xJG%gB}1X+&O(nLtWf)HMA!6FKtv0;;u-kEtR zAfsRsa2=4WCk8K4Kuu?G?4cV44s_5OPtZM#$VP#iQsB4(H>MCqfhT%Evw`SFftJxg zcHDTURx&b(LD#^7V<DsnbQ3UWtttb9SYk>Fc*40Dyv;T>u?SjniJ|9wP=gJW#l>J* z(=*L4H8mwQ1-2Vi9MncKFfaf$8<2MeF))B-4B^=Wo_WDC#z^fUq<)e(xW>npu*E^0 zO|01pA_I#t<a`B@!Ipo-K^;bDZa^;U85zX2z{}<`^V0P|wGz_4LUCv<3>(YO%+CV{ zEXY5QF)L{O?34*#?I#Y;L$KZtqzeSr16rk^sQ`00bS*wy27TSWCR_$|2oHGl8Eh6f zS3vCn_q<>RLK25KIQ2uu$D=_RxuB#7k}n`Kpl&p5!T^^3#o-wnybcoF-vsq5K`wxq z4k`jbHh>rFn&6bN0EH-254ddv?ukKruHx{_k({1i0-nwVp8x_j4H`SSu*rDm%4AT{ zj?e?nH;}>#Tw9{afST~&P(n5hoTWfZo4|MdBFliX4)k(i@Z6X<V%-?p$|-U5JQCy? z>>LKlBp5Qm0ggf78d5?l+R)I*)WXuz(!k8Z#K_DDa;%jF0|Q9P$O4{CklTqMDKldW z6X=i!@}w6?%G}b@(h@PD28m;^fu?3gmL`^#rp6{lhQ@}5;BGpo;U=LKZDtI&3Ml}= zx=<w$C6WYEW&sBvqD(@SN=+<4luF1_puj~cmn5J$$vMBMsI;KOGp_)?C`JOFVc<nS zxNoZoav><qX=o~7F3g7OLQZLrk{PQMDB;2lggOS6t@DczcEQ~MVSuw5)Ig|BdLW}< z>xm?g^BN>GfwCGXX-L4c6vz`GP2h|Ji4>SwC{myXH4a@U27+@HXaEU%%{s_mPy-=S z;B`dMR)7RN$0Z>K5<vSD!MdPXE;&6v1hhsIw0^;{C>>b}x!vjtnkqvWh_TBMNvbG6 z&8ajEv^*Iyt%;BVr$K0g*8?=)gOEZbHE^|w><oAX2)pH85_zowTox3}3=Al8C@B#v zhv<2N-GWH=l3LNwj0Z~^h!P(x2P(g@l+qwMSZe^i^pXUR?19#;K#sa`E6UFW1q*25 znIvk>1K-sO8UvApXA4k^AH0wZK64C}Ln-?q8&*O7!)z2m5+-&zPz3=>U6P=b44PU7 z-DQum+ZU=Y8g;oOD4By2tR#+PZe#({hny^7JrqzJF)~QP)1@!sD38?S{Gt@FK19NV zlp>&|Vc?XB(1+ZmfFv@69B8F8v{MLe=OD>JwobuYCyWe|u6bpdMfrKKb+tvADXEYX z&=?pb5v!6yOEPmZtH5W+z=oKlkoqIVnMI`q#i@DvkX0893{s$Vub>>RfhEmIf!4I( zN;FbP<u67Cl!B)W_(k-HmO5M#7A@cs0oq!JN<xc2&>;}8JHs_Wu7x-i;(9&sq(6MT z0ICy`kYMW=V8yf)DCxi!C!nr702vEvazix2I!Vx&L6d~7Pk`+)k%Fg?^kmS^&!YUC zoYW#{1&@#f7liNz3%K2kBnjGb1#dBciyN$xu&$95$~tUNDV17O3~Bx{Fi3+MzBmgA zX;5<&7NHoCCXE!Mm<e7Q5~Ywj#{xZkp|Y9zdXQ!6;6@-cY8V-$Axm0A^NLFgKpW{( zQ;-{6(#UaxF~km*jYblNFrh0HA+qr9jY4&8EX0KcB}E{6VV(sq3x~!jBp{JxLD}80 z7<6_|W<G2Igfu*AAqfLD`Vg|<3IRN74Y30>!i<mw6<vmAxb%W#jg9chnwg-=%4kKK z7+RVb8z9Y?BaH>hK*t(k-Ud4k(J+!hieYfQ0!em|0AXN|fkZKKXhQn9pqUW3G;(<f z3OHChLk1j;NIhC)b3nR5nGBZYp~C<m{h(4BCJhM?lsPs?k5yJHIyM^79>qwzAbFUl zA@Kk?PYvcZS%}w=MhB3KdIkolJj8w-1xOl#4laVK5G476k|IQ?K!zVc`jM(MND2i{ z6G74rOdh#ggBm)rpumg97CMlLCWt(;9@Jxe<Umagd;uf}2>_J(7&3APZG=G;Kpd>2 zpr@yYY?~$ngS=L>iJ7ILk%^_5p`nF|i4nYI4%;;+4;o6sn?B{CuE^Jev@eiC8eCF? zY(WZ0h+{wr1nJZPc~DS+M^2C(h{*O(Ghp3E)P1?oMw>in?@noQi9)r48+dr9prpuJ zp%{8Pph7JJg94~x#Gly|AfAZ^xew7}MhR4?67b2h&>|7EzRt`9Q5zzZ!2Jl`9{}fp z+=3J);2i`Q`$81Jfdc7l;b;Xxlz{RlB$Z>yWe_FcREjHX!AhcGSq(lt0UC=0hbK7b zKsg;`38+_(b#z1lYxrjtD}V#j0u%v?kkCcsSa2%=HP=GbpqyI?N>cD@NRxp<5jkX_ z2@2{aXnlZP4SH1%F$WZUxN|8&4NCAqI_=P7HWl%D-Ovo;cgTPdYVw2ykFgP9HD)Hb z)#T-uD}d%cAZnB#;S4SDz=_n%1igBPDnj;^rUJMv1{*=rWMEK24r!z^5W<93*eHsS z%|p(>D2kwsJ@5>ucWNalrGd}5fhfXEtSF(agf+B56IkGE4+%=_ia=BANCg6RMa7^b z3rSU=07p`k1YS^Jtxy5l>;uotXo_I@C>^w_!&)H^q!z<X;6n<)n_;aLaup!F!~&2> z%E%#(Em?vW+oGrfWlLitEO{TS3ZAh+wXT0!8e$v=oYNT?l(B|qN^yw-xKUkDQUr3B z3M5TI!bMX-1KMQJgLDAleMA*P&WF@}D9TWh3`$f(lz}oREL6d62Cc3Er5RZ1q=MB4 z=>-b#_Fg)~3)r$CXpMq`7BsKmR#ub>9x+tV0(k(WOjRp77E~z0T!t%nRUrWf?ft-d z`1wVkLJbsi7T~IZfdQrtnm3>wAq}X*5S0s59de+-YbtPg3T}tP%|q=z>nNb|H9_h? zzCd4H6^nKj7)Twq(2GxxM+;?DtdUWy03MKl?#hFNAKbj8#Nt$N-UV0Lsd*_d4w^bh zJ^>wOVy%!0Uc8Zl$X#mCaE8SPc)_uzf(9hT>Oix-CIbVy!gP#40cUf#LTF77-tPn} zMnSbbOaQJB%_arRxPw}a8V5)%Pf&UQuVhj~&PLD_0iFYhh0l0__6CBiMrubu91x4O zd8CFlS`u?|6rvHuG$_2WE6hkN4lPJYEJ;OV0PG6ExdgP;7Zfv)>Ig|;GH7fa9J|Iw z)(YTL%2QLo!40xn9og5gc*L6HQB*=h4c5&^oeNdR>hEMkq6HuQ39%iXAdwo7sR)(e zLz8i<%s{9FAAF2kWkFFYXubn<`aP20vDsdMHuZ^SCS=kdG(!dt(~K%mz(Xn=4M>)V zhPUd$-9||N3Dz6{6=#|Z3>sL2DXBC~0cB|i$bRffkwO%5I2vxH8475H3vQ*w3P@|+ zLAw}1N;M(gf~0n&stBo>4zG_i!AS~KgAgv^G_i(3GO`oEXE1`?papRQMovZ<!i06B zwZM*mw&Y>y8drS*Q4DSa5q7c`RwoB3fX*b<0~b)>H4&-cDjd7wTtu>k9f$$(Ahz^a z0BReddK$OlqH=`M&_k#|igl1fgRmEMuzE4Sv;^GX1r;Nic~B<Abgb?!%1={39}Wd6 z*M-C*yrBR)g92Bb096kvazJ$eIt@vaNR^EqR@Vn9K)WlTvI?=l2;?B_8bJ3+K$>G9 z4;Q7DATqf=E*oG84P`w5$O`N_3X&lffa_q84Ipzf^S~`9BponQLDK_8&;>!(3I&NN z3eljo<(YXU;I5tlBwS&wL~xr#2R;Q28Y6-?S|B^P7#Ivd7J{2}$Q}3sq*h#kwL)<+ zxTJ$NO0jDxE`lqvRzPpZB5BFVPtI0=`3HPPnzce9TmW*mD=55>v=rs%m%vqkM*BhM znn1k|+9?3@zM&TQbYX~ZU{(+aGDEEXFD`(2*jfRzv1No}50Q>DLRf??2tcbt;C=-6 zVnBg_qzUF9Xpq6UX!CL)O~zWFL;=r`FqH%X!WiK`q>31&Id8219tH*7&H>5^5WC>^ zAnD4_NhwRr0S6%{=j5f9Be=MA6(}I;OkcElA~apF19ada1`~j$3dnUG;5=ah$rIo{ zHl(0{R1gHJFcV^eBfbEs9S-hD5~Zyev`s$0C>s=rkj5HzZN-_;W`(sv3Q~Ot*~kM5 z5%98SC;`1j8+M%}gAixVeFhE=vk9Q1Tp1V`w1UIIDh(%sDTW30u$#>we02SN&+a;4 z({JhuQLkVd1Ytl)bp6-rs&--1UjV&eG$9?oeyLwSa<S=u2faY8K?J{kU$)&1*z|KQ zggE#?DSrL-te1JQ>0boB(9~fr{_v|+FItLC|6}L{rwL4f5QjiX^zgeXEoO&J{}!nI z4>E(HIw2Ig{&ybneAx7>tc0k4z=_{}p~qJxvFYc7YE$5XG9bpm(;dtm5_bC(vFSes zb-#iK{_sx}bl-zb|B3Ao3m<UfxBthn_3yFicY>z>1R4DH+l9P)h)w@DSp03muRnQO zS~NEOb^D?2=g05<Ehl8Lrr#UT@Ouz}KmAQD(s9CO|2=5<Dahh?zt{a+IoR~eK%K4N zg<t=dJ9qD5({BMye+xvR20?-YBmLxku|A4T|0}5f6D0BLfAYjr1)KgaQ2#UZ;LksA zOyd4v)1MBDKY9H2pPVr36gK_$q2<?u9Q^i&7rvi_O+VKTNPs<H!mmHodj1V;`khoE z`V%zqyWei+Z9{DOXI+Kpe^7>BfBBr?_1N^wK+E3+<@oh`G3a5<|7)P(&rpG1|Bb9J z8*KJFLG4$l!LPq^x^o6L{Yg-54FvSB`=9+9n|_{XNcb(Ng&G9mVx<3#zZPMwKUX(0 zf^{U+;n%-k+VeLy`}t;qwKFI*;nyFhc@?YuKG5{n(28IG7Jc48Z1y)p+Yb(H`1KdA zl*5|-UPIHLLI-~Rb)^Y!u-TsgD}Ori>o=;9-;7QFIekd@F?8YA&#<BiYx`>hwEg4I zk6*vX|6ePx*}rrH#Qp^Y^j|ObF2SaM7S!4U6QB%;aTw{3`RI&I*!1s$+W%l8e*GsH z6WXxp&w%DXhROK#Ydf~4VADS%8j}7SrsLP|w|FAf{L6VB;^74|@awmIVk(8reoJWn zWtfRy|1yK$OR(vG0M+&Y77-BVV1$1(Yf1q&{qLaq6Xrk}IQ0JzZ}h;XzZx3;59Z?6 z|33Ne2W<L{VCBaG{Q5uV=uN?<zXO{88VKm$SFBrzO@ANM{R<Z2x8G=5ix4*bB~ber z7U9=l{`*fHHvLM_^mBlK{%2M)udwMCy9tS~hQ;{p=Sh>tT7SKUmY)v@=)Zm<Vh%R@ z-&jHHXIO#X{_|W3f3fM8cZ29}SczXh`|HjmZ2Hxp&Bg}=^qbXg7R9E&1)BdBtio^q z@r(DovFV=-)t|5$zy6Sfeysgp7ijuFunxa|RfAcNvDqI6ZGSba$FF~b#J`=`^e=>_ ze}@hD^>b;z<iV!j5*mLD8}aKu<77Mwn||N>koZ5a1;754l@fZ`^q+VL(Z66De*J3x z7Fhj12bzBscHq}9(xHpB|FQ)deh&!fe;|>Wjm`b-u<+Z3-+uSaj~8OoFJley{{aH} z-D;QUW7F>h%|8r#@!LO(F#v1*!*&f~|AT$_^-uSy#X5eG0Zab}@avaaFmVGm_iup3 z-$DHPC*1hYhE0DJ)cytn`sdm^2x8NJ92)-%4&k@IRQ%LGZ2A|%;{Pyy{dO-E*|F&l zfz}@nj^NjSA=CwH{i)alY5zDJ#jpRd;q?$~_MbZpiT{L?`1Nm*^b*6SKNe~~!x{Yg zzjsCFVblKz8h#JX;@2;`?_?x4{qLdr7o5Yd|C8j|{n+&Xf$Dd-h+ltHstVTri^OS2 z_%U3?uRp6?CjguMH(=@i8h-t2r-<ZZ(=X-@vH!ql{QCc&>AQ_h{|0FOd2kcI{*1c^ zwqn!o1-0Md7JmI7n7ysB>G$0TasLB7{Q5=bEWtYdA-o8pKS3YAexIIuyRq571DgL9 z7~t2xLD&)N_>IjfsQrfc^-CKDbzrmqr3^&>18e;HW9o91vFZN>?SCfN;MafYouwl- z{YB95Ti}3Sf6<3c?b!6oT!6S=!4bdycfXfo?Z0SUhUi~FK!3cC5Z3lX0yO_6c;dJJ z?$MoC`@b`w{!j41uYbWJ{>j+<e*zl+3kc|6+IJxnn|=WUi2oJ*@Y}Cn=(-x4{yJ#> zOCX^C;s;)=<?jYji2V+s`0dy0+OP$i{TI3+`Wp!7Kfx}Eb^bwTHAFu{IDY%DYcIi? z{?9?%KMn-+3uYax!sh-N(C}*@px=9P#&vA^6?!1<Ul0d%2!xB#fB&kn7wh~@$1I43 zh7kPv)4Nr%_P^tx`RBno{NumP@}K#!xj$?%#D0YZ`1L<plFWfk|D8<`{R{TucfV9> z0M_z*2{is4_Tkrm#m)LAHv7$EA@(yY#P9z7id|Ua{|PMrcj32RkMU&!Hv4}-wIwLv zx4*!(5o`U!2Gyoe1Z6<I1DiL4(X8D}#@Osnfu$c^{PRE7!f{ybe*n$D2^skH$1FMR zht2*EQ2h#7`1KzspMh1s2+Z4`VGKwB!2AuP)oNs|u-UH!)u!+T%7AFc$ba_=X3oH- zzYH3F4qx%>mq?p6ADe#1Nl*(d@%z7o*Ww&D{XtOu30C;^OB`{=T7S%i=D!DS`1Q*k zmcrV8e-i_7{{sT~Pq1)JI5zkHhU#zF56uV=<1oU1=9T4-u<73i&3_LR@rQrLuD_SD z>DSr=u~6Xve*1rHF2vgZTrnG>|3N)|{W(i3v5r46&4KE_fZzRk3pKI2Uj(XO;SqlQ z6&E?SWAncnRKLSx{QA2Tu$LdnaZvXk#_xYaE)J~oF9LlK{S5~A^}EIAU~NCTK<h7t zQ2hG2_3rdw^Z!2B_$dMXipgO!vFT@l);|g?_`|PpyAIa=;}>Z8!4QVu{T&-wu#O*Z zfVLkLj^fvUXWgm@Z0`RA?LRaSus`tGKY48W)u8Q9h6w!aFX6ReSm*x?q52&N=#P48 zAA`;QWN7)v5RTvf({1BUV$(kh8vYFg^vespa>u6s47C0CfI$84%zjb}oBjZ3{4qq} zcmMoGJ`-&E6JYuc@R#4SCzMKK(?1D1e*C~6fBapniNiYo;JO)-e-#q&yMJ*+5!U+e zBrN`n@avbbGN{1jewj58`x^*^ALq&oSliDNq3u6~MEv&4*~BlvX8$5+`*#5&e*N=z z)TCn5zZI&#Apw8*?`8Obwg2*=7vlc~Kk%17v0HnuV6#63>i-4D@w@+xxDeL*dm*&` zKA?(UzunF!tk~?|cogD(1=vImgo{!CKYy*HicP;XD^x=w{_qn$mWDO{enZPY2Lk%l z#3Qhd-?PX<>~A2TUz~l18aDUyLiHaYp#S~-Q}NjJ?|}Bd8DI-ZAkM)EKY#vdbFt}P z04qP3@W<cJC_Sv}ze1q(UqTap{f8qa_F}VtDXje5i@*FTklcZ_{JaCTwqXhW^zZjd z@hmp`rJ?oDf_D7&I}0zvn*MX3<&Oh_@YiRH!`gprfa*`k!|(pB#%5UOFD#BjBD~=w zG$0^c23WrbMvLv@!@7R*2(<mXpbWqL4|{E~_P_mM_7m{`l=XLGv4#Je>ktPksN=Wa z`QUo2<0olQ`wtMX|Jytstn*i4TOjr`B;zkX3g1|_Vsn2j)P99i_`}~szQhHa{wYxX z4g~Cfaw&run|{A-5cena;J5$jYz3_2?>C|Ok0BDjex7R`>#*6s99n-bAW(nG&Y$`c zoBkb8{R&C=?N={;wiuiKXHfSy5ODwRZg#BWKgH1ck0A=b{a>nsk7KjH23CI)(0^@D zE!O<|8R~w9X#DnDcb~+%{*l2068{f8@t0rxTi5===6<Oc5d90>@aMnmExtdn>5qO6 z(VyUsU%v-SvNbmSt#=^$6+H0k56`y{$EM%*14RD=0{YEoO~AVT?db!Eeg$v*_TRp= z4{Q4)@ij#M0|NSIF7?N{e#H10ME?RG{PtVkaGZwC|4xq~`V)Nd>p!}d6>I<55gPvw zKz9~Gi~^IedILtgeyhV;|M9`x?~mX9#V00Xo&OAf1GbJKAppPrMX$`T&fn_4hv-)b z#IN7*#9^%cr}`%l{SOG}cWGFMb^k~gH2xO^;kQ5L5I@%SbBm$&Cj{fye{$a$tn07- z!sgFH@aw;F;4jwkp9X0C`+$J{Z+vH7U`u~`k09Z<AQZp-%SuGB_J4$KLG&kt;n%<F z+%v56PkK=O3gP(mJ1&&Q>i<S)`SpN+{^~SNtm(fImVP7g+y8j_0j%q{Q=$3yK@@)d z=?|Q-?w?up4ibI`81a|?_flS%V~fAn(DcW^j9>rjcQ3HE-vglW@4$jz|33u{E^PL{ zfUf^y;J~lHan~`d>-WVjLj3Q*iC=%l*?g?)ch^Jf{{sZtZ|*AhvCg0ILhIiJ1llh% zu3+zfX+g)28wj-j?j~Jci!J;TmqGl`a0Y+-f$vru*736#==kr075LL%|L%WS$4@^W zgV>+&1i${|wA)zszc@h0FBn|#$Dc}Q%ol9__qYPFzX3Lp3*ln)f7adP*oaO4TiE#3 zdHmtm)nt!#{ML5~#KMNl`1M!qws?-sekN%DL*WX3{U?}YYO(2`0qwsXScyOU6EYro zV$+`oi~kDz`g45pqp<1cg7#k)tirGVgTXCMZ2A+R;dg*Q`sX|5hIRZ<;4CEk8Lr_E zzwRH`o?)}U4Qf9_3jY4zuPFss*WVRShS={wK>xA>tWDVLp9!^p0Ri`4Uaj~QoBlOW z{S5@{51bZy1e^XAsQVk9;*UQkb{VYo-yZ1rg~KWQ`L|)pVXXb{Nplz&7JO%5IPep{ z{nF>kO0l_rD%AZCeDK@9_{XzuZ2Ff#)4#)7{Pv$-a99MJ{%mOeb-0c{{k`25j5Yn< zg67|ZD*X1(T{97D`_loM{uk)s*DvX?1nd6M2B`ZJ^zrLIyX}H3Hvb=j=KqEp`2C+3 z{PYku{Yp0=`R4$E^ediqu?U;~576*qNX4IjJy~~RU4P8B7h?Yd0^`r;1$3~kU%3Wt ze=c~2Km2ytZo|6%!WQa(g=+lzd$?v{UBA5nTK_2+;Mc#x-URFX{}X8beLz6}Y>g@D z*uwt=H2)~v#2^0)+k~*rU;lvFPr(2AzH70rzu;L5jXz`j_UC=j+lS5l+o9#h0RrxC z7gsaDrhh-Q{C$v(zx=V#SdKOS-GYYSgUk5i@9`Tctov_QLG$l}7x?w(TziFe{jU); z{U_YQAAUX>o3~-}|0SsZ7u4XlUn_Sb*73{zQ1>gW!>?b^dk)t6O92}H4D0dh|Ff0} z>;9VvSovv)U;p$?2QOgr{~KuhIS?p+jOL04VblK<8h#H5xc_~25Z3zt2UI`9ZT#_P za8bhyoBiBN85k6PFfb&v;@4k(m>ui>BPkCChJ+ss3=2NsPyZ2znX%446+pxP0D<sh zif6|<e)9=h{xYQDFaO`%RKeQ*P?`%VKO6|?=U>>2b^a(3+W%}IpuaA+0PFb=H=*SZ z!z=vhPw+h-*7COl8h#12`1L>hF%Rqb|GzB^3=e)VFeudF*MDcy|3%o+j|6o6&jAAd zpRvsW>-_a^nE$Krr=R>!2eI~Fd8R<)4>l19;bQdvRvyn!#^!#xr4S7d2<UHbScP@{ zM+>z4Q830IehpJAu#R6=LDSCz0{SQTaus89zwlOw`y1}!&%diVwqiZM#0{GM74G5J zZx-D16Px`mu<*Nr-~ar+jabK@)S=@i4gc}Se?s?Fto<)_X!=`Vg5Ujx^N)mKbAKGP z{&PsjUw+7DAHq8RFas9<X88TTEW`ur_?0a*{umzMcR$yWA6WZedeHQr(1u^X&(j#J z<EJGs|KGqL{=NqHu=d}(p!y#W@V~9J>3?kDUjt2l3@`B8|MtcrtoyeEp#FbA!2ec< zxb?8vp9M|74Fux<<Pim|^FIfm<5vmo_`_f0sS(!t>jgCZEFcj7;kPugjz2Acmj4e3 z*q_Cofpz{X0^0vrV2(fidhd0?I)7gb)$i~SzyGxyeXy?I_yhBQF8=s;mvZCA7Jq$E z`x!Fu=ietPu~^IBiO~Gl@Cd*A8AKJZw*MzU(~rVq{QA$XwPnKQ{zPc{P1uY-{GYEb z#u|Qo(EPLD3jXkmYKg$w{^Yw2sXrVDgr7*Ay$m+@Z-e?j;R$~KpVzU!gH8V<X!()Q zfZzWyH#o8O-|j%|S7^kqe-ooG*7Z{hpyB7Bj^F*|K_OV%-$l^)Q?SHuzx%X4tm7X) zq4VDf-|(kj<E4dI+wbp}GB7Oo#lY}@fc;AqrLdNNTCn)vg5Uj_yRi2^nxXz*@DzXe z@%G7H#TNfhpyL+~&+zN_4wF8QO~2S(Ncw$1K>wVWY+G#ljiLG*p5wQF>4&FS*N^Og zmcI%w@atE0{*1N!SqUw_4iM0P<M&Oh>mSxY+m8t^@!QX1bOY=82Nvrf;kUpFfBZLR zWn*3cy$PCs9uUZX78-%R*uvijYX1X!{N>lyBge6pU)%3N+}}W;{L(nL2J86W1!(*+ zyuu%To1g1q-T#&Vb$<ha^*bVemf2(T|CA{V3=cs0Hx9r5*FNaL+Wy`Rt-l^z#UFn+ zj`U$IKYl>V-vv$h_46N##JYct2|E9HfI#>Ma~{Ile=C88pMnj3`>S5gz&d`q6k7f; zWa2MBm(1R6iY@$)K-2F60_Fdt)VEmI??^z;4@+pq@BWK95m?uMsqBNK-v<Qz&k-Vr zb^q=?X#RH~;Qvq8mSNq$rvZ&Wg}3<Azx;77tmALiQ2h-A?B99g64v?m&(QpPfPntH zFQc%oe-nhQAIQQV|E9|xVm<#-AFAJhfc^vGhC0~N55qZV{B6S@|9#({V{JcefTsV1 z5d8T+|K2IA=O2BC#{YvB{Pw$T?O%h<{YKFAdw@Xv?_v<@!=^tCntm6&$De-8?x$m2 z|L+Rzzc&yF|MNT?Sj!&==={%uWc>C|S6ae|&HWb8@mmEm{Q6J(xMEE|3b6j)Tm0ew zv;H~O^^c6u@!JCg{QvySCam*s`q1&S1-AI@*W>5Kn*PG}Ffb(iW?*R8iC_PO`X9pB z!tV}r{Rcxf{`|LSjtJKF#|voro6vzj{7oO<!#e-^5?cO0_<&!(&&?`VZ0?tU=HG-i z{Qkdu&@mXB{$J4gcYy<b{gW=vScy$PKXm<e!$<t?e;Xx^b^XO0=>9DS0^x6`IsYv- z`)9+}&-UTBe-@+NcWnAM#4v&jzy$b4Y%mvN{B?G{B-ZmU-ayxnKA4Z+enR>a7U0*f zF6e-D{a;`&6WGBG)9}aN^~Q@>^N${E{og|T_WLi@$J%~0*MQicFde`B^C!z--G9B{ zFALcH4<6&MKeE5g!n%K_F$!Y8!c6@3zusds8(aK;p90a(Fblu_y>oQ1=3j{hNcveI zieLZg;1heX+5gHBV*i2^{Q3*0e7S~AKf_mW@G(4Cj6eR2u1?2#{*8eaGuVIy@A2C| zL4q4=|MT4^uy%$AOYqyj-|9Bj{l_n$`OiTNzx`<eSFrA1DTJQ?`+$Ic4xv7*<p+G* z&=>sfH&g$Gwf^Io2lf9~{QB4HUBNp3?gMSVG<?Iazd8Oo*8b}+X#Jt^9l!pjwsUgW z(vJbm{nhyMUrpu=tm|i;p!yY@@W-FPT?OptFGA~&2c7ucpA)Hub^NUqI{)&3fc+Df z%$SbN{~=KKFX+JU{)(Ts1+eKq0NuaXK%oB8`!eYrHvP+>_1^&k`Y-1kW5A|=15`gl z4*vGbj}m>X@xKeI-+_Ss6>2xJo_{GO%fO)Uhk>Difd06n-B|a3WI*>X9w4AUF+Bt8 z{ChGq{VZ_CAAcX8$?w1xewU&BzXTWj`uWfFVjX{c2Gy_NieJC2`CqK(A54PwKOPX! zU#Bhm7n}Q=py@wB1AqScn(XiqoBn|Hkn(H6PyG5HKXNp}raujue;9IM>CaRv+Q`%p zel?~7vDX!uB3~l}yTFEs8*NM>H`+k1f=|s$&QD1VE=ep&)q&si1iknPd>>hAUb25d zNwFpagDKW4ZNQgYp<XTqx<3_k#}@RyC+zx=Z|lLlC>(S<4U)d#%qqxTlc4K>d=qnW z@{^0f*8`cESSz>{rKZAoX!?qg_2uP)HNy4fr4^+@Oa)z{BLRt4csuq)?t@$=4i0e# zwEJ&fLC>E}xE27_0in?Kn`9My!=_&ox_=)1{u^}t_qnv?u<1VpJ%17X{u>Paf(KQx z>0bf8zXbjM8+82}78b6?roS9^{vz7_H|Y9XE^QLUrk??N{vG=LH|Y9Ve7u9P>7Nfh zf3aZ^YW<6@U*BkL4L1Ft^CK7VFfyRupM$Qy!Ty~;HvJ+{_oLsRgRcMIB&EgJ^n=cy ze89uV@Sq&E{6N<)cbDrrHvLJ^@K30~uixp+hWFU?E5Od*Lc4zl-Tt*6uSBrv_kf<C zh<<+#x_)i<g5B8kt3l6iLcc!;U4QbO8z->o2c5sEz{|++0PX%8bp0wmQG(d?Yrx_U z?fx5d{eddEPqFDYfQ290{Wa+Nd-Ea=V$%;g|1*J?ks$%?{uy-r%kR$lh)sV0)cp=} z_~U>2W4HO(^uK|gAIrdqTK}Tk|M<0o4mSO5(ERrR?fx2c{nx(EJc3QX12p^`(C(i> z*YCQABMO`T>Co~E{r($t{jF#F|6tQU1$urq`u#QN`j@_Z>WfYPMX3K5Xu;}l?B)ND z&DOlw^jpBnf3*8g(CuIKC}b8k{jZ?*E1;c!kFGyz<@#c5`dy*>pAVp&Ka8$FI!nO; zoBq>BApS)^e-~Z9Xy=R1*!0ia1<|j7cK$B9{_72@ec1F{Ld%Z_R`|m|ecLvy<!?B2 z|2_Kox9IjuDc^jH&HgP=_oJWRimrcd(5<uB^uvywTi}b|{d2$8VlBT@pzcRM{}tW- z?hpemZ1%fD(;xczuju+WK5cxCO@9fj|ATgZE4uz*6*d`c`cFZ_5B>aBbp1lb5{20G z`$5ldLqES1T|Z}(7$-LUf1%|E1KRnc==!4?zU;@Qe<8H~ML+)(UBA+Nmqcv(A3^gE z`uV5m`ghEnz6P8A2hi|CKmQb6|LPY~|FG%jgPp$-kH7wSdhN$_Z2A+R<@W<E{QC1Z ziY~;a{}eR*9klW57cPsEz^4B;R6qLplj#1>4$`W{rvD98Kl=HT==uxf5AMaLzXuxs z=;u$O>tB+&j|rRp6lnd8etsmn{*q}mq1g1VhL(Q{rTFXb?Q_4BW7BT{jsFK|=QpC; zZ(wXb2b=yvX!(PFejmDi+r}eU`yUIS^)LGQbLjdR^DDx!*`Ec?{|Dmmm!FHyx2a&$ zFAw!U`uT6@_PZu8yoXKyGg$u%?fg1){ec!Qv4-C^X!=1v{|#OLkv(d0*zDg4O~2^p zuc7PjOZzE}O+V=T_yxR-3=C-JkD=@5xnSOeP5*o7`SIxIr=jZ?)AGgI{s5gn|A3c~ z0sZ_mbp6x%$~v&w&k7s=BH;cc*~13d^w&Y_FZA=%(Csgn{7N62{wvV<XFxkY4PAec z>10W4`U9ZlC;Iti==#r@)MIV`S3vt;=;wE#>(A%PdyUQhFlhace*O@;{>vs|jM((E zyo9vh9<bprzwb@IvkII3RH*&v=O>`sKdq&T51alt==sIy=O3W!S8dljgH1md^!!is z^9RuN?|HlR7dHLzu=4j8{_%%3MRVq1)Bh7%|0|%KKY(uk-L&S@*z})<l^<y551{Lp zvi$KIoBj$|{e!muA6<WB-(5Rw`kA5U&n(c!U;nJ*;Ktg2PlfhB6m;?H*HEszgUx=> z{Syj&j11`e*U{bo;K)y`?q3OA|Cz7`zx{7IgRQXH54yi1fsc_Pp#^{X57mC2fla>v z^!!Wo{p0BFuPK=5j!i%4{)+{Cj11`eztQz~9?!%&{`C#oerzzt?|!z;9EY*l-vdoQ z==*=s?Pu8}`yHEpp54&$18x5=x_;SnXH2l^UjVfqeg7=F{`s#af54`H0<`=@-~WoP ze^>XOd~Et3K=U8^{#SJUoIfAdVbiY;jeqq0uju;Y4$NJGP5)P@{pkBY(e<CPIgp7> z{}yQYHK6UkMAyH5%6b=U`d2{1@4yE9`6u*d|3qy1m7ww8z=J>iMZ_-C#HODMTK=Q& z-$Qr*Ej|sb`QHv2{s++ZzoF|7**WthHv8M4?av2j`zO)$``0IVVbgyA8h+^er_l8; z6nTv`{a=T!-$&oSgRb91)emd?B^2s^^!+dB`kz@Z!aDxk3a$Up_phMqKUq6v2R8r9 zL*oy9{|LJN`*yDiu<192);|hc@u$BBQ6^aXPu<Y<pXlrV(e3A-T8(x5%LaP?9s2rv zbo~>5T;j*(ehX;&M_>PpuAk|D`9^H|!(sOG;m<#^??ted|3y&!=<A2k?f-YCU>`R7 zO`!3IzJ3^8|IK8RAK3JJLiMAsA4b=&y?uEJHvPR&_oJ_WMb}@y;UCuW_Xo87o`AM~ z6J5XIwsly?&wQZiAAS8Ly8gUqH7RWF2i-sQ0Mvd#TYrhJ|JM$K<=FK5LDSCzd;ICY z+`~f+oBkYF{zqGXh;IMo6`!&Ce+{(%j=ug7UH|kc*H&V)|1fm@7y9}^bp2^FK4P7} zV9tQ9|C);5|BaEqv4;Nz=>5;=>%Y+L?~M7_gU$V&pP}s+wDnu)`XzMFiDT3M7wUiX z^;_ur|8g5NVAHP%vwtc6{J-nW(pGHxOQ8L)27dhdZ|U=5?LQPi`>zaW>%Y+5FRkDC z2Aln$`_mNo85s_st-nIozwY?!ENuEg_opTBGcusBpF-E~nWJ_aoBn2~|Iyb!q3f^z z_yTMD{|>bMguea>UH|jG#|qf&-vP}(=<Aoz^<SANE00b85vYFj^-Jjb?YCxPwf_QC zKl=J5bp7}1^enL154wMD0Y4+g`XzMzyNwUTV$%=0f9?S&{?XPiq3eI<J!b_r{mszw z3w`|(x_)~(9<1r77+U|JuU|sfe<ykGW^DF%K=q@qUqaU}%ARP1O@9is{6=3tgs$Js z<~i2!yAROxhgd%ZDUV?1_QL3IdzwJ&PmIymuYm6Vcpwc~gA37VhFI5=lb@W8SV2@; zl3HP{P>`4cUNQq(dQ+B|17?F3%rGz@Y0NEED6Y&)*7Jqv$yLZrEQl^HDay=CkJTzD zD9TUM3n<D@%gjjyt<XWzh^z~wEHfuFFI^!iKR?G>AtgUARUtVeF%QfKX*5S(@<h_2 zAQYV>EDK^_Fh>NB8`$#&B}LW>#U+W!*<f=r^GZNLlb2eauAo&^npcvUo2r)%acyx) zQE76CLbXC^acU9R7uKNQ%}Y$mNd++=Y6DACOH)CMrocvlbXsUd8ycG+mV}Y8y2}E( zx(nu#3{U{-D4?#;(gC|gQ$Yh1&`=HogQZrq8PYm5lEN3H*Bs$saL9nxfPsSs@4_pP zy=kR+$r|v$!{cNfSPW=_eE`x64`Za*NiRT(3TuV*<Z>i_a(I4GHYk)WvBxYzX>M^r zVxF}^29iidYGMIMuN7J_7iXqt=B4H9Ae0torYDzFlpu+u!yIje)#3uQNU~N)LYS6R znw*_l0<jtHV{F+Kyr?bO*fbWF0CIy167zgAi@}<$vD%zgl$e`}u&cNv8!Te2kOa;9 z1tmowt8K9AMhonMWLO+oD-;*N*toTWf(>DJSxRxfXI@&qwL)2HQE_H|o&r2mV7DE+ zb=C?fu2QIluj_-Aj}t>zG%|5;7)?N1-{%8e9|LP2!AeRP4Jk7j7>=_27iMQ<WK2NQ z54s;!K>(pz0IC5Y0uh)Tu3^W{$jFSYA9O!zf&e4K3g|j%n0}bMVe}4<`**RqzZ*6` z2c4gX>{ejF;{Vg2`E?Bc-+{IdVDm$`^mpA=3czN+19W^HHolKbfAG_|7;O53p!#9q zk4yilP1T_B84UMNg0}Bq?IT?JH`*V@svmT}>;eHs2AKOHy$uEiSUf;tkAWdKL~1iT z2Zw+_S_)W5D>xiXgN`MBfF=H6@dj4Jz`)SMq*}?&!otshrXO^_tb!mSwZQB}*B>Qb zd6S)kg9$zTI;>`3NYG|xxS;~E38o*iYX~9+A}`w=+Q81j!utR%{etdyQ;;HT|L^)m zdh8q=d>_#CgYI`rz@s1L58sdH>X|q=Fxn>^XF#q4VTc<R)I;W3VESOR)9z_gu;~|s zp0B~c0MV~dfn9&ryOW^#2@LzqVdtYm^)GOO^pjxr!f2i&w>z=fpAH?Lg4qvS=L*vY zqi;y?O~t0a6*@lx)4#w4yZ!DvR6*l&81BCZ9Up<|XMmOiFneKij%w#JZ1y*xxnBW# z&p1pUj1~?#U4c!%2K0P&nEeho?4Q$gZ7VkY1+e?6py3a@rx0c@jDFf?`2d@KJNU2w zB>rLL7)&3GPOO<Y0h|5?H2Y!qbiwq&Xyq+3p!r#h@c#zwpTPVNn<s|pgVE<^Ehxff z|4+2|bAaA+1k(qj8~OQ7vFR6q-tPdjA9l|aOdpK?zxLD<Z2I>=`zLVy(0!UPeK5L5 zycx8<55xa!VE1!E<1c|5d;0y(Q{IlveqPxAVyONDIQ0K+*biDCf?>Z3nts?kFU;LA zdQt9a(E54|{jJdTAu#{L#<^hnU^M5>643f84E;Nx_oKn|A2@)${Mq%&=NLBsPeZdG zR*%8#h0&91U#DZ!zXn==!tIClvtjyR^d!rRp!HE0?ze`9A2jzc;3|I^Y;I!BfBMk$ z2h;ChhTZ>Jnd{84xnB=je#7)XxCzP7Fn7b~;HnnT`f3dKAA_bpnEnJY?CCG=ZwzRB z4nzMLX#BzSD?r!D!|a98+ZI|mV{?BHTKK`%xx@6q=$<DlK<hIw?6-i{e=z$WK-a0m z^ug$usTQ`_?0<mne&{-Nm_8WYeXEigoBn;!^aHbB!3(m^3Z@T6cOBmenjgS${{(3H z3DXbDKd^QPO#fLk&MI~e4v7tD?FZ2Pm<h6s3=PnEE|@;__DdT}fiOD@3s(c0ewkSe z3<|rM88$?L+7O6#8_ZsaC<DW<!r<@N+E1YSB^SuST#wKXYX`#gpKG}KhMkFtr2x%- z(EXARu;@p3Ka=Cy-RvwZtmy7%0qqZz$Fv{a{r_j%Ph;ocU_)>Jf$o<~z@i^vBE$#k z2iVTAW9ff@?w4GEML)XzVIo>j**Q4a(d`G_kEo!?$Y8J^Vh^tPOI_>y0h|9p_X{d0 z5!Rofy|@gUe$f4Z3$W-%_kX6YR5d#zBL{l_3v@r=11$Q{<1fd{xfPrJp!@w2lri0p z9)8Ud`a#&zALxFV1YJgk97yoN`yJ@^$8G$!4V(R-`!x~_7#Us=X#bZ9$t=gFA9O#) z11$Q{!%sM*AP`&lf$qmpFeGgMzsy7W*z5=0Z?OQ2esufqOx*hcoBg2s1rkgc8GfMo zA3gjnO8+Ng3xCl40t>L{N4Nj@R;?gx_Jhs`SFpsiA6<WxNP96h{Q`3t7#5T>5p@63 zV%6E$+z&cmJHZOmesur;yRd90HvORUwHFYfzj;XrFE;(4^R*ukp}(w;%?6u((D~X5 z)`Z<ZzkR7LI|m0hdieu7pIX6&u>Pwd4??i%H(biVkgy6f{L$l2dd^7+Z1D#=U-*F? zrv2#o_j7gbK5Xe9bUy6^2Tc9w@yE*W;yyO_Z`;ej@BoYZ(e*p<mOR21{-E<!7dR2N zzsfh7ADe#A`JxY;G4;dh0hl}T4nJOvt^Nm{@3+7MQ$Kq6Wk;7?#}<B|^XU}4F!iIy zU+zt&6WHPpbiUjIBJ?lh-7JDlKj?h61>TtUqr2brL7W*j_k+$?Q}DsmkKX?HxvsU5 zor#GPz5M|?Uo8QPesuf8y|S^kpFroUEx@857H`mMi9xXM#%^rs4|KlT10wYI2f6OW zrXO@ZSb`rTLjYR)0p0#M!|EN_(jVx2tptBYhJY@JOJV5_J^r7uJN06VKhXJB3IUk< zVdlWxu_fmJ18nIRbUxF9K!k1R`XH`iU=S+baTQzp33NV2LIkFM^!Q)E_vt;h_y?Vj zu>gyH^!T@Z;dKF9`x$gT#se(+Vg81>U$57&4O{$y&c{%Q#B@JG1Y$zGlU*9N@CTib zk$^=%y8V-`dx6pq4|@3zIv-;J9{n(L&K`-8X6N9rLe~#EpCJLdMg-(iSo|?C*g>Xz zK(kr}B}MR=C>_uY1sY*yqN$((SD0E<lwYLDz+jJc`XmW7ivyl(%gieQooA4w0G%;~ z%!j5rm1gFoq=F}49I%>@pRJ$;n#i+O$j?bZn)xcQR)|lJN6f8&CnX)R8d02_Se#m{ z5DlL-0t;9xfZUc}1hPFk7UUm8Gtfki6IL_wb5daQ!{AxJ)N*7l`g{z7Ggd>=6tr^k z(~C-r^+HlBO5E~`auZ8RQj4q=Qu9iRD&dl@U|uZ)4pRyei{N2Wl$w*8Se%-opat>( zc=EQSBrmn1L_rH2;2;mVV0BAQetMb$cxn<dldYqmr>B>hSCU$kmYAHXP+hBOt&o<d z0FeY4<BHW7^yx!ug<?dUK&KdU5{uGP!Bd(bb3o~i5YD!30j&=d3_$CDPS^m^3EN+m zU<v8JLqv%u#ct$-#@7VV*AF<kLd<~e-%3E+hYOJ<OnOCXg2pc}%(plR(F@xjkzh}r z`zH(Mykufz#0Z}rD~S29^LNnq(L!VilZ*4)-!O4-V3-fv77ja~2YsI{VUr;$*tQ+G z!NkObVZOo+h&*il$^$<l!^e~dq7h1S_PztH&kKCu206#1<G(*xzT^^^Vt}nLS>O*b ze?c312@X{Ur5xm;3@FvtkP2G=B6tC6K5Tw07`A^6IxYzdpBJ!+I;ehh3Np)v5IvJG z!2*ik1UrcPVdh&voC{x{1dCsE{RopFECn?CpMLoQTK^|_0L}dk&~^oS{1P(%1V6+c z7~MGIx-2OD6gWfNuMiHIKkS2sKWu+Mf-}TJsSxcr$vCtI!VRBwXF=)5!4+aYEPMi> z`3pV$5Hg<uy#Wz;ISUm24QS#27dq~Sk-yOOqxqx&&Ha{*F`Gc{Pe5~j1`hXUpqYox zEkiS(^}z2akog5@<~QIlKNH<RH2wp$?7_Rz614wWP{0K>{BxoEk6`=P7nnifmkZ52 zbS}DlbJ@xYQ1}O+g}*<vd`1s{bp7b&+n@>fRUS45g^vMR_)LYi%hA&hA@gC!M#8MI zj#lRfng0OI{24gHKM$r62mK!m5Sch3BiaTOJ_7cr;Xmst149DR`D?K90j&K$LO;4S z%h1x#a`WRaK<;NiD<3xCaDO4XfoOaVSo(p|)*E-Wfy##o#t`@afvzu*S;)Y!;12@> zZ2$WLXo1I#W*$0M0P21YD7}4;HMsm)05$(W2&DX3v!8+C0myts$h?aJwEaNHd?z#u zUwpMH0fo;6wD>)YBmEFE{{qzAFl&O_ZhiupAAlDACvb#+1xzCj`W>42)hxHBfXq)o zGyee&^J{UK3m1l!e^8p++jcG}eqrUOK`12rZ$ZNcwr(In4^lofzzxE|fSL;{rx$1$ zg63xg(arw|H6M2VP=X<m=Ch&N`!`4=or#4-Fu(zlK4JCCR_OVhu>ExpoQN|Y-G6LX zeZ4{Xw*f8xx<QP?sNd1`qq>%X0TwPW@#C&9t{{g$%>5H^)bE7M=YWPkjCOxt4?4d{ zZ~?S@PJqU*{z8ZgVDpL(py%K;LWFUW=<=MFk1vD5X8~IHgy0Atbp7ZSDL~5|2`GI} z{TR4^u>o2>7(mMhr-cj*3V$)nA86|m-B>vP0F(u7zA`Wwx4VJD{{YndGtlx4wA>-# zF9QQ?-ViqbNXUHXv5rt%7#QTl|6T^=Uk9}O%K>!=di_Pne8dVmh!xi^^`wB({{*!9 z{m%@DJZ#<`an27~0RvSAqXM7<6CP07`<1U4D10tJ!)HMlq<r9sW?)!=C45?82H~I$ zpy?Av-;(PEo$n`j0Gd7<py^W{8a}Xj=LZIm1l5MaT$pf!B7{`{rIjMxd_eAh0CoR^ zNQnDaH!?Cj0Hq)3`O6QW^UH+H7lNish%F2ZIZIZ!f#SEo3AOx@j)tg!?XO6Hp0m^m z5ynZHpvlBUoah0?Zvk5T#=zQF(DNr?=ScCQ=||`Kp$W`vnlKgQ{t0O5(+nE^=;@P? z`Os?(;I??YcvuQ@e*#+h><cv?wtqnZ+P@=Yeh6CAXyMOYPeAS$a7PXQA2{mAKDf)U zGhoZ!VDydJ>!9_i@bLi-X!(-@b3gR{3Iz{H`sBlIEL;>_e%kig>Y(zI0WEwoVet#? zpTgRQ==$LXLm7}&E>I2w1H-x&4;xVUFrbA`HPrp+@k_}36$qojETP%XZ$a_90L}fA zpys2;FCp_`{g)fieCEGWHVl-1KS1;E1Zep4&17Iu_{YEiJHI5s783r@?k(7D*a&F1 z5H1xrac3ted;-wIXC>7A=;1@i{2A<Uqrr^RQ!3p+;UnOPnmz?ifu$MH!)Fmh7!QeB zeh0<p)Pc<3fL8xrfVO{N=W{JEhlCG<10JIp7#Lvf3m9!2mk!#$CkSi*tb*2$X3z>0 zy?uxnr^aCqwETz3_E^OhfZPvjAHv!{DbVp51?c&N3C0lj6Efccnr>j`r;5b}gUn}u zj&H!sKLCwi*!gJ+(C{H-J}g{d=Bse|D1y=_EPP<*ABLV!1Y7s{0J?sakooA*bLU;0 z4#@otX#JNmSowff{u44Ey6uwzMjwqx2CY97WH5oG&je`x^4Y<_knoRz0d~HI0<`^1 z$b1D@z(DCl=la{A{Ph8?ek_1QCj)x@NXUG2`R%o)?x6B>0owR;vnvC`0#NyYR(_)E zhdL8Zp~r9b=JyY=#jh5ueutV58$TpuegfPk2qUA)SQ->Q1!(2Zb!hrTFMkM`57h=S zoPmKMC?VzoDE%;?l|S#G3en3SLgu5#??d@|B~bXI$FByoe1q*TOn}z!gv|f(1G3=+ zMl&s2I+=-sBTxWZzs!J+55K<3!0_N70|V^*;RVqCi~N4n@(~^oP=*VXhEbw|KK`Ka z5kPDIOu&)91YsI+&}nGmB2|u|;P{2MZ(-#F>p_S@^!O!Yz6DzOskCeARgn1)(9Cy$ zhCjObTOiirBnzMp$bizSR(oH9(!T(-d{__xX+I~dWMEMEkJ<l%9_xeCd|0;xra(?8 z8g#y;paV31Vc~O5pMfFaKLZ1L`6&$3h=cY)6K{*n-UN!@0<`#@iz9wXHD52sb{;nK z>!9TudjE@%`RMY7OCpzp^A}q9tb(~8TK>S=hv@pzf`g$5P2jMQ2)KM!Kx;q9;7Ff@ z%!hUx;rqOvDfZlA;@~hupWoj02;vaf`Sl9AkN`ugz9EW`Nc8>5zc^=r&c}tf&td7O z2VxuptY7rN1R_Ale029OXsZXE|6_<g|2P-AE*N(H;{xdXBeCYgytH=frVvp6LJuDw z*!h6a`W@DOAl3Zn*_`&+%%1_Be}wHTSztq4_`u3<7#%P%8gxFL;00*?s|{@*hC;`e zVEaxKpzU))?uVHRqd(jFfzF>5Jb-5Y0_gY{Y=2yW9dYi5)n734cgQiV2ZawTes!Sk zkARk+=<~nCx*wK~j_gPRoqr&>0qTBO`rHo9zv$yjgv^JzA7=g^XJgR$N`eZ|@)>6S zhAsw%1)%a7dcV#CAL7CX7A~;#S(o{&3KTvDXyz~7z`*d}KLZ2o{0Rl<_y!^O!`uxs zU+|szQIPrQ>Hi2Ue4ypq10u~wx8Kn06bGpML|<RM1e*TQ=cmy1!`um@(cM2QvF{Kz z_s<GsU{GLSWI&&vC1gI@@HWGxX&HH-`dtC7{htKwf1%g!gv>`DUwpJiy&F`21)!Dx z6;Sih+lPeAhlLM}uAaXPG=7Uw{_onrz>omiTLry;1~$J>$ovXUSWH0ZnQB3DAoqVj zYu^^Y@-Os$9@zL2R2ZTeD+$YC5XpsKAO8lWp8_=V6`<!gp{E~EtV48SC(*|@SVeL{ z`+o%;p!sV7wEvX>nqOmJ#F$?b!EP*EbOD?NVRTt`f#-J$(Ap0xAjJU#?EDp2{vu>P zy1YQ$idIngD4>PUMQHv-4<B^>5LY5d=(Uh=0j>{RA3^c^0L^@3X!`^`eG)Ri4sJ4p zaWIJOBq)CsprwB=sQKvm3(`A*=*331pb3i4-!}_n{sA=e6LFX?hNd5#3$6Cx!oN-* z`UNuo1Dg32ILsG^>%`9ZfhH_q@_QmEei_jE@7tj12R(k#^`jZb@Doj7f^TC!$b11b z^N-*#A6-A1aSX8bAxwPng|1qV`4`aA&pjOJ6J0;d92h+T#(>gV3(J#1;je&}zxr{6 zKOysB^IuTo8FH5!G=S3o1hnv31~nf&{Sz|(fE~o-2~gTZrS1Z#{tAGWKMK*1{@sjd zMurEV@&|gpn1VMXLViQE<0K!Tjei!Loe5cAhZg<^pa~hhe*A;eWQfEIH1jj8S}nou zM>GEd4)gy)jKNL9DlVuB1EoYz|3xqX&3w-D3=9g4j0~{zLlaye;RCADpjxq0&<55I z=s<F$cRc8PEx`n6_&<QouUSSjFeETCV$44hG#_nz;FCpdA}IZ!kFR<_$FI=)rv%MM z3!f}5ZqWIN7~vBRH6OixA!t5Y17P0V4gW#;*8na3UxEfVdig`hd=|9ukz}013<{qK zXyYeoQ1_#U4<nlU&?9*QRK5dBNAxs;&Yu-5fW~hDw0?gO$iT1wOZ-Zr8;Hg~fzD?P z1dWdg8la`mQmFgU^A{oWr=gj@z3|)_Q2AznR=(|pmOtqABO&uGpy2|urj^+SJU@5= zEq<>+%||caq+l9x&>NuUuYl5j)_nxs-zL}q4gU&g_`eHeV0eHf{H1Z23lm14pZRcl z19*LG16ugJhPod;d<dC82dx9JTW~H1DEv2|nco3z$fBE%bmR%l$*441`*~A_0=WD+ zfR=tXK>J7N<8SEo6RP113=5#cuQQ;u^qT<C`2vCypy96oo!_qpt>0k6Y~RYD8;Hh7 zpMR{lV7e3(KIrq0Oot#E(Zh$3`LYmgaPoM7m;tE#S%8*4_rSsjv`~bBf#Csk`~+P; z+#o2U1WLoGwFmdqgUTNRwD#K{X!(X-{tz;M9n4@T&AIjOLs0k}KubT8IKqdJ`RL{A za_0hLQ2mQuKBq$Or-a?_^#D452Tc}G*I`o7Yc&`&pi=A2-9YD)2rhu8A1mnijL}R6 zh6F6>hmiTGy*mbmxmRa^&Q})P05u;re$ufLl$IG8VE5ZBfUZ9zWInWdhq|7DVa?@i z@c0k<{L~3(`avImBV<0h{Fx5+XQ1?nzW?qfZ2l1~eWL4!I~2-*g%6C@iCh9YUq<i% zG<=Mq;orBAf#CrYBLn*Q9wGB#{ZkmdrC9}Zevse=sQEjf=9hrx7nm90_bV)LfMf_l z=ELSsVYJ?i=b-bQ1Yz^1sZjI#pyL~`^Yay;>)Q#L51A!pV6cFOS9Y6aASnGmfVw{f zYQEBW28IM?Mh4jV^a{}SAtCe8<>y7z%)=JHzivPr06RbXfjfEOGsU~|9mxG3pzcqA zx?k)j1H%GlMh4jV)(fEPThQGHaRq{er5jj3Bp~7`c>ajN6cTcJQ1f5AGB7+~#%$jb zG9Rt^$&g$t_zzTmqK_Z`fcEdu%TGe)qvzlDA7-HYV+7IjFRL5G&*<%2Lgu5(FKYbl zhAn=tK+6a8_AR=8h$|2zy8EXr-+mbszv%Agf*ePKF+NGieDv`{Dbs1Cp!x-U{BQ|0 zf1%gEgv^Jf8<>x3zhzi};upRDz6)wTdi#)&`RMDfh1$+Kfy_@ptAB-{@e8{j3O0`< z2Xhz>8eKk6qis4Ueh;9vA09yC7k2*>to?wlAKe;s_iN8|-Gj~j643ZXPyd9>w?K;k zbIYzWkof^<<IgLg`-5QTA1{FJ|1yKNyV2bZ<3B)ae`YAEg3f;uR6q-#H&zS`3M`2G z!C>=WHU!LPU_ea)36oWpg4~b3KB^SDz6d>h;0vH&4o0V8_Cx6{$JIgiy9&bEKRnR> z#RX{kL|-2TD<9E~h4Z22!rEEyKKg>r*TOL00M>qEf|UEPdr%3PkG{TTM#$b+Q23y) zUy^rYU`Sv=+>ZuJ|Afqk1^*AIKju&S3A+DOPykx~&wz%HS`Y)n0v62jpOE?J@^d2p zuK?vQ^z|n?u>1?1KZ4DVqw9x<JB$H!H;ikZ;Qazre!}7x);?^BW?*>0f;qoK$b9Is z8<_D7|HA*tgUV0z^*Qda_;rEoe}V0<BxF8({|?j!rVbv^{WF3J(C~-3e{wVfg90lf z1ML1p1?c=WblEgiHzw7C7CwoM-iDy`<AAolE)ME`^zxaI`7_YWe|^=#6=Z$`n)#(T z%vZv6GKz=+w0MBgc0B^1`?UoxK-X7)fTmAt=>5j9`*|Kf+i%J!reSi*&>ARAX&0S9 z@w))6f4u-l|2-4U|L8VAn|*NMLd{!8LFPB0nco8qAN2YcdK?j4KRN?8egYFeHI*+3 z6#fU$!hbQ;eDwGwWd1#v!BDz3;#UpG{TtBSzYA(Uy8B_vBB0tas1s=6_h3USc>UxD zH1}VGnvd>&H4GC`1z`I-VEv1S%&CvS<pVT-#X;M*E};G?E9UqH+VXW&!x<PDVEtd1 zgh&J<==^^{259<(<=-&q{3GoC)CbW1DIxRGs&|IuBU0e~FX-*7FjWSI1+19$FRA9s zyl-;?g%7&<KcMX&^!_g)^I_owqh;@&zX>wm0UG|^(C}Xf>Ysw#54|4~w*G{W`RMC2 z&&XPW?r#=EU!S=Py8h<@^!_acS4cff$b6W)VdERlH#5QE699F;4b=UXZVU_xY?$>U zA@g})2?t7_<zX}j<zMvnxf`^8L~s8PG9NwtXsD}z?uQjbkKbUZ`RM&4Lgu5(AKDpo z7gWD*Kx-eeK+gw9?;oM-hXw<j5`wcJjLS81!27Q+ppB0`gSOw$%O67KqnCdgVd}Y{ z@K-=9fBrztM=yT}nGZjR58`|VVd(`5pzxW1X8t1R_&<91pzDVk1E*l=5+?AFRqg`F z`~Wob6QJ>nZa%txm^m;SeSUw*n{Pg#@*jPE{{^&sMh|~N=69ftpLc$Ba|DI|1hn|w z2n`?f^uGwL{(!j~iH5cyAT8Yqa`K?{tAPU0@NwXRjC1(jXJANRV`O;14Jj8Cp!?St z2yDOr4XGj7#qfZ$;4vtC9MIDLe;nbXjjS09n+4{2sNB{o*A+nFlYmygh#iI~Ku@29 z%x^$Tz}JK3hk@L0fVRH<05ts3*Z&_N5dOLlXTiyLAD@8t=RSajKdgSt#gRVI^}`K< zGCqJe;3Iirbph!9VZje*^MfZIGB7Lv#qVWE`+Na(f5a*f(1IF<g@Tp;Fe&?Wk#9ix z3%!1?!r^{&{V;Q2v;$iFzRO#25){85(BfAYNBj~pAJ+eX(T)bcz~%o3X!^8()?eqL z=l`Jhf6=C2Va|rruyz$pAoR#o(D}xK3DEix7Qb^=GB7+~!yKO{WIoK@F!Ncqodcc! zE(mi!%zOc8{es>;A!I(x{V+Pf=skG+xd7_^Bxv|-0nLB0V~(GbYJS-i2GIR@@bT?* zsQEjf{R{N|3$f<I!l%O_hy&EVf{mZU!p8`D|0wMKNd@TmGqL8w#?J*WEC$_AD%b!G zp9rY?+d<<G?3nEzLgu4&zZmSxP5VIQ5Bm7<6lnfJFMkM`k1pThBq0OJzv$;D%UClo zEMQ06PX-%*K-UioXBds1K67lu!27e%)29h^d=GtopOE?J?KkyF6~&<NL2tha?S!}p zz5XR+K6?4lxpi76sQf`M-!!1+qt`El%!jvMpab1ga_m6&!wJILKMSDe7uiA0hn;(# z0IPq|%14MVkw~-zWY50&7|8wT>wnHe>o4^71Ef`eWF9&b&Hm|{^1DFk#{sQ;23_~@ z089EIXg)MtVAf`It<wPIUs(9S^6vv2>!S#nFM_tfQZqTE4&?p-H22?wh7WrC5M4ja zoiN%Ct^SYk6`lx6pAVq*3(Wm4(E1BKeVW1y!a<{-|8u;-Vjn1e(a-;hhMJF_KFx8M z3lsi_)_xHG)+-BgKLgtQ0^2nP1_cgAjQx*b?f=mN0cJP@1H&4$^s~!Obv4NS3TXSA zig38!63HxVOj!FGMmM}K0`I?owf|k9<#Pxuf1!;(vtlz8Sq!ECO8eh#y#Y?2(C|3` zT_17?I=`T>64HKN09`)-)rM>$3L7>*0y~FGvgZWo{zmxzpcbh6FF@-@__#TAe-N?e z7eH-Yq9OxvKeYau0yRGaH2%YZIsQY)d`RyBYB&P}!~5bk@c9D^pytEU{|3<d1P;vh z52@xqGWr1C|FQus{Z!3lV0Zv>|6xc)0~=o=WIkH)z+ku{uNj;^(Z=^QpzSO4`87i3 z!_p-zgmmgs?t{_~EPcYl#{_CVdi#x#`LOVZ(N~q9e*&4m0UG{upz(Vg)PCc{Y`+m} zK5QJZxQY?H{{H~f{5Gih3qkE4PR#ZXA@kAYFHU>c4l17w(8^~AX!xL)&*=JL;S8hE z=MOh;EKUTKZ|L)zS+Mc}ZT^Cg`8F_%p!6Z0FGZmAgMR*71Jr!<@|lqN=;wDa8;ZXI znU8*cS0|406Wx7KXTqr+PzP*)((h-ttOcc?3()v|04?7_&NDD9;ACWIfZl)oz!Or= z*}_f2&VZQ@lU-3C3qBw60n~h0`d4gXWO%^I$N;<FIstmV4I%Sk>$hRFGm8i4ej7mt zX#RD8u1{8k-Vdd)725xW9*AWRa~KY~3ax5m{rf}`lzt4*`tJ*%;g4Rw6Egn=+5+{p zd|mON^gjV@{`v#7{ezzV(e-0^16Kb+>8C0Nmq76gYrn04&L2&Krcd<#FRWjPVJ=Jn z+5y-AJ;(G@;M=cY^P&3(6QJi8X?%fbcmTbBdjWKPJ|Xkb<=OweRRe_&djD=6w10#i zKIr-(u0SH8;Q|x6chwqveh4glVCnNMw0(%)e<5f-($EaZj-M%ccR=~K0j>Vp4oyGk z<pUw}KY;d}gA9h^&42&ug2HD5TKdU_&JQ-ELh|hc==w2q{ZM1z6ztqXm_VUl5_o-M z16ujK8yf!T^_L?|BMw>uI{qmDrH@o3M1a!I2Wa`g0L{PW4l^()a4|9@Jb~2j3DEpY z$b8U}3}mZ4cYBI~!ao45eI5WYmI1wgM96$}`K&EBK7qo20b2N<fQAox`b5`{>{bvP zJ^b@FCV<Z$Ll6HyIMOE}^LfzHr*j|cBvAM)Kue!baimW|=A+NQH^0_72r56(=imQ8 z%||ak(a*;Og$XjAgjPO>njQo1FH1lxpI^Y*&()CfKLI-a>5Oa`7Pbd8UBaYfe7zk( z>65_>(&B=Z53x;*3<+F}3=U#Y^P%M%A@kACZwoPE0f)~8X#WCcejGG?qL2R&GG79% zd@E_>+zoR70<`>_12rFg{)>?L=<>f_F7X1T{|{*C|0<63kFFmU&M+F?{Y+_EzS!K) z4ZXh>y?iEQKKl78y_JV*K<-CBU*(Y-1H%F?%<(aF{V;dKX!P+VwJhfCAort>FD1Yh z3ZR{zfR_AV#=>dX{BHzQq|xeG9msqEX!`7cw$I<YF)%y;rO#|g`42lEl92i6^6O(_ zkAlJneSfzPj`lgaeyB6y6ngl)JQN3Be}x`CRnYW_9>0XlN1xyPdvPZZsQgiIM$Nx# zpzR;@@`sT5uznHDL+5v1djcvy(a+C31T`PMekWx91hn!wbzKVh{ImqL`6=Ne5Er1E zkAA)kL=}QW-(Rwoo6i&E{sy%A@fP%aj07V{M8NL1McdwpFc`{$jXS``H}3O>odu;& z1!(&0f~L<up!EaXnEgjW=A+ABJ%1Il{|>GGiolUR(e*=}4X4nj#)_|3^nlXO2DJ2Z z0~){R>4%W{A#j@@3`PGZ;Q24~^AF!a%}0-4Lgu5N&#xo86})~M{e1ooNVH;{4~(uK z;!0!^Rslokefq9!p!huiE&ml_Ap3I}PDAvdpO4A_?LR<dv5^pSVKOQ_@BEO>ht-cc z_aQ10_#hrx0G;0<Xg-?#D`anUgUYuLXz51^TEC#T-w2ui4AuDzHWttSfzpoxTK%g9 z&A;gB2U1!?{DzH$otq4kbA9{g7byS2&QFGgk0aE4^z;)3(};uCK$~B9FyRUK{6GU} z{)L$@ahic4ft!)xfjy-Cvj93i0F8YdrXyAt!1_5hi`2mF6IlNaHb3|i$NVgs^Dyi| zUq5_2sr3~o{h+TO?uOnku)rMZe(3rrLgvHHZ-ng=y`3ko3NqgT8a^7({`C#e_$N0b z!vb4~`3lhRA!I(x{jhQ3(BK~M`q%)d`909`Q_P)#;Q^NNlaTr7@^(KBwt&(<`ut-J zj`9;-KY9e9*Y9rDT+=}5AH9BegC4|&p8g4$4{QIx?3L}RkO8HC^!0BsQ1j8#KOytc z&!4;W^@9+|{SVOA=WK!$I~emL=;yb>+zF%6-G9W9b0sMJ(cN$B4$*;L{-EoJs6vo# zXzl+EbKYfx+|K|lpJDm84O%{+hkqi%D6A|WH1q3kwp{|5FMwwLTpZ>nVKo&YxCCv2 zHC%xCHYk1_(E3MPpys2;Z!*FttSs32<O@*Ql(6gI@rMLx{`vvUUmHN@_wr!QkDzT2 zftrG#VCQdiK!vW<<nVyXhXSbiC!pqgxic^%@L;xo2$_#A?`a^z2ueQ=Xzjxe9QhYr zKh&9U$_&neFiz}Uw-^+^8_?>nB53|XPd|jrhuzl;F`a>7wU^f$Q2bs%Tffu+H6J~G z37HRDKLE?;3R{0XVdvlwhn=4WOaBJJ3=9kIF~QqEXQ1^q+VnKcnQ$6rE{vZ4rXm*G z{ose8<Ik}DKCtx_4PnrN18yQJ17<Fa7HTMt#%BJq-7tO73<>Q=I)vhHLBPy~(enH6 zPsL{b7wGz7nEM+dA?_yC{hsDY#n{Zh1zn#5GylK=NXwX1_lMl!--6A2KUfBbE*OB7 zqolh3&?cQuZ0394frLLJbR*P*!w~nAYJS|zR{_|}SAgGd4@sC0#K{YP?}$~P^(Pqj z&qqIjxF4E&7#={+w|oFS2N5ERNy5?%j23sgzW|&2Z$i({goh9GeCdQIkoFL!$q*5k zxiEV6>v?L}%%2I3Uzqu@`~{;SreTsWb76G+_h>6@=4T#*=tVc5)cBQ96a>wWV}ySI zTKvM?4IA%+*p5lU+zq2ABt(JkzrZj*1Bdyr@or3$AtEqyVf6DO^IWloPXi9~VdIGq z(=bVxxiDJ#Wa&R_=6hX-=!K<ESok3B34zF?lQ45(^xCsrmDtSR1WiBa=93!!FEw9* z)^A{h|7jfNlN$bAXRc^tbN>?@=93!!t!f)U<C7Tfe{dNRvas-Z&;>1jp!Ezy7L$a9 zJB%(C+5lRAi(!5PTK<Ba;{rRU9Mfco2+Uj<U0sy00bBT7m<3S}%fB%5Nv&VjUsVIG zzr}EW=3?yTlUhFf6BGxHZ)2GM4O%~<yZ^xo(#p?e*Pns*XJMG%20i}--F#BZ=k;oK znb^Yr7c~8#o6q0^sV5<!he^WX6-EoH9&f>B{v&Ao!qO)!e&IBx(O?mnxiGrJkk=fW z`D~lP${AqphphvG(GXco5@s%p?rayp+I~3l6rvYqKJ1*5hNq;p-|G6dfW|j4;#dAL zcJpEOKx8pVn7d(gwrvB}_F>ISh+cH}E2NSZziTHg+<`6pS3$@3;PDIHCkLAkfY^>n z!rTp`xm#{5!)E?TsQEDWC*(o$6{+Pz=)`!e=|2@(f5FU$rF&A%*ZR)bfX)5VXz3Gn zPBW?If8FYUwR~F+EgzVmSFXU!hwW3u40(tMEZkvqsKhVO`P&%ja~)dw4~th=I~`&g zCJ8eaMhBl;iZy<hK+`8Y{Xoz0h27VSX);6vGE0OwKgVcQ8>oE+U!NHb?Z2PQfS9~s zDkR-3fUaMHh(gT5M#9EDVe}EriQx0qVf|Cs_$Ldr|NEd6Qtv82?>B_`8=Ki6F_^h9 zx>H=G6g2*TZvHpu`qhR-ko>xUO!L_pO~B)~=;rfn1i6NR;Xx)Od=#MfUy$nlB;yyN z*xdgFIzG(62r>Tw^nONC&EGy@6*o5X??A)nfjp!<RxpClq?%uFj{(&Fgr^@^{Pw{1 zN9RD?zkp2h%hw(S&yS#oKPPnjFhLXI?gwO=&!hWs6SnXXfY$E|pci>7K-WJL3Ln_{ z60qp|xUUF&{y)t9N1*vD0ye$}O*aY9_0Oc5FR8NN2eSKN<{w!JO`p(nB^Hor{_AVg z!ROnfyMG!a+cLoWEvAroAl3aFH@+~y=6;55i2Gsf<p)HXk8XeQVpB=*_#t%t9&CK< z3G{q6Sbd@Zy?+^9Kg1OX5?1cOXw4~xp!x+P{pUmDmmwSyE(t`Mk2ZbB@H6tdG-&(~ z7XC2zw?WU(d7uS}2nFc<zr?y9=A#Swrr`U9(aoO+&A$%X5c3m=G#@s<1EVhlse;-+ z@bH-jP5;WU^0OXlKJ@$qLheTopJlqw^st2w1N5L!^!a^4=EK|#o1cnXyB^d&fx90z zKP3gNzZw#t@e3XQA!I%*-N3?s+RUr0$mt&zzgale&k!;nJ$y{Fc0=YD(9(YjZ2TPB z--VqoM96$}_itS?;RrVO7eLKNUq4C6d|3Fy=;L}JACS$5rJuLZ^&7DEKCInFs`+IB zRRYN7!|vBJfX?qbT!*GlM@T#qG9NwsU3%j7V@sa~u=JA&F*gBvz8@j;Vc`NxpRoB; zSpJ2jPjcr^Vd)Y^+cj5$&o_XX4@;i{(DJ!~9}+$fpz8w(xgR}zmUieJz!pBr(E1%V zo&*~gBV;~$`n-2B4%9w}=U<rn4WQ=3#*<+8FAy>xT^=^Sj2=GZ&M%|8zq4z<408H} zxgUBgJjVHf=<b8XBaDWb52IBbo`cUvg}EQrezSm<&#?Xk?0yhJ=A+L~z0{p?5ZnCJ z&pQkZ39g9shd-bT+en?CI)81=33d(+GxYiWS<4_khNVv)=zb7{`EFXpB}JvlB?{FF zZVIKDc_jrUMb-+b3R+qP1x5L3dLY6#vA{L2q^QzbAxQyAI5Q{JsWdYuCACPQmVv<o zt3i1RT1BOKC7HRYdbz~~iFwuvc?F5-sR)}gkQ8L3CKiB<^2BOXaY<rHDnfN}Nn&z# zaB^Z^Fi6~5Aw9VqA(@^Wo?ny=GRp_MSp|j&ClnVLA#;ooocQ#3Br`$A`67%<%}Y$m zNlj5m%FoZSRwzhJQHVB<1%;r6wL)HMY6@H`IXxe$C^089JuesHdovS|d64MAAkov8 z*RjaE>>M0y=<&Pa8Uura6B7f&L<aB}7A#%^Ko@xQGBO05=VrJtnH$4=m^zp}-S?Pm z**Q2Q(C>%3f0Th?0Uq-eE^spxOu;lCW-iR)EkD0`vU6}Sp}XH;GXsNzD-(l47s&k# z@NLyM6&M&Av>?6g2a3>33SfpYAZ{Or+2eA352*ga7=M@q?Y}TEKo?v>>v{P2A&ie? zHi!u`7e?=oyYm>^_>2yG`~fn5=U@iuXT$mfaC<<8B4L=hFnZou2WD*MbHqT*g}R>M zKqu7vUr_oeq~1X?8q9>53#0v&R6+Z{Fv2GU>V8J({3&dH6Xt$g<J>TFVYJZaouK<E zFwCFw3hW#PxcQ8bdLA~;1fwCU5G2f87`;WnaT2!h*$XwF2|7Qkzy&cMR$jyUH3*X- zESR}4I$=rAG;HRdf*uqEGe1FsJo6V%n!X2{`ODuz+ye_A1!(&UmTri3e~X_9*7@b* z(DiR{^P%UX!ptW&eobUHg4+KW@oV-K)%^`GAmIa>Um(`~i{zx0u!YZb==dQl{9*2f z(Zrg6q<CLBHuHI)^Vcx*Ve21AP5*Z;N10(W|0y*5VdgVLL-Q-N+#xpnc~@la#Ad$I zCrJ3i%vXSx3$Sr$I3J=6PQubXjDF?51+>2yBYrdB>mMLxF+9JL=zgW({S4UL|M@w@ zNwD+@GaokpMQr>&`s05FoB4I`u$xb6`h0ey19bijM))j!05KmH{;+mBEd9Xv5LE~g z7Va>5!nV4-*xY{<&3xGSIgBPY{ivwD2etn(+;8z3;wHHJq2uQ;^I<eZ6@rAh8%7ru zi-E?UG0bOr57C2eKB?t%ZnM$^b`A~<^A{~<U{H{S&%Q7;K-V+D+TXBp0^$k;32Xnr z=;z@JB$zljM9}XKUC_h8@IW3?2ZMA6K<B4GY<w6NE-;$;L7FfV2Zt%Fe*x>is6yvo zVB_<!^uE9iGG5HV7{P$HR1$10%v>0KN$xx-{a~2S4oyEW^I_qU;0`sPV**j;hr1}u z!{&aGJz(cBz{a0p>0ZGDYQBU3BQfrGPv``lZ;9dlGtl-4Z2S;5Px1g}K9TN!dg~u( zeG7*9N1^o#Z2S-&{+<x`voKl^6+Q{#o}lx!FwD1y9yAL#A2yEY1vQ_efGG3l7CZsf zFBs<QUxb7|+<e$RFmI^&0vm`jU+<7~5VrXBx&<*GJ|7M(9};|_<`e1u0O<&2Z00YB zg_sXZmoQqv4`MzCk?}j{|9epT5F>nCq4^6oKK1}Q5Ay(Oz5vGsjJx_kE`sGN7`^?? zFVOrThWWA3_=TAd8>e634{^VML;xeaECLw}#V~VW^zyPjud#*CIq3X8Z2S$@k534I zn$JL7{{3$8stcR>%c1pe19bciK93g&F`tFV_?^zr3tGR55k8Bc?FU%B4xh(^nhy$p z^s{0?K?DmI7%jr#_zau-i=gc{nE9}Iss%w1_Y-S=;GRfO`vJrK`_bJ005(n^3^iXs zfRPyYKfQedbiN#h`4Y21zGZ;luLn>6AyD%<E-(;d{+^u)Sj&HxHK^vp#-SgOX#N5& zb<q6?81B!9u1|o4KWrRPA)GY#|JbYe9b5dWLF+GAI|??x`~Ye`i^K<_%D0^>y=GuD z|MetD_%uMz=ZA&=f(VHF899ine;@3N6US!$M`-?qwWDD3%n6YY^NB6r?wnNut&hS8 z{}a&lX)yC)?pKH+&3v|!m7wue4D-{V<v*;w2CD}iz|3dCUw=VDmw|!x<l}B^<3H+4 z7#JKpm>6EDfX06q;p0CUpmRrh85tIob1*m@#M})DD;HqqFPwDy4m$@2JI44@F9X8@ zJmxD@a4<A1C2anR)T2`D92`98=D!4OB=TWm0FB#%T8XgsBonqq56rPh<5w`Do-c+K z*vyYv%fRqpCFSPVy!@hz&HO8C85kB+Qf_|9l%3Jo%okY4z_6g2a`R^^&5*}t{?&U7 z3<`CWoByHt=PzvLSDj#BP^hQe{K=0>uV6F(=tTyG1OB9&kDk0fZ+Cmc&cecle*WkE z8w?Bz0hs1X=)h7Qb{dqHk!2h-<@4DY89C7JuMgPAz|a6UA2a_d++=0ga1=IriEJV& zTYAkmbtXne$pEzZSx2;u_!pq_Y^b_1dFbVX{boK<Y~|0SU<QVSASMP8RvhII2Nwgw zdd%HO=;nX=Z3T*7jPdOUy$lQr!MM#=;N)U(*nnw1tQ>*a6F%7?3fuU64JiDvm=7EO z7vSMy_;3kiz8_-ZA}2pNTLC^RQ(BT*VXcr>l$weV3ob~^^T{kOu~tYbEw02A2hCgr zAPhvBI7(B{$}iS)%gjl&R>;XuPg6)M%}drOE-A{)OV?4*)6>h$D@iR%OH58xsIJwt zR!GZJfJlOj4903?ab{Jjf);3E1w1tcnp&w!ErLy{<mDG9C+0!tSBe$1P^X*FOvUDN z@H`USi|G(==j8{3rk5~;6*BWmjExj(VetZ^>$>)uGGdv31I^EXQs;snka1Cb^E9Bj z8jxBLhM5baC;uv*gUx)<{0vAv!%zI?gXU>K7_1hl{(+f)Z`%iXZ01i`4bkzS4mxg$ zHqQjQr|W<Y6T=37ZZL+Z#+;uC(x{$`&3rCc|8Fm{`G|R-HuQoHY5~mMFgom3M?N<5 zZ$Q^CBosp0Ly+)Cm~RO+;KLMd1`viCk1;;_-but4oB7|N>uVGgA?7Dop_U`Ce0bqH z4+99}GJo5`W$&?>zYbz7!-JC$h5&e;3{lR0mSbRW2w`F<$m9iMT;?n4h=yP@pBdVJ zZ8!o6f5<!>!hD$f6BPLwKp2<#T@DOSu$d2<-vjAMfTmA~`H1jGp63IpgJEd2z~e!1 zN4^m@^Vy*NCxzYk-G4!op8<q%nJ@C?LOV9|tDyTYA2dMBe;|WBejQ@i7(f`8`PbZE zGhj1cWC=t^!Z%3#emI9}ekB_N!-IN8h6~^Mz!;($Gk@J{4xNI{{C;TvU_k=J{h;wt zP~8rzU#8%=hyXqO`L^#C#Ag1qD-ahwH~}%=U_Yw+Vd>|CKN|xGLsVnB-~CMYb8O~A zyBQ1#nvn1T?JGuh{{aa|_`uAC(dh247Ps1u&HNzfK?V;l;x@mbi;=;>iwlf#ncv8l zvKE{9p#BX=PXae2eDL*iKz$vMS`bEeKgT}LH`vTK=mn`}U^qa)d{93JgdwUi!@rZ6 zFBO~lP0;aOhqKt-4~yRe@*E5xjLZCE%xiP8nSTR1e((Urd_?)cg}zV<Y5{uqd<tk1 z#%6vsw0u+0g~TtUpN1&kUWh{tM5EBn-@d?f5jOK1=0G$)z+t{P^dP8&TowiphN#92 z|Ak-8`LUV5`Zz>KLJK7Pak>9N8WRHuLsVm$fBXJt8EocH1@&J+_l85)_d(1@g#Rri z1_lR7$lM>yY!HneK8ZOYIoQl+KLJwBz>r{s9R3LNcjGt+9Nqls1(HqJ%)bJ4zd}BC z^9#5b7#zAlB?iPDFcRH-kEsc)*vtp_?->{v6nK!sAK`vn{d{!uOB5<wv6;UB)Ia#i zz_4H=cK3tZC%udeAL<#v7?=AOopE);X8tKy`3$`u3_X62U`8$23Uv49O{@Qh&HSLP z3=9gt7#J8#v4;<_=Kua(g|+_jfu<kW`M&7xXVL>Z7lS}|f91>eo7mi+3^hN&8hiNX zDKRh{=p~$fs`LN%Vl#i+6o}It-e5QXbUZ{JokVy4tr>b{*v#)(2hq9U754CdM`Zn8 zazzPi{uPC;A9Q$)-TVLxi2dj!y8D01I$<q8t@c56Zo?iv3g`#fL6xJM&mk<0wf_Yg zUjb=>ogapgeu(W~6uu9|7XF~|6_EJ{py!jJn~!Up1>OD5CZA)mnGYIY0hzA=9ru8k zkEp+3<D{T*77zxh1z~ja)z7wvV>91jGDtN8!-5@<@DBj@FA(M1VMU1i1qVI`5QgwD z>leo`YbI>w-+-o11?c%<9IDvchYm9A3?Pil{A&X8Sj$g_^-vuekn|}5HXq^sg~m{M zGzvX@e2R**vAJJnDn#Rf?-27fz~&>uUlTM=4N-zZqMIM6JVyYV`JnM9kj4kl_{BF4 zwE#301yYQq|LzuH>xIqy1JL}dum=*q=;p)PZwaZK3?K|Oo&nwc_7f&qVKW~zz6H|b zAOHy;bo1fqlaq@9G}Z-D3&QB;J59~R+J6L%Z-LY=z+pbj{h)C!5C*9QVRZ9(T)$ym zzv<fyDchN$>jxKzK*muLCP3F$Le~?*)&+pf24QsbyPUV_U|YX4AG-gW3A(-#wmt$j z4+opCL0`v%Za%x%EYSQa#`>3M@b!<7iX3Jx>^^05KFIALjBfs+&5TR1x&IGzeii0^ zn0YXF!}x^UKl9OaYi#E8!`B}{+z+2egso$Mu2)1iAAQpAtYYm(b`}=F2DI~~7RE3z zD9AA~z~&EO`zN5$&7k253JpYg0pWofd~g<+vG9RI7s!0{^CLGNWnf5<V`Mm>3Q7M9 z(Dpy!G9T9ehS3`t>T5vjj}Ab`zqO(J!)8FwUjaLUfq~%y=)!UaP#Fplfuvpr2G}?O zL=;H@R3OxaNtT_11Ac$I7Sw#Uy$lQwOmN>H4ytcJM!?(&VuLU&{eajY+_JXu2q=8e z=f@VzWng&Vf*Jmh*k%CFn}PJBn~&b@%gTK{pPi8r<9@s&AoINt`zK)e3#K2J`(g4R zyFs`!z<MXh{pk0bf$En9flL_b2j+iJeFM^u?tb(+`%@Fk4MFCk-+$ZW!NBk!3iEz@ z*o=t<bpIQ|{4lIz<ORuyO$)^Z2zGh_(*6Z&g(3y5=vdguKX`;YC^b31C<Qc39*)&u z%>5fliN%l&43N?Iq{@=iVuXd*wsS;aHMyh`$!+l^l?AER3dKdq3Z;3)iD{{N0r}vW z0&9i*v^0eA;JE<kQ~=1)QCN*eR%NXK-gJRvL}f8(#|UIb!OX;3p#W*pATPhfGtUFM z4J8_@;Yp=w3em8A9%$i<D;g4Wa-cI5c?w#Yc_kpHBbknES|S}K;tCRrvf=wlK)Y#* z5Yk|-wL*Go9@vxMeI@A4+Q!-6w_%$f1a%u0WHK>)2mqbX#sr^#=QU(tVCZFJFgVD= zkT92r0alN1ILO1`Fq;S7J^-bC5Z)#forG<EZ3C$M&BAT|g+n|H0`n2(PdLoO;4p^= zRy>1@24jciLa(vSKW=hoU|5jF!~hz12h~xqd;)X-1O{G)2}cp;J23Jx2pq+9|M$9} zv)J5!;wS@yLN;#m4fuE&CY(W-|A3E|LEsFg`@7E{+JVjeFF^M*;xYdNBQL{*V+ivX zF!3@79OHquCcr@hCWHl)pRqGBaTY-94_NuW9~AyMxZPjC&dV_2B*J_J4qgU<lbG&L z-ge3hoBQ{J!XJ<M8(4T5CLBkYpTNq?AaI-qz4~UTTTtqXEqyZdFfc60#qE9u5nhG~ z=Md&E5aDGIIENX(Ppuc2V~byb9tH-5Jly6l5aMN+a28>HfG{tEz*$W9^XxcZgU$V1 ziy0Ue;4%LIH!s74(+Kkucz78EPGg#H{rW-!HuGgc>!0&+yT5^pmtn#wg!u~GybJ=T zFw;-3^7bHX>4(RLfnfn2^99&=877=Sn16tcmqFkJX8Pe_KFNqJ{oDnm&jQ@;4`AkH zm~aGPJ_8FcgTN8=+LeJ}iQ}>ib}Z`$Y-TesB+P)dHW(Nb3?S_^aHc^bCM<w(VC4sd zhai7UROH5HzTa#Hh6hOIHxOw)to;C^uNL>)U|ZiV(8s`#AOTw64)FtM{tx6T*!^>` z^>%O?dM+r;Tp0acCIjpKqi2nf79=yYL{ad7h7WX|FRb5#PQ%QF(GtbG_hD<_&uoI2 z&jKycahVTWzXr1hW-g3AZkY29oB6+>`?H|iS{dNs0A0@q=R^Awu>J+iTo}EnxgnDs z%liD2lNcBhWDw`~!q)jN2n_~B1A_o`{U>N%4I}_dKj`&uKa(d9w)}emw7*~x6L{YO za{Uz+0vdB>Vo2!XXSfi|53he2y7?JC_+jpcwAlR#Yx<wLnSmi;2_)@++^1j+jb9K4 z2^&D~A%nReM#IAARm{BK*w&vM-ps(Tpct}#583_b_q*4jUDyXR7v==P-Z|XZ*6&?d z!@#hh6taE^<O`U)cp%9Nrkz0$jSrWAGL9B0p2n7cc|hym%n+I#U>6!g^}#6gegw=N zFn`R9=kdW7J|B)VFf1s8xgXKKf{j~D_`}MOki@{SHXi#tCd~d-6TL*RxnDhwfnfpi zWwp?8c$hvIjqZM!IE;=z^}r9C`QY(=Ur@+HR3;E<K6>~F&Ug8WEqoU2WnfrPg*$v4 zIM^5-U<n^Yj6vMxJBQaETm7<ZD+9xV8kqTrets}$UJGen$O7#`Ay~Y^=zUj3=V2=! zEaosUJn%!553uqjAq=&A0FA4HTnY;p5E~gUuD-q*TlkyBF)%1JA;SNG8TR~(zONtV zZkQd{Z<&9<*8Y*3$H1V_%)|g1*F?_0M)HvK7@)w(aDbN+Uj8gl;AFVK3tCSWhv*z( z_SsT%Qgbmna@m=ADc~N8p_#P;a!;u!H77N(I2F{70n3AXFbwes3y{{AA?w4_(Jju- zEC8+50$GIUut9pP@E%x#R&*@<@B`X-A_1!>iZjz8-A`j9YlXa2q;m_3Gt(23OEUBG zN~{&~b5anUJY<QY)Do~CKnu|r7!tLjjcDzSM6BM(Elw{+xG=sTF)uUOT7k$eaY}x2 zQDSk1f)?ob1W<n%mQ=wsEd9c0tuD@m*v6kh{a26%*#0Mc{a8?67P%k0;UH!^5@Z$# z!|a98shoDxu-Oml--6V`&WFNfKd7Gz!Z7<m{ag?R>BWX&_QL2LFP|o1vmey|1?gV^ z+gE0Vy&n(m2Q%=(^D(F&48kD2*f7jqI34rO9h?0pjzV?oK*}Xt{cun}8H8c}2lbOd z7^)kCg4+v~k=@4OfX#kT{~4qtfq?y>el!Tf><9IuK^UYL8%DQZI5xo_oBg2vHAw#f z0``OY*&qzFAJoqVVUS*I7~TFK?HLkS?T4P<qo9jF{6YP25Qf<g>W70cHoHM$==Lw- zVZ=KB&tL;m4eFx@gBj@YuL@oN0+wW8V1TVxTp-TN0KzbFbQ<0MDAfW{Z2kw04}kPG z5b!@}oB)Jj{s)Z{fG|ieHjHk6*`0m`Z1#i34?y}K5U?LKjsU_i`$6LfAPmxr4Wrv% zzj!a!`C-ub0!Y7u9{%_TjWd8S%zn@~0|<lkV#Db68(R38WAi^~`~jqY0Rj6#;}9SW zvmZ1L0m2}?*f6^Nl8u6!u-Ok9p8)IE$M1j8I0Xp9><5igfG}7u76RS=3)0sjvDptA zzW`}ZAYeae90P=5_JhVTz!;<%3r4s9d-n?sZ1$Hx_pc=!gZLkGzX@_X4%W}uki*3Q z#_|jdp!JMctV9t)w|~yb4hwAdM?u%`C3HjV2la!I?Z1WAP_Kn`)KP51<e=NnCx7!N zHv2E_hUk6Jh~0irKeVBPk)gnlAB-bFP3b;H1_48UFox*HB+>0J`muc}Hv8G37Y#j7 zhm?Py^{*f+VC{T26V&x*xYiS)y8zw(sp3zuuFszb-Jh4R6ubQa^HEJ!BCziVrW)P; zE7@~iWAp!m9*7Qw3W)un^|{FL4@-XuCR_|447yL8ob<P4;^jhY_JhVBKrT>#j%$F{ z*CN{wihqzi45N=jz{JsMbpOYEc_D<&ensf|%mvyI|3mCY^fRdy|CUuZwqvtj<1EDG z4Xd%+Pp$Yr{OZXiZ1&HDuCHBC39%nE&VU^L@c55qU`U81Jk9~}4d(iy<UPWb*z5<5 z4}tVFK;s``KO+1|9VY^r1;Xg@|J|>c7n}Vzq4Cdf1i$}3{A6VSVM60PAiF^r-F}y- zGX>b}2aPX*)WgQDApXZb&IB53BG>*Swv|rU?Dtp;vYmk;Aqm<4i12qnJN#gR3~G4@ z(Tz!>`(L&~j18Op0&^jH8&V<bb|C&o*#7~o{n>%$9*AyC65amv<#sc$+5ZZ<e(r%k zWW5l&{R_-d%?{GTzMd3S6=wRsFPEQ!&Hl;I^FJ1tU=M%L`df#7MurLX3}8GTy4CqX zEdv7xquUGPqx*lOv=G+zuN-XstSNT;6QJRpu$zGagu&~XVV2;b(d}=qw=~1%f6)GA zkTDOC)@LKvzv%0;aqTAqnSqSa?LXvm*%h1pCLRn7383}Qcd*C5lNMwg%^{na0fb@x z|B%Vd0K&+2BD2x$XMQQM2b=w%@l%jK*tjet{bA;R&^Rgxlbip8KA0ZFW`FutknIc% z4<16=Ul98d`41NV2l|;9K=>py{TXyHF@P{cHztYh|D8&8XRz51+FuUR?ZAuOer)l7 zpdYPK3Nio<quVdO<lALz_M1Y}|AEKY?eCC=7`$N?GXn@~;8@QLQH3DU?f?92(kyKD zgT}W(x(-0c<<Y~R&^R|pFE)&Bzs_S%tm{`_K-(V<|FGx(>(KSHA7-#HfUxZ}h;0jI zvoL@#gojC@+uwE00qgo=KIr=K2d}Y*KP>$foM&MG;dFLLew=WDg#m;yZH9=T+y6%5 zr3<$32c16v(y@Sq^aoN;2&3D-;qXa0Z1&HAuCH!*gWdm9(EJ9o&k}mRFvB4h1~7(6 zpwZ~|Z+qw$h|PY``3PXGNbBEeQT|%r(zuAten05?W`_6J{jcT?iSGnSCI&F>hwvFd zdzwHP?08H9-T!eBm5Z_2{{yx@GX`QmXr2eT{j0&mz;K|8k>S86J}?IDH**kXVi5Su z2gaB-LqyQ+&ya7$x<4!jx<9Xhfc+aj^D%%h%zlP1d<<X=u?~Yow_ml5)gGJwKYWB} zeXtbbe-IBj{uQ=^L=e~%jgOE)Wue<|8MFoK`g1?%`49=8A@+mj!9ZsX!usFUsKzqv zgD(7ZC}U#)VKiwt7v27k=k&3Tzk=rHK$;q$^KuaT5%uqVR%m(yDPmw?SPq?6gK}|F z==Rr5HNl$x8=&XUCv-ym&k%?@{(pm&fx)30QQ!T5?sqg$W@7+hTn<3Df420r&)DK0 zG=B&(12!*-Zypgemk1mG0?i|WFi0;pjBbDV@srHh?AL(q|48@&@jtr#0-*LklK&Tj z_$U^pBBr*mEyK)9ttbIaB!Xt@ausqD3!=d%K*XZ2Qq9fGD+5cTO2mRyV@z+s*IB~S z>6hrY&)7LQM9|lNcg$j7SO8kwkO;Z4>Oly^pRgE((V%k;K;D8jw;6VbGcY{R1?5DL zC?<y43!{bJom9kTzsqF?h6NIg3_Je&gAGXt#cux&=shwp`(f)>z?!fSFneKisJw6; zHv9M8WMFuJWd8#q?Pma$^Zdm7-$`U=1UCD>%wk|jkYr>~h=PQFLKt@cgU&Soc@yS; z59s<GkQg=$^EZq>9Vftt&Hhg^3=9t>;jzr{0EhiBJ7D(1#$90I=rqh;7%kub9kf0J zBmE^$VqjPx#mI2xA~gQPvHKr1?hLX6X8#>o28IVh#E1XEXZbg<`G3|d28IVn_CFxf ze%Sh7keje!n7?6k-nI+v*z6DJVPHs*M)H3IasG#ubJ*+#iNWlJ(bx8UdV$S;8PNJC z8AgVN)sXb}0Ehjcad(ipDB%x1KOZEHj$!t~=pc*jZ?V~b)186gfifdQ$^~frL+=NN zrAQc^0<{2Uzl0e6c?OuhFj`e(<pXT?KLf2VRzcYRfJpm2*ccc<=h8r(g+amWh0%=O zNrKqyFOFqkcz|SoLKOD+NB2K$o)g1jr~u4f7=7N7|1mcEYe4IJRgwHpr2Rah3=9nV z#OFW7&2Hzh+5g#{fgu6O{sidx<*;~%(dhn%oeKo@E(QhjH;i6>d2=N;`xDkNFerF3 zGW_@gNq-M;*bnOWf-HfhKSKErrViH5VA=1(gYAA6Q2Sn?fr$Zj{sO-CeM1W$1FU@w zYTr+2;R87dD@M0Ju<>|2Hv2*C`voBTq5I%)*$-;lC-@=k2es`B{6O}nA=)=c%c#=w zkT$)5j_4{&%&}HTEJ`oHShiK1Sp{nV;au7SYT#rbEXsuJ?MY3`Nlh-%D}wCp!6udg zS^)&w&I4**#VbUc!1mRomF6i#8ybKBT2l*TRVKo!;>x^aJzvNfW{~z-az<jFjjlp| zv0iXydR}5qtX46Y*2_rDOUX$svQ{WcO;d<AGX=HHK>L4+OY#c}GV{_EqGO>d;UcvR z4A}^~kirkN?g`?(oXnC`z2cnA<W!H;#1xS6#h~-bK%N3GH$(O|SS>6#a?yht<TF@e zf#nMr{m(*C3|szC0M$=O?L&rmNcjZI`7j#RK7@9E7+~e2s1XCh0$#W(nDrR@Gj@I7 zB!q4KvLt9;XBy=G8PJ|Jn0r?!GB7kCotyAM8<h{52St{LTMT8u%z@=kn@!iWv8^9% z0@=R^a(@gn12eo`y+93W5R`fVx(^4+22rr`!9bgz!2!$tGBEpLbU@aGtJuQdbu$Bl z0#g0l06k|37NRg3RzJhSA2crsnwN&nM}ypijA8zU(Yim5f$ArW^I1XXI~{OiVu0Ph z2U~x-zy~rOhrB)=R93_MgX`QUm>Xc~VD#<Yg(2AdKM_<vBKtoPd-_B7KX@Gg9}`0k zXk9qcI$T`#-#=w?0Gs{GCowQ6Al1(dN!aa&)labSmw>iUVEF)MFN}uy8%Bp2b6H`t ze+OuP0FwO;MA{FtHw@Gt4TYr=nC*!1bC~_@8_#aRW<Re71A~GtBSQ|fea4WC-T$C@ zd64Na|HIbz!twz~4jIGJ9ZbyHV=XT`2M0I${@$9c3=9dYA?vG=^S>taUR{`e4|}xy zZ;8r>se{olf5Yfk2TWdL^S{F$28IQGj0^$L{ux6GcK?IwO_13z|HH=RVD&WvLjl%u z9(4Pef)<F(%t_S?D9TR*pKOVI$|Y!-ZYF5GF3HDT7Gkv$>58*d&^ejb3TcQ%wos8g z&=O#H6_W<qQ3^lz61tT(zXYMHpafj$fGQXyJFyRUl;^?^z08H%P@b4s0;(ZFYjv#^ z$`i8@XNBhFm!w)NWF(fQhNR{e<Rq4)hGZ0_CPG$vLslpkVfANXN=gw%V*|2<6<l!^ z6y?K5Uea<B(~DuPkhByS3$`^F)OrAgh!)hrAdh0V6n%R##&vX{JL-~i5{rxBp#Tzb z28mcJ<RliQr$RUKVm)dUl*GUomVaRM!R6iE*v79w^(RP!0<_)+)zirNh}?P<W-p9B z#5)n|`JqK|Aln%j9xQ~^7YtpXo6->VD6E`J=;dJmVOY7fp_7LJgkj@M34J^aAPg}L zbN+g&qf8t&|DTJ2=y-4fVn1m8C~`d>%nIEHb%lo^;R+7}Y&~hgRUQTqhV`=q1bG=i z7-AZx{e@iDd9c~P=q5zRgCb=65#zTo|33J^!vMmt@_NEg9tIEw&F6v6XZ-M;hXI5k zreWHjdj8^BZ1#ipCxY}GfUX||?L$QN|6ExHh68*|3<0^kU_5y$1H%DLCI*9CUNGL! z$H2fafssKWmlupdW`Qs)-eL4DlkVBr><8^%1gVGZi^OIBgFIda5Qf=*Adi;;gkkn? z$m3-IVUSrM46_$TTb#TVgw6gx(DjQ8lpx`c%l?95UIq|`*>6zH%K*YK`yb@<GJr71 zZV-mq3#S9TZ(y^(1-5=s8NdAx%6J(-7-s*0GF}D{hS@)%l$Qa7L3V>M++Glefq|hs zY7RR#`xBt&mmbiAtRKMT{{wZr3?K}%zoCwo0fgc9SMoAUsN{v(hME5U9aDXd&Hf^o z{nq&H59r`!0AZN@0v)^zAPlqrKoc(m2*VtJ?*E6o`~0xk-vYH?!4|*$1(SFgKp1Af z!6aS=5Qf<w(96pJ!no|$%-eu<{^Sny{NV!!AngWR;qNemmjQ%f_A|`jWdLEA{SDK3 z89*49{an8BFR=Ol9qfG8V*KfE!9rdJ5Qf>`u#lGlgkknOEZ}7TVO;kAmHUYG{NicQ z@K316@Ba-ec^N<$X8(khybK@=vtMB)F9QhUvY-2T?oMp}-vzb*z#{zqKd^?E0fb@p zH>}}h0AZN@4_5LrfG{rmFTRZH!)E_$X#6J}#P9zP>v<VK7-s*5^}GyV3@v{R*7Gue zFfRL5-^bNrv;P;=euhK%?ccDImjQ%f_Fve+%K*YK{|D^gWdLDZ_PfQNs>f#k8EE(? zl;98l2ZwnXKp1BKfy2BEAPl$v053zp0o>(}T%y5KZ1&%S+OMF3-~NIVybK@=v)|wZ zF9Qg}>=!r+I@bfY{k5+Z_^{c}32px@AQ1im=Xe=F7-ql1X<h~phS|U16fXk^;|l+^ z8XZ{IZ!tj6?{zqgKmHkR@-l!h%>Dy6co{$#X1~J?UIq}xW&cqvnfuuM{{b5Q4+w<6 z!$V#M5Qf<=@Q{}QgkknKJm6&jVO;hv`B3hI&3=FA`XGf;Nc@A&H$ZOxJb=zu!_3`f z1UZ)m#$SWkbp}hJ*FS4^ZNR#Icmc#(h6L#O-stwj`iTtLybK_Gn3aK{L6?akAe$GA z8`u~a8bp~G9%S=^G1zh}=krze{+No*|Df?Jke&t5_CIJI5!wHwjAMbuvOs2mFuMQS za&BThzY=u*07!j;5hVSA#%Yl4ht1=H&LIF{_;^7#KLhA20@ysOLNGrA2!qT5VRZZZ z-0h;U`QHF~KNZ7q?Dhw!p{}36wVq;uCqDxSgX{)jbo<-26tSMq{c#gWH3NeK^!)M- z3sCz%u=xM*l!F0;Ve!xKjDrD$Vex<983zLhLrlYLe<`nNufXPi(D@7?JqMuS4?2ed zIs9SsxDA3_3?Tf*7Ipn}k_IXtWEKdc`~SwOPOSR}K0x<xEYN^t1kgAUHv2#5b1{H0 zx_!9zbD-PL^LYDTZ2rFuZT}>khQvQE`!5)9F@P|+_UEtGOvh&bHR$^Bgl35S4OXD| zM~uJifKAkZ0+oS*0e$`OdM*Zr1;Utx5W4>-MW6qG&HfDN{Td7_AmQ%-?tdffM?e3Z zLkj8;C^cOfwLF9h!_Vh}xnoOscLFy1t)TZ0EI0%4KWP67a{Mbnz2;!S!2rUb^UOi# zX)mziU;tqSX!sOZaxj1}F8ilGo`$vmu?x0;X${2xpm{uG`&~U57#NV&?}&rWOGe^@ z#_vFO9!O_m0AUatgkk=M(T60@wP5pq1GN5qfONh(^7xA%%m9#~3=9mv&7ce@B@qc_ zpiwYwFq$d$7gqmon*-7K;1aU`5%IqQBFzvG#RSF*ph0}ldF_!*3=bli7&fp#6`)Zt zdtvm~lE)s{{4cW?qVd3U?Dm894}erW2x4FW;Xg)@@>n30fdPcsjX|;u3<4n#4B=sx ze_UZMCD`mYf$kq^FoN{|An}jzKWzPOKsF--2*cKo!|Z{rr-$)jG|b;H`qCn0toJ{F z?pFipX+YXPfE@q7py?l^286M#CuhL2o*ZT`jNZE69_#)^!=)fo85kJS5&Kt=?U#^5 zE#JR^BpDbO7EFZT6QH9w7#J8FCO|OMG>rT|ah>#4Y~jBR7XLWxNB4gl%tKI%EO4x6 zhbe>6%?agL&u<3JAApQffX-W>$3MCA2rzqLbjjtLSnm%w1NHxcdhF>xk{vbt6*wX3 zALM2b_Atjjj{&n6Mpp{*U>*NF4m}?};T@#>Mfbmj7RVL`h7JA@teODf!^lTac^Dr? z!|a98WpDRl-9Nt$I{xMG0b)OBo&>r4g|&Ys#PKnJFs%KP5YNW|!m#$wf;c_~5XNQy zbi1iF*wWuGX#Kn33&eiV`dLsCht2zZf$mqm(8|UD!W_`^><il17(loSy1!~cGaCa4 z!|aDvOYnR!>t=5~w)GVbp!5IkFflAZx_=6r|2LfFW;ig3n*rv3hI8Bu7bbBt!2N%Y zn;~E_H_Unl4EqoAcww{Oc`*aS0V3>IIK$0wVIso*f-~F<4<;h)XE@8v;4lecKP>!U zv`7t0Cp!m+B>MVN@cmxSObiUr^`#EbaUf_l13k5kfdMox0rDK|+_`Yrd?R!{4oD6e z!_pm0OydQUCdhvD`9sk7(}OvPLiPX-`$6M!AhTfhZ+Qn<4+J~k4J3z*Vg82Euc8ck zu+1N?n9abTAkN4zp#ZYJ&H>sF1f@Zcc`zE?e%QD?tUnCv2ZQv0FnauF6sh@Ri+@o6 z^T9$Uh6WKx`p4J*oZ!q0Pk*5Pr-KVGJpF<Cp9`E3;~y}8!{Wig<-Y(Z{5PPT4_XD< zKkvrG@Zb$JJfZvO(c}LCw48^9zc)1gVe1V*en!SHdtr2g-%TrQ=l40xh1kRfJ%12( z4j_zXNQBgj=rruyahN*v^TA>E!sz|S8$suHS)!*u(D?xi3Yp;RD;=63@c?lZ;+$<z zzZm2{nE$8WgoYOrq}~9@A!7$*4g&+j)IUY)p!2;9EFkF&c0Oy!Y6b=c1xAJgS`hIA zIP5<V1u+n2KR-158;(Q62O<q8Vg7~*h%5aD-Tx|y?tk9N3=9vrSr`nU^XCrGeh|9< zLH$yYSup!w$U)r;J!cUlhm6rrR@(AJL!X_6MKZu1q7UYOQ2*<}QYMB5E{OO69QK3y zWgzom_OHAF3IBwR*!>Sui;TDEarA-0AN_vOf?fs&2VG|P`a%b2KLFkTpn4f(9?brR zPZ0ZI_pyWIP%z8@s9+`2fm%@hGjM|V6Xt)={Z0*DNaY`q_WQnv*uP*EcK@Tt|IvH3 zp!0h%;vdxid9a)b;r~|b=^x$yfBr%2e{hXR`)g;ng8Yx3{<xPiFg&nCtnWNPr2TuK z=^vK=p}`EN(EXp?u5F6V{u7fK7!)2N`M-@g|MNrBAFSU1w;0NR*$<<)+a?yUb8ujk zKYCLb7#7$g`JYJp6`<wM0)FDcza%Q>9yb36fb4fb*zeFzod0t!L*k#<@PGd99cX_E zM*2Gdy8p@%VgCUt*^g+rKq930HQ#EG|Izc`g0l<^3y|!0=pfGjZ+=1jKOcMe!|Goc zz2@lBpV-<TFXl2ZBm^)ruv~?j2elv8?tsxO&~tNO<qxb~4r`CX+My8NV)nnErd@cC zE&a6wGcY`u!_2?~wci1{j|^6P!Dvvs9Aq}ketT&CgWU@cl0(KYf5Yg#2UaZth5rR; z`vZ2r1!(`;0?_%EijZ>g01o>>``SR}!|V@++0O)tFOVEEhS>|FD}($JLH47!e=WB$ zFf0gXWKe*$f4d;&!Tb%Q6(&MVhuN<MP5<ca4u~qm_yx@VW5T}-u*LtAMGOoI!i)?z zpzGTYK<$SO>%iz6(0#km>na(x8Za<8@G>zZvNA9n=wxL0$H)La*9kNpw?GWBeg$Sf zSG`d<Hv7-GGcYJ1?Qd~_p5p|w7e>SO_rUCLVTFu)y4W%>H1IGn7)U^9*t&mMxd)^D zTK~APV>w?LbbgdVArk{^{^5ZYBtJdyhP10#BtC%GB7@pr$opz>oh!A$gP#F}VfMn} z<A(dJf7tF{pR$I5!Qnm=1L)i)kY8cp70SWD(9jKweFg^26{!7wsp+WvDuhz7l`wN) zw9C?f>)68I50w6t85u4>`yU5-An6a}7LY+O`U3QPa#;9(5Cx6*Ffu%qh0vgNQ4SLr z8H(5-e3-p3`qb5}&zU$lBpuN5Uk`NuF32trHt2=e4{MRYXm)6RLoe^Sq2ddm=Z3@V zL#M+M!!5DduZ3p+1~TnG@Bxxu(Cr2J352~@7kDGv4{LvQz6Z%7ut6Vr{!f73Z;Wm~ zjNi!n3)KI?2!9#q{Y?qb_U{H9_7_6K89n@A{jh{|h`V9-q0=HacdkVCKdk(n{egku zK_DZ;hk20l*PtI_4lLebG%ou$L)#$<Vi0{WdtvKrVf>G`Zh_99$M8Qt^nNUZeu(`W zNVJ~`x-U_|22yUo>_fLd_{()yZ2mV!v)^C>#2+w!!)RRohuNP%!2TZ#T^3`rKOD{e z4P@HCfPno0Hcuk3*)Q@0lJ;TYZ!nQO|34sLf3Y=}8aDeML-QZZ{tYDB9}bQG1^)Qs z|Aj*3cWm|_e}?A&Nu>FI2Go89FI4+s=@3R6-dME?oBbN^Aoj!hzZ*!jUmIF3JSc^@ z8)hGjhM3O45N+-}6WM-P`Bw||{|jjQ!(cMR99X)C(YVq-2ecmqyI&1vFKqk^#y_L* zHy_!4SoyOE&HfD}+W#JEKWrQdW*<83Ry@56oBhFWAt40!{}j^v9}NwEhq;jXD|CBd z{HnOjaBTK}c?q!}w!d)$iS}>01nD;@K<_Dp*@sSl-+JaWHv6wZ(;v)!gQ=wXUmWWH zgp-i+8{J+Qzt8$;6gK-;zd`dqnfAl(6@l4@PQU;EdpkD!|3c#*?*D0I`hOEdAG*CT ze*LU|XKePLeU9dT6783O_CpqE;xB&`omt|s*?$!+{0*i<`~l1NFdA3>mxspx12+8j zZ)ck6hRy!|7ij(`(f$ii`w69gUUAVvZ1(?0_x}vi{0}dG6Y=MN36Z-A*zCUpz5f!H z{x*<ke~ADj-zhwR(6ID|PG8V+G{<KDHfa3|v)^DQY5q5dg+CMi_@BUdnGc)&)zJ12 z%>E4|+J69={uAC~_dg_cF)(;-OG`txA6EZqqUZlvr1}4oI>cVsy+!EZ4&!_A9<)QY zA6Ea}MNj`E+CT3vwEQO!|1tBIbz-w$0D6BCEc^{-lji>xX#0m@GejTEzv#61YPJ|` z_6MWYKO0E2AJ+eQfL8yYw?7u<@5Gw_ra<qvh5LUF#2>J74@ToE|6umxYJb4e--183 zWyt=Ar9W1*@@E5y_Ae2Jq(9hv7%be;`#;l-#Hx|)ho!&G(DDbif6`zsY5u<eZGSv4 z#h?GxRBY<7*?$R||6ulSAkqGJQ2Q6u<1c^JxHFGovws^j|H14xm`9rb3!&}L1@|HG zfGhl+vQIq4W`9KtWZ(#9{{|B6hmFG~IG~0<di?t|r(^B^ocaPup)mUm=9A`snEemX z?1zn8K}=_0@C}fPz;=GXzE}o^h6hXxpmm=t3@q^d`!B>G>ux3_b1^u?axrkAt$Vcs z?VIj_gdNBZ*t+Bo$y^K!(OmHL7qD``^ZH^(Q20Nvfy9$8^#0>F&lngIf*2WK{ZE4h z*!?dIt><9nJjhNEhPD4;_rk&AA7(F%hS~oCi~S3N7#U#wj}26^ALb5_8$tM0Y<wfg z{|lhwf3Wh$1v>r&FaH)|_y3h^ka`Av{7DX4|H8y!_M(r!hTN;a!o<QNDPRi;f0+Gx zZx|R9f)VpS8*teF;045fSU(fwClKcP4H1XM3(Q`aJ3wkc_{yw_J3;<;K(k-)IRk^j zGsOI#!6NMbzwi=b&jYmiNo#1jXn?L$fZ1yStvFz`^0|XQLH0jzf%yMHNI1w@49B7O z&%?rh0}lIpq2<g2==>m9GXn#|$?FhzHLO9+|1fvJ)J3kJeH&yydi?ME3{e5I-(WFz z|Kqa19_B7+xWMd%rF)3!3=F>Ja(6)XUvPx@9~S?+KS5N$?B775{m#(vUvL}ZZkYYB z^%t=5^4W7QuL0RV0UG~7(EQKvm4V?w2qOI%EP<E<jXvnA6b1%UHi)|$HbKUjA+Ba% zxCc%DuzBzTs07UaF!$W@KC1+>ALf5p{red${5RmRf5R(CJj3E2R`Q8K=M5a7=PwIF z?T7gvW`9Snl`+Wv4N(8X?0@%(fgvFj$^X!EJkkCC8aB>rf!+TLHbc@MEWBa)5b7KT zqxRW$p!|<M|6dEO|KahE!+uS9Ncf}Y|Ig6)hvh$*y$w){VeU~4H0cBRA2$Et291Bv z`K1btNcn#m_V{-&hS(1~=NK0Lu=t0q!-3fg3wIb@qEjZ0Y(Fgg0~;VF!Rp@)IP6!2 zwzCg>g18UrJqB3+%Yhvt4zmyDZ`ge0_CK?dknOjJ`rqt114F`dCV2g0upD9zdi*;) zhonE4{Sa3(!0lIPholRb{pjm|*7hFK0mXj++WMcIR}2gbUNA8vK-V8_AkqGF(0O=R z{KM>rr2|+v?MZq0AKUyN_f!Uk2QMM_A0oFuVEb+^$a6A0;Nb*qbAlNKrU~!EX+Ru$ z0XjhobTS3#n2O?(#G(@TP3{?>o8}>hY9L=`h<wRm8q$#%kSq4UcO-(3$jHge%SP}L zi_(h~q75KNXn~Hv7$_%LAe_)RK%ro0Ht=pRf}Umuii&{>2V<jw4+j&Z^Z?F0SoTLQ z-!K*H{W1p7{b>n%AmtKh9Wru0g`H2bA%L3!gd3peI>GD#olgQ{3j}gAfG})*f<XW` z0|<lUuwhvF0i$P!c4IyN9khNNr2j!JvilI{r@+?ffYy(LFl@aJX#F?{!`AIAILFNZ z!iHQR(+FdjyI{13zywol>+3=5%R#0k)Zup@Xni>d!`ug2Uk<`B_kq@zgD|=7b3ZI~ z44eBlLHA!SkcZSCkoZNcpScO^$OdsUFa&Wkh?s$V!@w{hiW`hU_bY(TUxA1+FdQ_8 zuFHt!W?%qem;@RP3pW_eDbxE9oBKYThG=w{kKKI}&OzmSxfvXKxf$-*LHG(C+zcT6 z0?J>|&dmVAN~REbfqrfV5QgwDNtnA}v|{bvd)VA(0Cm=Z+mQAbXq^tI?+vR5FF@CA z!)&<V0%JjF9#;r0FolZ&gfpTb>qj7>xJj71V6@HL)mYD$0j;kAneqU-uE=0N19&|T z%zc!uvjLfn4a3|8qnDhSg7yA_FVOv$3FVN0Okn`I4>1k_JO5`w9~%P*!_FHDn83yW z!tnEe`q>yJ^s_OffW{@d85s^tWCLStZUc$I+y$d+qu!mw7QdkJ5s>->=(r5Nagqti zq>Yn+$4WqkW5F<Y!D#F0yVhZIA87q7NIR@w3|c3Qoc?g_Ujyj@VetMnr2TVN(EU9i zIeZxAE*NcoZ3Wiz1w5epyAEuC#4o!0Dxe*;2ZBrtApF7+a$f_?ZrFZ17$4-`hJHo{ z1py{7hKZxoFn7V|wvUsfv4vk2X#M9;28M({$oM6?`({APJAq{k3?Qtr09wBJGBPmu zGBQYl_Wv?4FerF4g7FqVh<t(%BLfJdy9>sLxeG>z%dS?%=Dx+y{fP>%v4>wT2gE*@ zxih38e1l`m3?RHo7s5YqjF|z1<y0Vin0j0^%v~^=bty|PHutGO?>|X^_Dj*j54L}f z;UzNz2nRs#<2mqznE`}v`$1eV;SDnb2-ihG_zT`KGk`FJhe^WR1*4}tW4ejWeMg}8 zLn?q4+A=UOpt~;*dLK=|2^IzrhTYFK;Rp)@2=_wc-{B|=0|;|~G8gE`uR|;h6F_&k zU^)#f0&^FPE`5@M_56zq(EFPdlCj4xXul)aKq#>Wy5AJaWw1bNuaJAcn*#G5tmgyW zfbNf6(2CuC30kP>3BA1WLn}{U?S%`CObj3l^9MQ&3pW^DzSqAPTl|8?4?%h#K*udX zY)}-z>bDP0ka28~I0zp@bI%XZ{zatnuLqj`Kx*+}n7d$feWdbcZ0_6B3+c%xq(Z_E zWF`v(Vtp{K^E5!}K=?)?YWVt22Z<8KFn7Ud7om^{Z0-Y%KY&bo03G)Lu}N`Xd=jer zCX(sC%9S(!U~^v=bbO{koV@T`h33A^WV-MFsp~=5+y@#z0fkutble2QCMEoKqPgz~ zneJn{6_53P>I`VG!N!e1`v{0}--i-51`z&d3W;BXA~psPc83hZF$9#dficLx*f1>J z!RWmSPq5}+(D)BX{{v|Ff$on%?q4F01A)eZ`az13FyV0^B*jQfn7d$f)x3pR?-vb$ zo=?T_6ViVZVFk5M5&fe_p!OyM14F}QPB4B6<tJR>WB_6G`@gQCmETBCLSe$nFBtt@ zbjoCG`zP3DGcYW0WrE+2(y$xiPT2S$j0T-+#K^$N02`0AfX=7D_D{gn!NXgVpTR+k zAH_|`99X!)=*c&(yJOqm;%>me@Zb;&{Cs2u=r{t*J{S#ZSA*Cv_f3S(t2_`#_0Iv& zJ_ju4RU^9%#1>$MR*X>E|Ksu+b`Fk6*!c5@3P?f*jc+vEM(n>n0CgXv)CS$<1Tqb= z{~9)~FAW{{PM8X5H$qi01VP=c0KG5Z1E&Z~3WWyMQOE-8PaHE~XJV3UK${=r0-djp zv_Cunx=)^v`}CpiIFJqLm%{8Sg3gz~#L?XWQwNJz82<`KmMCcdXoDFf++g!ZcQ!FF zBnUCW_pdYTgTxmh_kDoQ8^YWHv*!ZT-LUawba%kip^NvGmo$Oghd#ftWHkfB0wnh> zAkux$u0Y1y(dRqzVEay?^Nr~4fVmIat%1*{+w2wq-LE7mU=9gC*!;tr7zPFfF-8X1 z{sV{o*uxKWE-%PzSo+h4rayG|;aU#^(u<5?!#Xf`uD&zrDX4r=fVxi)IzRC{hJhhL z43sk=x*rhfzB1T;HnjA|37yA=t=ECM17bRYgo(q#S7zn>iy-&G@-Hm?Y3*TPcp!#2 zKcE46jwpKigPk7$3%{Tbkobk=Q<%-L_=W9vht1=_<Y6?dT!!&wE*;wpav%EqF6eyI z2eV<WVPH^zo^uHkfzjyht7Cwq6PP<-_WXDTaW_mH-5oG>=;Gl?mpQSy4|M+KgSjwQ zFfbe#AotlVEmy_nKG6A`3G)!{OMspe2@`?Qv<^Q%3z@yx+y^?p^1*zVD;O9Upyx=! zL|`<n-KQF+I1QWoK<8T~EP%O!fnmV_x$kOu=5%cCOO9h;P>?{BFAhhrmw%vhIY4O} zmVX7H>&{^L03-*(WoY8)`2eH_gkkLrSUIz;?TsABeIKCZp9!@5W4_10@W2HTeh+ZC z57fQ_nG18D0(8BM!Y|bJK@HS>u<{RA`GT+!WGVwgzc&Xv==_8NwD!S<9tMU5NcZD4 z9K{}f=<Z|x3@Jxo>vkZvFfjB<L&_bPIJ!Gv>N21VSo!R3ze5BRe(2>h#~KEP13OvZ z?Nfzg#JSJ;EyR5aa*z%TEQQ>HuD6BF_d{F@bqo>(i&q$Z`h`#!$bACP^FNHB@mm7A zU$1}(e!t!U9PR^+>w}Dk#qSDeI|>$$AUP05-<J%tA0&s2(dXwqwfJp7?H2{8`(X7O z=zPwE#fbA!5}@aF!r}!+gZAfw%!j!Twq6L<?*qw!@K0t)h{56)SN?#B!{|8|T|x8r zBIx_KQ$Y96$TBi~fwh;Q=XgT9K@2eZ3$&pLbDxI|WSkc?KM0yX0*%{)?&<AdVqj<h z-5UvN=l3!)z~&1<Zb!zjd;<$#2Nkv!Q2fHuA1r=B=ZijA3=1U&h6Paf!9-v*=-g3| z`7rk>LG!7CJ!<<Ip0A<eFn56TB4b#)3YI@UtuBlMxvv2&ey3ewU{G*}xq^Yg;UxC_ z0h+e~nGbVcJM8?WY*hE{fwjAmAmXs_0qI4?Fn7VkS`!&IgVG;*``O|+1H%GE#QMw! zM7j^QFRTIT4wyX;py|XR8R9;4cfi!a(jAOG7V_;ZDEwgYs{_rywZ|D46qFI}YdA$* z_`&wgJz#*ShuNzE?H4;RLd0SA!{lK!OdLjEQI1=NZGZWCQ2x+C+z+GxJ!ch`FJLsN z{|ho37Qe9dw{7YS3=X}F48K9=PxLS{aDp`SGQ#J%`j{9{?iU7`0m86&htWLW=Yh^2 zkbD45f3W-kI{!6cDI)zHfVvMR0;AF07x4p9KBJd^|DfxnVB-of`$29(#;|aM(L(78 zlA!p7wVz?}o4AaDVSz3q{CuJW=sBq{cfn}b`9!ergYAoB2#2IInBDOGLTLLnVe&8< z=581*8gaB5<h~Ek^MhdR1JL=c50)X;Z!w&Oq#KyKU^M7lSCH8-_rcbUp|=m%q2UKR z*Mr#dWzyjr6G84%u!NNFu==A3bU(Q#%oPj_3y5?dq4MPbH^iT?@B#S^8N<Y3@q0g` z4}AUyEdRp#zc)5BFeG>)!q4Fx#9Ww*VKjR9!Pfnv_kUfW;|H*Q9n5}Yw}IF&aTvXQ zYyDAB_@Spik1Gre3-l1{!ygdoK6p6?-Iofo6NLBPg5(=m{KD)9$suF(@XIiHsseH! zEc{^k<Lzb!h6hOQYdB9__`%%Qun4t%$^l#FY7NOZxZGy}t<Pcfh3UUa!R~{mKZj7r z`s$`z3=9iyvB1|iDqJAWed4T;bgZC-+WtEW9Y1KOgt!m3-WNISK<phLf`NenMz7F( z`xoTC0I2(JK<kg$*BKZR?jqcGfJ*LL(2U=G7SIMQjCOM@KL~PP0@QsL(Dmutt}`$w zJV3ZF;Uaay@4yQD?t@K(!RSC0L(u))k_Axr&47kq(iH}V2agc$W4J^m_ie}TKG?c` z7=7U%a}+528ldjG01dy3*BKZVJVm%~0kzzB48Qv-py>}ri#AL%0l9Ai)P1v{;a78o zfg#}q!hH^xsS|z)SMj?Kz1?N@V)IpO?LXfo3=9hTNaY`q?t``e4nW&^(BNQzmpdsC z_o2H3rVdu_z-V9PhU1{{Loc71wlFXxAi1yM3UT3g4_Z$=SdLo$orkWoM?X&h<}Mg* z0cF7GT2G~3koyim_m@OM<F|MT1H*z-i1<~wN}T&vyoS^h3EmL*L5mB98mPNr?n66{ z80snp2AI2G^jWEw;PFXx_la*|V0dsI;l2ZOavv;SVe!9hi_9ia_`%{A)_xHHoeyUK z^F0GY!ZqT;FBsYng4MIIfYE^V>tN$XFn7S@VKht}M(6+Bdj#Y@^!j(^5(b6@Lqz^y zxK5n=sNMf)xH<=PzLz8{{9x^a>@5ro3dV^2B@1x4540`@<ab#6bS|_V`XCch|AOQ| zcoCX7EPOz6$QULLqeZ7z{sx7gf)%7*hqeEvg3_NCV*iK34ea5E?mk%i4>nH(vpWPj zzL9`-9s^7sM#J0%<L`-{g3|whrN2X<{-p^b{2mbLz6;RtKiIxtm^~fPc?Xy{x;tR% zVB-fcTC`9(8x(#4(C~xJ&zzXUz@T7;a9_hs;=&JBKBM>FW<bv&R)Fs3hqXgt?t;-U zcf;r|kJB4K?n6(1+d=2sBDqiD7IE$ifQBD>`h&IaVfh+nKg?Y)8hw1tT7FSEsD4A= z|6;V4f#HEUBK!^z>Au&{c*NEJfbB<vm2WV2!Dw`M^9TROI=}D(RDU3y&zf+XxbRbe zj$^>~g~IF&fX%x?&kaU*2TUEhIHO+jH{|$*<&S@J7#I?~5a;(Y+#$|=xXy3Qg!YeM z=enZ11KoWv`CjfCtm%&jbiS$$B7PST>ApkIajFCvh<{-A5}W?e<9)KQ<}pzELmyw0 z1D(H%<UWVH5c^>LUKovD{=xEZ1N7bqnETwJ`#~Er@wczg^R-oS;aX7q!p1jX@hiNQ zfnk9yBK#f@>Ar7jkaFJPHN-zK_sxd(>tN#O?trO-#Vd^e%hPHS$bGQ#1?E1txeN>s zklfdB4`LrI++Z|%_`%NaML)mZ1v<X~%QxumfVl@I4x`Jh{Q8mI2djTIwu06MBl3sB zed63#0L{M-A|UNQn7uL3_=SnX?1#z2XqY%G9bWjMavIrvu<(ljh2M9C`wkH4z6@wR z!O(-+J}7|hhb0t#uzi{^_v%<1fyaj$(Do<Vg6=m*I-fZKbO{avVqFfd_<avOpZh@_ zs{6D)LfX{}HbC+Rti1zs2aJY=AB=xGY%}Qod3gH@7Je_*GB6|{onOoV-3JVF7mP+v zf3Wet2he+OVD^|p>lFuHNIF4x2TUDI92UQuHaqUX7Qe+e7#I|s5czijk?w<?H=rO3 zaR<!aGthZ2Lh%c8AB;Y7v+@(N`(W+AbWr}NMr!{(g4hQOHyDi`ez5s1*nVu7`(XJd zK?oARF#BQhFd7zaF#fx1XC7cHpC@i*V0eHOeh-LrAFlEl-v1>~KEwKLFj|FkM+zwX z(ED!_mNGCXI3wb>;W2UH2XjY)A8Ppm>tDj!UAX#}uyPv~zK71Yg6}tg^^aijYkGo# zL7@f_zY0%?b04hzjNZQ54sG8r@Wmg$uy!-debLSf!2OQ~Ye>BWbKf>l__-j$?*NhR ztALiz==~2^yu;enF#BQY3`WDmVYINR>~m23!s<6z{c&<B14F_A7Wn-D2~UX&KO<;8 zu|Nb8PB44TpyLOG+Rrd|!Sp$O`|%3deX#Pcc?tuAf*T_I7@iU5J~3!N5>}4D?0E@Y z*9H?ucLz)z{9++!MxIgH<_mIP0`z<fg)qqY^Aphc8j|}K5a~XL21q)2096kgfj9;& z{}vpDge$K41C~EvbY$MT{UG<j+E<3q@gcFh3=9j9+~@F|xbRz~0CC@f_Yn0k_rcmV z31SfU;hH~!g)5A<R9O=Wavv=H!SaXkT?U2+NbY+;r2Am?1Z<oI7H_a}2Ufno?1#z2 zX=uE{!tZwSU0#s;VDSqJza3{m^XG{4*YE-o9<X`?Mx)msKCpHQR6Q*GVDbB4Iwbsv zjbFV5XWxR{haSHxZ!j<<xFg)B@RB(95sF_0aftf}#V;&8zpB*~f!qg+Us(QKbCH2z z0h0R;5a~YHxiboA?H6Te|BX=og~dBGni+JB7J}!e(cO39ECa&>4@CGSydp0Awn6)E zuyPI-4zTsluyhG?2TUGDql-6A5^cg3ejV!=7#8>;>Nf^xe+QQCU^IIA`vqNp1sex~ z*~|7H(msWWqq_s94qd#`qX#_yfgXOM%NQ6K{1NV3K%x5t$aEh=z;0)3;m5a+fnh-{ z!hH^Jh>Ks?_`w2bI~f)(uyTh``a|3-1<^Ubbt@aleFt12<ti+H%mKx39m0JNh;*N1 z2E?3%W=Q`7R=(VUjz1?r=Z_3v835u8I0=hi7_E9sDIVlLSo;drKG0ak!0^Bukv|&V z5*L1yjz7cNS1{-EY%v9oUj;zVSA&J0(NzY92fhgRDM0U8gyjnujh;Uepy>?Oj)DbD z7*rhAE`-$&FnJga3pW_O`JKdEQ24>}2Q2(R_dh1ALB#I?BHah?XGlWIVVJ$J{&@ma z9A-aE9!|po4l2OpvcnAIK3M$*3qL>5`j>iy`x2n_JuKW{G<x{qsy|@$o5Ku<yK&VY zu=s^KkD)+d0RzZ=u=BHE?n~Rpz@XrVh+hWiJ(e(c!Dw{%*+A#n6rkfZFndg);;`}= zSNy`nVYF>P7Px;7%O9}yO`!WVAFKi0-3!T&3vjp(bnhm}4Y2y&588f)ohuKL17TRd zB!L5x&v1nwEZ$*s-3lA<`eK;-VCnBAXnZmNRyr^+IDCYd3kx?G4ZD8`=Dx$w`K^Y( zkaz^S4TKwD>m!~*#9{V><d88eeqr)w&&X{B#qR-V{)M&w__u-f#xpW-e1+6Y4{*4T zLlt5=%zYoA<M*(5gvc^5)WgO%pz9W4_QT|1G%Q|W@|yGXLH9So@2`M`UpuJ$<6&Wt zfV!^%dOjB{++ef>n)~)c!|A~tNcjx27j~X0q4h&(*_XjslFb9#_yK7DrNc`m`29`_ zpRva;XkRAC4Y2StfR3-B_b>UN?JHRMhwcuL86b>4|FJXE>>tQ|=<^?b9t;c%8WHY0 zK&1O3Vf{$xJOap05QdFw!PXt)avv;SVYJh?gqfi9hh9FH1v4-xgfYUyF9CW_Ei7JP zG<x{K`Wfi$Klr|`T>SY1<}Mh0e)F9R*xU!YKR015BK#P>5*NR)_R9mb`zvAdA24y4 z{h+W##_0KDgW0`Sko#ce3oQSNtY=_oFh<-jwtz_YIm5>3(c-rUn*J1g@P{94d>7`< zH9V8}K<@hhJ^#=g+W+O5$H0()6u%DNhzmanX#czcsvcH?!p;LsxB+n=EI%QK9f%Ec z7mQXht9t-)AA=pFo`AW}=PCok0wni6fZ7L3cQ6_~{i#9c5n$)ig6st0L}<GocHc70 zevlk8hQ&K9|6F%fngwznEPi42@2@Eg3=fdp*YKUV@Pp@5R)~6--F81A<vg+b17Plx zS2V`D|43#k0|P@4ER+}+6n;SLgNeXs(7tbw8({He0IlC(^Y|b+5QeSafX&;$!U-gY zjM2rb7M$h=g&(YZfrX#OdIp9C%`jImFdQJ#eOb_UJglDvvs(^YufW97-2qbvi+5P~ zg%q`e){n#MH<<fC`_CI*F)@h1!UMVw9p+*f4ceCuG8-0tuydhd^%zJFgkk%>VeyNr z{Q@%=qz8oSx!T0B?LP`w&%mG%&B$;8dVem%FYNJq0eXKf%zd!&zXr7O1vdT%6GynC zA90dlUVcfcLQ!d6NoH=U9*ASDkegVXtq^StK5Wm}$XcO1F%NXgBa%pFdR~4}Y6`NV zqSWLvWPWi;Vo56aJV%fbDXBS`WvNA(dFgPW%)HX#R0Ys^k)SgLCn8*wlb@UocTsL> zNos|)LRwL3s)82Gnd!++nI*-JMX7m-)(Uy46(u;Nl1qz<QuA=h78IqHW#*R_W7Q0D z6DY1g7?!SJw48<Qd~D}eI6%+eIsjcK54z`&m4Ow$Zy9#ap@Rq?0|>+JJ4_JaV*p{; zy@v%Nd<-BAI|qD%2p<Co!|p?D5aDA0VUXKE80Ib*t>^P10Gs;^7K2nXFdXQGw9`QQ zppo4NJAcsN9}fcv!_F_5@Q;T9gkk50G5qIY0AbkqVF~|v7(f_ye%OKkJPaTVu?_S7 zPD|5A&e+^1&<oL#payXt=$>ZKRt;FbpQr#ie<nbfivfhg6(Rfuf?NzBd~_OwZy?0Q z0K#t2^Q0~ab1{H0GjsyTL6nODgdw(Jx^KO;5Z3cQK=&(y^gMvx>kR6Lu`(deM|2Pa zg)OZ1WMFuRRv^Ikn`~%iVE|!9==pgdGeOu0x(*z~M#ivsh0y_h$&0at-<>-UT@Rum z^H31?A<ow*GJ(iXC}v~;VUq;Nc_b4m7#To#{|Sh^LKPze2x}`t<Qs|@89+GJAHqM7 z&&UA65Y?FBr&zAI1)KZAq33Tg{DH(Ty89MG;}2%;%>+n%!uYqsQ27B9Q2Dh<sC<|{ zSh&IH<MInTvAJ)}T8NGZXRy1EM-$?Ih$;q#8KJ2BR#Q~_b(~S<<F}*oVdlWx1*5Gy zO|hOIbpYx<*ty;4;m2SPHPMfefx(ZF;S@B!4+JwZfG{J}0?--RAZ!WcLv=BTL@_Xc z&VvKpAppWKahNg~-6t4(A6xkSy8_Xn@E3dd)j-dWRQS!v0K%ueP{ZSpH$*@90@#0y z46cfh{3P&;kpYC?C_&^u{9t4NVF(X1{jJ{dCK8+b<R(LO9M}$VA84Ecx%@-FhgAWT zpOMZ-f#qKTFFpnk{v!u54@Tb7U|?|2V`4Dy;saxtI4s;?^wsJ|SkIR{0zLojfH1^; zpm7Rh_rcCzz3`ZW0fb@an<_lvU;trOd6<n5I-3nOd|~IGet5#c0KyPenBn(Mbxs+! z@Qe0<=y-4q;yzG2ofYZ)Ef(nhxqu2b1`v*bHaHr}*%&}LMH`~OppuONgg-zpgifet zV*ueZIuQ8})octP4B=tA@BEdhWNhwJ*~Y-|;5TRu39|bT<zE`wc|l*G_QCBzJI|~O zbe<VZl7WFi9eQsuk`R~)i&q#O#N~YpoBKfhN00^wXulB>euUkZ(8$IB!W>Zd6*RFi zfH2H`2@PxvAdJg>u=*25|4v^HT3;`MzW<nIH3Nf!BqPHF=y-br3nU!bL5^l%V1UsR zW<Ug>$$=rjmVx1bAQMB3Edzss5EDZN=pJPd4N8x_j0`>U3=9qeObk=y85kA_Ffq&n zi3>s#2k5*<h;i_HPMR&QW+I>Ot`F_sO3#PXt+4xD1y~{OgC-*e7>(<GquJ1L$OkVV z{aILe?19dc!^X#9{RybE;O>Hr^VQZLd4<h={qrF1Ygi5G_iiB3eacXGJV=B13+6u9 z`qKx8A@w>g_rcVk>h%*rb{}l~A{u%>G3<VV05*s{uyBLXxWW%U&ZmJIeo4^zLD={j zA@?PIsb7oDeGV~@@PoPU1DWoFjZ?wG1Gc^$HjhQfeSMV^cVTlMOAD&|Ca{whek-8s zToNQu!|xHS{|oKE;c_1={hiO~k3)7JEPv#Fg``+m_!)4J=005a5AJ}vPr(b)A0_0z z4^o_WvAOSR6KeQfppyIipzBB;9E125m-}Gp;g^PhJF@#=`C|!M_!V$M%!B0%7>z4` z;0ixU4oG<b8^<8zzL`I~vEHv42fg147QX^q)Nx;m2*iD``2|AmD@aHQ#1?*yX!&CU ziSC1~vr3Rf%^$G&OI+m(EM6h0pMfF1$?PGr`(WcgQ_#XMfSa`ND~Fy}2AeN{*=vC& z4$G%7_rUltdTLBQ%Kde){F{Szf8hrb-FE|;4`BOEVD9@1tyf^;xZ)S)K0(_%`pDr2 zb6*$Q{Z12jNDDvMI2wBS47-mkp@O*bCFInW+1T8-53PPP;3ds{9?*4k==aaU>bC`o zkaUSF{9xg|GQ$aSKNs|TAz1km`U#REVEOj~iS7%6x(`+k!|dyXiW92eVDT%-pSd1e z_z9xLZvh`^;Rib}4}Cp7{G15ry|U=x2OXAypQm{vOZ*M8`>detKQ?Il3Ko6>{G_?h zA39&4;0B3DSorw}Ldw4c=sH-~xGE&J7%<v@554E7AiEEC|K4r1`eOr$?wf?xj)J)l zc0T5U;}CbEhaW6nVYF`7*#p?z7m9X2SAYO%;n#<j&S36Czqgx^`}p>IhhTGG2U`C4 zK%)CrLBkK04`A*?zgL=&`|{VU<iX}X^G4M6%LGBv!mj~(zbUL9gSk%#x=sUj?=vCy zMIBkkjqE;H`8-D*(x-yu4+A06+y|Q{Phf!PgSn5`_7yDsozvP{itIjE{%D*F2|w8V zU>8Vq-xoee`iHfnVD5v}9|t}_+)XI_x<hWiLv|l5{5YWD2XkKmbQ}iO?t{^|+JCV7 z!xK(J+)1kYVB-h3qOO6~SBay~PlCpW78o)!!1}59#)l@H=U_k{A6jspgMpOsA%_bv z*C5J2Sa~>eDZ>I#_+5amZ-KS1HpDP8C<H;`7^HTC2qZpW;Rd5qp!0gLb`(f02*-Vg z&X+>_L9p=afS%I{o2NvNU)cGBFnYmn6Ah620-Pc7;0&!lJ~*J}j{s5Z?!&bo26jK? z0q8m_nEQmG>o;KHXv6;S`UB)g5T5g?3VeTN0d)Qz7Jd>9j0_1Oi1l9|NOT`;z8)5? zF!#aMY2XS!SiS|h4TRT6l_-P4?*N+nUc@jmEI_(nae^53@Pn;ehPeY|CJ5L3!5+Ui zpy%Y^avyqpw>{Wo19IO5H1`!YGBP|sa-RY8oO@WhgVC+fbr-OCTaep8_zXKFy`bN} z2^&9vmD{-D7Z#31+s{n{x$gngeX#NmeE$9m#QM1lINXQpe!>Yt5ck2{4U1pc`k@1% zkai7vc)@ZqjE=7`ipMrS=@ttL1qOx(A0hGjp_74u4e1_Z*!<uHRUQTqPJs+ZK{PQi zFu>*q8x(jLKp1o$AxN@8kcR<;i=p?E6iDzefN%qpzd)3S0fa$nv0<3IVf6APvmRk{ zKSLix_k&W1`xQ>2x*z?1h%cbYMTizKIa7{-;Q{EpL@<wmVYxi&`1xGud>K@lVbU}h z3qK8WH;i`D|CEi*{b!-!udp2Ae$Y8_Yz%Dh`D55Qb_KCq3?K|U&u&937Xt{x&b3<* z%f$e~u=$FBSS|(-2AvDZ(9Os&A(jh_VdvdFh~;7cVO+j|xf@2G`fD`{oBKig*Fk2$ z@(*Z!3UtICES;j?XM%no(Lc2Dc+mYs3`qBh)Pu(3k@zyO1C>CQ;=wR?!{{78?@id; z587W0G6q(Tqq`q8Uj{l~6Ql-&6BHryWe+@<7{J&E!e{VeVgTVw+Nkq^O3D!V0Cy$^ z5Wb=ZG6l4!nTbKc8&WqxL{LeXyJ0k=&mR+P?!N$?KX=#-Nk5?Z1vaGh2k7a4g*a;Z zexQzO?<;kP{DF2h1`tNi4_eUhSLk440AW<!2p-JcFq(13MOkd_Ukln_!N9=q0DAu_ zXdM%B`Gf9$QuD8HGQ>YHmvKPnF<^XLG|b&F`t8j7j@aC92U?#6a{qNm_=DCFu`w{h z^Dk^Yhr=081`wXm4@n;e7dRO}I0{-pZ8*)z0K$hAAud{QhLZt=AIyiG^D1zjlL3T1 zd?E4$7dRO}7{bFOVeKNA`>j@;2Je4GZ(nZcW?)#bo`qq>Q%F7C06i}l>MZ#D$_i14 z9nk1!cn2FFhThWvk!4_j?H_@SE5ho{J<#=#4bb`uy}u3952MlD|MUII6QK2H=<Ywz z&A{-01L1xL=sk>t+z%VKKwsYlyB7e~-+;M47}`#N`HPVI6`(h7b3ke1Ut2#S-=7h{ z1*z9T_eUn&Mckjkpa4ln=<WyID+vl4SojA(*Ec&r&&dPHf$$nwzY-oVkOd|@&~ZXU zdj=v7(t{17uYcafb8I`d_0OQ?ehI>e_WuDK?gy<q0@(v||5P0P0rY+XEd8qRK*9^w zzJ%2;ATzOHSo(p{PDux{K<VcI^!^Rl_|o@93=9e`Oz`_78WgdIKf3#2=^U0$U~WJ^ z*Ba(dSbH3{o)wm^VEWK$m^{q=N+<OcK<+nyp092L?ceNM#K5os>3#_ZCG74OSP8KK z7XE!qknn`{D<QHB3=XjV7<7LDtX;VPx_%O-o^bkym<VehugUg@oNo?wKdgQHXA%QL z!c3%kP#L@XVdwwD+)wKH^(N5r3g&*8zaXx}Bw_L}_nVz4d;tpo05tcvfX)v^a{mD$ z-TzP$68^CA1m=P%X!lmY(hsHX*So->26F!fH22?|&A^a=bpJww%HRlpSh&N&MLA3M z8p!?V`=>t4W?*=LbU%ZGDsk@r0KE?$*8har11o=E;;``F0y{4pI!*ygA25CBG%WwZ z{1LuG`!2}+u=)!&{-igHf#Crc3w-?r19TlEdig&A+HQfRe+lUMh6>R28Zi6O>t&cb z(eGt}raqW93>xNdn8c0xsAC}aqp$x4t>0Xr!^~h11u^je4)=rBb%M--xgR#}2n%PB z90)If`U}0BzX7f9VB)ZF1?j<tVe+v0gX5kzcz*(V`gsF7zg7uRe>JFMPd~8p<6-V6 z^?bx<&~bEFeuu>iHn)SsVD5*-gLl7tJ1G2N?uYH)Vh5d1Q^dqz&<_cJ2Myxf4?Ab$ z!6}G(kewh5+xMeT0qN+&?4PF&F&{S0PRRYR^bezbqSF6?-48uK-5pwgedu9ec(4Si z{MUrI2R;3R`o$pk!NPwYbbb?7o`d8-SO?m!fQiH0iGHp+q52C}@50hgb$j$Yko#fz z7dCzu70kfU;LZfUpX~sV?nlq3Ah(0?U+8)zSUQ1)9}jfA5hhN^{pj;+{&lk8{Z|Ii z^K<Q?;UBSvf#Jb*7KRsJpzVL?dC}<M4?8~`7XG--S3LncA1@m+E(JUP<^vlv{XoS* z_f&)Yiwi?kGcdsXsn=Qv-roib{}ibEJuWgZFq}ZR-$5ID`XSc+*P!E-4^BhOfw^A^ zD!#xT;xAn1X2bl0o__;Bn+Jf>4|@Jp1f7prf;hjOK?h<lq40<2U#L4__QLWn?0j2t z^Dlb%A6k|KKHn2P{MYSaU}(662>$~_x*u2i!4>{rp!;4G9Eb~l0Ys4w4jSjW1aSK^ z0eb%cEdN&DV_-OdbiRLsF81&TjSGPcf~9{2===nF`#&9e?+#2H7XDXMAR(I20$J|> z?dF4w#ELIKMPYOb%UAIJVp#Zpfu{ck(D|jv=fCO^=l(U&eQF8tej>y|3E25=&~S#8 zKe93q_cJVlgg-1_Ky+b}=;fzb(8(E~^bd1CEc_in=My8HugjoMoco_Z`?Kioe*-Ni zVc`#R|1X$0wEYGvS1?@;5rO4j7|nLUj34BF0qFU$u>MN}==@zI_a7kA{jhbFu=*5Y zD+5CU)Sc+#{jhV19xy|~A6Boy^r6!*cf;u2?2Su6?uV5>u=eK$(ESXii20`m1LDG8 z9a{gw&KZT-e+4=puYh)bFl@aoY#k6G_ru}`R*ya3C=a@SRI&kjz6k7m@F$@93(5Ts zhQzraR!$Ooewz?9y}{y()bd}^bVVB|{0pGz2ev*a0(3r)1tR<zjEHkTuK7w>yuj8K zz{($OSi1t6{t1OY?0grP{`mV7{(#&MJKqK7e)ba#3=ZCi^ED0->3;aUD%3r&^aD%h z4$_cv5@!E*XuEE~3DVL(%-lM4H}L&=u=Ecre_GZuFeo6Oe`-uz_`~`yu=D`4=KyqG z6DAIGzdCeW4kk`0{Gp{Sy#2Gx;RrbWz{*cp`={z6149Cm`yEV(b3d;1{{Y&~d2kkD z4y>Ht08Kv&Tp;03DE$jSJr1KEzX)3nN<Ryr^YahFA?M3Y2A!W(fk;0LrVxA4+dt^_ zFKoUU7M`&1hn+716Nfs3;mt2d`_^F(#QlWAA69O{%)N1-ZxzV>=;=oebUtGx!u<z` zbU!Se!`un8=M1#|g^9!5FNaqC5bJ)JKO{fT0Jnc&?l*w8A7+5kKhpWI4Q9lJ|8Hn} z{=pqsN`?4f4YZ#K6NkBfwIM{^gK|i|Cggrt`vFFG`kwy^3V#FW`IE5m&#t`;3<?g2 z^3%bbIQMtTLfixECqi7$z#s~BCrljX&RH4|^|1Azu=)w651oej8zwI5x)9}l0a*DH zaf5;30rL5`7R0%~k`v+{SUQ2(0~?oyjq}6Y0ULjYiNn$bOdmQ8lZWY#cG>?B6#fO! z@Q0QEDxmYd9wViHBHfRx{(_y0jDG%;0NS_^EMCyv4dcVy59`0LHJ)`C<bGKChlPI; z==@DrMEYs4Brg05VCVBd&q0CNi|c&6FVOL4SpS`n`(fb@bAM#B?Q4+x7eK=w=Kl9f zLHE}q-0xsTocm$r&jL|Mc?feqY@85wuQ<#dq0sUlcCG;STiIczFfgFcAF<lm9s|uE z9YAxx#X1It2T129GFTJme)RP@Fq^-@+=+I-IQ(9V2@wCn`~}m8PQ%g<Or7xq1y)e_ z!_p6I{4Mze=zKP$^iQPw;r(}LJqxoRRxhKU@A(8;UcvG^q4WcDKg`^c+DUH6?uVtH zl69c_2N3C}!G^f-?|_cS!rTM1X9bS>%MN<(FibtE?qAFEr3{<<RrWD3Bp{vN=U_{m z`xT+?L?3_t3ms>GiNoT*5Sp%F;)L7}tG8h4j@^+?1G&Eex_;6aTL0=#W?)$0&&U8< zf68Eo-Tk0_%b+lZjX(T=_P=260m*?dEM39sFPQr$!upla@i$n%7^DXqhRMUqAIGQi z79jV-+MlrW<28qY!6AkP?*0Qrx*v8A1p55@EolGIp$(ExVea6Dwx40;C#3en=5mM_ zOdpIsx9ZG#kozY<!yh*Od}AF0gF_%<{JFs%d-%i7XM=@*H`;tC#8w6d2WUAD3x8Pr zlREy4o`2W9{|ml<ego9~u<(BaDnIuy!_Sv<a3Ie8i_p?D%>4$?b|x&GVd-ZJR2()g z0rMA3A3BZh{(HZh!Rt?8<tNPjzd+~L2O`eLV{jzS{hZMDX9F}n!|eYCZRf+pVeY>T z?LWf&MaccIbOWOc*S-w`r5{-O_l4$P@cwfn#Q9(caJV0|E(_#FSo*mJZ70L_g~Ns` zVf8ZX{4!WO6Sl4cCQc~*qldrmgS|Z<_X|MJ=Yoa56X^V~a7G4&M2LwEPT0d=VIs)& z3=A;$!}?#a@Px=RFdTr^3ovn5_^ZIu8+82-q40;v!^$7aWk!!c?l(Ylf2jup!-H@} z`1wu_&e+`#T9*ZKBh3Bi<s?WBgkkL;nEPSwuz>dKVd)>1E<k#)Vf6M<Or&`)sQse= zJzpIb{>h;83nF2$&%nUog5CWV(DN5z?w<lJ|6%i?FgLWKiNnIr0y<uupaJQB!Qus` z51j_B;Y1c&@ru=mory_O0XqH$bN}UK3=9fU2=^Z#(fzP?Cd@9F`(fg+RZ8*D`$%Bo zFn_`Hq0{K?&!-=bi-W=+{rtvf%NQ6Eklf$kN}KS9xgQo^gu)*-?g>kOMS{lup!5$b ze_-dcP6D0(jO2a?H{#qM2d$?bppE~hK*bgOQR`nh===pNU%<wN(8C?Zhow^(t;u@c z475HT=Kf{S@>A*s1H%JVMg|6Gy8QrkKP=v1^rwH2@f+B^U@&`N=gb~>1#vGdTm_)} z?jO8^h);lOfR9^zg_L&-pyKEhOg)T_TR2Aw+5Hco?vH-Xz@VUpaQ_B(Nch9t4Wr3* zf5S)Y?pOE*aX<Pz7t9|paTtB_+oaFP?hk<8@7j8Yfgu6O{RJN6xqrbMh&$24Ujaw> zC&1i~XnMh%4yIw@4x?{$%<)Ec{}X8V+kRkRSb*ey15fhY@9-YG`xBt)3g!-2`dI*T zKceUZyBkKp@-K|fTCMsF+5NEcQ}G^D1uXrO>HY;c+z(6tkkrD!0CPXAABHO*qmS<@ z{kK8czYcT%%crRB-{3`F_~UXv!v{#b;Br4~eiZ5oIJE)Fg3*2xIe#OE{~c)hdHR5X z;Q><mDe$JQ`wx7^?*0eR^n-MCHPpor3VJL61B^Z;FkcDT{V$;IPkhb5prDQ@e++!c zbN>NoIHTv^18=drU*S6>MlRq;|FCigM(^G5+#cEe9?<f~_!$F30+Rbbkm-H}XgP`D zerWi^+yP7f2~hV7;3$7!=^sW1srkM@cK;G+_&YsjU|4|U{tdq5h5rK_?q~RhJ^U9y z-H$8%!^$g2YGPp6tnqd?vio8A_r-3g3TXLL;76YOVf7Sx_`}3u?tz6rto(t+3)B^G z3g#~uy)Aet7qa``K*Qe=)P6t;e*=H=+`r%xB%IOR?*OaUpy?du{s++Tp8yqyI~>Y@ z<!czt>3(!8vil>T>7VZz1A~GFBL9A%vilpL^)D=(VeVJ>0m;7;aHM}&e8FgiTjr6- z?uXUC;cpli5|G@#A%MK_XMp8XX!ya}Zwg<a@d6EJnEMl;?uYpcstr!T@-2+c-=U9k zehn=D>c3}TSb*gIf<W@zpMcB#uOaS-gf^o7T>y1IEPcZr4rRc^VKh^<_jcs)XMv`l z`X>ww50Kn%5JaB)Vf7Sx`fq@`9~REA@P7bxKd$r-b2p5RUQq_#KMrgE!`$Bms=qXm z(m$2m&j78z(A}@_6A~l1+z(R^qu<WfmO&1G2Wa>&e!{?zfaLxS!PE`^1X#U{p8lck z$5ns9!W~A(W+WFPyPpB-{=XL(7#1M8zaWIV?uV8CuyBN>p9N6&;|hP6yJ2+93AQ!Z z+~07Wf#Cs?`wc>=>wX7Vy?_?}4+yv)=3f}i$F=V}vio7_NAD2>gMt=P`lqt{;q@At z`xSmc(m$^B19LZwz9(*n(*K3E--K>4FeD(ke?u5`!yjHQp}9YSfcs(T21cK#OkIr} z{;>3~cZ-2x0h0R*!l~>22RQ1l1yJ|nO8>BSKa6g8Wcvr%{jm0b<8uav2T1NWh#=4X z4KQ~?>mOMCn*c38A*lsXe?5S@A6NSyHtqv8j^X={#SX~shqXUXoPnx<wm(0R>3)Z= zka`xQ{(`ovVdH49@(0%bBzFAAm6!J|visLS+n<eZ7#I|^5&3sRBzfV#0Ehb@K<i&v zI}GN2h2M}Ef#pwVD8eb2dYAy`{K;6yA4H(%H^au?3P2kg7#J8}<5n=5(D)TD_ruD6 zn0sLEhlM{b_ruz4F#5FTRFw0}RzSl)^)UlO0#f)JM3Wc(uznVL`hoS!(A}Q^4S!hq z0t;stjXwWtlrs~h{|mbx#nBPc0RJ!#5}zM%xF6T~L-6xsC!y}wK|l8vmi}SuaAEV4 zxaKin>S5urM6;3?IsL=d|2Uza{}_Wk{BgM-*ZG;SbNOKD1m+&}^T-IfA2ttm`7xs* zvio7{pOc{H3&YOODu^Y`{pjc1!NLo+zZ`Zh9?bm#M9w3@)WhhY%d5X4yC1fGAP{<f z70mqxaiqB)wvGnoPMG_DK;4NR{^<TC6#g*x7cM(L3)%g!^nVz7J{ZjXA4qh+33Okb z!UIVDfw?~(x?TWwZX(Pb=;zkqaz8BHz-R}_bd>fRZ2i9%+WCYV;z<jCQqLDf&o3~4 z!O9&N4HJjadt6Q)#TNco(cE8<K$`nYVBw4w{@bAECBV+rgt_A*^gPCd_mKF)75*^w zFnaCUwKmA^huvR%0ImEnNF>eu=;aJ7{h*gKFn6GbKQ8y9&ri0g_<v{T;NY16Js%X- z{t4)1U`Uw3%mCV-20CjUW`8IL14Ba(w9(7Jz`z8(2LTqpnk!JxHF*nKhgJ_!AE3m+ zAT=FTo*V5RiYkawm~j|1%pEXV<rVK5(EKB8|1WI)n+It9a5>WYw<JjTLuxe!=;;>> z450PfATii_1`p`^$puW1b{ot&FQDQN_#xsj`yF5z5$e(jGLUmrpj-?JrVmC>o%i`A z$o&e?^)s;g>%vwBh6hg&?r%sY&i$}@_W&PcJ{{%&e`q_Fp$B3P%zg#5dkEGb@i(l2 z`5tBp0|P9*!RQS$!%icoe^Y4thoc1)stoY^?F~{O_QKk2FdEl-TiALN*t%etdtvvR zF+lG(gSlfnbR7o+H^kq#(mzZ+%tvcYeWrli-vB)y4VM02IWjRUKtBJDRQE&In>=WR zxCiF`39x+(P;r=hVD%l$zqs6Q00~wG1t`6HT0{}Z{jl+?4bbtEJ-ti}2c9u8G(gXD z*^r7o{BfP{1`Fo~W>ojbK-a-BoP^{9nES<`>%JG*LBtJU5ey4xC=DxrV0531M<%xO zi$bC2k1a5Vv>!p|zp-OG|ILtx;esI#LxUItLj%(ND6sS1pw=>2NH8!Q&|zYDpu+>k zp!2gpatZ1@3?O_m0CL{i1`Qqt5YB+!YxY2shXI5^YC#z0ZWz5j$Lc&b_iupq9~)XB z?r*pay0Zdt{?Z*;Q2&^T;X)KY82^Nx*QC(G&j7+fp&;8B7#_6ngE8E_5&R4Z5&R5$ z93bV)fk=J^5bjN6U}%tFVmQ#m55~$#5d8(E{0txr;bGoiqU`G<gw6dc)<AT?_Thuh z89=TFTtFO%5-`c`3vmya!@$7s9uz>mi1Nch2XbB%O#T|^d^IHb6QJ~h#NVWhsvf2f zWGsjcqxI|?B(b?4RDXfgEr8a$)U3xq=8{{F!Q2g_-HsVf#^(M6==o`|{od&AA1vX| zs*%x*&Hc+JGcY{(&cFcM#|WxtK}iNy4x*<IFHm{_1uPUl0P%Yn84RRZ!FW38{<L03 zh69qUV0;gHUfl#GRt6B3(uZn<QWq^Dj0P!I1`vkuFw_6B%$0|+xqkvQ{0|sI@-Mpk zb*7=VV|uhv(?7!mh<w5x1_luJf@~{e_^^ioj7!2H_esIrRWJdSe<BIZJg7ogx`EM0 z)7P3}bN>dY`(gX#(A_^+($B*;eV4GgU&03BVFl><d!Tiy>`3PaHpxQdVdM?y`I#SL z*cd=q2NG=z3TbR$yg?kM5<>rg@;}70F@W$r=>2I0`D_dzobL;Xzk)nA1`vkm!c0Fq zjoGhYbAJn@x@CCK0jWPB?nm6OGz)rvAk5r0ScF0asv@BbDAln7k{%WmvN3?L5A@uf z2RUpEAbbp(-WQ~@F@SK~0*HF3b~pta|Af&ySm!u{+MgGo=Z|GT+iwgu3=9gni1Wu7 z@*o~U-~R|Ye~giV5x)Mm4SIf*!&iuUSPkF^J=cpN3KAZTP!~;x_LCp1hls<*Jz@7b zE)eHsNRZ%#sz!t#tQ@&~FKjY92M5OeQ4MPt7!nj2;pfjBfV!U>Y7&eF)oUQ33=qM< zz%W0Kf#HBS6T?amNcd&2GB6zIW@NDNgoy89WngINW@Lb!XSxG)PGv761L!={1HFt4 zuyYm56c`u|bTBf2%b`wKC}5snJ+)c<EGYapK=)t6+MidpFfc4Y+P~b84+&57@CW5< zkm<1agztw+gS5k--eNcaT_+Dae*hMKuy!YG{U@wFSHK1FKTJQ2hV_$RbVdKsO(6Hf z+MhAd^s}Iwf#JayW`+e%A?qU@3Lx$WRr4UTVDtj$`HisgR4u4G8^R#z0i+g$(a)uU zxfAYw=)NjQ@122RH#A)_L_^GhttW%Et6|w~Mp5{CWcS0u|M(^bh6i7n85p4J&lw7_ zyB~J`A<X@<(0&N|{*e>V{s3&9D$E@Pu>FHj_rt>VDQsU1bX_{kUm8${3qWa0nfX(Z z-JcK!sc3GTW?(qrj5xpX0Fmxr1Py<fJ3(O&!qL$EM+>0)lws+o06HF*U<yfZuzl5c zq33GC(v<^L16p^PA*N7k56JxspydxN{6W`kEf8izoPSt^J^VrC56FG6@W*w2BR{PF z3*FZb4L$~3=c>WZb%gC>f~7au_&LlUPc`opgWL~G|9a5$zvw0d!-MIF^3$Q1IQMrz z)5C*1knjZg4TRmH?r(^Mq-SVwGQjpb!16ULUBUJXz{Fwc3g&K*nIK$c{_h9K{S%<) z@4>?V-C_oYggMYoCP-lkcK5^1KZJ!pspki}K-bU1_AkJ~Sps(6BQ*WPhM8dUASZ#a z!{@D1AoqVjJ3r?P=={BT2=^Z#(*0%7b>`^lKj#Oe9D|9&!oLhn9Ok|TG;vtG!1RIK z4#I`|ruBi`e*i7~{iiZ8BrHU@zX5uVI7|da3v@9sFf%YC&SQYOAC_)Gc7U)sbYB>3 zpDfHB51{QJkeUyUybQaH7#J9k`eAEe7BMg|TyWyW82`yP<>vsoAC~@M<L99B&mVX& zGbqf2lw1yF*wYW_Ty&7#u=Im#JmNhxohYD<N9==^w+W4qd;tsppU{1j4Ga)*T;adx zu15-J{{?LP5SIRr>|tPdfYg3qD97%8So;Cye%St<h9uPd`vU4thh&I2to%uUmbV9> z>S6J64m!>P6NlwnSos4}=PMozKL2L{^!z&5_~Dn;3=9wMGclw<%Z&p#+z&hN4Ca1V zIRk5_f#L>)uR!;y!O9a@IK%e!!^C0XoB*vCVB)ay2c34?Wnc_SKL?=hkB63@4|*6F z6p9gvub~2a_`}ZMgSj8q`FlRFd;#5;2Xns_nmEjT==&mJ?FU%6gTe%at5aBKVoN`H za~K#N=rh94uXCux?tW0e9%K&8{jl_N0Gdufav%&#KMg6^(+>kw9Ogb)`hkg~ryrRA zr;2=L0fqks==pmV(D0vmkAXp<6fyqHP=($7p!O%oeK7ayOF{BGY@awt4uq#c_gTZl zVeXVg6NkCWApv3z`h2hgsIdz&5Q;loFY<!iKLM@$zXj@dBcFdor28*H^W%c2ka7cR z4Z{cM_&@r*;|!?ygLa5|So)WN-s=HV4<EOM8VHLIwvLE1Aos)SFWCH@?F|No1f=t$ z8mfs4|3A?4(_r>Otz(dphlD3g92Wktb~XBZ*feN3z|_P1g}(nz<=MGa*!JK30QJAj z5c~HXYKU|H18DmPR!_m)Uksg}gNegRhIKG^Li07OUttVQSLpllVCf4+m%d=AM-G28 zX!^gjgn>Z;`TVO|;@l6r{|R=U49xwo^V`we55~~)8U6e**!@i~aaj1n%72)-Hth@Q zK<<a#F9fT<IzZ>wStG*#0Fmxjfc8_+)4z!(YWjhNpA(um%$*F-`2bk@ft9Na9Iz7x zAvDiKB`bCgj>rkn{ihoOAolzNonMFK{)Rf@!ruYbPniTMCt=~l0ri){Wtcd`MJlj+ zY)W9_PGBtt=;9m@ahSisiWnFe6#3J@^)D>{!ovU56$XX_K8W(up`JMRGeX@D3pbcO zJD~dpVdAj->!Jz?FPJ#Yedf?}5n<x6c!BAInH#)YM-f~7b!IOE!-Lfb_cJsQ=YDuP zhxW5z_Q1}+g7pVs?Vmr;{0kF@xi1Y(99CY!{0B4FZr2B_;s0na14DufQu-&-{a@u! z!xLr?AN1Z_Si2hL&K1z}mtf%xbKix(kp2QpJuLiT{(zY)lm2!CDF04CJAdX5==>Zc z_ct^W7ygA%cf#foVfLh<iNnHQ3%Y+5rXJ=m1*ka8{V;#Q+z->A^i;nR<bL$?6E@9d zU{LTtgug=*aqgFZ=F<e|IgK#)pG0#%%$*X@e0pFPB>%$Pmj-n|dc45=0W(*LTcZKw z{tsy3{{xi%JrV9_XeQ46BG7vkVd)uWj{;O2)*gnr{~WaZgsF$Q?+#QPrXHStU=<wH zV38QXX(0D+Ks$dx;wtDaAB6i45b6F3Xg`GkZT-Lx0Z6`pr3aY%;rSOjeg$*?25A3< zp&Ak|F!w7kpf=#H&w4HZa=!p{{R6E0JaU79!2x;w19U(g=6x8A-u}e3o}dBd9%#7) zbN?J@IrHE(r2PhS{~@UQ255Z&sVy+qKfL*SZ4Su&4p8^Q_P>9>$iT3`7ZLsrt;D4t zSo<H=?uAA(!!~GoMo%YszaixeOdQreV1l|I)~<tvzXZ(VP=n7*+kOY+{sgG|Vc~yc z3IjvKQ>600jX3wi)@{J@9n=~I^m77X&VaQ)6ZS&V2`v2ILECRIe<?sEVE%xWKl8dC zd;z&1HvRx}zr;BPh6e$N^IHz!a6jz)99aKF8rmL1AHUUyjVD9XIm{iKpz2}mI#@bE zKbHoQdl=yN3PZiau%!Ds%K5{v^)sOPFNHaX^IICA^I))a52HczN}w=?xnC9P&I6wy z=@}#k!q=ed;SxF_;;``l0qwsWfUc*3`RfC8`~=-!Fn7b~*>XyMk;5N0{sX!{Yk?0l zgT_}#`gG{Po_;|0YJuDbb3eVue~cZrfydt*(8|w{c?=8+5s33!7&@`LA9j8V%>CP7 z{XS?q0r?GtIiTYqu>K1y{2id;u<=hJkYdpNg=pfib6a5P9!6W{gdD{-|0uB<V$g%V z5O;&_>EK}CfZzYcYX}+V6JX$B0O0^z28IKIObi7KJYXDS%fO%@#KiD`fd`B;Y#A6D zgqRot7<s@LHqUi{k%s|<d*m4y90Zsc6qtCxc&a=D!vX;&h6zkOU_4Krfq_AgiGhKc z2ZABa!ysY)g3;eroNvVDzgb5iS`X~Q@4o_O9tIGG`R@WV4+99p{O7>J!vMlC|7~F5 zVE|#6{}fnx7(f{2zXnzw1`vk%?*l6j0|>+Xm%zru0KyR0VUjR^!RRDDw{_V3Co>D8 z_do)qT-*=^ntMjXpN9=(eM~|d4+98K=wo0|;DPmu85lt8pFsBmI<)aHfG~J|vWt-+ zpp6HNJD3<48bJ3;cJMGTfUpDuLqjhk!-sYrF#a|VvK|WJ3I_Q4se*PM1`vjcqth^d z!RUw2mK9?2-&4@}TE7_>68=Nt4^)qX^uY3kQ5eMJ4J#QLK-dAwhlnyTD8M#8Kn0dU z*DW4c#mE4{zFbfVD76kce|}*FBLfJ}+YXh0QVU$53<4C$jUXj3dhYX$2eA1Mbp9Dg z-2>>kZJ>IF1L^+E75hN43=9c2>|m?_y)P6Z%E0g{4#EnsXJ-K6d!YOZ5dxD6wqOcQ zT$%@AE^uLI0AUVTMFbIMaMOk`8f@7aKp4WqBw^tXqi65rss@$s2cYYNVdKjNiy0Ug zbeQ4ua|(Tsc!ag?U^Hlcj+KEGUhi2z`?0Y3U6?x9eXa)oco;5x=V1sCgOpDb{_!v< zFz_<K?sH}M&%;pgoreLoJ|N*g55t7-JPcu=fvHd?h6De37&d%IKV=EwPgwg1MrW(d zy@kAf(h)lTqXD{*lz{=he&7Stf6!$n3@{qk{t?(di-b>*njaRP@cBAuI}}!r!p_%( z-ERY{hhgUq!p4Enq7~sWC=2E<7_B4FWQ^=T*!^;Xk&v<iw!h&*KP3KO{({lC{0EzN zN8g_TpC>@`pU5XjI{?-$g!vDB-v+7vE9v2zi0nVu{V_WtQ2n=I0%`uE*7|$cd`iMS zNID?ZfAJPqt|R*oc7J$U2CDxiOeD>JJ<$Ha0}V+10!yE}p#7l)5r{Y}eZt1YVdAj( zOM;rC0Npo1$bT^NV6<LDoFlUTVEc!rK=+@)(r3XW()<U@XR!Vc%zx$3^BH07Etork zq3IJQ4x4X=<>LpS1;U{60GiKmQLuamqmA1nP|l}-rO#t%`7dBHdH#dtCz$^tVC{Ld z{C5D_e}vtC0gFF-X#Yjw6nW|MHMffua{O6B%g-0+`@g1;=D!)xbcOD}d1&IW_*;S| z4)Y)SzA8fL6Bci<ain>zO)1F!gYD1DMvFgzsigT2R^Fq}hpmG816JO{{0E<Bg~lt) zf3S283nyaz2TLy>=GJH-`wzB%#vvWGeEERGf8x;e19LAdU(`U?FTuoN_QBSt!RBRP z{zETsVg4(Cj<3SToe23)!W2^X!|2nhy){f69FYvr{T(a*L+YWxUM7YIL5TU;3)8U2 zpF4Cs40dl7%zv=>gNeiZ#{pFjAGd&NfUQ4*jZ?zXnFqAIWhjD_w=jQ0wP8>&dtefd z)}O;b@uvXwA8h=?T!V=rAs8|Kv|&1S|DA)LOA9OaVCLR~x=Udb#9ffoz`&q}R!+j& z!3<FKFmqtdZ!ze6BrN{W-4C-5M#I7dM$htD4L;ulHopa{{|;$ERzkw+hY2&V`w!Q6 zAncwO^!r?3<5w_oSo)NLg_AU7`~((HanSvQF!hAeC(K_ka}7@X`2mW*0BHQd>c7S) zCWZ${@mDaDH2>kcZ)XWw{K4Fj03COCkVExf2rOQq<qom_gT?33ZH(akRS8i4!P4jV zDNGCsNc*b-W|8JUN$7ai10INbVd*Lhn!jP`5az#y&~cdrw0Zi6ZIF5omR||^51!tk z9u(o*54yjZ4SoNlE@=JMIc5gX_z))pCw$yx2dG~I)eE9b;~5wjx?#N_1_l>2ehp}S zF-Rd47lGEbBdI@tw0;YuL=Bd}KpX}J_<Cdo4lafbTR0hxg4TCI<*-pO|H0_BMgqIA z^=~gOg6f8jFBQySVBka=U#dh~pT8H{pM~mT*yaIaLFl<?>YJeZp&+sh42RLwhk?eE zV3G_B4F5pkg2XTAN8%tcVg7>AwXxOA*!)+!6r$-t5X4~6_ylN(0hZ3Br!g=r5N2XX zn9mHxi?%T^H0Uxh2rOU*;{y`V{R2yx848v%Gi(S$T~GaCJ;Z#6xy%e8d<|qc0|P_A zGG;I~Ooqr`n8VBf!ckEW^Byc^W&q&}G7x!&h0F|K4CTW71*7vm#dKryU&%6vhJ;;^ zd<Pnz;ACKjr&A4Rd|WVLX8>VXI{RS7&H%zaXyXq;&~$genw<fJx1z=031~W1uwrKb zVc7WE0eyA`5Jn%bXk&rwXM?yBLBjk6qfZ!o3diO@Q2qnydH^j~22(x+<wacia0STG z3=9m=?HvsFmI{K(7fIOs#ujM%`on1k28Mfx_1z2RL*fzEZ->#a_30f@b5B6~2M^33 z^*U6T!2&wJmtYPNht+SM(0Q1K4v08x9b6r>UP!2el)td^fGVKk4{9Oe=<Bs$<Jd5J z)0^H-1Fb(mKYu)IF=+gm5x)MuVF7Xei-3-s!{)PL_H2Z%M~8{S($5^|y-F}~SpMFH zCJyTlz}i1B^|1N{W)Ey12CTSJ+4cx!f5Zf6{F%oxFgy@Kl=}$_vHK6S?-gV=EdCh2 zLE5kA^Meu4bJSt;Rxo$9LDx|qH~=ZfptT(XCv-h0%$x?0B@7G<GokHy*gOSnKMquy z0p>4QJt_Wt7ij&C<OJyY{UoUWisBd;9!z6mV1VAg?XU>D{}{R;Cd2#(8!uT92T4B= zSq27n1BlBPBtpbt{#y)PFSFn{L>%TW^nE0-bbAZBE(6vMhxr?34=mlo%<uW`2VP&k z0J=UKcD}<d(EaptVXa~Y28G4g{Rdkg5A&Y@biFBTUJYh1`nq#iI(z_~&x5IlxyuA< z4lMp)?tTkh=M8IbLqZK^3j+geo(h)!FGf5B@Bd<euD^w~&uk1B7!ul97|y`#UxM9# zXP`+K=0Dhc?t*oY_<-5Gg$3#o14ud)gi5@D^>?B3WiWrtgSPKr{)WYC5p-Vi!C6Ro z1T__E8e)DPmS2BOFPjY-zYc)<54Jz!P7njb0;Iy}0S^BifbIi_`42tcz$~5y&6lwA zUSRR`fEVIISbG%azbVlBcwyqOW|<FkpBzlw1ZDx0hNTNAm!XP{^)IOWoB;J7EdJ(! z&W}U7fBnEx?D1!?A7T>Bf3SOw(buovgN8e--wsPZC!zOq!^9!IZw7`lX#HTAyJ7uc zSbG~5PY_oyz{?ldIpu~^+|5Dp2dn?0pyi8CFav`_Aq&G5X!=~R47>lXprub-^W!IA z{UYc(QkZ+!!p1Ss(&r6mJqO!g21}o?^baeCVdW0Ye<z>}nEyi37lnZQ#{gY_9Srr~ z*;odK1)j|C``a6qWA`6y{W~oFaIGJKj}t-t0ZX4pq4AV3ACg~T=~D-KP6e#qfcb9^ zG`~KmhU8;dc>?toM*QhNNdlj50*k*;sQ)rnGcY79V`6ZC)(;6Qu=~#et$wJ6mJ6_Q z4`wfVxWoJx4z15%<p?A<GB8vtKv*zyVDZ!iP0uj(==B3c7vlbREw0vQp!gGj#$OuL ze=(r-|GLn`$H2hguoAofVC(;3@rSE?*#WJ`VeW<b55C{c1ri^yc!J#nrSJ<P4)fmy zXgdMsKeTC7_&go7InNOGH82w7KiK$-1Jr*r_AoFkK-%A+u!=bU!Oo$9jhn&Z&jUJ6 z1RKYM<-aGe^?lIs2bjNM>y#5DQPZsgv>off1aS{6JYe>~@*OOlhbCKt?@vA80*P7J z{YhNM85k4{5aWXkt0CsW+SM=`J^uDU(;LhmFniJ63-g~0wEY585A)x1Xn6~ZCzyNB zL(@MjUeWyrGZ$v>ht&+3p!#6}G=0MA2My5r@De8Y{*(tq`VUq=pzlwiSN(ugWrMvK z`DWPxQ2IpQ|FH{n{_Ao?`|Q9P?C}Tc*Mkg#<-a)+kaPfBcL|aM;R!sb;;?XT)I$}A z#ose!RB>4R`9k-xEm#F<zo5q-EZ@P*X|u@E0{M@@3Su5?f5H+_`C^0^-(9elIR71k zw)<h@@*ux~@HJ?Al7R`5pJ4ujtp|MoJr4`!k2BDG32Udo{P6?2?-3>rSv3!`0fJ%v zgVA#i$bs+wf|V~$(DG&f76yg{3xxj~))D7FSpIv!2?<|_tqcrs|3U9Xh5645nxD|~ z-!f>u51XHX`P&ZK-cS&Rm=E(e%$^7Q5EhJHtiK7oJ~sgxe+kh0r4`mPFf2gwU&4Cg z{HFjdCl_!)?1$LKzyKS!hKa-c#|CSspp~Dnb{MQ53yTjMsQQLti1{#o!|Z{TpD^_d z&HrLS>9YaqKWk|GJzc`UkYI_3KZgy(`R_DzoE8=zFnblC>qcPWF#n;qcVPJj{a#5} zJi+eEgq5qXdJ$$1%wI6`XGWNU*M~2F`VY4L#bYl6!-0oP@bk?SHWKH*80b3i2W^mi z2D4WHI{psJmoWP`L)X2-{0;Np3N&$8Ji*o(!rIp`f5Ys7>4%yB;B?JaQ2c#>`VTh$ zw*YkhAJYC6hE2rzPXjvslE4c|KQMa(VB=1i5OZMmlRIB_0D508%-=A3VCfSkE>kpV z8OVPM(DnU6(DZp=Ed#>=eP($4Js{G5xaN}rpz(UZ6cVp6|LugXH#l$)A`T0G30QiD zio@cm2HNh1_1_7l&)vV%bwK`0fcg&>e~D8V7!sTj`R~AHNVvn=Eif9r{)5fuDtJKL z3kz3j&*#GGSD3$H_Q2u|MpxW*Yy|ml1Jr+h(D>83&A{*g>3-}5TZr>t40IjD1L%F) zFniJK4Ol#(*AFmvq1O*Ef1uY7kXjpN3u1f%X1?3W4sib#wtoz^e%j{*14Dx^qI_xC zN}T^->jYr=A7(SG{|6I?_1|Ih*syvImTt44{lW%WNIHPIcLHpGCR7|29x!`g<tL2) zwSfbCzdQrl{sJq|{mA|Z|0QfA&VR7>NCMja6j(U|D<@(8fZaz7^Eb>Nu=Q)OdI07R z*uE8qLP+@qi&vO`VCKU3Q<$B?LG5b;H2*Q2V_;A~-v6<kIR8C>=KqFti2X2o=+(Z4 zg#(PfVP)Y2%73u_dmuFb$xUWpU@&Bc-><B&1H1oV_d~<l*XZ>%%zx<PGO&1pokOX> z21$o7cfs}(9*Ba36D*!CK<iP5e-Lr>{0AGqhSd|H{!){W{TBxHp9ARpx&S7){}^@> z=Ra6Fbl`%x7v{eU(DwBMd5F7U=_m%8&tT#(f5X-%BzQv9!~AUn-S3kCy&n_3et`K4 zratL-WCgPSJfZ#z1D$VybiellBK@}*TA#t(3-ezbG~L3)Vg7^VCzyL-{yPC3M}oC? zVDSWxSLiquhW{YTK48`|*i2jko?lsjmj6KWn+<0X_fH>y&U3=*Js1s|_XHUROP{d) zKL;*B!W|?B!sz>d96;-h85kJQ_x}Vy#nJcwBtXRrVCfTju1ykD9ApOwqmPF&s!v-E zDqqm|S6=94V0hq-*dMfDH}>=i+aCn;A8b4feSeUIC^QD3{RCM29f0=pVC5&weM!)D zS+M>CEMAvG_s_z_(dz@KE%0%|&h7thg3_k~bpK5oG<_ae#=vkO2+@CU*n{1Fpm|+T zxWW9F{0{s4m;$u@i9UW74?C|8I_?WAM}EM@?V;kZbXbHo4u?KI=z$pW138N!aBk!j zQ2afB&L6?XUqI_84xDCYD9C_>NCI>n1#Djzj0UZ%U}6C6%K;n5a1%OS)NmX%eTMKu z%u$#H5r?^J2{d2A;-di?q<zry6da)G5VRizWCOl+6%%Bkom-GXC|-CWVK+M?qhtVd ze*nyXp#4V)I}w@H0lH5Istr!z+n03V3O566-wt?R(q(Q2*uEXmzN8PAxfx*lc0l`* z3a)U&*SmoBByG6D%>diC1KO8laG9F{wr>ZtFKNSNZU)%C9niicgDbF80pZSL2vonV zgUx@S{YMVF5dKrx4@sX0oe&l-|AF=-30y|_540y~!$pMuKzou5E+PB}+LN>a#0RZ= z>171(N&0XJ;lBn}9)^O82>*fhBz?Gu@E>STQo$u|2K4&D>VjhkX#E+ie)oswKY=Nb zgz^D){|D56u;L0v<Jupv89J_#AO@)iVdV+zeDVj-dqH6325fyfdV380z7SacgtgaU z`5BV>85m&o9*oZTt=Nstf6Y+;!PXC6H~@)1n7?2&F8{&K=Xk&d$!{3`gNnoaN3DJY z%wMo_wX%u%1hW5Zq4D>k2NHiU|7|!(n*U(ot8fAmvM~Rlj~~MPHwjvw!^#<$JJIhY zfW;sBdS8ewi2boJ|NY^~I)>~&*#4CVQ&Igl;Sg#5L*I7-^B=DJMbP{AF#n<7n*y81 zMc+37^EXT%jDD3d7v=st4`}@9W}^D9;4o?adkAY+LdR`k{zH!sSp1>K2h4rw_f5e3 zQ3qWQ3!CqT#UHGF04e<#7=B8yUIo?f2GH>(Sp9GlG`~`Y)c-pI@gJ<-gVCVz3FP`O z8aBTIZ6CtyiHC~A$`6=-YtZUBn1A)5<GTl-=Vrn7d%*UcefY`BV35kdur{870kn@2 zW)H0245Rx+4%=W`e+D}L6r|??^xW13q6`dN3|#Q_bvDrbn;<nH+-U&W&k16KFzh^g z1zvUrFoq>8kR$_Se<zd)reOO!1$fyRKp1x3{RcjF1`zhK11p0QDQJ8i(0TLvObiZ! z>|hL6h{k~V8%Fo8RDFxh|Df|zL0T6;&wT~0Lj$b|hsDbdJ5>Kp_d?~Tn4<Dw=ih_O z1mP8E6AN+B^^zbt5Wax6pYsKjpAgH<0KyEeAXNzb7?yw$LReWaf5Ye|Uf=iF{BJTB zqW!@yNV*24V`TrwXhPGK1QP>;1QSE4F+@F#%+i9$3y3o@fUtxl>iSVoc!1L71tBH| z5axhp7zbe{1`wWV4lyY}goy!!{d6IG7<nIbzCNfOA;!d@AjSlqUILw843o!2!~6}S zOXG~sVDo<j)c*<hA@x7H|K*@fCxNw$3?OVE39<3ORz?O8o)0=Ko`Hd3!4^g^PEcfE zXy|8Ta99t)+|Uf$u$GYlgb(^b^>1QiIIxM4;hY~teZW>m1`xgtl^58?$N<81(1m&* zwlOk*um)^BEyNg{WCc_Pc3$)gwQKJ{<=Y47`nMct`F$>ifgu6;{MVC^bO7sj!f4R` zSkU>|u>Q-1I7mW#;0Bquhjk~=&(B^6bqD(S*$1HFcKHx<7<3@v54#U;OFcv!Hvakm zsvfqVRzQ%K;esIIyltp7oPyO0F#67)F!1>g7ohzcSE&C%({&9lkU9*c+2Is+|AWpi zMfSfE+WIw+UJ%B0PVW|2e-GMkg_ZAx(0Nu^eE@SWdN?|Q3};|~?<X$E<Yh3(!tH-p zx`)vp^to=cb8uLqum1<#pRr&%GkpKB!)b{BVetv0LF=zTNgdYSnE>slqMv_6t@HX7 zblDg{7<S*r1RXX85Qg2i;h@9D0K(8<!JuINhS5f>#-RJ7F#Hd?zd~UqGkkr4!x`-U z2d$r=r~exkvNM1%%>N%2urq)#%>N4(urq)#hR2}-u=Wki|NhAiOrZSF0G*$JwQtsd z?w>-MUvfAL@jtZKV}Q}1auHN?LyIGZ4jl2n655`Ft;>bgqp<lt*tj<={m+2jX8?6J zL#ruj{h{Lwsb667@o4vO!Nk#Nn7?6klJIGMZ0R3#|3t!U#Qd7WIf(yZ=^jRd{7=pJ z7f@qm0AXDB%{)+JWdLDV{0FG9GJr5F{tu|KGJr6;zhQh>IRfKvaVygW$3Jxa5G?-V zE;BGZ5JaqBcQ_C6KdfH~qe1N=kXf*G*0A;KuyP9|2g0AB?NyjKEdG<B=>jGWi+??6 z{~IO_bN2#h`htnW+Ve@U`U2XnhSU-un^7@rUjnSY`Z>J~a()hU{AUle{QqLjz|gRn z1%5t+!v*aA2i1Qd8({vw3tfNHpo?1ng+tfHF3^Saw_)yE@EkJUmjD%qjeF%n$H^EX zA@$z|kR=QZ3>DDv^9L3Xb71vi40PQXY~3c>F=bHQh;@Ik@YGm-1swmd@e^46$25n5 zAwisxVL}1Kr4ARd`yaMG9OnP?(D8Lx{J<=>h4y1$;;{I?2VD;W6Nklr8k#uFy;so0 zVezjJ4{;~V{}3L`b_5M8r(p70PpcC_>Hh<C{qZDd{0A8@Fg$Q)g0Ek7xP;yRu=T4j z|G$E+KXEXG#6Qet^m!xLx>Fx$KeRy{63?*pjO(D`2pivonV$naR}Ut>2x>tA^xR^Y z_!6i%It2?ySooii`!yZpe+Fp&ht0ol1I7OeME};|GIsxi%0G}DF!#aM@g!70`~#8$ zVJ&F9!Ng(q!{%=pQX%OX7XPq$C|JD!b2n@r7$y#j{|IP#0TYMCFUW3W46FZOV*hSS zMS%Q|K0k2_<bQL-`U8h6#QDDpIzJDaZ-CiT02PPTf3W)J3UnO|OdQn50_nLA6-Qr} z{t7A%TVDYS#}=shFmYHog3Ln3uyBNlnLA(f0r?*m|FH7kWhn!Lf*q3ouM+2f*ti=k z9$@ys=Br`iFn6DYuIGb^!`vMMU8e>Uhq*fuO&k{gu>CSH^|<06W<JdR&FQb?LH>UL zZU4a5NA2DUTEC9u|7*ngAJ_UCQuj0W!QvA-zX9zoG9*IF1z7rmwY!_tp+1K8BVgeL z3x605QxBt8r1otA`5#vP+d#{|XwdyZMu_=2hwIq=51XHZ<$o{eK7<A{)cjuoT~`I0 zFNL{BMiAm|SiHgFr4hD{9lG8T7H@gbb?-3sFn_|&!H<TNBd~M<vj;}Q%6}L=^Ol|l zvj1zK{<j0|fA(c&5P|jQZeaI6Z2k`BfAn%5X745Fy1)lM5ck3Svj&=;6?`G$kkDXY zcnU4gVf|cKyC5FApAjYwt1oz<^Jfp#A?Co`1G67S!^#~P9pWLj6x;a6fo_P72XYX9 zuMlNm;AY^4kN;^fLB_W}{A6PQVc7Xr2EW)CKp55^H~7uQ0K%e13=9i+nHU=Wuz|4| zf$>1tc~}g8*%&|=G(HI0XRh#zjRAyzd!pW#$>D{{zXeO+5O2Uqn7?5(`w_tuZ2q6H z8>*!TT8~cz`JWl?f7p3n2j+1zfH2Je33Ip^Kp1>}oh}o@huz#@e8?EmUrE@)%>cqS z_7MJr4crVM91{lNLv3Z)0zL1{U?(>N2=7jS$TuwGW&mMtGl+j3c5pL*@ES*myu&PR z1`u|OfXElj=Vkz5n7J^2!|3y8Th3wgzaI4bz6Gj~_y^4cf@T+C`STweYJW^X3SvXT z8*T;=mVojF-f}a5umXtR&B$=zEjJiDz#IV4#-IhO_@I1d6(|Er@u)z~_k(g7MA76M z(8j-JK=}plxEVkgrVnN<j6N7pk%rCxyrA_#3=9kpnjqzvK^G|g5%;&j_Q%7_4UmL{ zKa39=-vqH2h;uQ3u&p_2c*#J=GapEBF@P{1tUv;3Vqjnpf!==#<uc5IhL3_27Xt|A zqKy|nhu)tDQ{Mp{FNX19G|b;HdP417UTpqvhwiUefS$uDp$hUp;v9%)jF9{fGj|ef zKoBYbD<2k^@GyWdI6u@gGHfv60b|(y`34gn1`vjg_bZt4Fn}<w@%{;BJPaTVAMdy1 zVQ{eIVK9K^C#dxdj4%(v1fVo*{S=H|5#i>GJU>?iE&r9HAY*^9`A36$kbD5@>w}Df z(YWSU(f4z~@(ud@6|9~@pTC0D1L*Ttuyq`;^;od>KCC|hYiBKZ2QdLwZ-Cqk!Z3fs z==hED2a)|>2lf9#wDXe;?vv(!bpOEokM18>J%}DIuzFn!T5rPohp_O{fUe_&t;>S= zmVtqg|6$1jMh6@VKaMT^7pw(^76U^<ETsGg^>aXbLt*~-&W2hZZQlk_4<j|8<u{Cf z2YOxxjL!n=&_f0CO(Em`P%eW2tYU`>T!G$~4COM^C8Cxm==Y#6fDH^oH9VURWe}iX z#Skog%w2Ls54n9*08JkgK=&iIvcT_0On8VreSqc-L00Yn5ey6r==lOF%uoPr2f^-# zgT+5=KRqn|Vet%G2L=;|<qKFp0CqknEMF{xo}U0y4-4KG(0%zZaa`>tm^;zK$9zcx z`2HbS`?(4lJ|c4%7#1LnpENwe9zL+~6Il2Rw(%1Kv~d;m@&pzxFd994TFp37#+P8> zqXydlg%myu9*>Ohfz7+Y(y@etJ9zvZmVWx6>F4t?1_lK^*eEjt!+|H*!v{3~01A6p z`k~hT+bPiXz6!>W_75z7!RD)A{V!O0fbGkDU=8Vi!19*?bl)MYo`TKqf!vG@!@>nd zKRzsU4^;kafbRb+frd{DXnnIGqJRG2DfaLImD?bDVBxbEy3PXTevljp!}c3H{Dt@z zUd}T>TmoCC1*@;hVEsbq`bt>6bP6gCD^FnI<^bKd46_$jE-^yOrGzAi`(fb;auYTT zTmJ@gN2bg5Qc(E7_W#1pU%PgTfk6T3{w;>*5c6T}78s2lKIru}%nh*pXs~%bSomy& z?(>ED3+65!n7^R+puoy=^nGx!aJvPa2Y{Ia33Ubr7wEhJOdJ-TFn6KT1)%kX42XM* zHIMFU1%;0Tbo~zOeli)*{U}J|n+h+83m;tLJC@M;3zp7d;nM{j4_+__Vm>T<BB1-H z641^~yagNIfzD%WfUa|ifR2;E#`g|D#Y1HwAq5k^02N0M7Z@M?{351TPkce^d(qD? zS_Qhl8F~EkCB*%(bOWQ&(@!F7d<L2?VD{dD-KPZ=2hACR<U*k1Jg{+2Si8&{O&rwr z0;zX`o{t9`|AK|H6I4A+95&ADgQgx9{vflkVf6fU@7)RT{t8(Bf}Njpb20-%0@D3n z39pC?AN2Mw%nj)I4(2b|xM2hIoIsfS&7k=LHl7B{U$FCx8?qqfFf4ybL(?ZLA3;(L z1H%fm@{myeg4qLe_s9BmCqelu06KmO8$VtQI)CmlV*a4vHF4pS0BzT!?=LNbo_mCT zUg9k1dVN^D!@_3)be{su9GJTgK+_4#99a0k_5;AofrSrjKLAV|*1m!HADxDo2TMmh zvnn@(!UtBrhePYHZBrN+9Q+XBv)~PJ;j<hXj|#q!dJ1Mg{N6HXxdBT*==XWR!e>8p zoEA2Y2n!$B`sRe^sObm3{~Wr%9u_{Zdx>D_3RbVd+=WiV!Utxbnffe2Q1}!;_rG{S z!$+Z)fk6Rjf6sxp*uw|5KMPjBYe3^2<{p^+xbE+P-7^g<KVjhmtM6d-KFqx{pz~NT zaacGUfR^VB(DDry4$aVf3ab~2U=G4T!|Z|4Pi9)XBKObTpy88^wto1+J4pDz%1;=L zYkXoqblwG4e!$WTtp5xPKUjF|g3hm@uaB;P`Wq$=OZVHM<qAw37Vqf$J7N7ySh)o| z?;IAc=)nY24-?;H#TW|;p9N^=vl?hIGbn^2+J_(BV-Fu^sJ~$6s=~~Ltyf9d2+0Sq z@R<xPKVagpVdj0%d3l&P%)fVG=0nYal^+JsbP6k1VCf}F8tQZCJPvHVo&j|J1eT6) zwG(0S4x{<sYt6$pzVYA;#HR<+AmbaLd<0rG2J@$l7ewA*Hy;BCdwMZ2EJ%cmiGgG| z=7UHCZh)Q_s<5Ar0fZZ%<Dmy;@-cw$XH$sUfE9cUAbdy%!v8Rjj{$_&LMONmEaGDT z;SlKh*a?gI7(iGZx*qhwTs{U6J`oPlA25rL0fa*$AmgtI$M_gPcv>Vx9-W*4^}+-w z{qs!T15o(`E1v~IAqKm}g6{ugX7~^Zi4TWQ*wYW}d_&ke3|;7YJ_hJ{h_D_UY#al2 zE+B092mM??m^rX>0b%I_c0MI+{u?I#1L{H8xC#UG9x~Ya5A^X6*u@_T(D_1GdQyOn z-@@`c)L4ermn<JZ?L*l9Zdm;;63oD`Km_JH28M*s*uw|5J_hD5_<2vz^bNDe4cgy? z`3shQC1C4)pz2}ivjDno2Bsd?-n$GvmkuTl^N$9adRV?KfcDE^>S5*Xg{P2pIWTcp zxdx+Q?yd<sVFF4&u=XJ=e3XJ27#<*nPs10=!Ux@*u<(I}8?63<g%2#;VB)ZFfQ1`O z9OhqGxWU9><rgg6VB(P6z`y_tADB3--3ZeM3!iBf=2t-B^8mWO7#2Qdvlti@L=ov{ z!B^74=YcM2`v(?24N!4dIG~3QEF93o2Nn+K;REvzdicP?0X=+R;eZ}Ku<{*Nf5FT( zIhy_p6h5%>ClOk|i-69babseD?O!|a4SV=}I0p%7SpJHDwx4178Y0WUpq&nJF-#m< z{4v1J&x4Iuz{0H>T5rMHIk0g1kp?jbrXJ=GPMG=7_77AQ18g1J1Aj<83JZrt(De<l z`~|DeVDd29<uOM#a`==%!{^o{28ILttPBmSAvQhujy-%DZbL!<7Cu3+awZxz{cM1i z0}0&_ahQKwpyO8!5fE`$I+>>ru@@Ge5FP^q1GJn;FoLLu)%URT0T`g?yu#AS8Q8o% zbbSdd98N>Wofa@c%z?QdT5Uo+#lXPusA#7>sC>TQ3~>Q0e|_j>U|1lF$X^UUv4@Ys zNr+J}^G`s-0TwS1Sq6p-sJ~$1u=3{xS~&?zKOAW4VdXPyd<?eU2^P*}(DtH2Hzb`v zn*$7iu=*5Q{=@RmUNmut?=kmJ2I<_>2c@3}(DB0nX!@B1THl3q{+I%Ep9{=iFd8<# z0Sh1WeS)y?L0_)}(=P@c*Mg-J=rk?^`ZxeA+|b7XVBrH>R|Pv443-X`LH7y3&NqYQ zNBB7-(EW3;@PV~EVD|m66avp*9Dt6G!PftQ_WwNihP3|qH}>>{9zGkO?b-$YkaP<R zpB-r8F#Bs%AufZ}BQXC;Lf5&W_fNCbpz5LPqG0|Ngx+HTOP{cG@)+9Bg^5FSGcc$^ z#|;*=LDCy6Kf>f;`jhLq4ujIq1~-VzB53*vU&_GHpoa*bgg?ZE4{W_-f*+*a4GW(f z=r|$l92=N>VCNRW#9`&4Cv<%<OdM8j+=2E(VEGF^4i7!Q7$!amYVkhUIwNSgJq0Qb z>({};4YqFD0a~QM=roOK;Pa&!pyiK12&Db|?+OD$f&n6Y8vYU&J~N>0y$8^HE}+g~ zfSo_N;3+g+K`Ss=yH`OR5|0W{aU*Crz{Cxp;{4G3m>>pG?*J9ggw8X=!~>w>AE5m% zSbdiO6@Lw_A7SSU6+p#d=@FJc*E}}{pWg+m-(mgt7iSq56l#&ifBq2{KDfr8(dW-$ z`AY!0z8F^i!1C85X#RqU!^+#)Mv!z46NfFcF_VXgqvuBsE{Hg+{|xg#?3~mEhLCWE zsA7Qc--oroh2}iJ1j=8q_Ol%{e}V4TWY~j9KL?=qY{JS_7>!>3z|MDK=z)YMEd9XF zgMx{}!e=(De1NKlg%2!0!p1>h@o51~C$M`1Vg8kej@!f3!~9!<RxZKvbp^CMJODih z3N|kYvj;|RG+Yu58sC7WA0KG=9Df4!8MNNKzyK*vpx1CPz-ZWcG_dpw)x`kI->~uk z79Pw{^I+nz`+V;}=O19>^ssq^2hi~!SUCZ!=UbrTWDmYVLIM`9|Df{~Fmaear@+jI z*7LA%h0T+|#;G8t!F+*9!_0%xTc6H(g)RJ6y<=clpv}m@0QIi`)8Guh1>Yb(Mh`!j zILx243coF8mnL8fzt>L~7#<*nUjXyq48I58v4<Z_oYvuYgga3iTlfV$Vqj3vL8QM4 zEQ2%r6n<b2KbSbJ!*7l82S#k+m+=Cc_o4as0_)%mzXTlN2NS1t_?f!R6v7sM|6uEz zq2VXMJ~+c~!B6bz4<=6Q@T)v`eK)r7dvTwEApt4>25=0{@KgAOJ^Wzev<|=Xxj7lw z!cXiX1H%HO@SDInIKwXiNBF_SX&ruNRrtX752CmKIv%5ye_Vqz{2t&4KbSbR!w-FY z{^z=lYmw6*Y<w)?2BgEb0y=&rz=J*fVB<Zo_ANBj8R#|M1M5$~!U;Cs0~3d}lVRgM zFmXt(XJCNsuX|7c>4(AkA25HQ)39+5nD~D8{V4Z$!@}>?O-T3&R6=YD;2qxKmoSaE z@Iw#Z?CqLa*wWvpTafUB-A^@vZ+M3v!*=4rkJS7N8~?w+KfJ?_*!&AC4`BIs%A(b4 zu%*8=X#RzTpMc=-4nGI9ab8&XpwsB-PxnjMGHl`Z7+Qb8!Y@E*c!%GEYsBSWQtJ-` z=>1s}gok(d5nF$dn*LzncR^%$haaudUjcOdT0m@ghaaudA1wR=#D{nI5u5%<&A+hw zA16qRh99UdCN=#2K+o;HAUPU-<b+=Xto)N64L@?i?*(){aDdEc_+bk_LgO#6{q+-M zhk5uBIsQUw`3DQX3v$Ce{D|y-pobr!^cMi_e+VcH^Y9}w{gK*#gN0v!;_wbXTD6~H z;Wt5Pc!wXY+Rq8l{Ch!pH2gsIFR9~yJkb5>0;<D1{Ae})2MfOdwc#Cpw93C4Um^Q! zCa8~wA1MDqX460{2tL2fejB#&=RD~AkwPLw#|4ey9exhb`^M4d*C3&UAko`@gvRe- z=Q|2$4e#(HHvhub)xzknW`bha_K)gpfrPn2DkR)M_W<xSaKO(;jFUw@pDF{ozYwB} zf#HJ~s=S#xDt`|v14BbMBg2IVHZX>rCub1J#sI>w_0}-+rm`_G9O#BFPG?|X&_KJ7 zB$NYU9!$OF3dnv@7+-2SD*uZk>iKrB&^F#wA*_NK0j6Q$2BYI!UU6d!Kd(7p<qQdS zkafzS{kNdo>0s+{LFX%iR5)DcV*ueQO%M-(OS~cM1K0T&Kp1?!B18yGRzdgMf;kKf z44H~h)@42hhRb{mD?s;=h%zx0oaY1MuW08DPFIGihf-IZp$sUsMi0W+aFve%gkk3~ zJ~+?E0KzqB=MU=XL(~Uc;9~$`OX$LKfpdHeAPkX3+@A$oN4!BT`8Q~N_y*|yLD=~% zDYF<D9ta~M;Q{o#b=bOR7!BKh3R^D70o~uUKpVP`0BUhCv>lWHy>A3EJI}zd=?A2K zO3;U>hn-uJ0NvlD0Nrm0I}g7fcK$nb9WyK)gh2O^DX>7|8y3&-{jgB;Ve33Xp!YN$ zfV#&7>Q2}>La_ZZ=<Pk&I$@ak0(*b{2Zdh(^nMfA`Nj#e85j~~!F<WUpr8-Q$FOjN z(XjK$VBz-=dfz1adh$x>zFXLSL74sDp!GX!Jvq#OGSF~^iNlVAEoOk!XE1S?zvrWg zn?b{4A|tALSoxm=4R4q^R#5d-(EU=d{S7uyaTo>jH;g~)=#o32`x719A@;!5za;c9 zFf5Q}WPq*TNHD-2erKRb6c&C{q37ek?1$O&1Z_VyEM^u!_n*Mj!_wab=>8L!I4u3$ zK->QbOMeW|{RS}guzhH!q5BzN;;?geEYQs1gE}+|dX7I#JuIG~(ir<Y-imEZ1Es$L z==u7V(ESUspoH+9g#k8yxd3`zJ}mrUH0az_klC>C>w=!Ak)Q_2M<6*6=78=Sf~8AX zKJbN}mjXY>6{MJffuS0DZk57)NW8-G(G8e6(EJOLWnlOv17$(QVf#AgK+_*AJYek; z0qD7TFmYJ%+5)u~CY}Oy4~&A1zrfs+e_W>n6n?Pvf3eW;Gg-^PkgyW5f9Qb`_Vfqa z9|H?N*!cmlbz(4k3!wWr7QBSa^TXm5e(np}Io$QoeN+qhA?iWV2{LN~H2h%Z!~7u& z+h+p}KWJz&z|OURsfUH1Jv3b^K+g|@?R!H{udsb@AiF^r<_;KL{^t5DQ24>lw}<VY znE=`!I}5SDNWp}-@Vfx@A1s}L>;z$Y?Wck5gMp=Q*nS$AILzOK_Jb%u;|&%M42))4 z#U(|h$t4Qa3W+&6`N?TTsi`GJiOH!7nRz9~M%D_+>G{bSsma-JeolT;Qfd)M9ZV=S zEj16JAw3zaJ~y!lt|vXYATc?$2*up=<l>B?%)IR4lEmcf{IoQfVd=@mCHVy<8L8#@ zML8)5Q54OYd1Z+?nJEP&MKA@qi790%`FSOIsi|;H#YqS!6elN^rRJr>y;Yo?k(!c{ zT9TNV12Y0FgklYtla!d8otT%LT3nJ}R18t8Pz#EDBn&HWVe~n#)J@phe>JNini8f$ z(jDj?9Z;SFVUP^yeCdWRMurQ%++eH+y-#n0F*gGU$CyCcs}2U-3?Qr$2GYgA@Ii$e zjBnUO_y=sc89;a|w7qDc!OZ}|PoVM}w73~S7<68>gB}yZ0Y`2yet~u#d51K_yanFe z3?OVF1F^rsj++65_dxGsO0ef<0AT?a$ob<CT?`BtWEdC@=rAz^IB<jU9$3Ot=Vo9~ z=VrJ9YLD|XF*xXPgE34WI=uoa0HgbBWgdd^H>`ZT0xcgyLFczjL!95jU;zndSiJ|M zVduBN&P%~{ehd7(S?IX|uzl3%_Z`9aiEBYT2rK7d_tZH+&-ZCq4QWrp&UHaQ?*--_ zSa}Uwf6M`GPozNi|H8}{fQrNR!yYJwl*>X;arARw44~rB;Dl4KeVH(UeyiJ)LFWqu zK==2;+CPqy7#I?e&hJRD#2&t|_BYHwu=7b_{(;#8ZwEu`2Uz}ro$CQp56d60d-h=B zu>Jz<ybqW-tek}1zXubC`ST*Q-47Fom7nX-#9{496==H>rXE(mrF?_*6JX-7dK~6I z7!6Yo<Npz=tN^9o23JUWfrW3-BnE~BNa1_Hiniek>rbGEFH9U3j<E2BiNnGR7QQfX zm_O0O7vf6>2K4ZSwWna=3o{4S9)N`}OdQr8fQ2KBhPe~wk4V2_BT)Djp!I)>W-~B6 zK<@w8U=Lqd{|A<ShkE}<0@|;Hl^d}Bj{)@FPFQ~l*D<2#;k$8~)?QHfHlT%X3#flN z8`g_qU}&%%4PQ_iB^16VK#CX`7+~~*y<sTluYZ8nZyl-(3=JDu;Qh}BcG$xg*8ha1 zUwHj+8&W?&tz&?<^P%TE!t(VDXunb67sPy6{&j+$SFvC*q#p)rhu;u_sDP!f1ZX`o zAKHI{i6=qDZP3)GK*c+t=T*Ser$NQ#pzD=j;u%o!_0WDb{M>h_ILv=A8dlE0=#2Q2 z!l3l40PWxBLBrQ)9Rovx2jcud2M37%VeJMO4eMXQ!WVW<3+y~+n7z2pby)+u&j(uX z!NMK=yjECwihgbkB(xbA4np^FqW7aFL;F!Maag%|40`?}OdJ+}s?c@?OdNK8h#pk@ zffS_Og6o`oSiKFSFUVG_U~B*SK#~oE!!iit3L^u9AcFvW{5U}j7IF}Jy(|O61JGU5 z5H3TH8k7O03dB&yYmdo6BrdS>F@SJ|ID`))&jw?rK#1EFt#^!FkX(F`>G57G2r zL(`uN8_<Fn$FRW`%79XPY#A6FCLqi|4L!FSD$U>wt@s*#^D=-iOdJ+}u=65zMER<N z(l4z2y8xPg5A-lFJowB4KOc+18Ipcs<0>#3bUqdn10w@$e4rG1uF`?~5cM#7VdJ9= z&~vR|^=lt29UXwEht&`0=U~CoX()7@@Bt&F{DFFtp$JwlLC>Lq`O_JyenCCNd{}yv zgSNL4x=_<2tep!RhlHIsf4~NHexVC?fh_|AgM&F}<Q?Kun7?53S*eg6>>L~-2cY+Z z!p2V>dKnlJ^cdmy11GpZ+y{$B7!5n0AJ%TaAPPyR^Wzv86eO4!%vmA)H>wN_4n2$v zD?#_JbultH^g-l7^Cky+7#T7^>05+};erQ5{cVtbekKOcwbTdnnHVbOF)%3TGBH?q zLiBYpfX>%rVhHkt_*)5dUsn$!19)Dghmip`pVBVJz>pxq#Gn?>z>uKN#J~v}NbF@~ zcxec+Cqf)T>)1l*)p8IzhzVl;4p6%9Wn=)|&jq@F9d@rznF0fYLnkA{MN0;ThE7B} zhm{L3+9D<IG`8}g;xZ&Y8j>O53hEz&&LV-u%bQS${DpLG1`z%O?awaA<YoY2*!iOc z@!Sj`+y*_b)FFkN0ff&$`^yOx+zcQL>)%35WnjpHHs@h{Q2!Oi2GK{L^BWfuxEVkg z)}K9)&&>eB8PE=*Ln$`{2**MXP%>!XW&q)n&<x>_#LWQ0ccA7KWN|Zq@aF9xTNoG~ zWOIY@L0E?uBFs<&HJ>4$n*oH&q976*s<|0J7{bFOq1H0M!e6xcvjC|4V1TyYVEvD| zn-~}#AoV{S+#&G*x&sVkDvXBp?<Am64C~*)@*hYo2*dh!3-loQ6;@8b`gaacaag|$ z*1v<b_hI!S?EVRuIILbo?|;DjiQfN!l{e`94_JAM-v5A=L+JevSbg~hIxhip&jgTX z@nD!gVEznHj`anHKlJ`pduaLXxP^g1VFe5P{`CVM*u&poKg2Rv_`}8vVD&ddmVv?G zFC;<3#9{decF!uT-wKPD2xz;HAsJFm!`vGI9sh*2W3`|b%!Z9?K-)2}>C#Z>I5A8; zblQ;N6!aWMh0l<ff`y9>bbK6k&jc)fw?WtWHzYya1JT96FbCFtgt`;fu7f%YgM#(T zVgB5nSl<E){|(Uj3E2G^3?2*&3sj+r8dOJkVGsX5&<Pn>_+Lhw4}dvf6)c@V&#i;? zjAlZ|8DQ;MsBsKj(E1G~4l0&GW=(+AlTdSD`FI8|Bt&5O4i>-1p!GRS92U+7(D};- zXnhOOjX2j8CJxIlEPN0ZFmYJEfX$D>+zHDUAh%+}F#BQYNg-!qCn)@3<MXiepEQ|) z!Qm_myna34jXnHf^(!p=sh$2EQXuIK=3Z*2{{(0|2o^4c(*FTyI)}stBK<c&-AO3@ z!~6k@o2Ab8>OtZE0eU_rto)xknSo&e()pMUzSzSb*8hQpKl=SHFndD&K*}H3dGN6G z2|J$vCJr0Ny8t~G61`mNgwA`y)WiI<4LZLB6NiOo6PkM1INmHY_rS^-MQHis0KJbI zR$lN!`&SMNA?X2nJUYV>=(%OE^34zCVH`BfT`+e$9rRlW3V-zUzYKK0(M-hr)B)&u zk+6CfMx%#6wbMUCCd9um_u@+bE66PWA4o&YhlLBF^xu#UQIC=Sq3$G<{$b@AOkG2| zV;Cs>FF?;fod&IcUrlCUP^du6uQ~(}m;V33(jl~5g(ZW>(0)Fw{Dh@{*mw+V{ti|z z89>KBVCU_@!Us0)56c&@^lS}P4?7POmYz?%hUPn{dtl+#fF=$LmkOwO!aGPi4OY${ zKof_xbI{MDgf&ZH{zj)yKr6BcXhpYovt%zQ`~{%*$1H%Jzn?Xifg#}$BK!{o5*Pjv z&~+5Bb`H#bYv{RyFmYJ;*P)G%z{3A0?7T~8dj=N%AIc#i2r~y3&I!<V5knnn`gDex zvtTDgd;_$?K9`SbjsdhoehS@usQ6u|c*9wUIRQ}ddC+)=rH2O4B@Qr8W1%~sVz78M z2!G5D3V+!6K@&9n*DhsXSa1eW{x}2^7yht&4h}%`DbzX!*!&qx92Wk+q3348+Rw1? ze{Ki~ADB2S{8gaijxhhi!haR?yjNJfKy)MS4|@Q;UmKRrlc4dw0D3MtEInsH)44)2 zB>lt24|YM*70eviJl`y+Ik59jVdH=>f1}f|aEH+c6xK!|hkpY!{5OEcAAJ$yj|W1C z3;zkwb~~&+2DASKD<ni<;R6eQJ+yoQ3;&hSbv_HOLG;1Ge<CzJC!B$Z!@_?7H2p7t zhBHK#fdO_-F-#oRK3o7b9~O_Wa^r*mL?_JsuzP(fp!+NpZb0N=@sbY}U%(E@7qEDN z`5T>vW<PlUw|(}V_1MNAK=+%1^+NA81>IvR%)k#HpX@Y%jDvvHFfcGgp^ZlxL(4gs zJnVk(4~*;#APl<?U4V_90fbAS<AVmA><l2RjW&LWeqVY6RQ(4wb_Nh$>j|?7LWAyG zhOi(cczmXdk>S99HZUgj{`48_koo=#|JfKoI7t_Eejk1OL=(2)4q`o=L=XQxe9`&X z!rum(;9&C$pm_{o2E_f_#@-P3zzn_vUElDago^=$J0u}84;r`_KzIU_4-sWx*aE%4 zPN0*E0fhHM7aBh3;$i^dZ&33tRB$nX@NRa<c&$P^7Xt{-fSPxqlZyd_4?*P<8n_rh zm<_t#wxE%V0fZMo)x+!;@PV)rO1T(77_|NZA_5`rLB}Tp^0*j4n8yiX|AIm;1`yr= z8du|IVlb%Z0%L3F_>w~%7Xt{_K<@{?ki*3Q!Vps#;OQO~4<}0s4`B;``zZ_z4}LN* zDC~xmJD_$Za`^Y4-Di9SwEh}tyxi9tl0OQJ85uxWEDRc7EKCdxEKCd=92git<ApGH z`6)uiDPer{@aTnxCro}m8vhe?d=Dnij5c1DFactpf(#=A2tS1_AcbnkN4p={AEsZ1 zk%2*mkzt!TL_btHgNg-&zd@Oa0fZf(_suh~GBJQK8+1HQU=1S!2*dQj!W~8j>|x@- z7XCKS@J&d7gulW>1_ogUW_bD2AcmU%w!+reOY$)=Nb)hj?o)rD!N&l?Owje?31WN< zAj}0T=%EhFgXV82mthO^K6L{XJ_Zm5ji-XvBNeFeF@W%ben@;esPi#^Fh4Xt0u=ce zKzI>!d{sb|j{$^1<->z|Mur9nJ}{0$yPxR-tOABQz#s}@K9tL_4!T~PL5hz7grU_E z!v`5YFy0Q8e;~!j0K%~Jzd)Lg0fb@ZK(h&q%b?M4BNJ5rPJp)mVg27bcNiER=pwa$ zVj%GVTOSLfLHPn?7;HTa`n?4pIS_scy)OiIemboF?Sh@Z552b!7A+_0q4gY899kSQ zoM}T9ht<EQ3{b@(JO&1X1PBXeFRc9&uohJu)*e{^wbvm6QlG-=>t3k6FmYIPB|-0B zfr-QB1!4W=geFKk3Rb_v`u8yU+sW8&Q21YPgt$i!+WrCEzy5%qg#os|{Q&eHc4)VO z0Y-zu9~2ib_kV!SN5RGqL17QV51{QjSbGB&{u$7A3rrm5t{CXJCCnUHyx_a{{eTf8 z1M<D^ACwpwknerJpv=gCeD8aMAtM9wz3&D3j8wh%JwS<(0sY>0Sp36irA}_}`2PiH z|EmHT{!^wfFeG>)+Mf>b#HD}OeWeOqkn{rzdk~%gZGXbrb+GVPfR4{3NJ7-Z!g&pv zI4u3c?!$ofmtpB&13F#=OXrZ(#K2IX0b#+!Vg5dX*8YU0AJ}~WF!#XH|0n4EwJ>p5 z`WJ?-M}UdL(!V%#yhy<VHT}b?6<9fR;HbtqQ2K}Uzb-(-A5{J)bg?kN_V*ot)&rol z4hl~g4XPJFd|3I@2_1i6fUZ*n$$_u~w4ViAw+svCWT?Mj;;?XD2OS@SiNnH~4SJse zY(E(!bQuu$2|&jgVBriK-%#*_#4jwI|G@fj(DDryZW_?_{R&Qybpfz);|BB|3)nat zY&~TLbbo|`Da3r(ddfM_egW)0as}v6KCIq_(SpZKXJe~>WuX_LIJ|+Rdr&z8y7K^* zZWEvdMS%$u0|=Wz=OYtLnHWG=3fdkKFlS-_VH0Tm8(_%90KyH%3=9qZj0_3#Okk`9 zZ4Z91Wnuu~Q_%Kkfh`jQ2>VR~#Uca41Un`$W`ugc!HS6igr`93TL&8^1`r0dpFs7k zf;tle2zTj1^fzcTF@UfmbVI@eT_y$)J_5Zz5u%ELA^H-8^}vmZ0fetY+eZm5Obj5r z0h$2}9GMtE_y^Q{h%N>OSLnc$gBcS82uD~#WERX}U;tqV50iWVjVBnr)*<UNa{g$5 z-Y)~1A5JJ`VPHsvq`(Kzd1Bb`2aE>I8-r}|fSL=t{}(-fe23N>u<-_1{@4LcU$AjI zSpJv*tv41-g`_)J{@4O7hZ4FV;;?&XL}2+6n%*x!CxBR>;|d8j5cLnB;vb>?a+tUP zv_J3(danj7KRH0f_dv&!7}i0`n*yk~4fI|%n0NzJTnA141gJP{{RC_s)dHwEC=5Xu zn(X22P`M2jn?UgctG{9QM}hZ;Mzg@@FB_oyL}A9kXwdv6Xx}JoJQj98l0pR}-+}A| zVJ7JOAFSMm<-@hm^5OyX-Zp46l!2Q2PbUPh!PiTG_MR>XU}G4p`%hu>FtGI|?=QYC z1jP@meo=ttAJF};2NYNsVCRo0q(j0RmTzD*XkRKQ{9*YAe!ntwy$?tZgyHuqL&ahF zWGC9XKUlqhe*ZMAUNDE&n+tY8%70k>3mZR#<y+W#_oLALT?%Os^{{%-87dCjUkkgh zY7O+>K?N^Jep>)77fuL6%8>*PNdCD16`ula2QJ`(h{MVy*nQ71^&g<>=RoVV2Obdf z8KC(Y6h<HnE!IIyFpmH7w;r^<z5qJ^59@zC=w@J0K)OHlKn5g!VEgc3G;I9^Y@Ou+ z=>AsNc^6<y7#J8}>n~vQ39#}FzRwg|Z$rCL@O`hab}?-J2e$tdCJu||4(NO`OdMML zGu%KEhn17CbssSGuz9v_=z1@hJ0Y>cz+eHL--d}pLW6;!0ct)>99E88K~o<C4TuY9 z>S5_|CKJRam^tYC@}SxoVD5+UxA8WD*XK5%t>6F94O&+PO%x0a3=LTj7sKKWMh{f{ z!Rk5m_=AbV{0WOcm^dVq7#Psw4;GH-@dsO1gC2i5&;Ue_KUg_{9)BfJ_2}^jyB`}p z{%WA=(J7d}VB&H+Hg5x!kLdBYe+dJFf;m$ECkK1{f!c*2vtape4z!($p8t+R*U!Sz z7cBoxfZqQJ6Nlx$IZ$y}{($Ab4w(69^HLko#9{tCf+h}&ze!MWnE9~yQ-#ha!Q2Cj zzhBUH4NM#sf6Jiez{Fwk#{s>k5hf0czg=kRVeyv^oj-=D#}$9D@qO6%|JPr0!1sH? z>bC>X{?i}O{#YkO{4wMa7k{vO6cU7><u@pD85kJQ=Q&~R57<5Tuz3|&yuN_8(_sBw zSU&TB?z4r7!-n}=q4P+v_<)t4pP>C<nE9}HErr%^FmYJC)<eY|-azU@SiBZM#bNzm zSpVq=)Lxi5u>R9Fn0jbBgUxFlfQrM+ht<Oyq2e&}k(M??Ll8p2+ynE6YQZ0O<nwLP zq45{<9-<z0Kih_UNc_Q8>cVK)J$(xvz(N3`4>nGqa2z5Ii!a!{gs^rbEWWg1?T+6N z^|1JY-D3y4Zw=;dR%p8uCJxcXzyO=igqaWX?;2=3A0`gVCjpueov`qSwRiHM{RLQm z7uwxosQV9@&xfTS*gc-;_x3@%uMGN7f5FUwb|c~Qaxi;g{qHVVK8MCTtp5!ORR#u_ ze_-L@ZEkcFTl_^lgX)0VUr>lY{vN!CgacF;11$a)oQ8-K8-EXQ#2@UwH<-I&@dp!! z*fR3sPb_9l1-AHGjds6~K{0vp_W*jn0<rO@@COnT==l$J-yC}U!NeiDMqd2oT1d>t z7JsY{p*abf|2~x9kG}<qsP!Ez|H1MlvGJFHEB>JOKw-omRD7hy-_F(;l>7N$^`G7q z)cD&_Mqd2E;+5F=TYw|}VE3J&#~)05q{JU|*cCpH{=m_AKeq8>(E5E)3?@L=?Ssa- zB)~h|K%xu`p#47w#F-cbEZD#pw(sYG1sekhgZKS(GcqhNVgqB)z8;Wz1#>nA5C-k< zftUm#!TWoV&OZb1^8uZorq9N3K_4-G^}(2p0fb@ud_EYmF@P{^zfXb*8v_W#_WfKi zVPgPc*#4gl25bx<4BH3fV9Le-!l3;?AeTHaWn%zg*uEeILpBBw2CqNqWn?&@&j!Y@ zeL^4f*cd?g6m)zXWCjR>_75HCU}X59&j!W;(D8Hz12zT__JVCN04Zi*U@$UAT|XcN zJ#P#u4c>>u(8<VPpvMNrFmZGmy?t|Jc7hkEfA|18KMc#Czb7*=B-kMO7YS9^^Czr- zSOFahfVEd)?Le6Qu<{lrj-Fo|{z1l{FxsnGkaj+LeF-xMJ-<FEhnRz&Umx6oh`{_0 zYp=q@hiZEj7QQeV){chp;~Q0Hg5p=f0pfqy_?rP}KV3L9(m?w^YOu$zhAPA)Sp0s1 z_Jd&KB@kH#1~KS<7nnFKem$V$Q7~~>{QidS3xV|qVez{FY7R^s)=%U@6Nkn76WBRx z(0K>gIGZEdd<Vq$3=FV+RIq*=EIr(Sp5q1+houKA*mxb(d|3ZQ6YBniACUA03wI`{ zy)bcD|H21NJ*=N!0Nr=;U_GRL0_&f`!WEr{`5Pv_c-^gRCKeV+^zoe^DUcNeu=NE3 zb&&Xl#S4snkqQYJ*uDaoxmmFF+)#hR>V;*{{u4|+%$y6*@gJBtY#yZoI!*!;hsA#) zbUg=595(*51hx(sYA-BZ6r`cL6P7NbpzC5`=D@<|Ep%KSCJsv%D$sSeFmZ^f3=9m= zaRQh)Y@Ahv1EK;Z4ofc&p!4o9ao9K#6LkG3OdOVuI@?jrfsG5n!W%}z!Wl-F?M{A< zt^VE64bh<>2Pq#{h%zupGKj+GtsaO%<YDA9M#y?K7=IFMJrz^{wqG{kJsSfEi{n_| zY4C%M0fb@eI}?7gF@P{^eJ9j71_kKA&xJ2+3?PiYz7yMePSAXA!+SOc5Qf#C3*NCY zfbdbMc`)+{t?z`b51a6bjRAyxpa*^wd}m_-;S?wzW*!e{ep{c3VZ&!OFuny#kWd8@ zlBo4BY<_yddo~6T-r@vR2&HDj7D7PzFbWp0F#14ee>=AL1)YBZ($fGv_X4y&REmKW z9=|QD3=9i|nHUsiaDlOlEdxUX4-*5!3@$J>kbv+XOy^<%VOV%<n8C#W!Z80Hn90Qe z!fT-6@qwM20fdi0`2w8W3?Li?ZND@u<zfKg|IiIW21mFUK)3|DQF4JSHv<SuK_`L) zc(@rrxCOd@xj~+r0fa@gLB3;PC|JV<#&S?T!x}CI5MBw@|6wZ^0|>u_u2+4qk&6L@ zVe45PHgGY3Fl;@mz*;T_5N3w1H+Zm*ivfg1pap}%7A^)5J_%h9cwrhB0~q&1-1FcR z7Xt{__(J#-xVRZWIMW=$UvQC&0fZx=8=(%|=3)S0CFpv{fJIykAPiBBNy6eCMt|ED zSBWkDzgR=`J~$7_-=KLEX$DSs{7XRBM_#za!vMk>Xy-{(zz)8F7{gFF70Q58DbV$o zP%cB)JQxc?8=$5C1AP#280m*5pS>I=1EH_%gU~S2;2ewvp<kiNd!WfrgdGqAQO@85 zU9SP<GB7~*1;h9WX!_Hx!ek(H0~)^qO??G4y+BkmFciQFdZ<7>8ow1yeIsn(5vqO( z+WJqZFaxY!fhI?WYMmL2LG3fx_<k~Ue0akd28IXInBnJJJZOc~-=H%BKxV;c(D@c1 z{sCxH?uIg?o`H>@g5*Fr0DArrOdK|C`wDuVFH9WLYG7bEqlan^tU7Rmt%pS0pUVTS zw_)bM&W}kzvlq6m>q#EeeCWI^tR0a6ZI8grfz|)VR3PdZ5+Lm)SojGnf{4S^LwF1f zNznDEFmXsLje$WP&7F`?Wnd72u1A5Xhb}i_xCY(VydVK$0xTK)EQ8qO@EhVDSUq1_ z0TEC53{ekjFMflrFNLi)gN;Xn;szPR+L17^M8zwrp!0bXEFk8>+V9c^3=9tx7#R*g z&*5X}fcONKE@1QlXt@MSAL#2=VfK87wsT?rhq?P5ns^gT18m<lG##`+#aE&2>u!UJ zZ$T4>?bF(aCJyWOpFk7ufttgKW-qK*(uSVf40As$9DkyvW7vGc8R$88F!iwc1QxXY z=df(ehb9hN-&l>dAAT0povCQzbD-h{XyUM97d>8Zr4LxW0i$PamOO*4{@_>xvGBlA zNIF#LVqlP?Li_N520sG`<7yv1(BNkPVOaZ6K$D*VgmJYGA+BU#AhvyIpvlhw!m##X zfF?f!2$S1BEYRd<0AX1B5au3S?ZX9{{0tzB-acGmkJ>)8K-&+K4sFlC%;!TJ-)Vrh zKVb5C(DjQjKKgm}9ccB-3bgtXrVpJ~5QK;bKxwy(ToL5@#~~sdtYE@!28IWmi1mjF zJ&^JRv_}S{07k>sAKrl0Kd}9g3*sQ@4x|=@SHRBafcEQP{Xk*p_&uz@4=eArpyLd% zb&0U@{uWeyLLsDG1Su6681AEqFMw7kuyv0LS0E}sKrQZu_HPr;L&O=N3+sYl^PU9| z^97*duywu%c0tQ~sJI)f-xvoGZ-9z#fUdWKmG={%;u&c3;|rkT=<5<UK*i5O*Kxzv zLtcQ2zk~YsfG{+il|Z4)!0-f3TmUN023?l`bB_X4{46vaVB!W)aRw$M#Cgd%`N`P| zMWuNqnYpQYxuqqk71jzMG5_+s)FK5fm`u90LTX-OQch}$LQ;Nyj<rH@Mrlb(et900 zn~|EBl3EmAlvz@e3KL7q&o4n2gJ}y+%}rD&g`Un_oLU%Ml2}v%7BDlhRw&6#&Mt-s zW#*N@MO^d1ry@i6xv6Lha#NAyi&G2To#Eycr6#6i=B2~^2|g0psWdI1q{vz!HMgLo z61!AdX-<wuYGMj**$~jt%dkK~F&1QJY7ty#I>@sHAp5{)MTaCN!KJ|3f<Y%phk!!Z zTA{e4C^Ij;*au70K&2FN6APjt>{yV&pdf*8gHsFP-Ugjs9iCs5om%9Rn3S4RtPpJs zSDjRvhRyt>(lk_eK|%?9Ry3?$g3&tyPGjxgoLmY?uMAHi=@&GP3`%;?Y|F3~Dh;C& zBq96JVEpsY{veEh490-cXw7{FR%m(Z@PnBFg!y0%U8s5yG`<uXUjdD;hQ`-H<HOE# zgc{F~4J{vGd<!)7c4&MTG`<%aKLCv%hQ@ya-9Hbr&jjthlMFQVd1(9+G(HdPU?Zr3 zVrcw7u#OE>o(rvhGC@;shsJ*)jT*ln(D*;l_)u#wC|J6K(Jos*8)Iu9xkD?O1G^#V z547G(8L55rK@@fW;SaR&GzPT(HmpB>z>|vsghB0R<o%zp`6veyE(Q>W?U!ya<zfKg z&vFb54k1hoAI!PHm;<eU-4KuJ)i6+pER>01fjt)(uYy$1a7F824NItq5nBJe2&A8Z zfnh-?7Z|s*q5Ag`ntAB$E7*9FgAEr02w%{ExF2dA18jWB!HJ6jgeB4P>k8NaF;oNW zJa{OV!9g0;yst{A{A-+$^VVVNbI|r@7(w$pOr9OoAq|D~dKnnrp`}+Vv<7z)+W1`w z+I$=6ygbl(?=bUW^bZ!0MGOod7$9`s;gcWPIXEI={rd&b{u=0fe1|kd`|1GnoP5|Z zoG=>JzOsNiy$;%ceQ*xaK7kc0u=V2!u8@d>^;2&^_g^MFgtSj!{nQ!Ic8<a?h&U*{ zg8Tq$&p1HaGq8SC2(+C8>o38A>jHHCB4I5gAHn83RAB2nq3u1`dPZH?KDk<my|8%@ z3#fR)3Ya*gCRhU<$A`5~E--+0DKRi`K=-Y{#2-M#mqPbN!P-k7pyE43pzS=UdlVQU z=4^z956m0`sJH{#{U#1j@oUib*aLHjI|HENE1>5p!OUrZio@2q!Ney(#nI2DTL2Y* z4xQ(Ksoww<M{ge<fQmOj&y#4F1#!;<s5mGtv0+%b2%}%_>FB^#K6+e)=zgFDNoSyQ z$W$3*;p306b3P5$aWH@|XucnG-e<r%4h9g0o%>m^j)MV&VdsBNSjWKt!m#xK8`g0! zfH3Sl&<pE07(f`jKA?w@;lnx(FovBEDzKh|0fb@ageI)#U;ts*d7%yKIT%0~c5di} z^&AW!3_Cy6U;_sO2!qxefZRJ_0|x^LgT@^cM41>iY~TQ6*twz?HgGV2FnIj|$UPf4 z7(Q&^fS)reu#tlSgkke11{*mTKp1xJXuu(M1`vjwKgw`~odJYl^+CW!4h9g0txqV} z$iV=@u=NQOHgYh4Fl>Fohr{d)APifduwf$y0|<lGCxG04VIv0v2*cJVeAvjr0K%~K z2?Coq7(f`-e>T{}!2rUb^$4KzOanG?Fn}>^Jpv>dFc4ag-~lSHk<LNAhgQF1Tdx2* zH??3B2LlL0t%0vQfu&ES54S9_rO)Ce5FH1uK*}9l>2twm4h9g0rOyMKIT%0~mOdYB z=3oF}So&nx!odK-u=J_0g@XZvVd>Lh3kL%T!_sHM77hjwhNaJjEgTFW3`?IEws0_j zFf4t3*uud8!m#w|u$6-WgkkA(!B!3i5Qe2shHV@SAPh^N3fnjsKp2)j9ky{WfG{k5 zCT!zi0AcX@m>x!khHV^R3`?I2wsA0kFf4r@*v7#C!m#uyu$_YegkkA3VLJx{2*c86 z!*&h^5Qe4C1=~3oKp2)j4{YaP0AX1Ae6XE^0fb@clVJx30|>*?r@{^n1`vj&Plp{G z3?K|kp9woS7(f`7J{xv$Fn}<8y$mE7&@6o}*ulX7!cc24(&x34#*eY3Ptf^}AUz4t za~*M|PllE33?K|kpBwJ5Gk`EGeKM?IX8>VX`V82{&H%!&^l5O9odJYl>9gT3I|B&A z(x<_8b_NiJr_UYi3=KQj;pZ$1tYl{ZVOaW1*vZZS!m#vNu#24mgkkA(!)|s45Qe4C z1Gm{3Kp2)jAM9ag0AX1AJg|+O0fb@c^T1wq1`vj&Plc823?K|kpAP%j89*48J_}Z{ zGk`EGeLmR8&H%!&^toUwI|B&A(&vVK><l0bOP>n+*%?3>mOdF)u`_@$EPYPc&&~kC zu=HtgfSmz^Vd?Y27Ip>@hNaIB%h?$~7?wU2ma{W}Ff4sKtYl{ZVOaVMSjo-+!o-%J z2d=X-fH1M;XTmae1`r0NH4uiCn=pF))>y3L!{X5UO%tx-FFz0L;9vk@So(ahgM$Ht z;puZH2Lr=S4hC5IRM^SE0K%~J>9CW70gR#NeJAYXU;trQ`fS+A!2rUr^toUs2LlMh z%FhowIT%0~mOce`aWH@|EPW>I;$Q$_So%D$i-Q4#Vd+z0HwOa<!_ue2ZVm<zhNaJh z-5d-c3`?I4yEzy@7?wU4?B-wqVOaV+u$zMcgkkCP!EO!)5Qe2sgFPG!APh^N4SP5k zKp2)j7wq9+0AX1AJg|p@0fb@c^T8et1`vj&Plml53?K|kp9*_97(f`7J{|UQFn};D zeJ1SXU;trQ`fS+C!2rUr^toU!2LlMh(kCd*fH1M?6QmY|iA|pe_Hr<QFh~yw!_p;; zHq3YM!&ZK-+XGU~z>uJWzx|m|$H4%?u=Lqb$H4%?u=Kg0j)MV&Vd?Wg9R~vl!_w!2 zIt~U9hLxWT^&AW!3`?I1^&AW!3`?IEj<Pd=G4z~zhk6bM5Qe4C3&+_RKp2)jCtP7? z0AYCgJju>*;3PZz9Q%ZN4h9g0rO$?X4h9g0rOyTR91I`~OP>eoIT%0~mOdZUb1;A~ zEPXOGfGz^&V1T7hg$51=5Qe4Cf(8x-5Qe4C0}UJuAPh^N4;nZaKp2)j85%hlKp2)j z6&g7hKp2)j9U3_pKp2)j6B;=fKp2)j8yYznKp2)j7c_D(fG{k59%$rX0AX1Ae9*|j z0K)W2pA1bL3}6gNZVVTo8^IPpX;o>>8_4?)6cQlwBO3c417xuEbp=Zy{chN_4~$0N zR|mC*K?8cOF6<l`NN<;c;T&|F9@fu>PFFBQL)W3f#6e@FAhRBz^;2Q{D>tBt!;bH} zZUpTIL-#+yhMDwX=0nAy!($Bhq3hXT>mnUMmNGCf^h3{8fr&#+WpIG@vti<}aflbt zed#c9*f_)m=($C(^`WqFhz96<5d$Bjeu9ldNI>ULVB)ZGh}Y14oiK6OIK($Jao9M7 z0JI<9zymQKHV*L>8V(9Aka7pMk8l%oAKQaAh<XJ^$bbxdzda{J+yE;606PBz^Oplu zTnxHC;J`*me?0&y-Ur=J2{XR|D*g_(?iCgeP;qE9U{J7f6-N8M5tYGKzD7XjhZT_e z_v%>s_Yayl7(f_SzA`j(Fn}<ud{t=XU;trQ`RdTj!2rUr@-?BEg8_tL<!eJT2LlMh z%GU+W91I`~D_;*Zb1;A~to|2h;a~t^Sovzu!odK-u=2H`g@XZvVdd+C77hjwhSmQL ztsD#>3@cv~S~(a%7*@VEv~n<jFsyuC(8|F8!m#r7Kr06W2*b+P2dx|oAPg&C8QM4) zKp0lO2DEW7fH16lUC_qC0K%~H^*|d30|>*)*9UDJ3?K|EUm4mt7(f_SzAChHFn}<u ze06B&U;trQ`I^wq!2rUr^0lFzg8_tL<?DiW4h9g0m9GcdIT%0~y?p(PmXgrh12<p? znn2Z|??)iF|No$!g8_u0#$xoZA|}aC!j^uUZb5V$I1kBpp#BxM^sCUt!2rUr^y|>Y z!2rUr^qbJd!2rUr^xM$I!2rUr^t+&og8_tL>Gwbv2LlMh((i*V4h9g0rC)|_4h9g0 zrQd*V4h9g0rQd>X4h9g0rQZYH91I`~OTP*|91I`~OTP_091I`~OTP<xI2b?}mVOWP za4>){Ed4&{;a~t^So&q?<zN6|So&4y<zN6|So(G7<zN6|So)pN%fSG`u=M+&mxBR> zVd<BlkAne(Vd+<)kAne(Vd>YQkAne(Vd*!akAne(Vd=M_kAne(Vd-~49|r>n!_x18 zJ`M&DhNa&JeH;uR3`@TZ{TvJ+JXq4NLO%xs2t!gM=J}(il9TUZOTV+PLv$ouz@L5t zCU7u-Ff9EROyFPuVOaW|FoA;sgkkA-!vqcn5Qe4S3llgPKp2*OKTP0Y0AX1A6`07u z0K%~JYcP?60fb@cw_zd&0|>*??}CXO3?K|kzaJ)YFn};D{RT|pU;trQ`rR;zg8_tL z>G#4U4h9g0rQZ*eI2b?}mVN~$b1;A~Ed3fx=3oF}So#f^%)tP{u=HCnnS%j@Vd?k4 zWDW)phNWMDDI5$S3`@TTQ#cqv7?yqmrf@KTFf9EROyOVvVOaW|FolBwgkkA-!xRn% z5Qe4S3sX24Kp2*OKTP3Z0AX1A6`0Dw0K%~JYcQ3A0fYxj`VE-M!2rUL)QFjW_x3#c ziY@(agQj1Gi}=%T!!!;C5Qe4S1=BbfKp2*O4@~1=0AX1AeK3uK0fb@cmti^w0|>*? zuflW=1`vj&Ux(=&3?K|kzX{Vh7(f`7em6|#U;trQ`n@ong8_tL=~rO}2LlMh(r?2I z4h9g0rQZiLI2b?}mVOy#axj1}Ed46X<X`|{So(FC$-w}^u=JZSlY;?-Vd=MFCI<ru z!_x19nH&rt3`@TsW^yoqFf9E#%;I1GVOaW2n8m>W!m#w)FpGl$gkkA-!7L625Qe4S z1G6|7Kp2*OAI#!l0AX1AWth#u0K%~Jt1z2`0fb@c*I_mX0|>*?Z^CR21`r-B>9=7v z2LlM>O21sEGo7%d-z4b%;{^oL?}j-X3?K|kzZd3kFn};D{eGCk!2rUr^eZrzg8_tL z>DOQ`2LlMh(r>_A4h9g0rQd?N91I`~OTQE5axj1}Ed4&1%fSG`u=L9?kAne(Vd*zu z9tQ&m!_x1Dc^nKN3`@TP^Entm7?yqw=5sKBFf9EB%;#VLVOaVtn9sog!m#u^VLk@~ z2*c9vhWQ)}APh^t7v^&?fG{lmDlFh&0AX1AEm*+80K%~JJ7EC_0|>*??}h~&3?K|k zzZVv8Fn};D{eD=$!2rUr^eeECg8_tL>DOQ(2LlMh(r>^*4h9g0rQd>u91I`~OTQBq zaxj4KU`f9l7IH9vFs}5QcWl-cZ0YwRwET6rguncKu!w^JgkkBIVKD~-2*c8^!eR~v z5Qe2+hs7KWAPh^t35z)xKp2*O8y0ggfG{lmE?CUL0K%~JdtfmK0|>*?ufP%x1`vj& zUxOta3?K|kzYR+`7(f`7ejhC1U;trQ`gK^!!2rUr^qa7hg8_tL>9=7i2LlMh((i($ z91I`~OTPz}axj1}Ed4%M%E17_u=LBYjDrD$Vd*zu83zLh!_x19WgHA33`@TUmT@qE zFf9E(SjNEs!m#wqu$+SdgkkAdVL1l_2*c8^!*UJ=5Qe4SgykFzAPh^t4a+$gKp2*O z7cA#s0AX1AJ+PdE0fYxj`hBpRg8_tbrC%|BhdONOw+34NJ|K{O1y*t}fbe|KeV`Id z3<fJXz!;W(16Fb{fG{lm7Odo80AX1Aov@OF0fb@ccf(2!1`vj&-wP`_7(f`7em|__ zU;trQ`gK^v!2rUr^qa7Xg8_tL>372_4h9g0rC)*791I`~OTPuHIT%0~mVPI!=3oF} zSo+<tnu7s^Vd?k6Y7PbvhNa&Rt2r1z7?yqo)^IR@Ff9EVtl?k)VOaWYSi`{p!m#vv zVGRcZ2*c9vhcz4wAPh^t0&6)KKp2*O4c2lnfG{lm2CU^^0AX1AEm+IJ0K%~JJ7Fyc z0|>*??}oJ;3?K|kzZceWFn};D{eD=>!2rU8CH)Gl<6r<`So($SOM%gG8TPfH_3;nv zAtRg{p!>7H_eXRf&Tnsk-Xj4U_l41*b*&(?Ga$o-46yUSA4EXLi$QWA3_A}TCJq}9 zu7{q_sUQs*kA#f}_dv%N51@_L#z4>2f{DY%Yp+4q6)8Z+J0ZSdV9<fCAA+fejo0d< znFAZI4TG+if{km!#%rfQ*MTi?htx-~@!B}(`Yo6^Y`oSNI(`gW_YWJdT?5@m4m&3g zc0Z0Wbe%d(96CM3kPLMXOdK}88vqL*Q;2(xfC8C;fx!TFe-QNkCfK^+a_ITtuye#< z+bLL}<Hs=ZQ&4j%pzGUU;%A`Ze_;1@Lia_%wiy~g&4Gn8Y`i%Ex{eJd4jXS)LmO{~ zoeMA*IzGKX6f%Acou+`=&cFcMmjn}-eB@FLX#bc4+WxVn?hFhGNc+be_CeC46jURO zhV36~fTmx5=>7uOy$4X`3@c#gvO@h0OBWJo`<-C>$K=rVYr*!9q3=V3?H`LmGY6JG zpP}s&1C_HNH+?`8hwUHJLo)}qe@qa~J+Sn92hAMV{xKFb^|1Y8%4p`p_Kz_^_b<Zc zZ(#A{f~Fp}f9w*Py|Ddb{%Go9%X}@-#9{gD7n(VXK!L-+z#xRSj}E$=ia{Dpd>K^z zceH(?u;sBg(Du=-f~r?RGY2}|!0-iaf9g7@dh~P!D-WR3a0<3;7)CS5x*PzN&#?2k zb)n^Rh6e+K0wXJf2=v?yg@cgv4I9RW(ITu23~CI@@O`-}VC!6=<tof#Gw8X;u=y)k z`P>2(Pmo0|pKrky<}xra9N5Xpa0Gge3e3C{NbAWrtl?ys5C@ZCV7TDI$zUjp;3ur* zWDpQzU^t)&$%Gd+b23Dso!{^V?R*ChF(m!#I2rCBnOES<$*{$Sfk6RuUI0|ta_Bwn zP%eWG=-zV}hk=0smakw!9-#H=T?kJKh%+!aAl;9&+z_S`LQep#|3I=2bZivJegifx z27`l~3}GP<_dH<ZVqiGT$uI$W4?9FR1A~P)j0K@9JyFlIIDjV4Cyy!*YmZD|=VDNB z;ACim1SQxoh25MC=<C~OF`@crF=)L!()m*tK<7~)@sGhKQo$xNFl+?f7ljl)?=(=| zvjb!vR4s_whvc4yjhqbL(EGbUatV7m8FoSUeS!EBHlc0q00}}dY~Bw>?^$+F3tRj9 z0JK0{-~`DRpnc@J3>xtDy`b|$K=A`LmcaliJ%PjuWEmJ9NFW^T2Ag08Nir}n1fZ#3 zhNiv(O??8I`t@k)d(hM$uwh_uKnmY=X!+rW7^?g1<)99OQhHFQC)n{bfbcUk{R(L5 zsRE6EUJlj#C209&2AcYZXzH(_sRyS|sM|o40K9+$@faAI<x%aMf|lM^p`|Ac2~_oa z(A3{HLY3Emp7#N<je+5iJd6dQKR}WRgu}qVV1lOJ0gbN&Js$+7;Tb5uz&H#H45!h| zmja!?f+YU|dOufz6+Z(Acfks5sG1CCC<97ekb&N(Wy8<FV8hSw0ak%S<zW;oU%=># z`ad>f%OA1O1rQE~5RV&lF)-*csKN7xJW_cIG8?x4M?jW~0fc`bibjw$!wy;0{WS;C z^q)fGPk`nJ0WB^D5S{@&?*nWY0|UbxwDUb+_oGda=3)S2P<b4}#IQk$3yfcZ%Igql zqGw=Wn2dIx;uo~^$b}YPu>DE_@>~ocyZ}`G>LAR6o%aKC-#;|-VEdIOXmBxr@SG4x zcnHXGF@W$YNHD{+GZ5OpbPVl06IZnTO%-V8n^bIr8x3acLpy)u0jwbimStdwKr?R% zC_F?E{uQu;xF4z<eji<c3Ks(ie*~$ANir}nMA)J3S3=)Eeg!Q*OjLlFpCHD?0K!gM z5PpCR7Xt{_qU|RSSA@vJtXyr5%J21q<c|kpTnr%m#RXNq1$rNygE$uh2*b=lr(x+5 zCVu|tWOGpa>j2vQ>U-8OFen%yGQomVkO+qLUtu(?e+;|-<;GL!`Oj$WuXnKaHdGwe z{_2CSkAd|^Vdi?l&TD|Ghh}GnI5crs|F{Fze}Srp^^c#x+P6?~SpQfF%^X<&xDD10 zhpLD5gFc{{11p|5VCR%T)x+BD0<iu9R2<eGzJeAGu>S6P==~0`aDeqMbI{bo`j?x~ z+zIPnTA|qs>tBjM@0);`4?7oq53D^8btmi|ss(7_3A?xC3!1&KdrKC<`U_BV0-#AJ z3QZh#4?!VXxD`OvuY<<Rftis0aRXHRKh$2hIQ0C30O<LIuyF|3{{04MIKad^pzW^$ z*m*Y4`vYP7`VT<QiGlU+VEg)Q(EDFdb9`XusvL#56Sm)<fjI@S?;f<ZTmiOmJSVLf zwEY`>FFIVfATh;2A-SMb&p9zUBh@D}FEt=B#af{}sWdIPATh5Pv}3$9xkRBFymcRL zEOa}6T2X2$LJXwAC$qT3S|O>l7_sj?7bdPyYpoCu-a>9{WUY`?S&~}pn^=^cngSLB zZ8QgMD=*5=FY!yQC;<zC6v70(@{@|;f_Vi+`N?ozNilenKXgZbUU_0BLVI3HeqJhE zWu9A3X>kVFvqAa!CB+Jvc_r2gc`k`1iO77X;9z7f$OkBVP?#bKfUQS4cmU*Rm;Ag` z6v3o)kbi?x6H^eOlb2tTYOMf~0cn7{G&es55w_{czWFJs)(WM?sYP!2Mal5P5=!z* z5_3Q&EPy-$3c}31%#zGR(Afe7KAB|@kAuV$i;FXhO9D$%OH=X3X(2p9(;=#Btrd#P zQ&S7V6EjOviwLBMoXp}9gaM$`0E+eG%H*6>MC28hl!KxV9QcqJu0Y|J1cU4gNiE8S z`KkmIa3~xUS)}6`3KC0;Q~iQrYQbET;~X+l6AKK$p$rNZ5YG^WXN1CY&ri&O3V=^4 zfYo5AG^||+qjfD$sbOm$g2op>IuAhag942s7%`~B+lR>ge~>zS{eO@=a{nL1NACZF z_{jZ#5TBI(KS(`t{~yF(VZ*@ifFIGG8C2r|Y+NYg0iZDfT8;;R#srYZ13=+J>3G1m zd8pz0p%0bM0J>k8pNXO12qzd*bNm40{vXixq`?|a1`tLbPXNgyk0*fmmq7_0X*?qk zZHS=?wA>v@J_7ALi6?0L@838>+M6J=LD&Mce;!FcNDLc>-NOcJNBq1QC<kg^!uHQg zK<{_!o6Eqkz!`BqHN#a%`x3S;9!A63m#}*To1o{#!rCz~HynrVKZl9K+L!3-_hIeJ z3D9x-2hjNxSo_iuI&T6y{}tB0Jc)MhEbKhm6;N|v<BG6$X90A70L&a%yYmH9Jxm;S z?ra<MK2w-Eu=eN!sCrmC2G$-mfUUddh0Kq@+M}sZ_ruh~+M}nS=i|Y|VdK9)q4)PJ zxD7D})=qr{y+;-1PFQ<147$JJff!`G6xJR+0bSR>AO#{0i~k?cc^uffcv$<d9J((7 zb}ucg{g(ipmw~B=wf}OU;tTde+FKK#?ZOREdlUE|;;{Sg0-*C^F#p2tzf*+HTfzJV z>*sSr#S_{g;Q+fAZwJ(!FmnW;MeaPPI840)RD2usT;T)p5ck0D*IWR-=Ne|O162J4 zs5s1=0H}BibRG((J^?D;0KG30o=%|RK4|+kVDmk&`~jm+K*J42i|?QI5;=c%K=WtG zUIvB-_K5ts;07dr!io(T4a=Vq(D9uFsJmh3H^A(@4n418fipB*U=q;$yucSC4$CKg z&~v9@^J=j4Jp-B_VB)a!-GL?!OULEV`2d)DSbxg_nr>j?u=ITanr~s^u=L#syZ;N? zzk&6)T%i7fsfYEqIMB?8^|xL?`@1mpuzXUAW)7^sbp$%E2~!X2ZykW<2beglzXiYN z66#J^ezSp|Zvj&e%WpT(+zHEX70`KBn0i=#djy-`g_;k$pYj!SzB9oc5|108>8t>n zE@Ah?!t&<>X#PwvfT)M%tAo(;=z%Ll9F|Y)<sc=i1N6L6*!`wC(Dar7RX+imA3CAs z9ZdZJsCYiK9EYig-DkT1nhs&}!Lad+K<NDUgX@rTK>?c1U7+rViNoqA73lp}57;2; z6QJrJLF3&4I=>3L{}#TUfFI&t*!{P#?14@<Kr>zg^ng&+jXNxm^DjdrWPh6pX#T8< z34T9)!X4uB?+@twFs$5x+20D?Zv`s{LCp=29PB<i2WUM6t9M19<vvV3tlm8bO(!sM z*s%6$XnDGz2~vN+>SNe_tFU?+Rxe(KrssrBkZ^|8ix#l*7n(j{<p!+&NH_oqXIQx* z20h1~0V)nl=NZuWg|#OpaD#lyz|aR(4-;Pi6~7LxFJb=L02P0WCcXnI4zG7-K-_Zx zD!vE0Zw|Jv?SM4IUReG5U?If67og(sdejhN4(Qx1kPdTbefFRdBCa3<5@leR1r>+w zvr~X>IAl|R<}YaaG=Pc=L&ag`fCE%qD+8h)CLRD4p9F1xI6Q>7GXW|Nn;(XSPXkmu z2pXQS`fLJJ{Mrnt`Ox!z7C^=0q3d8^;j;lMJ`sAZ5<?oqzXzb=E9Rk^a{(&u2c7qQ z@C%aO9zexcK+C-atq^es=)Bb;XuS(_e}Nk$Jnuoxfvu;gfQmz8F-cgyfzg%+E#6@3 zpWcElRA~4PaR+FA&xXMg-akEuHXn^Xzh?w>H_Qd3&iCb^>0gF6pNBsGmk*tPYw+b~ z0Acuipf5iIgD*cr6PkI1<_DS3=AY5$2hGst0}0I+E<u}bfXyEY`0z7;umswCAF1<+ zv(V;CNS$9igQlO*d}BY_d2)MTd_R5$20wm=X0-W>CAO&jxed0klmVd+z!suFxD4p? zmtC-e8Y)lfeCAGQe-^5pfzbS>4BC9;V4v@dfGxO!da%h1!cg$$X8_?IwDasBvX~^S z{(;>uVs^$l4zz#Wz!p-DWkJ`+Ecna9upk)GJ~wy*so!DI1f!oq+m#H^^>Q#9;rp<m z;;?!<7ux=Um1nSedkwT5#~=zRk6`sDdbtj37uP`VnSs@luy%1EwEYDuM`7(^Cp2-` zJ@E|CelAQstiAjK+8%+`8?g4W0GfJOd-(*ke*iNFHcnv!-Omj>uMO6Yo(63{!o*?i z=niQA045G=M=wTG4{Jy3!R}Rs)?={voq^Uqg0-WK(ZXQ`v>lxX4Nq7<5!Sw50$o1| z^B3%#_@mHurw-8i5O!aD2(&(jwL@X;arFKptiL=XACm6i@d#~4C&1jZ7*fx}+V3pJ z5cLlhLBwJ0_YP>k0p?Cve^v+D9)YztVe4$Qp#2`0IIO*Y6xvRN#XGE@>jAB|VdAiU zfC)6+!o(Lq-5(9>7eeD@15|tm+BgiX-(Upof56PS09F42+JAzD53E1&0Zse^RQ(S$ zaRzArzz===3MvlEuP{0Tt)AMNzDyk4{<nsNJM4aenz;-N2@Z(zA>jq2e1Kj9!vLdU z{r4A8`x0JYpTGS9ZMVbx2`ex5TtQV2D=&(m;RsU?YtPr9iNnU%Zb0)VOg+Rm3=GGh z{V$j}Y<wURExcg;f*!PXJFH)D8O<D6zu*JxoDFDy0amW9fwoIw_QJ*!o<YywfQiG# z69S;=5+)8CPY{CUf0#IIJfQ|!j=;oW<z@mj|HH&#<z^DJe1VC>%FQ2W^Qf@$(HPo4 zf~kj<j||ZMGE5v+K4zfB3#=UcjusBEaxf0s9)_7y04=9vp#2^AJS|k*18Of^96Eo? zfmU9_=5HrJ!wptmz~*mDVC50o{B0m~eKxFIgU#QnK*uX#;)|g3w~L_XbugTP^cP_B zx7(rp2$;VdpywdIL5tr2s5l#1d?i4|SHau~O;-g_aerv}=m2$p1614vJ$*vOXP~9$ z1yJ!NXyO~7;`^ZEW-#|3fQrM)j~~!>IE-$7>9P)7ex#;=l{7>_#t&qtFfcrrh}a*o z;0>hwfL;^D0Ha~$2Lot+0n0t~U_%)g7*0alAqn>(^#ZK?K;K6ID<@c><u)w-VfV#3 zLF)^cIIR2-g6=PP03A<)l^-q8cssBN63?*m0KMG;%QxK6avm0buzVwdCJxItECmpo zVCrG{rUZIl984URZ$cWN>Y?!u%QreuaajEVYd;)-_FrM<z}gR9F!Q12z}gQiGoj`~ z(;cjxa0xmt0y78JP6$9N&tUC@2I#mntbY${Cn%zoL$G#28Z^Jc%!iGizd=i<uy(>; zG;?6%=hva)2cY>9HhwM)Z9hEdhQwn6biL#j=y*VaDWqLm02Qx=wu>GxL)0&Tif2IU zH<<bjQ1K4v{+9=i5cLnB;s>Dh9!y*Sx~}&IEWIs&q-O`HIC{EDfQoN}mNyA?5c3P5 z;x5p3V1p4Pe-%L2U4DYPA12NKJ%3vl+J1wHPk@TwfTk0exB~QkE3tk^dVq;<fQqB< zPjP_Wm&OGRPnh}usJH?2T;>PReJc;3;;{S;qha@qW<bmREjQa=AeS#0(D?-^(D<Yk zqJ6^f5#oQ?wJI<gR=yZO%NGS`J8uE>-T|1sCeV0-rB_%x#0gCtR=zxY4{5hNV1mR4 ztQ@%u6;FVQLqd;%0X7Z^n`eTxLw3OGuUF9Y2X&AJwETj(2UhRPpozokeFkW`22&5K z_Z48~=R({GtM_j~>wQ=`2rIuzpy>%Ft^tjQH_-AIR=&W>H4dnH*mxSOT$=)OC$xPG zE7v-p=}&<llI~&Unh<o{5?0S9LGAqps~@4`iYZWW23UCn6^GrokPWK`q2jP~)CQ~9 zpyF9jb1p&4cbL67Q1LWqdCian3Aa3`_;*;l1!@kg{8fV1%dqf)mA^}&@d7K?VCAm@ zG#p^#-th7l+Kz&aSHsHR9%wrm7B8^!*Mtj_!eH}du=2MCx=#$A9-#e)b~JHVdHMmG zPGIU`<>@b2yhG~)Sb4etTHY<V2eBX4Pn!Wd=MAbJHXj)QjbE7gu>M;XbiM;Vj|+9@ z0a$tG2MK>zKW!ScT?)JZ8P@MgfaYrlIY_#K^}G0?@e2z#SikE4wA~JiFIc||Hm(Yz zFL;&lV;f%$oD9jR3cn!b4(R+TZw6cV_^J|gJQJddfx(Uyb-w~=e+5hqM7yG?Pe5DW z{YVv6|GGF-ew-{SAN{^p(E4=H{i-0lKv)FYjsvklSObj@+8+%PhhfnEXc!wrgZ4v% zXcz|Vhla61G-y9Gh=$<`=z4Y-{{k9c1{Ci|>qGv4_IDuh8_?Ege-K0UubDb3AANrk zY(MpbN)84PhV7?zsN!G%;k{_%#TjVhsVC6nLHn;^egV;-{nsEGhGF}y4^(k5fG})- zwL>)r0|?JS8!uge=D$8jvVs}M5DXiig^C<fN4+n_AKKo4N;AZw@nPd1FnKH303TE! z32nSr9BsUH7utS-3N-Z!XzDf5_&d=2a~#dQ6SfQt4zR+Jfq}sV&3zBh#x1PT%m?qE z>1AX%P|X3x^U(CKK;usZ?a%6g7V4n=6lmck>V+D<8phCof>Mgm_7{}P5DGeW70Em% z*a9S|0?ieu<L7Trpz@`rqw=}8qw-(D8Z=Pz?xL^HLU;wNVgq!356od;U=W2|M*`zA zSiw3(a1jUtw(SVkzuF_k698&|!S+|`K*x_k<)4BoE5i$DyRHFR4nl{4V8c=juyPT0 z-O2-KJ?1bMH9;^z#bM<-%>K2|`e#8Rq<sYKely@JUlmk17?8`?4=NlCgSmWF(C1)4 zE?++wa4=A_e04D5V4!FD>R`sffLy)?m~k+WQodfW<^Z2x3`vm?5?}e+V8_9LT)u9w z<zS#@`5Iu)!9Yp*s^G}MfLy*RIB_uGEni(Z7?8_X1vd@`<nmR)or8gr^7Vix2TJ*x z;K9LwT)sZ=;$Xm6zHad4U?8P@ec;EzFlQK-uL^1$c+1xb&al=b1A_;&fZPFU?=Ubh zNX)4C4=P__>suK@A>(K29t;c#c8LD%ga45764oq)(XjsQ259^7G<5w9>|AL`?qOgs zgZ3*Jp#4l(`MMQ4E&^*G!^XER!q#Cx+wrjR?rG3<PB8Of<K2^>{jP*sNI4A~@AiQ9 z2OQKP?Q&SZ^a`}RPdE>0-^2Q)VbFP-2mTOoSpUivS`WbNh4rr_pzGLR{ZCjE!X6q9 z=>4k;uz3RLdLvl>Djqs-2~!X2U%}Qh!TJfX{#6Qe{2eyG1RLLKf%f-c;xC}}vJbRB z30qGF8~18}x(B8n)*oYl&hx;;VOy=y*LA}BY5So4I#@pzRQ`hEz5v>ff{ANE)13`$ zybaoqg>B`mfR3}n`n|Aq4h&Ft!pwp71Fu5I&0*;Wc2C)4sJO!tNIb&&hZ~^db1-w@ z{X^(@0c`yo=)572`*Wb_0oH$p^&45B@dXPXSo<~r+OLDTrvmC<9_aeZ2P_cx!1|LV zP;+4OqOf^C1!%az#%o~nez5Tlc>f$~KKgnxSpV}EG{3;oC#?T@2s;0{U=_qYu>PkB zG+n{m{{T8}<$xys0xG@(Dh_kc2dKCY^q#o|(EG_5pyk6~X!?Y=v!LR3Q1!6zSAdE? zfR5wB=Bo{$;s>DOuy}NUileX3^MH!)hRwG_%aZ`8_ycG<frWDdRQwq<+#XzklqUsH zaTch5VdDrFpyC2(;t!zWm!R`}u<-u?6+Z<nmtf{IK<lS8XnPiBK5U#g7EK&BPRtK= z{{v%4`PK+EX99Fx*8&q%aRm)cEo~iLJ$(a1BU39ITYCp*A78(K;HYRNF3#A5#N>?J zy!?Wq;<73QZ3PE~0EK8(UDZO>3QYw~4NWc`1zlZTJKYQe0|P??BLiat69ZENGXrx2 z3j<4oM1v%QWP=ogRD(2wVuK1p14BbYBST|D6GKx&GedJj3qwo8M8hP*WWyB0RKqkQ z10zEtBO_xY6C+b2Gb3{&3nNRTM5835WTO<LRHHOw17kyDBV%J@6Jt|jGh=gO3u8;; zMB^mmWaAX$RO2)g0~13NBNJm26BAPtGZS+Y3lmF|M3W?wWRnz=RFgDQ15-m&BU58j z6H`-DGgEU@3sXzeMAIbGWYZMWRMRvw12aQ2BQs+&6EjmYGc$8D3o}czM6)EbWU~~r zRI@a519L-jBXeVO6LV8@Gjnru3v)~JMDrx`Wb+jBRP!_o0}De7BMV~-6AM!dGYfMI z3kyq&M2jSgWQ!DwREsoA14~0oBTHjT6H8M|GfQ(z3rkDOM9U=0WXlxGRLe9g1v>@j zWal*JeCJ}H9G_gDe4i4ZQr~3XRNp+m6u%t5{D9<ulz`NLw1CWj{D9)%<lyY!+~E9> zsL0HyoT!4RVkIsvg;=gcgG9qbqeSCGlSI=*vqbYmi$u%B#Kfe;<iwQ3)WozTgCxTw zqa@=blO)q5vn2B*izLgW#H6I8<fN3O)TFdzgJi>Gqh#Y`lVsCmvt;vRi)72>#N?#p z<m8m()a0}jgA~IQqZH#5lN8ewvlR0bixkV0#FV6z<dl?@)ReSTgH*#*qg3NmlT_1G zvsCj`i&V?h#MGqJ<kXbZ)YP;zgEYf5qcr0*lQh#bvo!NGi!{r$#I&Te<g}Eu)U>or z&D`R=;$nr;;##glTNM=C6%>3G6ao~qG!%3d6l@d}>=YDoZB?xlv<)is(yI)OOwEi; z?d|Oy3yK^|(_K=NUH#qMQj^?@GCdOWyb|-gN^`tQ^L!HXeG`j(6Dxi5^L&f){Sy8B zvh)4&%lwl|0-SAw6H9_q3xZ4YLNZE2N>f8aoWl|`!&6gYt+*0XDiTwR6U!=+3rbV- zQqpo0(~}j_3luU^D>Cy+auW-3Q*(2R)AKTO@{_U(l5Go0iV7+UiW1AqG7}GNEk3uW z`2GI93aZK#3O2U33W>!E3W+5O3TXwl3YmEd3Yo<U3OPkq3i)XY3i){o3I)lw3dIFh z3dIGss>K?bnhLfGnhLqL+Mao7ItqCTx}JGy`X#vq#-PlqP+;qrk)P|Fk(ld}Seyac z;_2@1?(9{XQ|VQjm+F&QT<V*dnD3jPlIfRPo*3X39~9sgA0FTq9}$v~n90SNYa6SO zXPa17VU(0uoSKxIX_%CoX#~0|J2^2YCpod$FgdZ<C^@m%I61M{BssCzG&!-@EIA`F zF9mdSc21gJYEf=+T4qjaT4`Q#dR1ltXwPFtYED5$erZu=a#2!dN=|BKUWr9^W?o8O zeqL&RL26!MX=VxNp6TMGqU_?-)a>HoRHNeJRO8~3#1hbU(~_dnRM7R%Wx1)j<%vak z3VM2aTnZlXA+`!0@rfWJ-B!WZNk>5;9K=n`OHoir&MUE1$N+IO;?qH_5?h7b#0p!5 zf|4Qyh2qRATZNLuBwK}&`~q8rQjogR_;g!U1B(iM)nY5v6djNyItpn8R=T=nnTh(T zCCU1Rpmb$!VQ6G(lb4xe=a`a{nCn<jl$qmLQc|Shl$cwZ>y%iMnC(=Wk(uI>pI(&c z>KpIu3L=7Bed7bXTz%t%B3ymrgFRe}it>xV+k@SUQd6_NGjdald=g7C^L#RMlS+N^ zlM{1%6N{2F{7Q>6O8wI_5_A2FGAk4PixbmRgG%!<lY>EfQbQ86i!wtJb2D>5SC*$H z=47VlB^IR@*d`VgB~~WoBxa{3fo?%h0&Raz&dD!MP0laPD@iUW%1=v4%}K0G1vw)v zF{d~+EwMDGBt1DZFFiA_#Lx_Ur8#(ub53emYEBL)Dsoec(o=J@Q!<Nk^YcnFazUnm z_huI)=4B=q=cJ|<6z60nrxq6^CZ`q`r<xdo2%}<9RDz<<qP!@xBvnCOwM<<>LBrQc zlS?5iF{d<DAu%PT$W{TA;uI8;^HWl76_QITN~{!;ON-KN71HxFQxp`k@{@{f6>>6* zLGh?-S757<ms(L`t5Be8muss~P?TB*$|i|<whCp5MFqCXoT|zdT&gJws>NKY#j3@s z5gK}WdZ6klFTX@VR~M8djV%q#j7)8GLCMzv6!Qv>MTtq7$&RJzrNt#qMTr@?na+tt znTdJM8Hw4siOxBR#l;%V`MJ6IdCvI-C7H>tzVXHuX0E>RCScOc!rRq1-onfPM1t9t zV76nBv#W2sV~{V13<D(vCr3k9-*_iSBM=$j21+3=0U#2bJX|6`Y!8cISKoMFV~`qO zM^Liy^>qX#BWI9oKmf?hAaLpmb_Iz9hnRzsRv1WS2v{}@tSc%wz%?Y^CDP9|BtFR1 z$1T4oH?hR6C^IFo(mlT<BQx0}F)zI|$0M<%C^63?HK{1I+@rD}BQ?)EF|#Bi(>o(S zD?8ICwIDeo(Wf#wGcnJnG9@!H&o@6WC9yIfBtFC^I4CtMF)uyX*~8UEAsBq?dvGa8 zHn_4VGch^DH^9X+C?qj6-X}E#WLHT@YEEf-X-Gz5VqRi&EGTs*=H%oj7bh0wCgzkR z7UdR~q<~_@MmHrl*~GvgB{$jB2t=A08Kjga7NzN>rlsa3r=}Ja<>f16fXpn&_RjT9 zchAnu$w^Jg&CDw;NzG5nN=+`wPfIIKEy*t}DJU%|$S+9FD=Ek?DoHH@6*!3{MH%@i zsl~;~W$9K5pxlv{Qk<HTnp{$xk(!fJ3Au~DxTL5wxdgl|9lSf+&<vECjg3I0nMqk{ zPJVJ~d1hWpez}5zs;+Udf`UeIa$+8o(p2zu0vGJyv~H)6Qkj{TZVNu)px9O+SJy7x zz*ZqIx45#{R-xE7FEfWrRn<T>rGiVfOhL6w!9fR{0c>?ZWmYPPsw}orFtjuU75J9s zW}qU;u`IQ?Br(q^H7`9eC)24Y5fli{8Hsr=nMKZ(1x1<pB`&GOsYR(JpoD2)3QBv9 zLC&DW<ZNgLO25wj?%-m|-`@wE3Jt-6L7t!#=p5<-Qt4u75a#L|?_vz5TtfmvU47%- zf{Z|k-7Ux%OhteV^9(Qo<pXa+15kqZhNNI`-v|p=-*_L0ps%4BDEayt8$l^hrtozI zTkGo?0J7HC*AtYI{hYxT`MCsvT<z!Y2Xd#MKR8DPxCDa|eh|czAP;a>3Gy)qSrim( z0HT78%|R{;Hns#)A%>QqJQCsyk_)pi011X!n1ZM%3j+n$ka%bRAXnFrc-IJ5XV;K; z4^RJK*N}K0e=r5MJuDtf<s>B*C+4~PySO@qy1N%8=9Oe5dL-tR=H=&m`YV7Ah44zu zD@`n_^iIsnOH4`hP0UU#1~oVGQW7(h!DV}Do^NSRNn&26Z)IX$Zeo#ta!GztYLS0& zZem_#VgSVU0FdpDE?~+(I3Oh6&p*T!M1}hJ1cbx~c(?=wC8lI1C*}luxPkIwum?Ds z2WKP}C8i_>XC#(oCgufarle#f<_3f6ha83A%)E@moW$V#bWlbP&aceRP09~0Pb?@% zEec6YPt3_r56MhQElJD^$t=n!ElCV1O)XAItmG`IEJ!U@;4A}`+O~;FpnQ~)oS$2e zm|T)vtN;ROnW;G`#d>Ku`H3aQMqtX!Bn={$o?KFtn4Fpp;brEPCFW$NWM>xSWag#k z<%4S%a7|*R0IFFsb5e^84M1iV85%+;BM4;-p-do@DVVYVkqSkY5Gt_(L>DC$Kqv?= z8A7FGf(26`ytJIe^kRjgOo+;2h+Hv*SDKfXnU`Lim|KvOT3ieXy5f@jf`ZhP;>u!B zg9uat6qFQ|=4I#Qm*<tG78PgagAWAB%u82L&{fqnDppWPg@l?ydVXTgxhb}w1|Fym zQpg3@#kLBN!m3yyFA-D*g6g&Mvcw!)g*?!KCn>fH1&PVosUSK(Gp{7INCAAtifuHA zE-5WmP$<#MPOY?6P*wB=)yf(=3ZN#Im4b<dg%PN!;#icMnwOcF=aiU}nU`9e>XcuQ zpPQeS?^K!&<~#d%I{W+h2RUb?7UgHBraD&^W#;5$CcC62r{*T57P*ub<m6W-=DGUD zn_GDMgEFe4Pk;xgNN@}b0%tjw-~b~NSKoM7XMcB4E(Ot`TFWiO*hs<EH{K)E(J#`~ zH{R1R-VCJ9+cyH7)4hEo!1}$zAT^|qqo1QEsLpfr^8}S3zOEsT?jXK@geN%Ly99&P z`vn_=OBnwES3gij4sZ!D1Z80m4YEHVz!_{$K#*rJs0a#l2@U`iXhELA&Y&_Z*aKo; zu#c-HsEi5r3or!P7aRZ;4>1In48b8rU^>LpFA~&tivSl6zVQ+6jv=nDB^jCd1)0gN z6(y;8DXB$nsYykk{0=P=Y~54yi_$X_^W5_xHKKcIR$@_NNv3;gQEq8=My5w*QDS;x zUZPiGSt2N2yg@C;#JoiB#LC2sc%Mw4%)HdZqIgFr?c|%7k(ia3m+6;Z296j1oOtJq z%<Rm7koaH^A5W)%#G>L<&%Ba=jKuWf_<%%EIpE<K>;a-d<rjzsl^tL@)W-)z2f6w< z1}B!37NsOsh9ssZCFYeUg6ipzjKo|J9hR9|5|U9`1j_s&nQ57MiRl@k>4`;|C7H?5 z&>X>~3j$nRoX~WcoSvVYk(!*Hm#>hRm!Fafs%LXD^RkOd^YRjta#CT31r;YJmZj#U zrxuqKCFkdr=|MA*hJr>K_{<qi1zkG@kO8&|;5IBM3#6o`r4|(@gF8y4#i^QH;A%8A z1zMk`rsRTaR7krqw^$*$pj1ae0hHS^^Aw_CN5$AG6zk<==4O_F7@&r2s;xqCW;&$h zS&{*Aa51<~pbzR2fR86K)XTF4GmP}|Y&D=x)zneQO9kgu9fkay6i}u$GBGhU0ktZv zpxM*bDY3XXF+MCY!zm*%GrPDnJJTsM-8BzX@H&^~rDmq(7v;KSCMJW!)YUiM%)-Oj zz!b&+rEZXjAt+gc8K8UxW`Gj3qpyoQxOxQTIB=E%GeBwDHNx377*vnC8TmScr93R+ zjls#;!y?`k%<y%L2n1K$pei>Wq{}xrzyvH3;0Verpt=&2=D`h1*LY_`7{k~YBoz`7 zU=Gq1;_2fG&Tt@xJ47TtAPCez2nz^+*cD-62Fd&pp1%HI_e6xa`UN|JE7^b`e^71f z;}2rEJ1b~_iZD%&)QWhQ%=F9>&%BIOP}d>F6I1}EB_^kOXOyNSmM5lU`gr<<M#LNG znfWH>BvvNoB<A|&=cVW8WG3eMB_<`7B&H_jfooEb$pInpo_-;&K>^^}6fBaMlUrGo z3Ob0Ys5mt=Gp__xi#q!F_&b9b?m_;c0U(C2YmmDuh!Gy-8R81cI#4NS<_k{D1?7sw zyx`P=5^(keH-JI;Iy^Nc4_tdDmgMJVCg&GfBqgROWag!07A589XQvkBC1)m;7At_e zVxUH^LUC#yxK_<ctuQl5&d)2!%qvYzNzN~*1ZOeO0a^v18WPk=Qc$#2FaY(n6N}P| zHPTZ{oD*|$Qj5~_4fPC-^$bnY^NaFJOEU9P!F2~H=)jDk(t?s4P$v`Ay#}9|<&v71 z0%o`srKaYl=IVj1$V)BHF9sc#1g@Att(t=5oZQl!!j!_I)a3lK)FLZ|qSQ1{i`hz{ zsJsG#ic<?fMO}Uow1_Y^f-#I?3=<f`6vi-vG0b5M3mC%^$}lm2F$`f0n8_wElTBbI zo4`yqfthRqGuZ@YvI)#&Q<%x7Fq2JTCY!=cHiemN3NzUhX0j>FWK)>QrZAJuU?!Wv zOg4j=Yz8yg%mmyp(#tO`DN8L%$}i3=sjLMRAj#Rb(FzKx21ek1tb#^LYEEiNYKkVP zO95(5=qM;8CYO{#+7)S_!^YfG^Ar@)K`jUH07yY%dTKFvc&RA0q_ik6HAMkb3KkY; zR;Aj4S)enzK;<B)_pPA`>O*IOn?1G)pr#He#%&=da;1Yz26uweQ}aNBCq?P0C7{8n zl8mDKa&VufG_NEx2Q*-%su-S{nw^qZsiB{eTBcuIl9HKcXk=tyZUE}Fm>C)vn}Wwu ztP~0oi;6*wC70BKqSWNXlGGHJ)Uw39L{KH;>f`3@;}{I8^PwzoA!BL=YPW+*8Arz; zXAiKLbC6@CUl^!tgp_g~uD<bJj$y7oo_?VAgCA%p8q`AY^)obp6oTNoJ`B<uunh1C z1r?Q`;wvD?-_<uh#Md|8Jpk0X2nq58m4G0zU~gjsaJd=k2NMhP4E7B5Rd5Z9cMc5- za`g*wcUFK@UtI3~zK#)~GA7tPClOR4m1HJ+XCxNo7iW}YWcq@JOfo>FlYdT1yk|*b zPG&NQ6_8q#3t@$n7G-B9`<G;-7RCD}mShBg`|yswp3VUo`KfuCpq_X@4yX}lrJ!02 zDw13x{emNXK`akXu*DvrE<|XsYY<2bQn*4`Ffl)WC(n>zkXUd?P>7=wm=);@VkMRq zB^GCt6eR}d7nNk@rR(YGK?<<MycCP%#Nu>N#aUVmYW?S=Ru~#tK>FpNkuZ3fqX2E= z7nBr%ix$tk(Bjnm^1Rd{g_O+H6lhDpN+G>CIU_X%oCk_ia}$#bOEZg7i!<_zN)*7A zab|95PGU)BKB)B1&CCO(60l-WGS>jJ(vvkbxuDguLP4?uX!I16#uXG4G)fX-DK$|q z51b)v6%>*X%=BbXDhDUv^a4GIw`>(a<CgI`nPsWA;Py1A3@iq>J0J=aKt1=|WY9T= zpm9Y|%N=Y2sL=r$aRW6wVCVWl2C1NG3v}%uCV(3D>8ZisjHpnomzSE8np<qEpa2Pr z%;aoPUQEo>0}pvAD+E^-E7&Tirf}&f6zf4VD~Jj8k*=<;0(dOWiVK$IQj3aU%>2?4 zV*^t|b5ro(rIkWjQDSbYUU7k~tB;$DV~C@XPp}h==?iCq`?<dHPCky#-frNG<m~U` z;^r9yYKyxB2Y5Og8-RwcKq3K-A)q`5s$PAJ!0i_(6VyzBGC_?8C==AKfigi^(AU`` zAU?noG!_7A)5Dq`A&x<?yyqG37!&|*{D*kPI~zgrA&6-TX<mSsW>C=}PhVFTPe*tE zkRV5AS0_hjZ_kue&}eKyMrN{aYD#KaVrEKFW}aVac|53<>r<Kv&3eU|iFqI<v}YWU zSQ_t~nHTSoSPH89gF}Km{oKLX#U;`YloLVyY0$`PW?p)5ep*SqOMXd7YD#!wQDR<t zymMwrW_U(sNossBXh1YEC$T73AsLi7z#YAK@GvQ;EdUz+Of4=3F>_OkK_k2%Ca7jk z$t(l6eIb=`5~zQcr%;etkP2#KC+6fR<Yne0=j10Pr51tm0;sK!ng_}##mPmfplqU$ zRH=}bnUkuJT9H{?QVeRr=O~oqXQ$?YyXMA5d5L+jUOFn%I6WEMj0c@9nW>+z0CEnv zB~e<O3UPW)esPHcBnyCZw=ZbCBPTbtBqKis+!_I=M~Kt&67#@=&v_}u@LV4Ns((Pn zS}7DjQhiElNn&OWB;$j}ioxw21@O=`gbB{<5ItaTf%)Lz)F{m>N=;180Ch1y!*GTs z#a0TcWn2o;(1uECN~{7{r88&<TtOi%zceoeR5fa8D5NKY2JFCRcY^YDI;3?0?wW@b zWu~X67TGEwj_tHn$b+BJ3CYF9`k*mPF3>P^YHk5&$PrYff^#%De;4Z^D$e2paHfWI zKR|3yaRBOpR2Jt#1`i-T4MSV-Bm<<^0by%`M!P}EON(uF6q57vic51-Q>+wp6pB)F zQWJ|oY+aB`6u{jZF3{wrg}DWInA_Y8JkbaqHgw8N$&7c-&nqd)&w*94;LPsh<{#|n z<Qebi8Q}_N2l>L;e!-w_GPwI69~|NW8Y=V;a&?S13=V;_jr>63VV*9o{@~18R1^vs z9tm*v)c02?$S*F=Ov*|1%FoP$xxpv1q$DR5(kb#yO)W{yjQ35fOwA5R%t$QHi1*0N z&r1hYP40f7@vts!K#;#Xxbn&`N{kQA&n-yJOAoHh$uG%__e)GK%1lhkRLIRN&P^;y z&H!a6SnCMHP6xHEN=m^w4w^bDlN0mQOB6CdV_<opIV}@~w8YGuRPZpgLQ!gRYGzq# zaz<uO3Mea;fSTiZC8-r9dLjNU{wb+RrRkuCH>esc%`E^mXh8KxYF>&0IEAJsbESeR zFX*sQdNQa$C<04mfO1i0u|i6Ic^+ukHa#&%0W=2&tvVHobMi}|2k7b*lon^?<fj*v z7RQ5o3%Q9YWhwc2C3&f-DWFbnL4HmSDE&iILxGh-t}Q&frGeXfki3&#U<=7*Nu_C^ z9(7560ob9LdFhb;FQoV=&n!wUumUBzTw72_1D1#tK<!VcB`I7AzD}A7<@rU~sYS)M z3ewT4DY>e}($NOFwhEvzveXoXw4(f61!#CGlxLP?C@6rVBQ+&FzbIP)n*G4TfeMg{ z7gXwiI{cu1AjCzW%C{I)-syn*^Po|*lKgyy98l%1kQWR;BiB|TzqABY{e#NjRE1(a zP<~4W&D=oiQ)u0(0F8?ha7_zxz8?6PUt0yRU-ZDkc(w}43XY(<9a8lwD}Xvj(0W)| z!QB~NDJv`ZmFBuTLzL+#q$6s1h;s`dV|S3u2`<tVG>k0_P0TFKjle_r&i=juL9W5U z;1Opy2Q+R2=S2B<f+}ff4h`}ThG$EUfKWt!^#~1efy;OXA?0Ln7Wa+!^>hyMck*$J z0#)O_zAnK5@zC}!Jkx@D_i&D>yECK*03OwLFU`wJ%t?%Q&(BNA^aTxRC+0#qpkc6h z@67DP?9xn7E$UI42WrMA=7B0t(15z9UvNkeh~w$!<{$6l>E|8n>F(|6<71@&nzsq| zbPo*m46#xG%?E>v>UhW$4mhKNQw*qk1Iod<i3Q+1oSKrD6XN4i5L}v`Tw;&NhXzI_ zaE^tcrBP}HsArv?ssK8#IxVpzF$dD$wo=H<1662HdtjLkltvW56Q2sk3Pq{KsU<o2 zi795VG9fQB2V{G4Hb@;P<0Y0QW`c7bH0|Z4=H?ew!umF#Jf~2QU!Gb7&I6@IsgU_5 zu+>%y#YMT`8VQ`G!G}A;@?vpGW=;-h?g-Qd1esE#kXliYSp;e;gKMQAP^;J$JRGk8 zsdyDC5>pTktw_#Dhr7C#ixb?ow5={kwo*tcEddR)r-K@x3JQ6-pqvJ3n}Bj%ex5>A zYEeFPb{6U<NPbc%$yWfkA~LH|ixd<}^FV{!DGH$aUO`#GC$YE$mJ5^>KnH8Xc)E~W z46T^-LCtCX;?$fp{nUz7LrVj5V-pKAWAJ#jrKz!*xrwo%8FU;iwW6dbQ2|ueS}DNB zs^i^26F~4(4ju%8^Fo3`!F@u<Am?~c=5cfeS57|up)SE5o~}Ni>d840lG}VOKy6N6 z=ircdS0AUKP*5)rJQx-fY#i?c&LExv!SO-CCh<-n9=O+NYy`?Lpkb<%RM5-|s7LPZ ztN=Om8j?wz!G%a_kpk$1Y+om9NXrA<B7^kkJYC|!2So=YmXxFx<;4eA=9MH?1eWHP zfTA=n9&`jXD5rot04f(;T|higmWlTda)I>d+&uk2&Eg=(NLb|<<{ISU>W0LF_6I%v zJVW9ggFq@h-5s5RLaY?Pvp3*O25LEit0GXw0*ioKNFW}l>;$Fsl2kAcRLFv-At7xq zSn-QU51@`zdNOF>pd=%;JijO>1w7i62g`1-{8bG0ZDz4TqC!e$5onUOs1lOhj1)2w zb4pTEAUtCz50v3RttkaiYE#V1SIEszDa}b$NJ%V7%*$6OE=|r*NJ%ZrOinE*$}h=J z&WC1iQ14M8H#HaR2Sm#glx>kJ#?qV|+hRS0&utYzy-?7J>k5US;SosF6ExVBmXlu& z@?EY%aRFqQq_`x%C>5T?iYxPy^_=tbQuM+TGfO~e7Sj3#9Z?Uetw0ld3ZTL8VmPm~ zIJKy_G%vNHAT_xpHATVI-woD}h2&_YcC8gC!xbl{rRoJh>J#X!Go)-w0as$60uEGH z8z?|(T6bq~Hs?}SZ~?VaAo;P3OIg7qHL(Dx{_@Gs&n_*1*KNMw;DB=jzz3Z}xk$M; zH?g4D#N5on*xb<6!pHzLx?`n~oR|kH6hXybs)7wH&LPv^j=|2Jp7HRJU0Cvthm2Uj zxsbsMc$T&>19c1GTtC+kr(jSvcJv8x4RVb4^Yjk}jr}0yco5e)I4IuR(Z|!*$x#86 zThodXlR=%M#2ihJ#JtS3%=n=EjLf|B%0x(>^Z^yo$%)0O5N;@FIuyhWD9X$$Q7FmC zELH$58wn@|rO=}IfW(ZP#Ij6SZu9YU^6?A~fpNoJgZx}wU1GU7bMuQ*lS<RT#cpCs zS!z*9W^pQ{`T^xCcs&(n2;vr37MG;vf?H1Dfh2|WWCh4@IDA+I(xrlhYe8a4N@iYq zc50<UMq)9ng2)BOp8{xA7N}Iu%`65Fvq8FR_PNDY3hDW|iI9`<ZSzvo6H7qn_veGx zO5~-MfRYlZi3Mtvg0fp`N@i&;q{{@$Z=fPPwYV4*(@41()|>{{bnqb_h;OYB9X{{? zgaWA31!|-hr=}<*Du70mib`^=6mr2HDS%|OjC@cblCDsokeCC?&Xv|6!}9WN6>>pM zY^07A$R(M13gCLG*osS`1l;t4jg^414yg1<0Zkbt<`gSHa)4(_PAa6;rmO&tcBCu; zPV}JD{-NV8APW)|K!fd|RHUn`3+jTEKswx3Tn2_l#wMm_<`$NYPR=f_ZU}y2QgTXa znvt=EiJ^t5xuu~2c<d9Nn%!LeJR^`=b8bPduAt-%>wx+CySN62_`}tMvI4R^h#%&Q zXblGY`+z2IK#MILLqb4<DxS{%#zvr49CXSJt&V~uFX+6bl>($Wq2!rckXn?Pm=o_< zl$Z-zvXEMo51RLjcP=d|&Mbpw633i^jKrkWlFVdK!Vd<I9mWTH__;&`d%A-foK^~X z`JnbpK~!R1Vp3vNVo`i>VQFGfDi^e80xFx6v#q#5i64?IauO@^OG`lf+{E<EWQDv^ z&^$DVUtExyoSB%T09rf<=2zw><>!DF^5vu^W#%OoRqDZK*OC*9OY+k}Net3s1`m!@ zlz>X7<kXzhl=NgPh0?s@^3;M71yDVgo?M(!l$n<eF75Nv($e!44D}2RL2ge@Wnf?c z)nw_ZMGCNb0G9s}i_%MTL5Tpeo<RZD)P%_w7o?=-lq4#E$4EeTROn^q6{i-JAoxY8 zxu7{+$k2}hsAkB?&r648S+IL@6ASY46+lxtsh}1ZsMDC2pQr1d4^NI<kR~iB(}K(^ zNd<Q?trR@sbK}9Q<Dk<tp!^2z6hl-gfcoU%mM1hitP~W|K^Rd#rWaT#q!%Fg(2+`T zTe37K71V@QNKVf$&P<0Cuh6Uy%Dx4uDGC~p!4yqU`_)PT6l>82x|Xq8pdu!zG!5in z(3nXjxT%D6nFy%62B~8qO~+zeNRCD6kAW&NPf!aUl804OtQ1sJ6rv$?tbzj~6GAd& z1VR9kD=Q2QEsYE;jVvrpO%1@Kqo$T-1}0`ECg$d*kOhKPpdf>kp5U=91$a($2DK?2 zojehZKTy9lGcO&~`|-;!D#-w4o+S97VkwdUcwFDp1v~@-n&0yWFHnOt7xEHwQ^6zp z0YR=PO*f~|U{K2)I$0R(>F(_B7h(l2hCpTnd%Amq_@Ja(1e)Rt28}x8C8x%N7K>)) zCFX>Js=d^dc=&*56sVmK8Yxc!UDT49mkx@ckj%VFP`|(#)NM}A2OFhOm019B9pru$ zeaLD#Th%g@p$%}~Hnk!(88i)&n^*!Wtn-TyH7|6LU0P{LYDIZsc4}z>Wc6oyfgY&w z2pTz2v;}qZGxJhXD-`S$AWa<5*bp>-C1sX?+n}Iw9CV#eu7N^%es-!tX+dsc4rn$# zH3ih-0X0!Uv7w*~PIHhl03_g)SyBwo#CiD&pf;oeyaNZS-5`ZZaVog60J<`#1iZF2 z9kd)f-Jk$8LsJY|=%bL5ng^Q3fXsjDfg3n_o_QtEoCX!}NzH=_SSk3WmTTl?=4iqN zKm#P;!3xmSS#GM8LL#`nLK><`LKgrf4*#??L|qD62OFQBjL4wS!3Km1P(u_&3#cLl z34lsRXt|N6U~8*j09pK~pploa0BZRZYl2H3=!Ai7b#Z|eBr__cR%9fW7K7?R(DEnn z#2qZuVa-=pA2$W?zza+O<k{3Bg#z$=3n+bnT4mtWR9=*ym#&ZtX=Q*MrvUDsLb}nA z*j7kNO$IeW6;kp`AP7_tLJL8tLS+SC(7-IXEKtw~O_nGtfJcT<L_$Ef7$KD+I<S;f zlCJ>qosp%5fswJ1k+Frj8DycQl|o8lCCbQ>b7E<7B51xK-Z3XB5wyT6$Sqg_TtTH} zCPR{aP-=Q+eqLfuyk{P`pO{|+sw6?9n2sSKL7q;bA+Et;iA9-^E?+Qs;c0#mC<o@G z<$-QwLY^4_kFJBppFnj;QfXRdKBy=F4c~zWHIno5@*pV|G$CJFj2NMGN=(j9F9MBr zgYtv|DE+`InY8>O*Tm$E08lMmq5v8IPfsjLN=#2xNY2jz&FW|7=VhjVYPYn^)FK5? zol%yW0?C`m;{us^1*IkWkVUo-zvq_blw@Y)7bt)_xVZ%-pb<(1$N(y|<(OKWmk$p5 zVnp`KFGvNgk;>15SJOp_$(5j+s6e>{RD~23Lo*LFd%5PM=Aw#(R2HP7ih$O2Kt-$+ zKqVeH6BehYgX(Q?;KSw^ic%AEi@`H25MMxB<DgkXNHT;>Hzp+}XD8+*r-FKa#jxZJ za-BjI`2MfrRFHGQ3+_|Eg(jp;g&bnw7Jh1qLMgar0d;V-logyxle0Y%i!+cKp`aSh zAH0wm+WS&g@O1`FJEEj%ztUVc@Tw_D|1O0Ky#Ae2wOCtKxq=hCp@B<BK_Ok&E)l#6 z1yUT^LPjdlgbFe$i#<xyQv(vA)06t8#YOr#nMwNK6(gzoAyN8<CYA<<hGvF_mc|w) zkVVMmCZ-0a76zb=Oh(3#rH9Umd5NHfPVtUO`9+!Opb7|HcLs+z`nfpz`1`qfx&+5N zfg5k}{^01(FM?KvRhgi*mf!#^h7FX2BWBcsJ>5fs90RN%O*9Z$5S&_~0AE4@8NrK> zFH0%Tk55kpZzai3OG&JZPfpK|FHS8<%`1b|?VwBt&K@bL#mPmP1tpMt2x@482H=WH z^U^Z&3PGy!@=G$)DnXeLoFYI%(5BO&?YZFLJCG2lMX69+kds*g-q!#Uf~0}8{2~SL zB3WpA6?yIhHmg^f2d|GHqZ;5L??g}yotl^nA29)og4<3hnZ?-(g`mMBQ1df26}0Ip zGba_+WdhAQ7N@3w{g(*oMS*59z!QR?QI!1DVu)FwNkZ5pL}ngj-Fs$!UM^S`GGUgP z2O7)D1=XaW${I9yqyVWxKtiDV^dOBqa2b&c3I<3Q4YD9E)fQBrf~!-onfZAN=?37T zc2HHHUz80Qga>KMOjbxKEdtpA>C1vwAi;#d94;`a0P7)w`neE|<wc1Fpb||%0~B{) zRd69qkPx&mfEFQcpf$^ALZJ1_s6w8g1__!_v0r8ms!%X!0}M1hfLg|&mcBv>Qhi>K z3~q2_79*<X0?>-9f)a&v1Mpg7ke5MSuly8S)f6uHP*f3UXfV|$HLavLJF@^X905-X zpvHDCYDj>D!0qpn5>VT^ptM92)U^N)L4h`1L9$h89(dDGY6@sRBox$=PJxwYp!yrS zq!}gzYNCObWEAJ;DZru|yfX(}b#Q@dWRQWNaso7#1&R$wqdf>ZKM5|hxWENUaYkZM zsy?W^%*;#6*EcpdGBvU^FtW5TF*CG;ETVKxuPi9ZOw5b-$V@HDPtU0=$S8INFCtZN z4hV&|H!}0mK_y5?5o!_Q3+t{T=>-j;`Uiv7?>qbZx%mhAf~NC5-5q^`e619a=I22L ziz`U11k~2c1J@A2sj1nZ2!+g2K?X`t#mX~4TT);x?f8JwJkZiCP!owu85Y@kzNxvv zC5a`)TuAd|s>KSbx+W&Y3echoyhZ?4V1Y^w@UmBMX#;6cfyC1C^Gd)`2x>^>CW5=m z=(QC{T`8n4N-9mxPA$<(D!>%W1?}v~1Ft$%09A|%py5PKaODN6#XwaPNF*;c2dRw# zs_arg4I=P50&tQA4M{?3P4K`KsDE9Mkq8^=N>7e2flQ?<l!BH^gN9Pm^I;Z%22~&f z59#>@iAC9vG6XhH3))AB2>T4sPQxNt_ZpN+i!+K#it<t_U}8n7g{7&*CEⅇ6Y|k zn<oW4cnl6Q*jQOoYHFTBQEFL!b}D4;BdAn|cF#erCPaq<WDBIgh7?AyatPA51+_xK z<uGWTte^zEOAS=cLHZ4dg029%Tmmctp3h26fp*iOlLz3&k1eb~$Okp^Qxl8x^Wdv2 zp+#13Y6((DBR4TS)vYuyIk==W310Rf)@Z>JpOr#NerZxpDtPz@t`3&B3z8AT-jK2; zC^J2y1f>{(H1ISbtz_^Vab|uVWH=I(AP{i`8L|P-Dp-M12`HW+jr7u@^whi(@UTNN zXbKUOKcMr>u&OCDPa!=YX?OrM?gkEC@R|^iQSSK)uue`@PG%CqUP#&it^Y7CR?yW| z)ipE)WiC_GVlIXB0zJq&4{#Q?1#K$j0vQG#d<So3fz6?T#!E8uON&7@U4AlnHpEt0 z!QI(8Ak+;yh72mm%D7aEp@mSYl>+#3R8XHowb)7lvM>)4&!Cn!m!XB3k(r69p^2rD zv4N#2WFe#_X#2X6skw=np{a?v1!PU6V_sqjc>OYRsppvoX_Y{_6VQ=o{}RyJK=-1= zf{fJM)Og571aRA?G!L_N1MXI3<|%+y>?IbZT0vITL#Is?Kv@Pfu?X#0z(<6^dsM&+ zfl^WvQ@|s*kODY8IX^GCw5SLanV{KNaNHC_N~-)kP;CMl#s+sE(=ziwYyH6btP~)9 zy#mlkZEA9U5qJp>c+HBcas_B=1U&c)&Y8ujWvNBr9Fh&{k${o`s8Ir1;G6=k)Il9` zXqgAL2sA#do1d3c3C`tEH=x$f&iSC-JQb*d(AgAJ!Qj*qNWTHRvZer$#uAIt;h85n zKQ9f`*8;7xf(z!QmV=!GT3m!FSd28321?|xl?KHni8-kXer~}K$Ah+1=YY2L6@eCN zg9<35f$>D>IC*9<(nM5ZNh&zOL5JWIlR(<@3(^rThqU^^xl%u=C^az~oQc2<XILo! z&SwgswLz&BC7`(}g_P8^OwhVvNKvO?h)vL_EVBr-3>myitOzy-0owtEmJgweX;NTi zXj*<zHW&DW1w$hXb7KQ@b7M;*$Vx@@WM5R0k(rnWnU8@sO%y=8y;CbPOM*S!gG0RC zd_ur2&E#xImkv~w2Zsb$DP*TsCgmp<frh#AK~pA0sl}lD4N5Yg<`Jli2^+};m*4O% za$<3DYHm_ar2;q?6hlWhLDgtFY$+$CNtu{Z2@-{l8$tTn;8rkL6m-cjXbCkq-KS-O zHq(RF@8y?(QVD2I4^pB*=gz>hH=qcG6q=w`Hn{x>8Z8Co18@<R4(Y)prKaT<r7D0r zGoaaK)XEa#=+a!!kRWLEIwi9#GbI(2T&qA0B+#NH(53*;5F#`{LBpMS1<4BeMWFri z1<9~Qys*VopgBq(H&8DNGzNw=S5%5vfCs7&k;bM$UMxtq&Cf}J4W7gNk(ZeRpRon` z3*PTR5iKq)C;%7G7&+7pshysaS&|B^9w9>jx%nlj;L$};RD+r@>F^0Dz1+miJaBFS zwPX$8xfHZD5IS*$)MkhF+aYlQR}1PV!~4i^QACzjK$rj;X9E`r;F0uVJy3jtlZJvF zeCaP-4a$fmXfFt;m;*P*Qov2Kywn^}!x~&p=H)AZ%mPhdfJP${le58|N`x*q$xl-- z0#(dK3h>E8=wu?e5CAphQ&Ni*G$5n4DVktWP*XT3KN*q%K+CJZ6(nSKIwuvhLJZun zf>zqFHWzd~4r+A_OMRLOxuwM=3Q4I7$S&hDGB&a>HZU_WHZwH_9j#&nS*i%1GDeAR zJ5ZA!<Qd2s5_m@!+97~M4zxPX%uDylPX}#^jrT7~NiB+Ztw>HS05vsyonXZdcrhFJ zw()`z1;6~fWYC7$<dW2)c<20-)Oc{602)yDw^9JDf6dD;hp$}(6*ZBdk@!S#w+U3y zfyOqKbYP<i;O+@D)pBtuq~@d<fmR{FbC_x|7Z<3`E7o%YFTew}9-wR4K<N~=-Vj@J z95o?8hX?XOLvzLXrA5i93ZS(H;1y0*3ZR*1aIyoXpmYPSw4B5e@O%wu$q8&thLr;5 zkRNzvHa*#;2$V}8jrhzw&_n=egb~yahPCOyldTHTsui)I0tP%$0O?A?!UUE)a&_%M z{qt1N7A^%_g`Cv167V2AYBv(pkOc(*r1=l-nSzGsU{hhRCJb~mCO5Mf+PeYmhH@%R z(*rj`Kx>V`=>)Rn1=KeIm35$zeNbZ?YIh#A<%1Y;1eHJF!F|vgZd74tRD#+fd5Ccd zXfr)OEe$lHS(I9wSp^PVaN!JE5(RQrCTMpvYQ2b(tU(h7sYReN7**J>G}jkA-2@Yc ztOfy3GJuwv!V4U5z{6MAAPGa90S+@n4<IkK1hh&NT8qI|qm*R`)o80?kk-hc6kHjp zpw&25XyXqBiFv4HR7qlTb}=M9rWfUxmt-g;rhzszr{x!ALskSqx{sjhv;Z`0T$BfG z-DQ-frz#)~!XlMO&}IpEaIG{Cl*S-!dJroWJlUU(R3zJh*JVKlnUF>yK!cm`kqARW zGZRBoV<STgBQr~56AMEV6Y#2O&;l28GcyBALt_&&a}xt|BgoQC@KG-CjZEONJ<yIE zE;sP{VbGXJT4qtILPmamHh4w45@@+Lc#BI4xSU8!O)M!bN<|(Kh*m9*g^vn=nh%h6 zb!uKo5xB7eZv7&}K?x5sGGL{UX$vmQK^qKHD^Rj2WQ8-Rno-CvEmFuY&x1_8BlYUR zOC3RtgM!r5B4}q3Tqn3Y2ZI_g;3aF|VMMT%;8o`Ef*4x5<R(^tr`SM)P2knJ=pzKM zq8QZY2NlWS8Y>gjEC=Ov(8N5r-0@RDD|j*zi!&f`3u1wqw~!t^sL=zO_5n@jgNuyR z6!4fUWC@uO*m%&wtc;@kyv(Z96mTa4(m_QDA80LK3_6M^w-~hS6I`GcgV%r|*0@0j zCEcB2855i(^@3ANV10|yyu#v=)WjTcZ>bPm%z;Kb;F%3FkeZ*A1!@;*X<$_5kl|El zP5}qM0@8>u7i3trv?x^pwC=lDAvq^C5p+;NNn#SX{3`<OszWNKU`=~a#}Cx%&CJgO z2OMfuostQj?o2GIR8UYgPEk-Ws8BU9G^kKeH8e;83qgnXz}=l9@XQt{HbAa7GB7aH zH89jQFbXj=wK6cVGBAxYFt;)=FtRi>Ft#u@0IiELHa0gfHZz1Q@`Nuo0iBZqDtJ@D zy+Z{haQ785z7P){Oovsei8(pxi76?NngP<0QE&$>T1_lU%}a!jbb}H@W?~K~o<aEo zsxUK80cmjuSOT)l3bkMXRXv~vmz6?sL1__q1fU!|M-6VrKsvyn)li@zH%O6=Dp7!t z09BWH#hJyR<v|Ld5?3!R#TPW`4XY57i}H($b)oe)C`o34hj~i#;B^gTJPgzvhpgR# zl$@YuE3|(JuNFb4Y9Qy(ynF@7!hT4*5HY<5ssj;gB|%Mm=nNxF0-U$=ix8_ak?X;7 zaKT)ZpAJez(A00G0M6|BX`nfK1xULay~7M`8WyF38r`7k7~JhlErL3-xF{9cbOD7h zsN7aaEX&VKfj8Ez6jDJU2p)XS&r?WCK~zw=nZ>Xy4=PR&5(OY<Cgws5C-`9dq3s~^ zt-#Zc3gEE~@QGClNuWWRVwB>bI3F}(3eG8zA_Ue60Vm5`(55BOdOO&$1`6rLprJC5 z@u?}fpxK8KkZZun8mYPh?`48_N?=(NG`t9&7D&xY&IhgLgH8*U=0W2JT-t%_IF!yb zsB;cFw+mda7@C-x8d@5f8W~v_o0(ad8d?~bK^9S)8=0G0nwpy#niyIbn;4l|8W<bH z7Hg&?R_f-b=~kvD7NK|_QmcU`3P3SdmYE0{mQXF@!pOTRnZ;oDDS_I>A^riN4tRcn zjzVc3xGDn4Nh5ZJM5`K@q#)I9;9+~cpj6Q45-f9o8!ezFHDv1uESNx145~3eEzwfY zlrnh4vLFN0{RQpg0QHAUQj4HFI}}jzAY!IFKp`bF1stVCrFjZz;A2)m^@l=wW?3p| z;u*9V6_j(pGLV`VylEvxFD(zWv^Fm@2UN^}^dQ$ckiHSj3!nit&=@pgJ`pqs4Ysy4 zFSD>T71Sn&_MY?d6`X@TLDPGs$)KhHN`{4Q2?Uk3DXGPvF*<OX1dT643MU0!#JDbK zK@GGXgLa5Cz{Li5JVCPnbU+QbNCNY%AX5sUbsyl({#FXm<}|3etPoI?4=N2HZCKFw zCa9EAfRxiIItr@AARmIA0~$^TmErKtJG`a?m$aaEU@>@KZK^Fe@4)j2gaK+H<)(t_ zIZ#Rijn~7bD8ZR01$45frMZQLfw_UDp}C=vrKzEr8DtG5qKO5r`9LP+<frR^_Wiko zN4Y^Jf;LZp#v9<N2Gpzb@v&0CGSeCC=^o)65NZWFIRver3C)S%7z9nlg8JG}F;Kmc zmzo1zsECq{lJj$OLC5uh=a8VyThQ=mYF-Ltp**yx02OW^$AYGZ)008XV{pL)T1;Jl zVj#S^4+=d0;1KX}B@lyQNe>!j3XnM;aB2ZXQF$V$Q3E;>4b(uYR47g@0<D+_x6#1K zT>;ecDNuk6{(~kO5#2Fxa}S!Zz<oZD6b=_6N#&&Gp*S98J{XZWN)k&_!3jtq0<;zu zJamRMT?3JVbY~#j-yk#l3aTkkufmo9KuaQU@&wfYA^G5Ld}@(zVsW+=q9q0yS4{`y zUiiX$NK>H*OCO@B9K4eio~n^5ebCUhLOQ6R2lY6uAY+7}YRn3{rN$Pa3$l(5v^p(6 zCkI?Cz!rT$J7u6k1-)YiX$mQLx*!}6(RFA$#HA>88RU#AYy+&tndy+04N9R1@-rwb zlCvR20VJd$Ta`d#N8s!VN};d?;(5798y^ZnGE&1qn>^5ZevqJmrAF{%FsRK5D*uqW zVxZ)R+#LgTn2RAj5(UtN0;sc-nOY2PQW;tpT3A|Gniv?HnwVOcnwglJS{NHZ)@xcC zSejUxm>U?Hn;TggSr{3cTN;`gK$dPI%7^@<63|!%Xt+1E2wXt8Wmcr7KvsukLY6;( z{SB%NjRJ~N3qZTsQb5ZyL5tfUbHI>YIiBtwp`b-4kh$R;(0-0o=oy5;p6=nEe$F0N z&}EB|Pz4na>8a7sB`~1F6d=_aVoVOwH7fvhzlzFI6-x6!4MNaBN<m^CsM($fUI$SM zWrHeIaIOZIQjjbFDm#$o&p?gz)Z&s%h`ZtK4Oo$lb$kfYljZ^y`U>FW30?&QYV(0} zDkzhJk~^%yW2FF1wvc@$1&HDdGqJ$Z0yHxy6hoF+mVkVMqx=C+K0=oGgGM+(m8}n` zMV6wV3n{E%tzU5c2681*3m>JN$psbupp*?NF+qp%l!K}^r_wa|`Z;)4H7~UsG?Zfq z@>{t=I!vQGC`Y5nrNg=<kOT`dJrUGi&jgJ)q!*PIEBJtpO|?=`EyP_>D`?~wgU`AH zot6sk!K7v8Wfo_of{HfCPNtNi%(B!XNWD{10$Tc&0&jeK=7HJ;py75<+X$Q};Bwxn zl`u(Aegd_rpxw6AisaPPlw$B<mZ0Ns!6iC0ZXg{j)b)o*<s1Cq4=dP_S8#oxo)KbO z7C7I+<v;}uyaWSpF#xr8K<9p0DV*D?U<W$dCeOyEI3qKyL{r}gxfcXV|DX;Bs0abo zjwYri<|gLGrUn)kW+rAPhK3fFrsk&512I8K1YRlTfpb7Q+}>bM_W;iTSI`tB=p5RT zd<CQv6+n{VK~~U#bI{&zP!GEZR5})wB&MW*S9T`mfLd*^)C}#$fTv9Lf<ZGkpyQCh zrz=1P&-3%rK}(@Q=P!X554-2XLK2c}(v!jKX25G*(~}{?9g4OJ@$Sx`{Re3Ur6rKq z0EJ#@F=$n8i2^t+YQmfV>Npf<<bn6*6e$#E<fo<C8o(qW4N_1V05z^s6;jJU3pw*a zYY7rl^dT)K&`L|txxgvlMUT0O$r+h>sjzep8YsvB4KslE1LfsIt7p)XBygh}Y2jvG zX>Mu}XuusbZc>_4f>P}xI*~|46sUd%#Vcqs4pe%9_Gcu5XBxpv%pluJ;L|{9phJ!; z!I=QwL58Pv&>B#XH(?%!Bz5SiRQ{0d;HY^7Qiob8Wah%oBLL?e@Wv8&q6MvR0=W!S z{y^8b!Q#(KAvYJa#w;-zoOdA$!C^aEtQ0^i4M00MLEGC?i+sUH8pDl+tO|q_Td?^s zgk(u#31Wc^B&Q%GOH=aGA;m4oJK&W$a7kDv2|Qy5>KKBKiiDr00}eT8e7ZwYALMXb z(99pAhJ&YDl<`>5m?3C{Nda^acxqxEsJuj5M+Po{!DA}mv<69IpumF+@fK&4mVow> zDQJM=rWjKHrDTFuFM}2k6es5wq!xph<`ooy4i8Ip%P#_tID#i=QVT#cr=U(dXyX<n z<UmClaudM_bPm6<nYpQniLsHfk%6hDiMfTjDfEO~c%Ky(O34MK3ebU91ts6a0?(4v zB0W%v3F>u%+Q*PdRnQh@P{|PF?*=ZZ(?DInbhNc%p}|3h;J#ClF8XA7u%~-yaF7vp zS)*W2_plJi>a#NF1Uk|xG~^R36jD-4ATw}DsU_v0WlNw1L+N>-5mQjF2NV+Ekzr7p z$W1IjYNDbv_#i_bpwcZh1*9)MwFJ6M0x`S}O71AV-gxkEGrZ@U2<j{rfL5)iDrDv- zm*jwpddNr?EC+&WBT!ErrKabC$wCWG$bLdli3*NVcwUFB5CJ6=u*r~t7<gv)0re@; z6hIjOe9AdE;}(_X6&9416zAlZgN_eKErpk1p#8A$JOXOSz%0+qgB*i|$kLE;*~~mx zUQaAh(9(eG#a2`(K-{K)DB$uzv&wn85OL6`Gidb%=%g0tP$0M`0m^?RsSsI6D;`vO zL0G9NkaL_MeKGJZ0Px@gC}Kflt&l*|1n1|>JcUxo&<nI93Thc8r&@uIu1`!!$ppnf zVh+Tc#R{o8pfNSj(fN8gnMt6HI3RgY#!UpBJf{Hf9~&APn^}PN^qLzPni-lI7+IPd zTN;~NLJyQRHM1}=HZioYFf*_)urM$*0ZrXnSXx3)z61>dxw?m0DWI&cFU!n_9N`6u z0!Y=9lvq>*+Ef4?s)P)|fwCW@bO8A}Gf$x;BUJ%WZ-OdW(2|K_P-7LmXeqTAw9*vn zf5e(MNW}?C`?;V}2t0HK+Od|L4Jy?kfv8XlI&~SehX^v_4%#dQ8$1G!T%nC1<(7at zjNqew!4nMDpiK(!Lt`Mj`aqU}qY0^|14kvi-wxhvr=SaJKthLGGD{MZ5K9d~y(h>v z0?@ix&{^%7`FVNyc?y|%x*!c;(?Ky0-Ma`H-T=*O7FmH-AEp*SniPp8;Db~k9S}$t zA=L`B8K$U2LlfTC1ci1gqBZGPnv1nTS)5t|>-IqgHWT5?judj?83YzLpz~4?OIP61 zAV)ZrrXlPGH#^|c*lI=40986@|4dP8av54i0=0=!!6OjZ25&)A(pIr}q^(pdV!_GK z33N3Aq)sgbt?>u9y%mx`o5Zl!RiNXTL8mHe8d;ba8JZhdniw0H7?>Csn41`zSeTet znnRB{1n(IFbtl1Nt0}NW_TbHzpzTDkS_eFT1ltY*UCaZmcicd&RoMKQF1X|Zk7$FJ zGJuvgh4_bpQaWsdj{?|Bpn|=mq*4i7M!;K33ZTX_sM-VFT#%oqpagEWf<hHCvI0t5 z`DqHFpjA96pisdlA5@wL+S3l&gH%$K38_m!i<-b&k)Y%Hpwo}?OG}DD>y5A#Q=k&u zJs-T5L;*H%13HH{F{cDvA0V2P@P%2>5vY`W&`biPRw~Z`ZFDFAZP5qiJ%}JUyF+UX zJ<zy=TTy<ll|o5kI=q1cYN0?|h<>HHSR0ApZMnGH{qSjvlGNOS{G!C7%$!Q(i8^@F z&IM&N_(oj?$g%{a*$w!5L6ADwaY^a6aQ)!WfNmy91nnnDflNNZ8nMNg%|g&&83_HD z@}NC~_~bz=U_q@uu+^Zm0zg}jK|KRdPZu=L0XhR6wABG;<|CB*@XLd;XK{X7NqJ&X zssec5Uka$93ftiZKD-3HfCp4W7lDsHgDlpCjI)B9InV?RT`&V3YDYFDKMl6v7gTF! zf==2gfh@%Ub>&M_4NZ&;4J=Ge%nePA42%p6jLi+rO^l38EKH%tmzo<In3@=w8=IP& z85kNE7+RQGm>U`znHZTtPg(>|qF5>TfY0m#ZMgxRFqW5+lUf8Bv9*G?OY`y}cEWZL zf|5agk%E$6K4|q2c<!YbGC`rI;0L<3BM+366;kp+n}9uhTtGvQpu@>P#bRkOc-;kL z*eL~EOnCaC6ceCfFi0(1Qc?*T^L7i4vI1KIsW4C{_Cd#`fckSdb29Q$CO!8eP#X+& z0Wm}YXpSefNDtet3ADw;VE2I*c7j^@;4%$;<OzCU1!6oB)M^D40N@l0F8M&+NKi`) zG`Lxim<Kv70yI|{oLT~!rUX^xh_PSLxI<2AVj6g@cX2dy4MQxP0dD-}W)_3DQG!mZ zfK+mzF$vJza%C}iKPhI311fv46*<t$IY7-mP%#LKDo8sPvX|IO0lX&(%OVb_6)**$ zdDr|rUC5FER1+YLW5_B)Xt@a)=Yd%PveYHB2)7#`)h8AcU<D8Q5epbYLg0B@@IHZ5 z=)g9lriB+h(4Gk5ECfg^3ehG<jFCbj7B+MRHvu}$1)k6XpRbfuso)>15DixVjsnPj z9Z(_$c^486FkgUnM=Rh}P+0)l&k4Fl2E_zO_(0mNC=%e-hiYXk*vVj*ffFQX-Ul?d zSPIJjU^!4p3K^Bm1y#gI{REIG=<<omykskdpis<(O+n${<pl7qIC!;7G01n2!PU%U z(7<#ycr{Cb0yJ1bxd?RV5_H@P+%QF+c|sm{1CIlPI$_49rbgz5#%7=?I0I7y1JI3i zrlw{_hQ`LwvnBDibij8-`GdDAxIk{1iuX*<%P#_r{h}_l3=ML(Qh@Fcf$t3gcMm}W zza@wnTu?(kMGv%S8a%xNI%^4(K@A|ozNvY|rA4X1po2X?OXi`2`=9_#O@U?v)I<X+ zHWG7k96<|7K!=@!d=5HPp)?0FO$?p|0j-h;b*)s(Kn>YU(76|&#uS7DG67{~6x_1V z1MSK2PlGJ(1do@%8Zxjw%&=^XlE)wk3ZBs+s{rv81<-*U6hn}cIB0E>8))+_=*%|I zj3V@SregTA2rI}~H)v=d<Q4GQaL_?Ocs@c}!~ki*fX-P4wJE`|0X_%>)=7Y5a?r$2 z4tTvCp^SpREudOqrCJ#aPv}LUJ0L(i?TX=E0ree{!86FIp#DjoE@T%2sOt}jHfW2~ z(A?b2*woCz*wVznz{nJI%!iq|xrL#DnVE$pWRV}1rA&I3FaTN9WMN@pXl`L*XlZT$ zx*^jDbOWV@rGcrjv55)vG{?|l&<1+&y*Qwp4{4Nu!U~kMz|)(>TneCGx}E}PGbi}K zP}LMzm%JDxqre53i2>z61$gHH+M0))>y()X+M1C98fyX7?x3CKd0<{iVv+)ML@1>K zl;=Sa3(9Yxc@4<=Pf+g~-id>*+=C7PD1bNfD?oc9(1J0&D76yOOSS?HkAQpy*|3mW zqzhev1KN0?7Y@3o!Aijud}tqdNlRuiqyhx3BnK%06?Bju2lB{1xcCAc-hyMw2R3vB zs^(!$xs)RCT|6jFI?#ST&~;zM`k)a4&>B2H@P2LRf*Q~$3aH-8OU=Pp3<35ADAj|K z8hBQ!0JOdgJPIEGZc%}%YDm%otsDWb3xwqVRLFt>2nUpdVEq~JItfTcqYoN3O9PcF zpo@aQvw#rwso-^jpl-hvWTQ5Cy;w?Wak4_Wem-m!4R}};bnXCr^-5_T=xh}5elF0h z06CSQ``d~^8zmG{^HLz4MQGs%UE-OVf`0r>dPZheb`I!1*TSOWlG3vBipnYz6B7dq z(4jaMrUnLPMrNQfAWIWdBQrB|BV*_xjV_5LiQwi2xL2tPnLh<>JW2;Iu>`MwMcSkX zIwv6&bm>J&K6v2HJs)%`Uw%=gFL*PoLRql_Y-4N)sImnwH-K$80bQR{kP14@IYq${ zbi*MinJ74c3UtsRH6R`rWE>t8@`;FXECuiu;}nIQ%%q~kqDquTD5x#!SOUrq(3T`5 zoujCMG*sa&*#gkL44L_$Q({5c7`A~95{V$Ik!k>lV5${lEDy0O0d@ThxR$U|@GJ%& z0+N!M4643Ci42sb!NCi!1waQpfVQc_RA6iIfpqzR&(4CW0*OG(fuuo5F@bi10cb+C zG7ste7+78_NY(|fM+0^5L8XU68lq1MQv)iVK&@)0(wuB3$bcTa#(?yDp`BXLJ{!<o zcaRo2Tn(1KE2u#Nn*WBYfvzJ1wFE$&IZ#l8)&qia2wV+h%ox#HL|P^ZDF_rmjw?%r z?>b9P&sTs>&gJKU*3^PpTY65VnK>z`MOZsA*r!B5g;-8%1$6BXIF};VLFqa9Nr^cq zwNPmu=qdn^FTg{2pvo3`ojv#*9t8!3Ovnvo(2-5>#v}#s#igkVpt;k`f>cdVVO0#; zkephiP?C|D2f9iuGq*GsF_y2OVPs@vU}9osU}9-x3c4;CG-eB`ri_h^%?vG|r>ufk z-9nN@JTyq-L90>XK_|k1Mk+yvlz`5ghX-)60;p%62sz0?7g8VPCub`{2LVALtB{D6 z0iml(b1FgA0Ia3}C3o<}r@Drq5lhf^BuIM(F{Tb0bAV6NrIdnCKnrry1sxd-&u5VF zS_l^uw8#Z8L=;PAL{<dNY0wR1pz$woV;bZ<P@$jzZo}YFR8*RmilPW<Wo&+)F6efk zj9kz;dEiB|hz<_8djM%5fJ;4S3l+KjW(Dgzp?8x&d(;(*Q%j&8gsk_1%~K&x{{Ri& zmLwLHAeQTZ#>L^QlXDAn?Lgx}1sI7JEC6jgf#wN7Ya_rzYls>hT>ismy}<Q7s6;R{ zG&C?WGBz+WF*5?~YBn`7FagyahGu4<Yrvr=g_@e08X6j!8XAF)4K=qgGB+?XG_)`@ zwJ<g@FflcOo|BkZlnxn`D9Hz%Yy>)#NDow|fOj`RlD(n36Kowhq#{sA1h0(;t)Ky& zG6Amw(1sZ@OJJuBp^P<v3q4RGN`xM62+C)mL}+FLKES(J0dziJda_eGVvZM78-VWV z15cBJ)Iuu*%tkq=a~KP4Awzc4saArP%z>IENNuBx#5~ZFLeM}SXoeINs(JYeej)Lo z<D;BHJ$+o_Jzb#l7@2vXGwBo{2NXaPgB7%fMXGyHk~_RY0M(<ZDGJ~r7treJqSRD) z0|Z(YSSg?t)8HF}6*7w<M^-_aF0l1Dpu3A<6HDNDD#=%HNi0c4w5%0in*<OoYXw~c za{~hf-{24<b8}NuQ*%=@&>@)?mWIZrh6bjV2IiKA<_1Pa<_6GH`n)06Mp`L^A}yGQ zZR$va?~@EjEG`DMka9s047&diw8{*8Tw^gPLBp0cqm2!@I|mfygD!*xm90qQim(<* zDrhjWG_M4<l@K(61383J0dz|jq#Ftzo`h7m;Bp=`GM5V7@dTEGH!N~9^U5<*N-}gn zW<Xi_MGDY`5zqnwv&#x{G^iBLO9ZW#gBDHD9;H*IUt%t3&;s1tLT)Ue$2)j*4OF#) zTGp5mpPX0>I=w&M9Xc8ex^WUZXoGwvB&eYQj&#W21IQyesnGHqv>Y6?SQfOXwIl=F za{v{3<=_S(Z1x$HQn0sQVH0WaHmsqsg}J%8g}DW2{htYFYl*3;k)^4zrKyFfp`o#< z5%k<fGfQI&3rk~5&{{xq(4u&Ab2AfjGfQ(zBU4i|b2B68*>13T7^K<%{5;qJcOXZW zfJ%w{B3Mm~Z7B+P&lL3N=_Eu`D4-}6+93iRi<6lS+D8u`1AtbQ;BW`6i%cp_D^>s< zA_ML->w$Z(pxfQRbMc^}*GeHp4>G?2IU*67nDSD~!AijC0iOF+(T~Ce#YTa?Y6=%z z3A{2#DkGr97P#M%3ZBu)PXo;==Rry=uw%g&*C@cZb3jubwB`&>Eg`D%EKV%}O(MZ7 zSuFJ@cns9360-3f%Sb0ADWi>Uq-7Qrm%wv5sN{whVkkKYK6n61i=YKCh$<OW)}pm( zA-)GmC@8>o=ca&i4Rn1jxH}EnL<3oY3m)9#GBz=@G%+!=1TRhlZ@#dw0G$GCVQFS$ zZeeU;Xlw#GI?WS$w54-?URq|lo`PdeJ}7rMf$nby^={KL(?Q*zGA>wB1x*jaW(={W zRsXWoqMXD6@W29e9v-xk7JB0iEG4T}aDfg?%gxLKw;I76VAzV1Xrnk4ut2N=sQpt6 zsa!w{l0Z{!@HKUy(L_+Sm|3C#n&D1QErP6N1?6Yh00AhR62S!#xKo;11i4rsJsG^h zM<FQ{RM&!AnxM8YWEmW^;|g90M_@<*QMwXRSOO}BKuH)fl2Hs=7n=$`#R$}4$_MQf z2knUhEy{uh9^Slyr9MSVjF2-@v8P6GixsKj#o4t%+lvNrDx_fss<Kmy5Ub8W$rD?; z1*LnCTybiNGw5bk&<Y6997bM>LS`|t2*^X}MX8`;4UyJ%gIY77fokw!Vju>ng9aXN z)r8*Nir7lwSO8i#?U`4i54mREGY>RhS)7W<GoUDjoNWSG(h3?BN6s{c=Ef%GM#d)K zrCZ=VA0{TCGkQ%-EDVh;4J{4K%%P_XT9}y|n;Dve7p8z$hMR*{eVG{<nHZQ^np&C~ z8=FDT5rbtLl$my{`38FTTrg<H)C$_VD%Jxv!ayDdjUItc&;hN%O-{^9$xH#Sa{wnm z(C8ktC;`t3L54&?i7mY-wH#dd>VOw|g6_plNi8e|X$46`N>}jOBT#1pGQI?gBFN<f z&_W)i<yw@TpQn%rS`P<Wyn<E)fo7vY`~0D2nc^Pi0>x`0biFHRu|K?=gr!V)(*Qkb zf>ti+Lr#qZRqmkeSD@lKGY2|{nVDAty*vbI&=}echi;#RT88L?6An+%upGFz3vGWu zZX!v6bb1qWz;^{JfLB+5%9FIroRZWc^hP?8d(uIduxBGyXo9LwQ0{@w55n4jNIF0# z4})ixK_wlQvkpM(Z$WbgNucBoo-{~J0Uv`}kdvwa8gK#^*`Q50psP4R=c0o<O!?5s zU}!yx(t-ocAb>JFC}kkG;$U-lpcDzp+6o1U#h_F4OY*_pOFd86c52wA7ia|&VmmXe zc~zVWI>;B)l*dqtUZLh?=0KwlREc7?4?#=3Aon4ooEwfKfJ;Ef@j@2dz)gkTEd|bo zkg69H03gq%7wCcRmd%B&<AjZDBOP!8O<_s-`6b0AMTrH_p<hVH6|xTme(owbcELx> zKn~@^?D|2MB^N8?rIv#`5b$$C(vv~C6m&x__;^-u<ff)5Ko+E=<bx6+co`;06{rXX zFE;?)3k2^`<fVhl1z00KwImUgAmDXQa$;$E2BcmEr#2n%m8{@89jXS~Y!!666)`!L zs-Rj3+8_!~U!cZ1bes^}ZUHA}&<PZ<Qo0Dy7DB#y40aL__(uB7ymZhwS4yfamx`l{ z3+Rp-H{TEi4=yeh(2bICwyT?Cs82|kqfe--f&mv7w9yONiv%s%u(bMNtA$f5GE1PF zIjuk)1#qJRaz_BTeE{x<rxt-qoy-)p<ONEaIXR&C0NpnRN<Og02PnV5!vgGg_~{vl z{x{^b4alq;TrnsCf^?(jHb?MsM9>gvNIpCbLrW>dm<{CcWN>j0yL{9)wJ1Fmau6G| z=?^MuVWWAVCRi>=1+)nU?E*q}2|<R8VAJ8S79FH%4qm<i?kPZyJq4$7$ns&x*cvS8 zL1XQp`EmG|5ome>RD^-*253S8*9OoY0xT&(lOGn<koB!ld1%6e@~ogWk^<OZP$dbG z0A&=^ZU=ab2V7a}fzMO5f()!dVgokQjy6q-D{h?gA=52MIjKmIgLLT%EZ-)97H>fo zcY@Lx=(KA{2LnF*R+0~KI%r2kIcSjqwC2^wNGwZ5WEoI^!qyWcfzH2#B|K;$fI74X zjwaY-8)&>CKN(UefW}{;CmA3$@lq>5m)n7tA`mtkoK_(d8{h@2hz2=iPXyQ{;DJr- z%eX+Rupq@RbSsH!C8+&}7)Jyp6OahBX_yEa9RO`$34m+{(}YZ$frh(NQ$UBkgSxq( zLodKf1Jl4~|0p1xu?jl#CAR=PHCB=jUcLYtqyg3Y;MsGO>72~eV(0=zNHZ9|tWE(w zt^%&BA<LXVwJ#*Kfu`#6(ULecV?h<5r&<(Qq{OPAQ3PtksitUxddpDF_!2p&d7YG# z3f`THFVwLGJ7^O%XbcOyWCPOF0mnZy<EMfykOU8{fEz$A`Jl@z!DR=mbOCMtfs8JI zix|)vQP6d%3i%}&sYM}~xv6@-iABX3i8)@u{(e>p;5DnT)tmWgkXv;Wq78H`EiEl$ zk=2K!R+JD@4~;2sgA#O96{!7_nu0Z^z~d$GLKIqEfR=%Qj{gA<+CdNeNJ#<h9s(7o z$*FMlh-K8E_y8?8P68jWrJxJ1yg;Mu_(ET`0y;XIoLG>UoLN$-07~^mkiBZKE#r`W z4*2vrXio>s1~p?qbCSsG&_TT=P^tlS5ZqxLP%kL6Siv3Ed_k{<KqD{VnRzMs<*?!j zTvJ2Nhy*nfVDoR##0gCXpx!H}AcU1TDWHS!Q;R^WC14uzL3h$YCap?R6~N6?Q2!pJ zvmCTi7v8)97fkr;59m^8@PY>TdRo{x5NLHMtl-X111*eBcW1Wm>zR-(*$DS~dy z%>(tciWSt<z^B?l27YW268NGGk!i5T8|a`zcr_0?fT_3y)T1+ibjiRcrYqPf7{EKU zpe=QFpbI{rn-W0<QDRC~X>keYjzgEs^vn|Q2I8QM{1njKG;9bb*v}2r94So&T|yJ9 z18(Yp#&W=|Pw*fPw2%X5z>3u5(vnnA(*|^28hF$QvK~4gw6g=WfeSQb0jU+zlTnfa zY`>r_c)uX1jfqr{f)YPui4uBIhd$;<xJHMJH9$`Og)9+;H7AoH7eRrY1s@8}%Paxc zT9EdMF1Qp2c_cfv9JDG%r5LomE3qWMNFlLU0l|T7Yf1yH=1xfkw_s9>in$aNT!VuA zgFp)k6bit%-7AzNW~UZ|uK9tFr0Rfp;L|(wxVWHun;|EML4y>0WGtj6KxzxY!vf17 zH|U^7#PObx&OB(PCup7tJdzJBa?m<Uu#5+)7(we#A<INT`^iAlEKoh*-C&?zom*xF zc-?3`n1nR~;G&*+nI&AH#yaN6I>`KDNWUei64W?LFG|dX9B2dDg9>f2qGiPr@Gf#l zCPJB)LT^gt=jnnABygVq+=#&0z2t-kBB%ibp8d+tgH$Te=AJcV#vfDwz-~!JJNuB> z3Oqd-bS`ZX=wgy0(7KUSJ<!^wpwc|>IU%@a;PUbjeZyh}4baX3aEb*Dy+ImydhYq4 zo`xpqAeGd_6i`el;?6<Pb{VJ{T2NX7ozu=QszmByK^OjEF53bf$OxITG&O*a3PRd3 zhz-QSpj#ur9si<KP(=yqdO{beB&L*st{s6yG`3V*l3##y`7mho8<bK|5-#ZYZO~CJ z;I%}cmM)Y5UKE&=3OWNO1=8pPb(+9O!GPANLASqKA@(uAvme@Qa9(OTBE&&E++kG@ zbU{A2hIcFhjS7QvJLrrdSU=4_-Z3D+)z1Z%fI-0kT0xnU2pQA|<(e|kjX9vjGN7yh zKUfr;tfAUK-8j@EF43F-ns0@ys|5ElKnIS4M}rg~E5bq5bxFQLacZgpte8zK25rkG zx|oIb4A6Qyu)-G72`fenn?ged9^lYrD4^Ly(B_(?N=Ti87IoklgA~u;Lzxj-5wu$j zbjua&h(D0Qu&p-5sU-@f1)z;Kp!yIt6bouzfchuFj`99}!6A;$-U?|siSUE2L5+M+ zz5pL>g*X=h)_eiA9>6n%Faa)biw|F#MoG#FNvW0jpy6oHHA3)G60*e_-24X}S_ZKn zHm$7z9$QgRF9lZ>3c5M!ntEK=2PTnMJLFV?*F&X10u(f70UfCXZ`A;mq@WGmpacLO zW&$s7fYv*pLm45XL<(RgxL^da5ZyC8%}>zYBTxe#)OZ7x9iWs9axTKRiIw0*3!sKU zW*#Wz6sLktU<PH#BACNcixo6rJ}%Yd0*@Ml?>B>0Jehf*-IAcO5Csj8zl(}elS@EG zfb1*H1Fe|`MOJcpzP%>gYH(o>N)6z>iQp6GpeYg*=CJb=^dTEmVO+?CdhQ;cUfw>w ze*OW0LBS!RVc`*xQIMPUuq`b_EI-uNr%b<YJao}2EN6q7w4e?FXj}ojm{b8Y16rP# zR|1b}SSLo;NJqgk7V3LE6*y?GRcboq4nk1yL5KHYwK=@4h#q{Y6(!)_fF8Jo=#rY8 znVXmct8+jZO(74w$P4NMEAZHG2&hbkDFRKoL)zw`p<{TyE><Yc1hv=`^HTFmi*qWu z@=`O?GeCDM<)wp;>@7>pO9!oaDM-vKhV<w`$2o$B%1S^dFK1L1gO6wcb*13)FjpY2 z@CD~BP+?aLy6hWtQV8OLVzf=Opw+;(pdJL`EMvqLLeRKUY8m(-MZ|Kh@<d2;DiL&V zGbp#EmF9q#pMZ0EVqPU^$`f|A09;!!sJ=*404+qzNrkjS^NV2nuQhTLD-;Y3Oe{>z zO%cf&+Ia*Oc*vun;9fhZ=Fd?8ZF2*=4qDxVSNXyYV9`V5Ye+tW46cFtam9(Cm4r!1 zgFEoK9q{lZEH{FxlcG$}lqwgrYJxV&JVE^tQ1c2jObIT>A$2`8t-?pC!3hD}WQ8>L zz^BWCGB9L(5_+(-UYQZ3sxJp`mrj9fv(Ql}0H4IDP+Xo_lANJX2|D!^bPy?MK{lv6 z47xQDY6573NJ(m93MiRFh4jjd^b|reQWbJi(?NHzK@YD+QUS9Qe(oEnNe|a;2xVC* zgqCFHfcnv(@iWM19C%L~s5DH?Nz+TO0!Js<RnYEiK~ZW>ej;c=droRG7nee4abkL^ z6-XEO9BokjS&$kJE}>IF2mXN#FwjfQNsHD?uZmTO1~n`U;e4oo5nMn|Pfx)nzo4Yp z&KY#YWoRCF+z-rlg!EFuZ15UmFv~eVuec;JuLR6-ttcq+$xjBe-EtDs!3>|wywre_ zA_zM_8FZ5jjO&}23}Xf*=B1}1%LT!9Gk`V1dBK?wQ$cH=^B_#PoMR5e84x+goDu^A z149EN1JE{PQv)*ta{~(l&?Uo$hDL_Qh9-ulhGvH5h8CcEPK^wWjEszpOpHv8%#6&9 zEQ~CTL2E*djE#*=j7>oY?HPkNN0=Cz7?~KGn3$NFn3<THSeRIv8kib_R@RuBn3|fJ znVOqgfbK~(Gc+?YGd2TX-e6{KW?=@}{A_3rI*P-@+|=9*yiUi`!ob4N!pOqd!o<SV z!py?l!otGR(!kOXw2{ct#1eF4oVlfirDbqt66mmO*a9-p3MTOB?U{M$pd}@sffc9B z68|*N=%-U=35XwDl3M}_PM`epf@F`(^o)XJpTxZM(!}&sm(1eiA}|4N<Ar4wXC{Hu zp(kj!ZV4pxe84O4obz*Y^YemI(?BQqx?~oCV;igs)Zs|X1a)gb>(Zh7m%uWfpfkEs zJ&XOpd$AL9z?X+RfsPRkD9TSMO-?Ne0IdfCH4;*S!0mWY$^svt91J?`1Z00oD##Du zd&a>!9g{#4R>>v);LGwH!Dq`r9Szb9P9ly)C7EfNpj(ig5{pwot||r{2cDUi4&J*6 zTA1&f3c4@ZCqLOGH95yQKc_S|55#iI%t-~&pp*)t6Tz1a<$;3;6o#(QvqwSq$Q0!z z=C~Cl=B9!yaVyG9%}eowUjyl!Se)vcm|T?anU|IiiY3qy1fC_SxdBC)`9+x}mBEmk z6@x*yHK%1JgNFh@9k$G5pUk{$kefq`Q(@5@mRJ;!Sd^F>1~~yGv!pT%d@dO1P#>TC zWN_CQw6V{zxHvyK6T|}f5vCgwjIN-Au?vzzi&H~G+$=yI$OBzY0nTm3Aw`L~1^J-4 zJ5WGD!UMztg$Ia+ga`b79R>wicLoqJ;DgW`oV`I@1_uWS{lNr6AMl0H3H}he!4E<w z9D&dc+aR>VDhMrL51}XAg3uQneZb~!sPP5U40RCN;EETRUtkDP#{uRsFfc;tU?|NB zrJ0~K7nEj((n3(04@$E^X?7^h0;LzQ`-52<ptONDgufsXLJQ1<&<mzRXa^|WFb%>l zu!GPOY$5aqNr=B3<RJ6~F$kTY2JzPeDD9vQ;V+o#1h&5+*$qrzfYK98Ap8kQ5c)v? zBzz7;Li9OAL1={}2%Vth2iEUU?E$6_ltE~PdI<d?A3`e>Lg)#l5PCx+gf1w8&;k_@ znjsfL8`MJS8VIdW2ca1nAoPP`2)&>ZLKoygXoq$PUC;pW-+_84o$m~GUqK;+z5t~c zKxu{|h`0lkZvf>N>~IC^GjMeQ(*gS+{0UI{0aSj%c8K_f0*E;a3L*RlED-w_@Ih%~ z2e3IG^dNKsl-~g5Gu(mb6R?BGZwQ0X4c-uXLJCA)VJd{)5Du}=07?f$LHHNqAv6Qj zd<Pwfegh~!K^MXo=z^FN&<}CnhgT3fAP(Z+f?SBa!*WNkyA3iS{0&fAAREG80HrtN zK=>b^v;kCqz-Ea42^kRi4S`Vi?T7FuEQi>q5C9PmfVs;A;?4wp2>k&n?$8a<XD|nn z{u|Ci%y%$?sJj5A3!wA_D7^tn2SDirEr@ypZ3uk<%5Ug|@IOH50;srw4#a&9q7XV^ zE+o7TK;3!40HQD8KE!<tJ`i;qydiW$57eE`5dMR9h`It3h&dO|LudtAh`c~PM18_d zD4!D&P7KmeS`0!TfTo)Xx1r|EfzT6rA+&%1ME?V5{6B#D_dydxKA;yOe?SvL2dssd z8}JlDH@tw*3uZ#{JHtc>eW4UWe}IZBOn~qwtc1`Pra|b2g%COcD*hk>!e3AZp&e#H zX{fmdQ1Jy&eFac+4WQ-ahZacrbYMD!-p~i33!vqffs!XUy(Wl4=m$Cwx<DO53z$Oe z3)ltWGw^wV)lXOtG3UcN2n{O#K^P<nqCw?1s4R!EK{N=1#5oxt^#!ah0EvU@2@nRc zL3IJB{s6H-v<y@|NE{>v!XUjMIS?BeBa6Yz1IdHfAUz;9jE1p6ZUV7E?uF?GiGlQh z#6dK&zd&q|7|1;g3JeS&Hb@;KBZLj&!!TG414JCeW@bXLxuN19J2|0xL2iSY4>l7? zjQ|oGrUqmWj15u`V}r~AnG2E!(ZW!@Ab)|vA0!U)FM|WbJs>_KGlUIN12PLF2GYwQ z01*e73Bn+85QeD%`3uwl0EvMxC_q3o$Q&LHh+dFgAhTfNoSYDGkQ#od8jw89OprJ; zG)zF^>}(MAAp2o<f$W8;2dM#>4Z<M1IHCRmtAUyWYO72}3I~uKAoU=<(ok`bURWG~ z<YD0nQU`Mn$PFNMATf~rF!zAOL3%*qATvRH5E~>0vKz)`g!&gI4-yBdXFv*n9whfj zLc<EAo`D?_4j}asP&FX)L2@8-Ks3nDAT~%1%xxew3{ZPPY?%8&Y?vC58?~Wkg7m}0 zL2Qt@Fq)MSl7C@sI*>RB!`u&23knyI7|0$_OA*8diL<gm+z(<iK-pk7a4~?igM=8E zcpz+$8g>RYusBFRBNId%<S!v1A+R{e&5R5UU^Yk%0}~UN4bscX3Q-SYGcd7(#X)v~ z+y)W@VFpHsdXP8|3q(Cgo{^CetRAF>4Jr<@S5N?=24ojV4u(Nt0%C*A0mUzf4N@;H z0Z|VUXJ+64n+alr(iKPz$Q+m(K<b4VApQcenVBJa!R}#zxCa!s%nSx#b3pppp?(B~ z0|QG7T$~x|evmnWj1Y4`b|H(y+zc@vp$3$HL1u&8$q02bL@z`QNREL85pE2OoM3xF zZew9k0JA~%va_>-*&uO7MTj^^FC!}pSR9l#7?9!}R0e|NL70INq8H=_Ca4=g;_U1Y zH-N+$`1!!*fXrux+6z(xO1B^y<S$q_gZQk_Fa+Dn=m^#e5@%p!2D8C_WPq?i;-E4I zM1#elaRIWIlLO)gkRKV?5$The385FPhk=0s<TeHcNZf(Uf?<$6BLgH1L2L$Q2pjBX zXncX}f{BCFfaE~>!EC5{5FeDMKr~n!DLsSAE0{PlGsJCRGnu%+{sp<8kwE~=2B~9U zf#eY|n+Xy}ATt@5A?^q1g{4WbIMkis@MLlVn*&k<Qx7(W70FCS2peQC%xz%xj7a$c zY9>exBQ(B1{sxseAoIZXGC|w};xjNo!XM-YMg|A48$jZW3=sE&%x6Y&KMOQ1fz-1= z)q~B4<`+nOLE-|WmkS!#AbXjR;|m(sAoVH=kn{kumw^@HHjw!Y3JGxcFt8)SpB<WJ zKx&wrAZ`ZvmkAmdAbXji<rqjD#s-PQ@+`<Mkh?)N#BC5afYb{MLfilnXNHC$hz(2U zAU88Y^9#rfP`d?W4oIAV5t2_q<q1<0q+A8LlfeOECdhnP9t4{S%@-hXP`wE<2c)+Z zS}uXag@qw*1FL6%ut91V8X#tZ^e`|%@;jK#0ucw>%i#eILy+GYI3RHfQo{%>lR<1I zXk3HXpbQ71L3){?@e9(+42?Swn}GvjK7<X4YmnQJ)i7~E(j-V6<YJJSAk4ssa04p? z#LXZ-G7CV;ZIF6cc!JolFahahho)^1n}Ho-4%iJWJYYY9#F6!aYD$ni$S<He0>lQX zVPIro0<ocS2MP*Mvj9|VJAlN&YM9tqKx|N)GAn>W2;>U}1{M|;a2*RWliArBtRCb} zQ2qj`2kB*G0hLS)44^hh1P=pPJ=iV=P_6>0WMBZ*k0A9Ra~Slr!D>K!1{O}R+d$%s z3<3clF_0S=SX2~2Y>+s}VIVdr+}PP5W`f<o#0d5a$PKKl3?OBo=wx6vHUOIs5@%;- zW(Vnost5U*0WyYUVF(fjsbOGY0-FP}myv-*1tbn)t1u{l%>=oDfrSz5POy4*7El!r zjsuWCLFz$nV`dN#2C*S_F~i-!z|I0Ha>42u*dSpAYEy$k2BaQrJ|m|mhz-)q%m_*~ zknm?<WP;npz{Ch<gVZsw@Nj@=5C+w2AR45Xff*7eU^6)!z-|Wlg~8Mw>>d!CiGe`@ zq#lGBn0UeBAb+tkLc$Q_7fA^LusFy}P&*2w7K9lXIl=aV%wc1Jm;+)nFtLEm1m#m^ zh6b>`ATt?Q7@0x(K;Z<cXF+U``3&q5VDmxh83iVQ*&uON78U`J8j!u9$O5rJn1O`{ zY$k}!%mB*S(7KX|O${Ul(#s$PNqZnRBZB}qPC;@EjGW+j1iOck73>~1P`SdW0Jaz8 z1_l<D7LZyHW?*FE1kvCyWMBZR2k{v=An63`7Y2x3V0SV=;u>ns5|CPuUF<B7d;}6_ zVc_ThiG$1(U|>jqu^Ai~;cR9m2BiE2Ndq9iFf%cL!vv(4LBRp+FOd6H6d>^hasx91 z6F7W8cCj)DOaPe=!l3d2M1#Z`SQ@}_2XY$&6ARcJkX{BxX0Tp}I5Rknfy6;g29REm zUCd07xCZeV86n{hVly!?fSSROw9UebWG2M#ATt?3#RvlfxXfW-bZ`XegN7kkJxC2Z zGeSKJ2tdP^fs>yXq#lHML75!d-vG7KKw==hp!Ok%4Z_SEkaz*H85kMB{sOVNp=kyb zhTaU0V0%G+WMG1%PmmgBB!4k5vVi>s5@+D&1g8^_n;999@)x5cIKDt(%Lol~uzMI7 zz+ni|%Y<Yv1EXUiNDPGenHac0G{_B1OrVwm1E^^W$|7L9K;|$oGJ(@A$jyulEG{7R zAT|RFlM{#y(hJf6VuLUP2P0TLSe$_go^C<yYLGlAErI$tAU4!|aJd8$XJlj$0O^C2 zOOUh&@-M860?9EjF@wVm>;?x&c?dF#fr*0^Bo7*&fT;(m5f_KV1t@$R9T*xwYC)KR ziJ2QjgT$GcAmSkXpr{3jgWbcxA_8KA#6jJ05F3QKnIUFE+>cbQva^8w3redT3=9S! zwIIyQ1WBtPGeK=yuox)uFtUQf3hYN{c?*(bU}A*l5q35<a9n`&g4#kLd64-GOiEyJ zklPp-A>j;SgW8`UH6S)jJ=lC`ngO|)l>w6PKz?UXSO5+akeSR1ka`VdmpnA>gUw-v zh=cSpu(5;f1%<7!0Hh27sbOYjhWnk7fkOl229TMI3`|NOHpmUIasb3<=H>$X5yS?y zTR>(&;~i{1h!1K*g5*KwFfcNM>tc`_*jXX%0k9fS#)jr=Mg~Z^1k%I6fXGwa(EJE; zGoz~uI1PjKGC|@I%w~p^pCG-gERgU4*~`NLDRV$!!ptB6_9H0Vm>3{w2IL+_Xq^Qz zpTQvkY(7Xm0}~|NKz?TcDFT)E;Bi5S`$2jc7+JyP1IP_bNbX@oDmNIRX#=F5l?9S7 zz~(bR;sT@>)MN&^9mED<5F4bPof)DA#AjgP0p}f%I0GxBTmrM1AbA$dhPE+4=EK4t z#Aaj=5CoY4@*^`Nq>ccoXJrMo@)#IE`3}?;1=$T6V_6N#NDPqn*lMW1K;g;E2nkP+ zI#?Wm%wb?eY9oOP9cVw4l|cbqK7jPHvO>x|kb4*eq(njP0kffD3vwGH1BVAl9Be)l zs7DToV+IaJCXhWK^&lEnW`X#y_yJ`}5FezUfsvI3)RqMCLFO_$J3E3#|3Q4Peil|x zSDOLE2ipaTYz77}A1u$r#sV@IBoF4ZfpR899wY{eYtYyzhz-K*tc)NEp`V2bq#eO$ zWM%?~0W_^MFe)U#+yk;7l(#@M$Plo7&~`73&k6!i_kj%tHHV>muzE%&a5%%%gR&JU z96)?fdgo+hXh04hkbN*dNI$6R1koV%AR3hYVD15h9|NPJ0?fS-c_wC%S`Y@=!@$DE z3JY(LKQ%NIK*l5cAMQS=`$16%mIvwQX9D$B5#h_g!X^*1A0*Gf$ONwI;Px@Gp~Nq! z=zysQsbOXi0Co4_<})&K!0m&&p9MsN)PlkXq!$!GFg^nd6Fj|v{KLQj8cl+@56ov_ zWLANOKg4~^?4a@wq#rEL#KH&)0T3T#52%a>iG%o{@ML6x%-MkWAbDsOfV&seHV1_h zNFGFkiyf$aAoZa37Dx_+LFTF}D}k&7>xZa^kT7`$78M?l2#61|pMl9i0mcXUoq-87 z$^!8p*gq^xJRo!7>KPq4LFzz!kUmCGXAJ5duzE&jCQvwn<RN?(4w!n7eV}m?&}<o8 zo`naTK|p+vf7sX{ZE+AEq#jf^fy6<4kU60K3@AZ?_#n&-YVbhq2dQUZVsiqy3nT~O zGdjTR1L<dA0$T?TACSKo7@5EU22u}_2aQp}^h4x1HDLY$xdYNlhJ+7DJp&_X<^sZp z$Roy?!2ajp0$T_&A0*EXssy0!1@S@sX^=f2c@Sn07i2_9Ka3pU90ZaBsb>ZaC_(ju z+zC$1P(D}<XiyEx2dM}3M?vBs3=R)Q7I=7o`3{UMu<!x#y__I(n;`WdcY*Rdhz-IJ z^H|~e2`n!vqNRasA2T~RSm5dzAp#&iSORGr9b_LH3xfkl93&43FGzt2;)BFN)ep#@ zAU;SQ)X+kX4|Y%^8frgC4I=}K2rPYo)H5(Kf`-w+@eSgG%4?W@ka}TILk_AR>>nmJ zczy-B4^)4^!UyCYQ2KzG4_42jq6l*zhz7M;K{Uv0kUdOYT^$`z^FjJS*##yKat{L| zCp^D^<U!*uFnLILL+WLac_4Xc@`3w@frXU=R8NECLGED&b*`ZHLEP`a0&)jP9)v+{ zbC~<V=0n@zU^$QkbbbZI2bssfq5$f$gY5&E!_e@+P!DQ8NS={F0bGTE)Pw!Q%@1-u zSUs4}#K-}%2P6-2KPdUa_z-y(4v+?rJV+eWhXS!d7-T*ue}dG3_#he-A0T&t_+WVj z4i=EPAU?<(@Zb>CePI8yFgn8I!RE8Dfs}&X2bPESJwSpW%pf7d32Fm@*dX(e!y9A{ zXebXvgXBT_LE#On55ewZWdTJ8*nF^kj4bf_1Hxwp_isS@K^W8@0j);@vBB~Th;|{w zeh>*MU%=+GFoLEv!RCY90qProq79@U%!d{TFh0nAFnO?k5C<AQQ1xJILF&N_W_Hjp zFW7vrdR9ibf53bWUM`RTNIf|GSs0l>6%LG#TpokfGjXBBFDp2;Ao+)b4PHJ%+yikS z$UKOC4scr+&S&O@$2Tba85o&ZVc`#QKP0pu=0oI};pGcN4&nfic_7Td2yPC8{R`3$ zDlb7aNDk~jMi$TzJ6Im1A36Vm)Pd?>kUK%@L3~jC4da9S!^jM3eM8&>5@%rKfTstr z9wr6>l=x?4g||mQ=7HMEpb!D6g}R4H5gLCWd04*#BoFd0Xxs<nP7ohrAE;Lbu^*zI zi48=9<U#5g7@6Sp0Z2Wl{|B=Vqz2l&1p6PP57ci5iGwhh&jKzU!15sXf&2_h4`6v{ zpBAJZj9D23Km|024YuFG#R;^!1;hvG1tnh)4KfQX&&bK)=mBXHfcyoL2gM*r9>NFl zAo5@_P=N&PbAa@N${Wx+1CSiVJ>cXG8QTSUl${-1pMm5-_JV{!7|v$`_4pv>gTjZ2 ziGc^yz6HsH${|pF0BSFS_)z^!AQyr7VE2IxgSrP~KS&PLF$T$l)Pu(8K}tY;kb4;% zm{>q93J@P;A85>$j~QY=NDS0}R$zkg!RCVq=-56l$RGv=hE%9LNIesS0%%$q>|YQc z7M~zCD9k~9HwI3Kd0_ilcyw|&Abha>EPOnm$OWkf@xktg%7gp^%g-Qrka?i~E+{>N z<Us8h(8x2$T#!772Kfh+;6Qwk|H1Y{-3!tK&QH)bAe7GzDlkFnLFO~C%JFeQ)r0ke zEQIo*<~t=RLEH}x8fbk6)6c{NX&}J(46Gtzpb8Si2l<bIgNFswg9GtF`oZ-HBg8z2 z7`V9%4o|Rp79I{ziUP?&-OCCppg?>OW?<yh09Ak>IZ(aA!0;X{3?e}O0oBK#{v(JF zG7mJC$IT6~52Obi-q7>|l4oG!1NWam>cReHVsT(}M5qV#4_Hvcj|mokAoZZ~1XNyv z%m$@nMuw^2XaboB4o@af2?vTb2JqYlGefW%NE{>&wjb2Kho%=Op9R!_0m*~Zf!aTy zv33w29Dbne0}Vfr{owK@5#nBmJV*hA50Yneb#-xdh48`VGcYiLDjbk`AbAEB9v2^| zc_4YPYoX~8lph(G8Ww<J2&5kD9wsKV{LKiO)`rI)7Xt^leF#zyGLM0mpMi%LVm~N; zKta#O4&j5;FflQ3fZDqtHYf}k7+KjtG>8wvpya3o9UlUPFEhh*aDNFT2f_@DEDEsj z0^0}bAFx8q2blxzZ$sk`EYHls0t-*Deik-HCKX8hfFh0wG+qG>4^a3pGlOPJA?AVH z&&tXu0a~jA(hHL3<YZ)UfW{w44CEeA2M5FkVFpHUi3tuLQ2fB!KOi}<`<U1mS)t_- zD11TPFHoBrF3-pcZt#HkAp1oH1sOnVxIlccenuu{(0~h^5AqMxJcxY|8$oIz<ryQx ze<c4gIB+n+@)yW{W@c~-0J|5Y2NWM{(DDFeKZArE2cJA7JV54yQX7Z{*$)n1Cdecd zhz}A6$%BefkXa!6nHjW&LH2;;L1GLnY)mNS14uE{eIRw9_B*JK0;vb5M~((?0|~?j z$uooc*HHh0-6y~S?(l%*LGi<&z~}(#FvIywkPaJ&55mgKObm(&5dVPP&&bINE5AVU z47{A+2^bI?>|RD@c35~o_)N^87BEbnm4yL3cn#u%&EsHVWaWaG4|Xr8e*|?eSe}s; zJZT44&&a~W1x>FY^$gGkCrBQI88|dJVdWzzd_l1VD$_u6Aos8{F@T1~!SM$P4;FBT z2P6*;KNcPzzjF}xfWwE0g^|Mn!Ux+2YCuEd8{|Gd4zMn;c_8x{SQ$ad6U+zeXLMi! zb$CH?pmr<+0|x^vyg~MZ#>+tM6OjEN^B6$wSE&C%dO+<h(1Z*~9^@Zzc?XSuuzE%& zHqaU!kUT^^Gk8D_#0R?<L_pPp-NU2=9_$9mgZQBOAOKY8K*#MtbEKdFLy$bkeDD-H z3&j6m_ksps1R#8nJgEKz4akGkgD?Y=0=R<(VuM45k;%d32t+-|eT)n&-~mmLJji|q z2SyI&1c*GC&&0?9s;@z8i1{o$TudC``8SXlg98&AXoMcb2DyhpNQwzmUV`}G@Bz6G zI@Spi1GSeN7$Ng_AU*>VhXSLb1K2!J(16?ziZGBINIyh7BZ@pI%Yo!UZU(j2SrwVV z@*pt=CN@SE2PZHeY#vB4)PAsf4ozJ?4PLlB$PQ404Wt($`4tN@k=^BI}BwK*Yt zkpJ1)nb|=FK1e+%{J`TutgK-5ATdz?6*R&EVuQn5fk8=L5TYMs7p!~%$%FNS3KK?% zelQ=@IAlcfFQ`Cff`k`H9&82!3)nsopMjB?m4%H7iO<Bs%FK?$XJ%)G%7fg`z{t$S z#sX0fG7B_(0?Lyh_kj7J%*g<;A0!6q4<si*><5J>sJ+U>4ABpgXJBM@W@m!92f}A{ zU}kaz+YeIDzyzs)L2@7rYX7mgK++G`Jxm;&u=E2_53a7k`oaDKO(?KJ><8;tV03U~ zhUf?L9T=IJ5|Hg@aZF@Y1?vaPGcvO{I6~YDiBDD*CJqg-JlK8~9#&8f0HhXVKWM2M zXx$Qs4^j`BbJc)`KZp++eg~~H1j&Ql$HKzG%7)}VMkY4JL`Zyt)G!F}@YOm%<iYlF za4>K|;}^sSrx9rS1o1)j7e6$7A@+epA?AVX1NARKNg8A}NCq?)1Zsi6`HW1=EL=$8 z!@|VjkN}BKaQ<ZE;NxNv0Q(<oH%m)fPv0>(UkOy9A2<N!L+u04xP#0Gxd)U#l@t`g z@?i5A1Q?lEknQ8qaY=#L2UgF*%FCz#34e%tLBY)l)(@6vWM)@qg~)^K5CYkskN}nk z@j>~S*#VNCK<N|YcUXD_o5#)149Zg=wP5!!v5B$pLd*xbAJkuEXG2aO4vw(&0@lw2 zYVfnc?E|%b9H8kRWFBZVT!0x8e;_*;7@0XhJ!TLagh6v?pgaL)gB=ITe-QJ)=7ZWh z{E++&N?)MP7$}Q@)PurbON)z(gP{RzKUh5r4+kSOJV5>h`4wa=NIgWJk(r5;3#=X_ z50V45H$d`W|1z>LGqFMJ1FL6bX6HvT58R)D`VSobEGn$LoJjG<$il>i6yBin7vu)G z`7Er=p!LikK14qwGY2aqJ%Gd*SQvRYq547on>=}9Bh0@L_kaQ%5<Xz{j7$m+PLTKo zhY!dzOpx>d=7TB&CZzJ6Ln8q+A_>w9iXR3B(2O7?e!zTYNd`t1<n+zM0ct>i)Pvp2 z!^gwG04e`L?&0F%0<V<-$%FN?FfcF*K<o#HCkrbZXvPsF58{Ku1JnTn@j?1Q%_9a5 zi2FePWnf8BS&{&$&p>Lx<(-2gX!$!h&cMYP6Pp7_Eyz3&pPiWjv}_yH%m-so8xkZB z@joc^m_S_ya2tkERaFH9K=L5_LE~S%oGff0KG=Vd^rjBtgUknICs0!Y#D~bUFexc0 zvV!<v_c5_JI5M(=mb!q~-LSJUu!8_d9%?=pCkGG6%b;Nb24M!!ZVrfhLFo?^9v}=- z4>C`fnIQp`XFz<Aeagzrj0}p9^&O!85Tw631=K_W`-hbkRGPBD{ST^dKzR_PA7mbA zzR!z=O$EdUnFmUX92yMZRvyHCp!CfJ=7Yiu)c=CH2jqVS7FJO66C8d}^VnEe9UMXC zf#aKzfkBWN+yn-v15ns6U|0Z-FOYqp`56ucPH=cZ_#8YeObX!e2AcskUSR>qEuj7w zXq`8x4-S$8+vkwr!J(m`2;zg(aC3uJnsbBA2Z^zP8s`iR4InlwJVALH#0U8YlwUz3 zh#)@Leil#%Tmd`=3^t#UnTgdE68~WTFtD(&GO!DP)Pw8;)hDnu8le6R0|TD`zW}J! z3mFrXmIm31;4`p^2}m#qfa3!kevHiQtW0d6E(WM&!oa}D$pvbUg3O1=gIo(**$gp* z!GWbgg^@J@BoA^AD0l=|A#1rn_9uV_;}{sg?gP0W+8zXt@q)q+>_<k3SztB`E1Lo% z6F7Z>!-EMF8W4Gqeg+mM4n|gHCy;$0|MD?0Fo6c>Kzy*zKnVs^NI=`vptV>!Dyrc4 z1epg)D<E|s^<e*i`?u_%X(n)b2ifGozyS^qka|$s1dY#u)Pwk-@*Fg#2I7PDg9cxq z?g#Uk*jQNEK`k7}8VUx6+r0OAL8d~=ECx_+WM%}H2Vnnz;*$fCK0)Tg`nMqSLH=Xl z;p5|D;RUB3h<YX#2PbE6dIa%>g@u`ulM}%5;P7K)0ykhm`XPK~CPi?G4;e!M_g|Qp zz~KQlA0)uU1kO(&Jq#QjEi8-;3LrMvKMahFtn3i?gVclDL#(V|`#|9c!k`oZQ_srE z3R?CHHXmd^s5E0y0qyJn<up*pfkYU<`4eOwcz&D@k)J_kfyU55=7IEs%1d=n6Al`u z3<?VvS(zFdLGqyV#=ycOBge}Lc0VXQK<0r02qX{TGc!3jIfL^**gg&(7IsDsa9o4= zjLa-N9891g3a}VR4;Kg6IB?1ZrBRR=10x3~6N>{YXl@WB28t_CUS)(NPq<zNMg>JB z78g(#6D$UD2WSjTfs=z7G;R#i3-%WS6R4@82^w1hiGkb#S;+?}!9ikRbxaCuj4T{H zpfv{|F>su)u(7d&G7<v=*bb=sSXo?{xIpqC4C>P|fP);wLsrMi!lMK3;(_%->|kUD zb=yI1fZ4&u#s*zU3DFDkFVsp<6e097va+l5bAyIx!D3*yI54rPrLi!=+zb|DbYS9Q zW^o3Y55izqf%*#I4i&@>a9FXp9C2V|J%AEcJRD3c3XH6v@&u$8mgc|?frbItAK<<l z2Y7xTqz-H^6EmYj0;oj@u>+K5Kt%+L2&8xesRQ{9TrPkJsCi)bF)*^Sf)>(4#Xx#R z1qB%y1O>r~7^D{zW}qeu0|%G{hXKeR!oosAOic}-p<b{UNF8W-6e}k)8)zH?q!;RD zc6C;MaIF9m1GyPgM=~%lIDp1&L1G~D7#NwG7q8X^4c&pw1GyPicY?wLqz+^UH)#F| zI_C}&1DOYE!#g-Ra)HJvL1GYlnYgsrI5<K61c^b^F*&fZ@PMWtz;=Ml1qBJ%v(PXA zt4q*OFwk^hN`Z=j>}3FrOEE*{o<Qb7#6Wd5sCNPhd$7F*0uquU3gC7RNFB%y22iII z8krz5uwIa-p<|P9F-ThnJbnuoV**>u4RRMq4CEh(o53c7{Q)+QiG>H$^902qNF6Br z7(fF7kOCd74x|@ULNP$s>Ve`3<P6Z72o@LCR#!(z9SYLl2y!&Y&5V$>w_tTljBLy- zO@|sA5MsQXpb^*xUS3X!7&r_dWi&XUg4_d|Cuo2y(*iGggW1c-z|a8l3&<Lf7+5b0 z4<8$s7I;h&BnGyZLxYo%m5Hl^2jV`+9t95YZpI`-h`pfjVPN9W;ACM;1T8=V*$eUq z149591~Nd@fz4xNW>RWuXjD*yh=J_|_uII+Km{AfUa)!Krbz;e11qw<p`xLpAQvNx zF)&<~x-10}1?dIH2WaAjjfH^)8ZHofnOImkI6Ijg5%#jGf`Foef-1y3P@7T^G{DTk zz#s^n7X|r)6`Y*~7zCj40d^l~tsgU!lQJVjFDP7K^BVzb5Iewe&ce#Z2FbqQHX+2n zoSLk>916@#sCt9cm>7bfdckg1XaH4?j15qApfU>VJ_fK;z~+J7!o=d>;=}0Z!2vN3 z<YrK0gGS>(DHRkhpg0kR0cd<c+yd$|vnYY)0YU0O=7EZ51_lQ<(AXqQ43y6~9UVA8 z<HaB`uwD)g1yB~@<$?GYECwpGL5)NvWHFE(kb)ef7wk7iPG(k)Mjp`kJIHMizkyuK zAOH$`kUEfCKx@`CG#MQfpz#J4W8~yuWnuFKExrWlh4>dd^vD3JLt%Qs^B0T^;6YoE z7)UKED=UKlE2K~W@j-ea?QBH+g59Uk(B#0#%Hrq(@dqSNgK9m{h!sQ(lGZ>=Iw6f5 zka?i|#>}A2uFcNCpurAN2XZqnFE1|-Pfkt(H0;588JW2`Ihfe^xFG7l;RoVsX>foR zZh_nk7Gq>#=H}AUfaMvm`&d|cR9YH9-AP0`0PV>EHAq+?=7H3Kr!*QMVFPj-NDMUY zprNR|aLED%@ETpP7?Xlh10zdIqa!O=4CFp=e-xCl7&yRUpfCW{Z(tz?Ca@UDZww5e zdH4_+Q4WY0#5}e(w}!?hMox%cka?i;kCB}pR9u1eg8jy#qQJz(sKd+-u@|J5xv`<K zxe?U!fvh10s{@tK>a4uFED$$?%;SUuh&r%a7&#RcG#r?im6Z^7C>R(RS=oWIFG7ro zky(+2LxD$y9WG{UY^<!Tq{PI;pbQsd03D1VAi%_^!2%Wo*$eUq1E?Lx1Mx3NjGGe_ zGK`Fj+}vPwAb&u^S!vON287rFg9itWaVUVA#-R8ByP1W@hm}*45ww~DBnH*X#O2im zicPRyP#6d^GBPL#gEoN*gT+9(1yqJZFeD5>X^??Q0Cds;6UYvb+dy;Zpb1#8xeVZK z>EJdt14l;>PYVkZ7b7z#$b3+GV`P}h41!abr!s@eLr|Q98k3;yk)Y{skQmq;79I`{ zAHQ?Q4mCA^^zwrf#SivB>>yiN!ToWNd7yF#lm$UmAxJMsEd#hM#jm8m#sb<R4{-}4 zGgA{gKR2fWD+fp&I9xb1cvu*j*nBb+I6-2d@)Y7%5Xry-5(BGqU}R-t<KkdpW_JXM zfx-Y*)Cmg<Be@0CR{*VIfP?{9FQ_HXsKDgFA%HNCk(q_l(}RPFjSDm!3$DN6but43 zBghY+a>J3)5ftelpEH8&1&0eGXJ_NVlPecCD1iL|b~C8k0&4NHuprsN$>HGQ<Eo(H z1a>oOTrz^~fP^1A!xV7+!vWsM2(nvQSs64l#>AwkpbWAXWCwWd1SnV-A$>!zTbP;{ z9b8yc8XFuBfYgEg3mW6*;$&mt;Q$RqGl0%X0C@n4k=)13%Ff2b&Een(7K50_)y3iA zz{cp<&<HXQ<Q4`-b9H-tLqSDTh`kUoaCy(G%f`e3;zRt=#n1)G(%|?2mG_`71S110 z!&V81Ua<QZSvWX(n4FT>__z>uurjkSaVjbBuz}5k=mkw>GYNq7!|VWsAp?U0g9CJr zg&4@b84R%2kHY`|3=Gu_3=F>*7#QR#Ss4l$7#Lt;IZO-;YK^Q6p!HBNvCU{=l?)6F zs~8v<LbF&IVxW3KVxUnNkQivL4Wt(&whc{P6$1l<83O~u1Z7qR8Agb`pu7ooa}@^z zXdNU-FHCGf6$gVF)DD=KKqUvLGy=OBCN=|$*o1OSbqW;-u|o_D42g^k40R4149B2$ zoM2#Jh-GA8I8w*K@CQlkG7|%XZYKxBb|kS~P_YoG*ii;Z7&}ICF!Upd&1Ya>0Ey{C z{R>hD>WoZF=3ppA5{qVpsMCdtf!y+yiGg9d2s?u;l6h%N3=F=W91O>yViy<~z;Or) z({8BPRR#uzGzJC+#ZC@}D5!a`usR^li4o2oQk)E0P`x)nVZgw^08$4!Uj;cn4AeL= z;^TxWrkI8frq~W`Ofd#^OtBeQ>?qL0RL6lu>;e|^GO&nU(8V+_1B(~~7O@#fe!I)S zz>ozEXINeUiPeDeh#@D#Nwl!{z!C;Gw6M4Zi`We;{#~Gfsn-IFSOS(fx}k#5`<#J+ z0kp5}K|d#h95fxg0Qrl7fk9ysLhLmI133Pt)^IZHgsOYPz`&r)z`y_!1C<M)^zs&q z*jEMy2GH40Aa&oNdVex7Fo5di`^!N!38XyuzyRtoLCi~ps)ME76_uPAd7q;aGd*W4 zLF99o-h!o=Vl$RuirrX@DfR=4c??K;PckqtJOniiHgUkqPLSABMh1qDXksrJ85kaJ zMyP|i&td_ly$K63#WEIQiY=IrDRu&jc^{C>+t0wjFpq(OLGLj;!vbhJJ;1=gu$zH_ zA^tu)+#et@P+9r)0YYpa0|NtS4HT%%*@>jroRNXS^e;O@88qJ57#SF}L1VXRTnwN- zA}DQu#6adX9ARewZJdFLT?Cb%N7xyXq2__qeT0g^$}o@^XsiUJR}V>@Jg6gogq;B< z1`E@IMO@(Y3bI3pk%0j;o-%JP7egP^eIPN=7|=vjRt6)em=q%egEb=qgGVN!9t4SH zGcYiK%v%aoC(a0(^<rR1Ud{!t2SI1^fa*NveOwH%G!ANT>w@|_y<7}AP`wh2kalX> z3NCor&IJl91_p+jHZF!Zs5(&iOl5+I!OCKgx@~L_u~?|O(+mvY`U<(O0EvOha*&t} zR2?XPf=;&VS%8R3TLuOO9|i^nzI}{vw}4K8n+h5a&SGK+h3W-~En;9`Sda~F4TI}n zP}%1WI<Vjq3tTTq%pZ$bI2N%rj0_A4pI8_qp>}}uPG@3Zh-P2~k3E3mEt7$P!HbcB zq4^UiRv~2sC_KM|!e0R4W>W?RaGLh0M2J0NU|;}=tN!I+0G)gXG7n@5sJ(IH0z15{ z+swegkjucpaP=a%^#%4DsC)y}iFxPQ8N#9N1BrpkN!xP>v2_d#3>6Fv3}-j9gVPtt zj^7Ln3?&Q<40Wok4Czb|H%BrsFo5DD^cW*UJJdXo*c4D3=@=u!9H`iR1_p3@WXo}O z263nus67E%Wd7qgD}z3gSUEET14s<kJ^-nk0~Ld%A&}S-s2D8$fyCBe5!-@AtR5<c zY{wZaVpp(;-N7Puhza6mSe%30BFDnO(AC8XZkK|>4<r`B1Q7$(r7*F0RtAP=w-9M# z0w`ZGGBCX6WrLSjATdxrL>J3vVPJSI0%|2g;sd0PmlacQFcvWjc8FeByBMSw)P6;` zLxh!q!I>G=E#6!V41%JlVwq4e&{=9Azk$pPg^D5D5r9Q33X51NRO~D?ZGg=C#R&=L zdL*$oP_Y6ev3pRle5e?xYy`C(LFuXqDh4tSls`az1LY@>n?ZT-30fWmiCtu5V93A6 z08ghNy`atEps<JKLD29e9}@$^++EBJ5l}lo?fh2IAPNs7yqyga1N8|6co^aF3)<ZY zY8!JsWPq31ATdyV1`^YRng`40pxvn;b3kqendQR7z)-i9k)a2w4pjax0Hvdc3=E*M z5Twq4fq?<k4q0)Afgv5LPMrbbrxF=PaNP$|XUD+6V93P4uv{Ba=9n`uFx+BbV9;I6 z2roB4`PhpEqV5RPJdoHkRtAOyMkaXK2`Xd1fW}uJLdqkM9iaXN$o-1ijPN!(NDLH~ z&f1I&QBd<hZkJ?aU;w$<mKl=9LF0fTj0_CnZxCT>!N9<<i<yDp%X82vYY=rHF->L$ z2LFf5;PMh=FGx%RDy9mpYhhu!TMnTYH0QC4fq|i&jgbLVUxW05;`a?B1B3J|CI)+` z`#^DI!NkDu%a@4(RR4q2fd;I3_!t=U*_h$=3}~GE9TNjX%w%S8dl94#<Q5xdh#g5# z^FVqpF)}b%+()DhP~Q?Xws_QtkpZ+v5TqA0K?DkG#hZ}z_aHIQj!ZvBNZ7-|59GcX z(C`D*0w8suzB9-^P`ZNktw8pMF)=WNl{3N9q!p+g1uD0fFoWv?kX}$dp~C<P15o`6 z5(Awwdys*F;o>o722foJ5(Al+CBnb}vIA6SfW$z3dr;kFb)JC%l*T|}Aa(ZK3=B4{ zh;#rFtKnu~c=e5m0ptddI*?va{syIkI%rsd#6aaJNDMUZ2T})$BOlQC^g{*)&^g~A zF_3wGL2dGv4B&AJkQgXTLFVmHWn_qlng=><+K_>PfxDCmo_|4ki-nzm0aU+%@;pc{ zDDIasGcY84U}R{5rb&?452)A$Xu1OF1r2J*?PO#CjS;}~g32yX{LX^f3sRTD#=!7+ zFCzmeO@P#a;<A$g5|^N`1&M*|&;pJ8y<~)kA4trRm4PAT2P3>*wPs*oILZjgm!NnC z=~ZQ50FMWO%A91Vy`XT(;bLGA_`n1jDFe3;Kzp)4WAv4O85ltII7lx@T_P6)L(Y39 z23Xk+67%I^VCZ^>5CgTrK=$3A!^8k9V?kn|F#=GY0kvmA=7HkvGXnzyC>?<O2@(Uv zb1)+VLrgsr14s`@45V&4R2@tV<d07bkg^yQUm$g$G;YZV$%CLW9wY`T>(rp>9yS&P z3M<gK0x0Z3<qAk0NbC!=oGgZh3rH*i8h+QIVj%w(F)=WNY-3{B3KavT|A$Nr3}=d% z8B(EQpcC&wY>9Ua41CaZ04o1_7$I#;m>nSV{MZ;6)}3X7x356{T><qk=p<v1`#|9h zT1f>87g!w%3Iou%6o)V)gE7=zP#smk$iNV}kr7;WgY<&z$P!{;a6it-01Ic3Sh*kr z!<y}gavXH(_9rGtp7w>h8DtlzU;LAakpa~92bl*_Hvy^+7WSZc`N6=zkg<q~VHwmd zATdxJu`EW^0iZVLJQfB9P#FembAi%5Xxs?oW>8)P*#UB&JU7J6I#9QO#2f@5Wdy8m z04n=b*%=r>`4>5#w{S8r^lfKifb}cDdZGT6hPGKP85kJWL(2nLpAMu>RhWU{gBmkK z3)CN=Gy<waTf&*)Wg;lfLH#w^LS}e>5TsXt9}<4B_yEP57z;!UG!6^$8%S>_69Yq9 z1~bEds2w0NA7%!I%|)OSQz7*hNDQ<)x-o+pUWbD0_{Yb<Apetr0aV_C%mdXapnmB0 z4rX}Y3M2+vdH@mwnFCV?szX6ypz%wP7|6VH%#i*OsLX?j9bsl*xUd&d_kj9Xpty15 zVPXK~ZIC)p85P6CzyPYdL2(EZ1GR@h<v1wqz{GwsL*fk<=ODeHgMC2iK&N!W)MYX; zFo5cPP@4cG21;wEm>~5asEh}Rf#m)`+hKWZkgx*zLkwEig4%K*bs&F(`V~)#m>EFp zWI$q|y`!K(@pJPT8SJ3x3X~^6V?=#SOboE`UC^rXLPiFLYI`n*n^1KiF;Kn6qt69T z2OzP%j0_AmE?f+tvu{9lfHo+D+I=gyxEMg2;b3B*@>a=*iy;FV=dkw14kbiC9wxSd zlM6l;1R4|g2x`}<vNEiPx&<Vr$PDR&!NM6NHjRmaAyyt?9w>c*^T=NgaGwq27Ld9f z(Eb}tFUTMF7#SEA)v&_bVIXy&aahp!0W97?iz7j42h{hIgSrJI2I|v*#9-#Z{K4^! z4P!iZ29g-4-2vL47c++yKCax$z`y`1w{w=T!owL<2ZGMM-o2R>JoXFn2S^Om{sD=> z$^%fl2$Z&Lcd{~o%3F{+ka?hd4l-{Yw4Mfubu%(BEM3D2?vKLMfyR44Vph;H0;Eof ziGjhhhm`@8Heu=>GB7ZJ%zFV11CY8bMh1rSGg%qFLG^;hd_d_S@Cjl(3?v2$KV-2> zpf)Q51H;GH91P2#=7G|15+egcpeif8%m(#gK<y<^IK%qMpgehofq_BQf)zY34ssu; zo&$}ed~#%E0JZC3VnLws0$WxFSRVpZU!H*0ji9m+qz;si-U>4?tkp&>b3O|*F!<{* zGl1$Qn7W@>)cr?O2kQ4*FfuUAlwxN9*$>hS66;}sh{5_FATbtJh}a}({s4(7K*eBr z1|+r=Y96v!8yiF~th@)QlY-g-OB*1u`%DmZuyz<o>;p5Z*gt5ysrV}=yzK}Q1Fd85 z|G~)s>H~rN0V)eX<r8QO3Rd1P1ht7-85mCIA;zGVK<9;cD%io}&>+3A{NYi~4$mJT zy+KS24Ea_Fy&$na%nS^d-PsvH<BuS{pt|S*69dB|eRj~4FSxx1vIEp70gYL{gNEN? z1_lPuI=)5G2=hRCx3e%X><(vV0QGM{=9Poa>jI4l2D8H3rywy<{`Y{2f#Mz1Z(w$Y z0w*U2Q2hfE2aT9P&Y59kgsmq4iG$RG)~s=`Iw-L5F|&jEYans(JR>6$2PcbzlY(PI z2dGa25(kf7Ffy|;v8k!5C@6xO+u-yDQP0f6<Kw}hz{<o0YU6{{L&cf6I5|8W54wVu znS#}W%mJ-4g<#NnamZLE#67G`EF5fZ?92`cATvN1EY8B}qQD{|V4xrbnv#T@!@$U) z!NkhK%goIK+O~)!&dkKZp~0rW3Yo)%o5RS=#HFO5$ie9Z+6D(!4;qhyPTPW}U_o54 zIN1FR4J--?0vt>YpgDDjJ3;P;tXt*P<>ul5E!zRB2fLGrh0&3jhfO8L#Q{`rfz~8} z#Tl7c*!Va+5*)bLU3egCg+a3pYz&Zd1RWS07(rtJAoZ*uR|p7+iO5JW2#Cl?fZF*W zGeGMSK=ZY13|!!J1)A>%sRy}-larGJ3>X*`K=&bl#6jjD&B23?P6q1(sb^qh<>k@Q zP*7FW<Nz)11gVFJgV%?1aqwtxg6e;ez2E?6U}WHA;N$?EI}b`vAoZYt0*4!nfbM$& z`3n?U4h)W<S#$9GJj@)>et{{R5D4-nNE}p0T%L7#7HpCjCJym02ZINL2a5)a0t=|m z15yui4{RSc0|Uq`NZ%SH2U;J@$>PY$4q8^?01*ed7wSZi(V!p%@7(~U3D|yM1_ljb z4Pl6SaJ(}qIVCt80PWs!1TDG+nGNw53xf(n3PT!08lwty-y>*los)qBJnQWNUa0^v z2ULbIFtG6Oa4>;31u??n1#CWOrHO-M!h%JO4GJm{^&odb&RYSk5(ll;fTR<Ue;F7! zz-x^7K#Lcl;S6#=#6zGnB0#4^K+FNTm4T6y*C9bc(b0p0NrRPv0hCrC;^6q@<ls_r zP+-vji-XoKfb0gD1#vTydL}k5Miv(y9hDSjMOI$0dXQQW2C0W(koh1nW(LrLX~@b| zP+0@=D<s?;z<pOI1{Vff23gPwKX4p?)1eOwGdqWalZT@V6DTc!^e}+VPi10j^5D>D zXiR|Yw*aLF(8=e_;8k59+celgYC-06f%g5v9K!(F&jJZI1%?EM1P;(1FeZis7P$Ew z9Va+>SQyWEWcY9~wSX7$g3N+069JhdFo8i}0!R#GK4`{?g@FyUDioCL!Ruup;w%h2 z3>*wT41NrLj694W^^kaBVGv;uVh~^uW{_auK=LmO4-=bGlB2VOq5`LeCrCZS9MC!9 z$_$Gc7BenjXh5iEVs>U^<#c6n@!(+RaR9G#hpA@*<w!;j@X6sIb0GGD%mFzcwDt`W zKA`l;z_5sM7vo~^;Vz)lbV2H2=77>JNQ{Be0qie`dXT?(m_R-Pok|T-56bhP%)`h4 zS_2va8o~j)6C}sL#Kxkal*Gls$gIYrq0#~mXBHm5zMd9V#;%TrMn%;nAhi&C6&Ms4 zl^9eQK-<GXYl6VX3P2pr09sMP&Y&;Mj4+>pku{K+9kS4ykAnkbJ~*wiu&}X%HgSM- za&REIpMyaIoL4}uhpZ(9+0DSx(%8Vr$?C%6=)l3GbO1CS3MyYgsR@#5K_vwPgvo%U zkI}&+frZHtwBnhUQ=tK57DyjBPq8Q{GIDr$IWaRSIdHLYg6dI_97qi>bb&AfBO@m# zCwQ4Kcy0--j}ep>SP_W<y51TT{$dPb3_{>l)F6+6HWNVRs$u##TpXC0xSUuO6&w@U z)R5#H5(-L6%frRl`MJ3`7`Q=Zfx;VvL1i*CLj!oxF(|%4?gNQ~)PPoS+cVcQYcj87 z)@IITwq^#2KrpE6VPeo=&|*+vU<4g_&j_&(RBnLwmccM1BO~YtVep(f$ZYVsY91X8 zW+rwvb=9Q{7c@Z3gV+a(7iI=$24_ZY25kmyCWx63|1mSMxj8yJu(ELSC?$D<*1ICy z$-rRAz{sG=V8~#>U<K}jf#g8t56GR66<Q#BBn2e}K{Nz|{En!P6v6sHbN^s>vhXNy zs4y~fv-0{dv1urBb|BmWN)6x&3=|D4E}$kkxP%AU30hYN%KI7&84OtrS&SNt5W665 zVPp_w5Mbb7Si%I0N05COb+Ukf04Obk<e+|M=jUeOVdZ3E1C`Mlybv=X_JP*SGBa?3 zOB~SJE6Bcn&^R$@XiQm=OBr-{9k_skrX`3zP+rhsP+@QZ*T<lG6l5RBEeryJqLLCE zqJqNG++5JJra^MxaN*$K?CA8&)Qpt$=4R*x7cZbOE|5MZ$Tk*GsDLr(fM}2$SRW&3 zPa<2B!vPNt4abJY7R3bcE<;fJ0@CIKli;8QMFdD6C@rzEfp*WafG8LS*Le^>I4~qI z6o6~qJcc|*(AXU)9YM;Z28Ip>PEh?T;9#I&z#zaV0Fnb?&|0(u3<sDRKqUhc=*)XY zu$hoJ0+kn_7zBBNlL3@sKzbndfnpbwYM2-xbqf=?tq$_Lv^0Z+Gz5UoVgW5h0GkP^ zZ<rWBXJRsNIWRFWf$APey$v!KWEuwpDCdDL`eJ1OrALrBs9s}a&}Bn~I^caI5O;zO z#Q>e{%i_Sop~3(<&ll8h0EHPS@3DXgFlJx|Q6MuReqaF|Kg<9cHBMkiWJqB20J{?; z4{}QbQv*XI12Y3?r6?#hfeLg;{4p^rDKxNfI3yi<aDa!+&C!(=WF9Deg6xGXkqKmA z2w`9d0~y2+0J_?N0hA6wX%8H+=mcoI9@LLvU|_h$aE0Lt!v%%|a0r_0hpb5eCo%?5 z-Jl={sTo)p6hK?4p!z{!1&SFSP)WqV$>77_!=eKkJz|0AN63R$lru4~LE;ZIz66p3 z`2&<D5*QR1R2VWC5*ZX3lo>#?ND%#?^u)-(&CJcr#muD)CYiawJO;4;K;Z-01F5h8 z)q|iE1Tr5IwxBj53n({%j`LvxwVXlwDnRle|AE?^+QQnxpf;x#_%s?23t~S+Kd2t| zVDMn{V0Hjyd4>dVnF9)6P@IF-?}6+?#~|||X%KY2H7M3W`;-+J95@s}2_3v%8Dc)@ z;y5R8YsP^=feCbEC(L~y|AST%9$;ut=wNVQ@BoK1hXAM|2AK~DKS-FeFeou7F(xr4 zFoJG+VF1r-fYOL46o9r;IWUL{f`KSx-UAeV3=SSHPE3q!ZjPLw-QS9=O|A`%DlB0A zpgJ9thS2>6%Dy1KLEO*50O~b@a+)GT0_a33(6tQUwYd;^&^;`mQ14{uWNKts$N*|l zL23qw`xzJ;7!NRZF!nI6U|hvGgK;L~1V&Kp0jcjnI}E_9_j$mH8&s8p86f*XWi=># zK&FFQ!+Z>UtJqdCtzuZEh-5!V)`J0**SQ!vKs7EC=u~e|K>;d1M8U-$m;hBRtk9GM zK4%%?K8P)#_86$m2|9rml;=VELE#H&oG^pdAH#x)MI{BYeix!2)CO#3Sj@1RL7PE= z;V{EtMo{k(<bFu_fbtguV*^72D19=3Zm)7+0JZlZbqy<nD5EH&Afo`I0HY|Q0F)&F zUSADL1E3|npzvG3xP-BTv5RpQ<1E%r&|EyU&H;sF2ZIMg1_K8p4<qQfIZ){WYJo%g z&k#3&`*lp9UXByPAqFo7E^t`|YCD1Q2qYakfJz8~2LcKL3JeDfjxZciU@%|+cLYId zAbwR~SirD=QGw9`eCrZB11p0H0~@&I1X2SjKS4$-Fe^AOU<RcGQ2ETk&;eHiaSs!y z{$O$hpU({nA0-Aw1`bgB6x_Fmr~!qM3d0fx6-E_iHU=jKke?uD1%T{=xCa#W&fqX- zW>jX>W(38+VsPIcqy~gRiHV7Uje(8PjnR$SjoppkO;`<F8$iMbq6SokECDsQ*%-uF zgj57nSU~qIf!e7cd5B#g_kj939E?2-TntPMXBa?bA!r-{qy`dKpmr8$0~h!#c}53D z7e*IW2UgHHJ4g-09MFE*PKHiKPX<S@pFnjK<Q^f=nn`BRUTaW|3|>DEOLCx72tY@P zu&{vQ3Y4}${sN5;XfUucD1pu?WME-XW>DndWKaZ;J3#CLwe3MWYhA&u5eEh*aQb6n za7IxBx-kh9BL^4`FoL$ua5HE!XfP;%)j;9`<S)=V08orJFexxW%FGUiMkF<$G{(W$ z0Uo>HU}6Q|?F0&WWOG0#z&0=+VD4b<VqVMK%RHBP4)YA=PG-n@QIOx++1Wu3W?*Dz z2WM3<$-uw{5(oJm;xAC^B!MA`A&a4kp@Ja;R4#$mcr&Dc-2;gW(Cu@eHbDXlsDyB2 z@BkgU&%(%(2v!4%4^Z9#<;w*O55RE&Drr3gJQ%nHJOn{w{NVDFk%?JZdvy|*k|Ssf zn}&x23p+m>pPGsyX9LI{kUCIXOARYfQc_Y=15MF@`|^;m1ho?sz~vP)gCl5PA>>pu zMpg#U9406aLE;xw3WLg4CMGr}&}b{DUke%-Qvmnv5$Zs31G>+OlL2HZsBF<-@Bk$b zPSD}8Aa#&11E~X*aG>1S!N|j)!@$eH3GUB=$^no%i2FeETniZ%GA&>NwFMyMsuqJH zqXKwS6-W)FkOny;0la|MhXFi2&A{N$%?KI;2Blh%d7$tCu|f3=3%C}6m<TGRK|TYO zub{OPpmG}8#AOiyHFn_`q?-X$Y=NpoaJ>u>0o4jj3{DJQ3`z`149N_j`-4OnK--W( zr8&f27KRpv7RDA<P`e8>;^)E$N(bBwo(!H$pt_m?ycQ8;-sL1nT?Enxst-Zw5acFM zxPi<A(Yy@23_MT>(gSMOfbt7S3>4TPb)c{T$$?5GMutF!NCroSM212JR|Z!mka?g} z17Pah7+k;*6fUS3R3oy0{R=V=v_FY~0kk^_v`YyUPhp<I3^EVoK9C!j!1jUK5uiSZ z1~iUAX`=x=E)JS&(PCs^5G`P30L@{7#=AjcpgH9YnXK^j6Cg2vs5(%dgQ*K-WMBZP z1I=ZF#6a@~&Cop_ptYMYG0>PaXzU%fuL86NC=s-e+7~hR0}{&u&EtViZ-CD8fabk- zGcquM_Dg`)q=U=@iGkKpA4%q55QNTGfy8>4AnIW2N<d<3nIQWrCLyT<&C`SSWWeUH zLFzzjJ3(TgHL@T(Kx@oE`$Ed|IY8HAg2M_VwuOO#0VK8uY92@pXx;IoQVs^t+8K~u zkeCr81H-yf4hGQr2#^?PJq2hzJ7}FZXzdnE478>O6fWFQ|AO`pfVTauGUNp3eULhk z7-*fy2NzBT(B2N1*eTGw)?Y;Ufz*NQ0j-Y)tw95+dj(p<4_%)Rn-jw(23o@any&z@ z&xff4iGe!YpXPwt`H*>J(0YH+xH_^rkQhiWvO3Tn08kl%tPUgw(u=I_J#-ERq%IFS zFM~}CwDttFo(iN6w0;`oH;@=;4jZ(8Ko%Mw&lngOK>G^3n>gX?13_Y-`KkCOPWZY% z(E9vhMh1o-Qf$!P2Ll6W-4bk_C~Te<w65?tXsy^Ac7{Dr_kqMfdpoM%urq+x&Vbwk z5_`nRz)<*(ouL*<9cT_|!aH^bklR7(K<nv`GczzCucrrzorH=NLG^;fCbKXwta#53 zUpEdCn+_ENttEz;w-z)<`JSBtwoU+~ZWANKj@wA)9b;r*&|&9bfUOGysXGD{vxlZX zkl0zMTVP=T5<3qSLv|l%PM7}+WGytPj01%Ms0@++!VX{O16l(DTFVAXlc06=AUA`= z9y2g7%+%t7&!>Ub?1I+WJ51vOuN?)M2U?$B#>l`>GK~wqHWQ={wA=XG1XOjPby1*s z-!!N{K<aoI85nS>1Fb~_xdmiLHB=qQzc71Y=7Gv2kUEfgptU;E`?<hti9qT=Yx_Yx zS5Wz90u5)7I?!A?XwDOsk3eD|b3kh(LF?*4=7Hi5v>v<V7$X0I#6WY{3y*QY*G+=T zBhdWcq=oDZE1`CP#6a`r*>l<9Ybroupt<=Ii`c<y&p_^54qbB&QU@!WK<Yq!Es#3U z+DCMCpt)#}`#|bI=>((>v_=PA9n3t?IxJ9Q2IOB*_<{6-#6at;zRzZ70F`+#G0<A5 zpt<beH8>#iK<Ys2yg=>)m3bg_pfw4gbkdZ|1YUy+69c&mBqj=tUy!;D(ESK7dqHBL zavZcrVHz~7K<x}r{hj=Y1-#xLWG|>*g6%1Q<x9}sgWu5o2%z>G$X<}xUq%Lo1Ake- zaSjuU$Fi3pnTdg6DKnxD1?dIN>zV#yfv-=1iM{%cD)tz(Z{`;Zd@Tn^9Y_qM4(2zI z7--K!-yat6x($#&Kw|xj3=BcvSm0|jL1IG8kbM=fG>J_Nv@a1P-=GVb`v#c@5(BNl z0<Eb4oh<|MFGviuPLddPpt7BiI#5{v$}?5@oD8#}aRd?rwR8LPIT<{m@e8VFL3`0a z`yfE=N03`UVxYZdATdz70f}v5U|^WS$iU!pjGY0tE&?VNizEh12OG|?g4Yv({0mx> z1KVdX5$YCD9dL&QvUVP}t`jB(TE~wp2HKJ`Ntz9uHbCZq)PdGg6fv{G+f5*`S6Ivg zi6NT@b04Ta0{I(e9;`lsrBjf3p!I_we}L*skUC_4fQ}yng$qc`o`r!S;v6e@zb>-a z(Nm~mptWqE_5QGQ57K*r8M4;`R)&GZ6tL{)P-13aSbd2V90o8uo?ub;f|-F~<z+<v z0GXG}3~?VQ-N5vM#6a#t7W>HrQ3uNlAiW?lkUC^B8D<8ChZkAl`2(b`g^7V-@<~>B z{s4)!u`)2Ie_&;J4ozzyv0Ttv{L8EianN=INGyPrf#J+^R(LuEiTScJFo5iZwFN+8 zx7Z=;%v?DjZ6uJ`H+F~}z3dP%P`nAVF)(~nM%@bn+LH2`myH3G$3S5KQYVc?oeUdf zuL`m{LoDiyK<Y%;7+~ce$UJ*2>KvfzU~2|J>U^=N^Mk5`t+NHG+l58lZjd@&Hh3Qb zq;3utb#p=LMA#UR%~QjoP93BUEnKvrdsUFbK!+2u=LXjQ0M$F7GMmi<u@)611}cl? zJeV0k>vlll42mN;Hb_1Pm4mp%K>J-l?QGEceAv29kQk`028n^zEQ0ic>OfH68f5Pk zXjuXh1FbUy*((N3YoM_q&|X52-osFJATdz;86*bViwP<ZL2IwJff_MTbs#a&o=uQC zn43Xjpgsgh9ccX@$Soi-P`wLM2iw;OTIU9mPmp0`fURQ%iGjwKKzmvOp>}}QLT0lu zFz_jYM!Fzr7bI2+6$AMRWCv)C7ig_AXq_l54}!!%eZN=p85uxpB|+*ydLOYcFsxEV z>{|edaj`<gKxIEnog6Cz!&hS__?{M!I(b&e`usIW?#pL}tf_^?IY=F7j~i%RENK4` z%)B}l1_t3rkZ~lKSTj@%bPfYZ3^b+-sxO-AK&=E&X$l!fk78$FnEM2>J`AJ|WCv)! zgp>*syuSbv+Xh|#4hsX&`a@?%28O?vA>-{J6G7^%q5Fhvq5c4^^_#`Wz_81R8EhWN z4v^R!Mg|5$Q)YPk546_@6xaI48R6@wL1Lh=2JN+&2{jMYPXqOTUwJVxfcE@=>;;K| z`nsTW06GR1WCuuWB@+V!A$6ei2<jhiK8Dy61QG+SDFy9cSqrrnlx_|~_v?V{1la)+ zI}a5D<voxXXx-#CR!F?T)&hdW?t}KsX*0st?Skf5Kx>UbZU*gX0O<vZf%<eHv2bWU z0@;zx%)qc}1~UVw{SLAlB=#I?Zw*u(sO`FynStTebS8NJ2qd<TnStTgbS8#Ys5+2a zrbGAFfbt{AUXa)}ZUzR$eT)nbkkrZZFfeRA#01VqAbUaTiiIHSw_*7WR7MFgLGlqS zzk$R+*$R}mK>I#Hc7WUhTC@DTg9&^#225--Xw7shBYfW~C=Gc-*YSh$J4hW!%oQpI z3Nw%xXbw?@8M2=UWHw9;w7&}!ez1KXAa!4%dlX=47bNzNiGg9iEi-&?Hc0FV7IiP6 z>Pn&M0JIlG6f~{1laV14nw3Cn-cPYJFqrLRWT=FOA4m*z_^I4ZMg|)sF-;Bz22i=7 z4XuAc>OlL?nszfXoQK*060>J!U;v4M?j!;E4dln=ObiTC?Mw`ywlF9@Kw_ZtG(i5H z30-RpYC|S5L)OW|{0kB*WM*L4Eyv8z2UQ1JR}DI>l*0xz<_R(lvesIMg@Hldh7r8( z8e}i1+%RW@lo9Wt{s4(tK*d1knt{}T?D)tG+1~)lKQJ-S0j;3DDxme<ATdx`3@ST7 z<ulBEFfma13=;$Gn+3%o$ZxRq^dK=%d2jfffnf#IEug*~sLiO<!VKS+0ulp-p-l@j z!)0igg4+3@{mmOVAZLt&+yW8<sa?Rq2rrvJVxYPXqz>dym^#p&dXPF$-2xH=g?&CF z0|O{MgVHBVtO%N(L1RK7F;Jf2Wryrv0r?vyrohg?04nQ1buLWI5Hu+K4l<w&6EkLq z?D2r5KTtXqV`gA@a)=o;Ck~D{kQhHSFM#G-Kzc!9ps^xC>Ok(x<z!&+JA$Z>Kw^2E z3=E(>OQ5n5WF9Dff3ZOF45+^i69e551j<LCz6nSSG)@It3lG}U0y`@NB=(aL;tx<? z7^DtVK7;m*fXZjk+Ha6YKw_|R928d|b)Yy0jbW(2WMBY|hk?|A#6aV;ptJ$npA1q5 zs;@!go1k_HXbcf12HLj(YL|f4U%|vcV=^Fhpm9W)*i+CsK_1Kuusvy@F!(40+5dxF zj(-wjU})dT$bh^~ehE|^Xe<R}9!T#d(BhVNh<&x7c0OnvC61X1-cJFEf$A`!mkbQB zJ$;~h6SO}Pw8se64g-mS+L<7AtDxx~R0r6ypyqRsm?w0P5op~C$St6-2d)2Jkju;f z8V`kuf!2wG_6~u@Y(Qcly`X;T3U$z&9Hc!25(BlvKw_}69ppD14hDwpSDE1ZLqK9? z9FQ~)8p8pZ2NDBaxC^R3JfLm{iAl0R_D<$P%OOzP3Un{fMO8>S1kwu<1MO)6wWVNn zBWO$o6h<p5nBaW~kQiuu6O;~M=Qn`%e1P`pT)M;zKCc3lUO-~^85tN}USehd^;<!9 zfW%HSLgI2Gw2T0WodK2U?-&?BZ6%O8Q1~5VVqnm&Wd^SsfQcPvVqkD8N9=V3okIZ1 z%OJPF(g8>eG#&=ZA0Tr;dO`C?pfqWmhS-w^65GqbzyJ~hwYNd)Kw)Jf014ADsQW-- zrUH<115_S?)PdIKgZAiw#sooSBTOuak%3{NDl3BoG@L>EdUTl>7}mui#uGtedQ6Z# zORzK!5_=2X{{!0Z3^ETS2HLL;+7kq-Z$M%odqH(wD;F2|d=*eQgZ4Xt${)~vC(zg+ zOx<kg+zBXNVPc^6|B4J&22gnk6MGGc4>m^lcs9t6PmBx<pz&<b{s)*k(8Y{r#UN|b zafyM>o&e3SMRh{Xk^s36v?mBOW}VT=3O)-2q!-ja292feoXN@nYpa07Ky^E456=u} z*n{e?4A4HDd92_x1TqgK2AYS+pT`Ow>jRkw5))%$U<jPY$}k%=*ulWS01|_#t73uV zEs*;_V^E;;AYgSKObj$nf-D9an?P3w5<^x8YOjL!4^Q68%1{JtTY$tsds9|yWo4*= zih<k`0@_zQhZSCify6?gVzBW^kXt}w#-RO9u)S~~G0?aRNDO2b$iJX{OrZTY$orT; zVxaNe(x)5@)1Y}Dv_A<nrvpl-bD?PxBz6Zhw`0M|5C|0m?O6iNVH7*Cg0ANP&qsm8 zKyxo3u~$&@Kw_YB(iCYQ6G#j+mg{533NIr-VxTevT^(ru3rHQTP6nv~l_#L_T~I#+ z<TsEQsPDC0gdMa54eS<BU$vcyfuUXsGM)%h2NLUr?k9rv-#}t-STWUog6?Cw0ZmsR zb)a@Qx_O{|!=SxVuz3xTI#4{Is{@s1Aa$^^eIWw_1E?%9@n8qHvq9+;BnC=<3?A$Z zpgI=hW{}uN76yi8IS7A%#J;dV&aH!umw?3hSTWV{vqIE?>S369XF+F_xU(~W$^e+X zps{O^y`ZsKm^#oIQm+Z91H})hZw1=h1TqVx7bJFx39{b_)~*B9ZJ_qwofvio(6}i` z9Y_q8KR{#bATdyV_a8J@*$z3631lWn9cWwtq!%>&0Ga~_tq}#uffmGo`jQYf=)ex} zx^ah@3=W{KD0qz<R4wEdG00s$>sK+YUB$F=71N4UkZ}c&ek5}RKy%d$jr9x-^$bn* z3~luc$Lbl5)HAez&Hw<Z1&tYlbi&tOF+<mgBLtu<$h<vhtpR8)hX;cP2WX88Xay7l zqXUP70|y6a<zWKI6VNk`A!{o@YxWdCbL^nfiNk>dykCM9ba}}F2FO|*P<;eZ%fjHo z0NRD3!lA;l1iUJnlL54b0(3PbFL>btNG)g{9uztP3=#}7pq0PiB|8vN&}vpe216x7 zC5U@LVF6lK30hOf!pOqP!VH?D2c7Gc0J_4C!I41&ycP;%F2rx(wG2!xPA$$XPAow! zK`hP;OpHt{Of1YT%%JtQ;Fw|nm0O_jBZNWba)K3sRw{tnIv~3h88{g<85$WttGd`2 zG#DJgWe=zc2U6?9-~(O#09x^Yj2Rd~JkVMhkXp#P8qk`*2Eh)-6O1buSFo;PT_w7T zaV6s#Mh*rJCJ819A;_9<kh!3EV`T7P0Igf$V$cGwWzt|+!O+8?!vG2m4n_?|khu`G zpw(m`_bM=ec4BZcB!Jg5f!4c#R**F^FfxM1!$4gKE-ugtYp^G=5e`fYkb4q9=@%Bp zE(|{4m8vNWDNH=z6*_DT984Te9H0e(Lf|#7;I-8-w}8?CXoV9Cg9Za=1TvA~0R!lc z4$uulUJOo*ptdc-e9(F%2L=ZR(3&JrSTiz!R)w-Kurly~d=0v=z<~kDd{DY`h3?K^ zW>94~%8<&C0$zoq!l1(F!q@;>fP<tSvSz!2p@yM^p^l-9p`M|fp`5`S++qWjuAr5K zkTq}6b;TSE3@Zc}z`JokDYrv_p;Le%hJ!(ZhXH(|257lBcs(Ad9|c;K0**DIe~e83 z7}@?YGXG=b0>vI^u@NGOL1shJ0BEg|8Uu?UqacGIC@HB4=n3cvstK|QvN5wUf^IZW zVgTg~$XZ;mNuY!JL9xyNT86>Qz|05=E70m;(2`it>K+aTkXu0EzXFu9@M6#^BT%Yj z0PBIpF%JU|BM&nVyAQjMyPvb4yI(qwatlK%!%>E#j7OP|vL9zZ&fm@f(F4i<pf%-P zpuI>;j9i>d9ZVhIHF6%{mEMgEpw;kA3<p4~qLJ(Ytu*okt#M>=0A~(H2Sye~9!JnB zNYElPFGeR$2TvA97Kl9{|A2BZBLgb~=ynno@cKm1-U9{jYT6El1cpWi4F*L96^0Jb zx*X631aOdp${|h$$jUAr1{DTY1_cH-h6M}?;9H*>K&$B)H5fttP0+X+#6O@u257xh z149Ex14|=A69Xs>^MUs{fYw*)Fyt`!fO8~hJP#CtT3TG7)A>MIih+Rvbn6xfYbY>) z&V&XnQ{w=~9)x6ojHyD>0LWw(@H(sp#s*FXNd`_(N&u~U1Fgsh-86WR!G(c?0kTH~ zG=>1t2VMxx0$ODVx}hFa7l6IXz`_73^cYwKSOi%ZSr{2OK-V>bQ#i<lAXk9O0#M9@ z!jgrdk%1GuhlY_+gNXyQ{+5Y{fe*ZT6ST$)WFI8#L8?J(BppC&gc(751w6s4)j{iu zK`S9S8JHQI89<}KpuQcbUIm5A`Sa(``@?`YD)9IB2Md7IKrm=m8!WAZR$GF?m;-d- zEF&YM3j=5cDkFm<0}q2IgA;=iXbCe5BMTP;C`LeIFwk&eaA0r(ZBXK1Vqs$BV&Gx{ z?P-OC4;u>`3lj?>Y`8cO`V<%x85|i7f>R_YwQw>xFnWMi!ZCU>b1-lSFoWi;1sDZ9 znHX3Y!RH@?+60g=hmauug4XqOFeov3xF|6>xPVRqP-0?XP-0?a0G%PO!~`0XfTb}O z2Jm`N4i*kp&@E#ept?naL4%Ql5fqXjKY&6RwA9lRyiWq87ve|II^P8F&J9ow0Iihm zWbG7XWC7(hZU$~fZdTCQ<Dh*M><r2bptD0jdSUShDwBB_xENR%dKh{byBIkb6&RWr z+87!bm>IMfycoI|92hhhxEVleU_s?Gs6PqP%gMmWhz){o)CH}4M8hDpAiWSbgVuC1 zgH2Up0PRFkVp8H_Vqy|<0M+A+DoiSD3QP)IpgN0<fs+ArVg{%^20EKL4s@SFB`bW4 z4kQLTuL{)91dVyX)I~Bv&Ts~uSqu^bwK+lOv>=~d2@(Tg&>9uc_%lo$s2vMBuNgKb z1X{BOI;TRsgo6Rr?+1y2&Pa*L=U@PxT>}~d0ExvgLe{{6`aB@>Kw_YAJ<z$tu>KiH z3^dLK(hE9M4qY$oEM<^7(0C5IUeGuVNH3_*iLMtmR}UH&2KA?!CUP)TKoUIo3_(!e zWzs|rhPBM#RwY;rG={NkA_v1!XnzXS?gy>sQ3&K<h=%q#Kw{rOXGtyOfUmUyiGj}Y z1f9hSJJ0AP()qTqb$htPKzqG(|8g*Z&hZ3=73k~%P#OWPV*`~NFfmZPg4VIEgZ6_# z>Oga(ATe3!95U!ED9|`7NH1s(2&5Mz2FjNpF;LvY#6aZ{NH1uN8YBkt7pM&lQU{7t zd}5&S0g#(PYcWCUK>i1n`yjnAdqHBLv1E`K%wCWfsE-4(Hw}933@F{8yBQ>g?q-k} zsNWCLo6HIs3jnR#1C2|7?10UyfW+p4)_hcPGPptG5+nvnvs0=#8O}oO1&M*in4;=A z!RyID{s4)A#*sjN1D&l369bJqfy6-PR)NGo>)1XpGB8Ye59zbP#B7)#>+x1W;|QeA zjtO#Jt|!#ZATdz72c4k{8}9;%fyV4XVz9GpL1LisbdVTmyccE%XiW!bZ6LB<(D|Yu zG0=DxOdV+48zcrgYZW90TBFwj>Z87goTCXUp+R%puro6cK*t9_>e``e2toVjVR}Jq z(ApEw_!&qqXj~Px_5|b~WOer5Z1A(VKw}}GF=WuXJy6~U=>>_w*6qR0QUQs9!ujSr zF8KOL&>Az)S;C+-W}wz6$PSPgXzX&*5_a%fSCDz2adl9<f!2w|L;V42zkt@j;aX1y zI!h8{9!MQ%3=}jb1WIEdF;Jfybass3Hx>ra_&rQ4g^_{5_!|oYXnh!{%mJwboq+^e zUj@snpfPe#+69f*fcy*63lamZO9G86g2o+SVxTz_(D)Q6PC;UzGxtHAWRPABXc_{E zfzmTbFUXHDb)bGZNFC@5Rgf5HyZ|(2W3e4EE&&n)jrI6#XNRA41rh_LjkxWIwSgcp zP&tOK7c^E7uoqP?X#54F7qspRW-n-Nv2#B=d@cxN9!P8kR16eHp!^5wlP%kia3AOl zB~TlC`)PJ?dI6~eiGj+Jlc(7kKx;`s;S3r}(PL#`*ptuB06L2oWG`r(8I-P&?S+Yf z&T9dcWgzpg*$X;<7c@Wf=_w~XenDcOG-3ae6JFkf#6V+r5idCzKyn~^L1Lh>H&EDv z#;#yu4;UC2K<2^nFGw9|eKbf6R91l0f$~fmJ7i4>sO*M`<+3v{BwU7!kHW-Cp<<wT zfr;60F)&m+p{_?3XU05x7Niceb_^5-Fumqn3=BRtsCuVi(F;<Cu2-2zy&!eydNqjD z3sQ%!w~C8_0aTWN`~iwfQ22rJ1?a3;Sl$AO)j-d;1<iB8{42@Dz>sc<>fg&){0mZt zZm%wpdO_;Y^*R!%7o-kduN)Tx!v!l;H&<ghTNtDcU9S<5dO_;Y^|}$M7o-kZFR1<i zt%=P!$qLU$ATiMTs>YM7@NyC)cAXKj&JI>ig8Jm3wgTupOW3{*kQiw0$A2?3Ljknz z28n^zk%QEALhDday8<*HKWjT9czrl1ZGiTifXX${8K$85E>JoEsRNzo20Eh=w!R89 zmy*H?Sz824Lm;tCs2IpiAiW^5999N~UUNnU*w{3v-S~?Ua#kX!Yy_zTiQR>+=K-zj z0hL#vJ|b*A59kgNkUEf9FDnDX%d3nGpz;kS*2l`g09rEy+OGoB3tKY;az98NsBH>b zzXY1sgSi<b25P^8&gq5KA0RQ%`D`FDP@5j47vw(B{Eg#9P#+%BZUTvc=4?P>u(>ag z7^v<6iGlX$fb@dep`g1{S1n_LubBji?E`gS-Z6msf1sde0EzAAh0L#k<~~7sL2dzs z5$J3~(EKP&>>2~)d_quP0wxAJhaPm6Xce^X2AKz1=L^ycY8!&ofy6*-vTa%!!RN2S z#6aQetj)+E4^1ziaOPr%tRn)Q{RmPA5))#F*bB1*Bqj-68v~lpgsEG=2GI+v_d)9R zvqAKN%5<2zjZm=wsGC9lo(NrA1e$*XsRM~^gNofjQU|&lrtA$PXut|QHv(Ey@`8nd z;a(6UcwHALP(flzERc0NptTk-v1Aqo25Wmp23Y)p`lFz;D?xMIuyK5l*i=Tyx}-hO zZ~=*d+FT%YZO}O_(D)8$ofc>v8!TOc#6V}(gXUvF<u1q{ATdzi2BZ$uCIyLs#_aMz zXRX~soTCX61LfP+d!RG=A!!Yp7$|R@1hp~GK<-=snFkU(#lpbQW6TKN&jQK|pgszy z%`n%Pkpa|>0jUF(2cR?P9_|B;o`OOJG9GpVx&{f>o`k6b%^}152Fg#?&~yOO2{I2P z<_2A70&8P}#Jt!cYZyTDNg#Eg^-G{Ni53lzH7_9jptJ#6XAD|H2(tsEP5`<l5w;%# zWL^df1H-Ggkh@SodO_)V5on<I9RvJqW{|o}76t}T9D(8sWG_fv1Sk+*Gs4H}L1N9q zkU4l*{~RP14OItQGYKkZKy!1Txqncb8DuX=473IV6u+Q;H^>f<7)Tu`tU&5OVj%NC zcXEL8Ajn-XG0<7xpuCFgKG6O)P#y%e(_reZu`@6*?PP?nB><UmoSlIIw5|)(eg?S( zBnDgm1)KMl08JS&GcerhL6lb@F-c|yhEF|=@OBtTOpBR;A?pzX1E{SDvKJH|cR**I zf;J?BEP#$H!r}-d1XFhxy515NZ=n1JI{O$@f57$$fW$!Ud(c`h(3~bHO@hQ=YrSCQ z8Ys>|b8Mh<?LqwskR2c~&|M%Pu>@$|2Z@2!^n$`~5;R|e{87Wsz~D3+lCD5{L1J}K zF_`;6VhzwWr=UI<NF6AS-m@?;@SOmSOo4m{xvOJ0bd3dU&Jv{V189BP2_^<uT>)BG z0Xh>kqMjLL%?9ZF;@o;>___8Vb)a>CpfwwyHAWzN)fgBUKzk_Hh_ist5`u|cWn^GD zD9!@jKLH9WkUG$wBT!m{<x7wlXf7J07gm;l#6WB0Kzc!Q>gakwb5t-pK<iOJdST@= zNH1t!6r>lFuF&<u+8dyCXI-GPtvi^&V^tvYU}6cjj9@X4UeJ0SP*{WNTF}~TkUCH~ zJ_B^V{7y#r{5VK#HFVvcHgrq@B=#J-h7fe7GDt6|pAM>rKz6|T5Fjy7e-{)kpmVW7 zF^6ogBQ(8$)Pc^31?h$D-2;h%&JY6W1=Ta?dO>S4K=y*-ycSdkXfrZ^#=u}=pk>UU zIutZ-3KIjZK?CJq&^i*37$_fIz)}xBgw}bm_3I#Y&!J+VJ;ETpAbbA_f(F1KXL*C% z2NJUvVqlO|W(J=<3kqkDSUI!|1J(a9y-NilXXk_3fiSU`f{^uE2chF!AiW?l(7H^R z7$}{B?qmS1*Mg-NkQnIB0nmCaSY8E*fyTPl=Q6|hYl8d%+NT04+d*yvxfvvet=v#$ zhO9S-<x5bUgT_pjYcn!{#wtK=28n^%prCW2L48!17-)P0BnDa+1QP?T?fCtW0lX#* zW-q8u2dYy*<6SUypfz_Oy`b?<kQgWoe4y<dP&o<{^MlrJpta&Ku^^}ztUdzi?O|tN zcz2D70kqcuWG_feTnN&JL#|W$p=b7k{0K8Ij~%j(4dhRlSQb<aR8GRg%Ak5dW4ItO z(E3);8TO$1EfiY*fy7K1A#2;NB8h><n?UQxd7*6;P<t|+1=2Qy`4=PxsxLw3vV-Ck zWCutrhXvAJ1N9qVVxT)|kn1v#I?%b@=z1?Qqv{2fGwsl|;IKFciOq%PbJ$oZNNfsJ z4782}WG|>3c@J7A*2)N9KLQf_0=nDe2?P9Wb&%L^&^h2Y85ltAF_2zR`UjN_$75LF zds{(bpz)VGF)Z-$9FQ0&ZGh@-Sp5qcvjB}7DD|*1fchaI^FU&t`(Hp}>d-I+iGjvP zOnX=vCPBxWKw$>z3)n1Wg}WIf2HKyWvxJplCbX;riGk7yNDOq)EXWSfx+KuJ5a=vr z*f<wR4CE(}7|2a9b)az^keD~LtOJc*f!29~&Rm9tGe``yP8B2u+BXc+3lcMAWMBZT zX@ccxP#OZ&C7`_suzl?yF;IN~N^79A3P5^6VxTp%pmYT~4-F&+3bQn328Kyi?C|rS zL1HD$3=Ae7?BMmKpfUj@268`WO%A9J1JVns^FeL~t+h#nhABu46bA40*%>B7%PWu= zXxtJc_Jo6h0o12~%soQZlq7)XaCI4a8P+hYWl#jo<blrkU~phaU`k{FonDv8kiiI= zV`T@;oPy7^0JV)l`IZ54jtM9kFfhb{X51L!z*8M@;L{_Z;t-WEQV4o(BACy}(7*_q zQB+_AU6{?m$iTwLz{JSF$k+fn-iT3wfl&Z-f(;`J__Qz3j28GT6wqEkkp4yv(776r zb-18%w~>K`VIczx!(j#%hJy?&44|<~P+9?X89^=rg(ax34w`=h=?5*Q0iA^cTFVaF zvjXaCfcgw=(6d`XbAvn#0Sr6=APmw0I$scS?kaRa4_1nSfyD*1#vX?xcnt(7-$9N) zPykJKg7()cGcYU$U*xl%0km~mn*p?O(U^-t8Fcy)#C8S+hBnZw4P@N~iWy1_pfON9 zW`JV`WDML4P&k6-5<zE!fM!`i>pMX6x1hP#1n`+pptFBG859^;8C=0@intgY7!NQo zFe)(|Wbgp@%Ry#9(i7+m4+jP%h6IKKkkfk@LF<Ls7(nO1D>EoCFf*utPP<}kVMt*} zV02=1Vs>I;0^ctT8ixgqTZ0@2>IZ>n&`Knb|0Gx-d!#`7PURYm7-bra7$q8v7(sC; z8Nk3G0a{=OnrnowF#!35jRCSI0kjqabe;-$8lRDo8FX@x3WExh4g(K^1_Kv^8h8ay z5`!m$B7-aV3_no(gY0Ku0;T5$MnMJ!g#-qr0tTfD2BjJXr3?n81SX{f(4;BMsi0$0 z7?_z@z=;v$PEZ;Ht(OAzA`%%u>u3}h6qpt;ECGiO8#ojk7#J8^7<d?b7&w?fr|c;x zC@MH8Feqp+sDSr^gVHQ$h7hzi40L)hWUY?^qXOuVTPD!8;_M9k4E_xMObSd+3{C=0 zLP<i349)`14DLedLTN$>cR=zv$Q7Jme}d+>88kpQ|FST#2(bu&)?t9oU}<A$V{G7Q z;7DLlVrXG);cegr&9%YY0lJkrfkA~qg@c6y6uO{!YX%NR4$v(9K@LZb0~`(<iVP|& zEG(cIc^1%R?E;L9kV#HZiUp-JF9vkz0baKOTFr)v!7;!HT4jYS4l)N4wy1t$;6U~d zXnhjsY_J9fH-=*j4I&K!Oacr7AYUC}IU;gE#0kDm2o$~w;P`Q10G$NY!P3FlA=ts{ z0ZtoU3<nrafL3}iDln@ssDM^3u&6O`GIU6GuyL>^FgP&6$_t2VL8gIOAVPqVL6DI_ zkby;zfk%)*Mvy^PkYTPML$3hCSpm>d)(gP*mP7I^$XlSi3qB(bbawT0&S^N{6dd9Z z^-K&PngKM24>k*wuM-$tz$-Aor<^e=FdSfT-~h!NXzfM{1L)*S(8>xg1}_#TMhyl= zMkYp3UI)b?6AKqhBZDIgGkBZ`v`<5YiGg8$ItRF11=T?yG0+_uyVDW(4}-*1nHU(Z zrgMPTn8VbmGchoHOy>ZF3fw$zsCghgFm<4Pj3D!1?KY5H2-G~#{W~yqpf()HJkZ*I zkQivb9d!1FVkZau&QOpTXsoaJG6w@_9X(7PXfG`24pPwGF_;+WE*_BBQ)oL7H2)47 z#{tdng2pgG>L?Kd&DVkMTLj%H32S43#6VY8g3e|D%@=~~fQf<bnFNV}>MW306BFbt z1=#oiX#F;*kDV>c$^hzTf)X95%>mlm3YrrH&0m4c1E~YedxO-0*q~YfSsiGNGDsar z475+>(MJ~eoHR%bbPwkLk1XIj&_HehiGkbz@&~9N2NMI`-QfI*1(deI@e6WuE+Yd2 zXdV;PJ_6YR5-WkuV}i!zL3%-Apm7e6n_+!akQivZ5Ol@_tltls*8|PBgXRfA?GKQ7 zAh9;k+}93v_?^EXF;IMg<_SUPR>IVQ+KZrhR#1@y69er-2bl+p50GBaIJDqyc5vMW zQwM5)DeY!w;DolbK<YqqpddRy=kI{jf&2qHcL{V>0n9v**a2n+hRVyRa}*#k&|Q(B zb~`8xK<a)oL*^(z{(+hI26|QjvU#BUE<k4$fYJs`9Y_ph9!Lyk9y<%fJWyQ0)Pe4l z1f36H2K5KXUeKCw&^ZF@k;H^pA@hWXk;F8iVqcNOKxd7D=3ij(3o?(FiGcw${{mve z+ya|_fyFOKj-Lr~Rsk%2L1KH^7#Qk)LC${wrDu@XN;Zf;Kz%ZpKTbl`fzCMsrDtSy zpjBQVb)a?$sND<df7e6X`5-aSzC@5%JCYcvjSLbqg64gYI#8U0#9(*HfZB(k^TE*f zl!M9=wMPu_JA^^%Kw_XiIcN+M6b7Jn4Cs6^P`?`1M*#KlS(z9ZB<xujK=;vr{0kB* zgYE%`o#6ly1BEk49cau2<X?~&OdV+d4#>YCG0>b*{trg*o$JVAAh&?Z1DG9p%#gk3 zu=55$defle#$nKK0f`-BWnfrozy$A$fy9nO=aE2n+`#NO0i8zz?L&sS4>pek8}kG8 z>p<tCfaZ8$=@}#jasx;VR7Qc!1Brp=7eHdL`7n?eXq_2I3}!E=>;sLXPK;y%-%ktj z8%XRLXbko~BfO3RiG5&VU;wED)n6d@fy7|y{z3f<8czV-zX_UWfUO+^iGk`U(EK0B zognvt#6W9%j!$G}fc3>dVjj@@3PEdWK<Ys4Q_%TZpgoWvbs+bF#6W%nji15N0Z5FS ziGktg3kC+*9Yr899?+TRFBus2LenHj3^b<?Qnv@{W{?<Yj}54w3F}jW#6V*`AbTTN zK&x~i=LW*;O@Q{bLF2*ItdOy8P`@7JW|$Z#J)?_((hx|D5qiHiEIq@<gh1n&ps{e! zUT4t$Ly&(#VxYZeApe5Sg#p<C5(BMA1E~Ye8G+&hBnDFlx(^Aa4iqjRb)dX}ZXRg; z6igkc%mJwbxewhu&^QK29VicGgYM)cFoyzC2dcL~{)NrIfW$!M1xO4uCIB)IG-hQA zJu?6{CIk{QV}<Os2c5$KQ`ZDNM*wv19!#u&6*BI`3@uMVVGlY>5VW5kbk`?H9Y_o` z);;ejBf~9doP)#`F)}dhd5X9r5F`dVuK}d49GYH0^D3Zp37UTat^WaqA4m)~{{q`P z2oeMB+Xblu%{7C<4<rUt2U-&Yb4wAH^a2t?PcI-bP*{QD16O(hjhTV!4bYe=Y}^hc z23lJQ8XpC<`#|=B#6aVOr*oMZ?m^c=g2X^$F`)9<2^ub-IXqCA2(lM8)(8?SXJKFf zxevA$5+(*x2NFYfA1qyg!W2}FgZ5K{1~Ncy0f~Y3{xZB{fX~Z=#6b7*@jPZ^xCq&F z0G^XxF3iB7`k0Xcw!Q##{ugMx2{aD}s^dZN0ZK2hc{os81Y`$D9cVo*NF8V_2NWO3 z>OgfGNFAsw295EoaYfwe2NIjh&cFZ?gVnVlvE@)PP`Lopy9p|$2@OBc92{sp3uvwd zwiXv823pSw3TIfk1`-3cDM0E#?I4hOATiL{aiDu=VP~&_+RmW0&KveH!`Ekk#6Wkb zgUVCbcr{3D6KL(?83y>BRv@trObiSlz4M{&1BC(TzE;ru6|DXMiLGE}V3@O=34Ep> zC@w)_0nj=Cw672*2HL9#(hHi?0J#~Y4tC}O?92*~SPIlU(0)*uUYL3MpnF>x7{Kuh zGY_=i4QAd+=-rN>wmMAg0#s}bG+%=3xC9kDha`3eDh3+&hv{9<&cIN915*FO(u<-H zWc(XeUxLg#3Y|j+rA3(DMeLAsKun<F2deWxYfM3V_d#m{LFR$PK<zJ3`3yU|4kQMe zw*aXFoxcc5e;_fKx~)+2KxH;)%?ao%1yDHyvKJ)g4xQ%$&DA1{f##7wVj%O7=bJ!g zfYgD;#KoB)`@LcD3lam}_X*kq4m;ZnBqqbez)*OT8N5ahrdNlFfuZLnGs8J(83Afr zg9d0oX$`ht9wY_|H&9)n3-t#`3{=iP_{huv+II+wbC5q^^%3k&KhV4hXk9q^{gI&Z zvE76VJXQ;G3rHR4oIlVWYmnI>|AN|RAbUZ3tU+trLFzzlInX&O9XwnN+o5#;NDMS* zxsZp8!4W!s3liJU$iM(H?<{Cu8&t+HGJyAMg3P;zq|Td}fuTW+ive^uA}D@AZ6?qd z`~)#BhAQaTImkTFU8Nv<Cqu&kBnCQL3}o*f=sG9Ry@uK>3=DNYI2b_lg&;SB#N=5R z7^eT=V338TU67a!RBRKpZ2=PFgo>Slng?o6e+4<pgPj3Xrhv=?iGk+BK;zo5bw406 z&|2+DR_yR|xNwPq%5RWf*m_2gI$UDt=7H3qi}ivw*?6#n=VM{+!zBjN3tO`bvKOQd zCI;Hm2O84^jR-;RB7lseHZU|OG$eqw+%Y;hf%e-m^DwwDI50ag9b!7b1Uhe@6})f9 znbC=pfsu*Ph2sE60|x^KC>?{AYrw`x!D&j2nSmK}s0b)bp;%drnL$a6nZZbmnZZho znZXW(89?`Rui%nnSi!}|u!4(?VFecx!wN1?e;8Dcg2%-{i5|4O6lx9y6eFlS0!_if z%wQA%pIzI)02=CVU}9)sW@vC=Xi#8iPy~$?fW!qDS_GgwP#ZWHnm9na&N>(vI#?Jw zSr`^5FdP8+7qpiLHueV^%LI*6voe6j8+bwcwU~}DsWP}SurRqW@i6EzEM-t+aA8OR zp9;^#;KgtXyw!?{gNwt9qlu-7!HI#Nft$gbffKwB4mGZr8MqigBc%=upxsrh42}$r zOdJe646O{Uj4g^Splw`?4T`NC3>gd_Ob!eV2@H)IjTsFY4Gc{TYz%y$jjl`@;5rP{ zj|7K<0-pc_H?M#LH?IIAH?M#oH?M#I2zT=eICS$0ICb+1Bz5x&B!Ku5yaGNT9KkEl zAi^uaAiyKQzyQKvlfVRMyd6|#fjJ<;6f}zgVt`Mh0W)ACpnLj20t^q+!LiK1@DQ}! z6eOexQ?n4pPzKG2fTS3}>nI@M4cgxX+U=FXpv0lTrNYF<;K1P^0NPuo#F)V70v<0s z%D~LP%)%_vByvFHAj?q}&`9eM6Bp2(04glt2@VeMO?@6bj-b&h6$X|A3{K#EaIiED zvK2HC3M%_S^#iE=32KLc>NL<e6R0e!W?<l`WMJT^U|`??t%U*2A8u%1U;wp4Kz(@7 zI<bum3Je>-BfOx360!~qq!)BPHftp-{0u;l7-&tqTqP^~PGpc6Xv`2K209NKR0e>= zKx?i+Vz9ABkQk`10}|T~EeAp7gYE)!oe1(N#9ok?05bza{6r20(3#sHKZ3+SYhOV7 zf<WayC~bqxvtwXj0PPC`?cV?uLm)9wT?g71bQfAbfb@dSxdhn(n%4rk4<rUUQwwAV zsBMLAhbJ?n4+t_3W(Vl(O>{dz=hnf@GiGLBK)1sj>JLzV1>FwNz94jeY-9mXi=gap zdI<FgXg(jb&V=tDVm&@c%oTc8G;BW&NX!j-Ry3@Q1`-3cF+gWe8$jzXkQk^R0NQg> z0*w#QdCj2l+JZ$~44`>nkl#RJpt?BtFJvDXC_{kiD$v|{+FuR^kb0Op(73Vi8ZHJ| zs2w1^pmW|pdnWdAL*f@S7799-qxlo!d|Z$iXdS+~7%O<)Ajl4o7-*l0ml)y<6R;Q~ z0|Q7MXlx4<A7C*C1_qEi&{z}5EugVb(B2x*I4Ue$Kw_Xi0%$)7s2>Ni10)6;M+M~_ zm|kRcAUlxNf!6bY)Pcs&yr5%^ps{3_STOX=Qc!yzCKduc7xg~0odwbh5(AAZg2X^> z0g1uRHU+I&2I&R$ElOE1_X||BFff45sRgaE1nC8-1I^!q&Zz~}PcXf;P<61dLRJS0 zE0EY?R!lpVu|n(s`5oO3*jhr6-c3+-pmG5eRv<B$TY8ZE`;3u+0W|Ijiw}^0LFcuC z#yw&20TP3ad&1%aB!;XGWCutLrVbV#pz#FIIf$S&jG*y(P<{i6!PYQ>#=u}=ur-XJ zG7=P4Aa$U1xBfdB89-?pCI&i#qkShMc&rg52I?1TvOvzY1I>NF#6aW5pfS$%&~y({ zC(gpa02)^WjqkzKfy6+4XwdpMP~HcrgN-YK!Uv=d)Gq*yv&Bq?te1s}f!51{#9-rl zAaysPYn5T^#Xw@WpklBwCXm=|s2FH{Bgj0^+6+*i88ik4(+d&<wc$ZyDWGwBm^#qi z1|TueI!Krp$V||geX#Y_Aibcz2FN_nd=f|<X#5A%M+L221J#KzvGvfkG_bKAkh-l< zG0@lsOdY5Jqj(c>J{3qEXs;(o40cu^sNM7dRQ^>!&gcTgFY-7HENy_)eTAw6r2|md zBdY_A^MULIsrvysAE=%Q-ZufIjW%`$hCk1k7(nAIAUi<n^n@4~&c0*>-=z-9A0V+j zP=oUw1Ni(gm{=B643^J9>T;lBpm9i$y`cIUl&3&zPeEtAz{Ei7U!?9a!s}m<I#9bE zqz=?ihp7XtH3X@Htq%sN1Fb=~y2l8g;{o}@jE#Ze@m@yw`XrE;HFVwJB4}O(iM;`x z4ZDw#!GH@=W`o4uL)Q)ZBZ=j(F)%b8Vq~}k6$ANg5@<ioYbJ&kPyj&t{SsUZ45hD` zz-LB+ghA_RKx^PZ=K_Mx>x6|VXm3y3YbFNJoFyoqgVcf6bb`i?Kxf>8{0nNsM6xh2 zZ1DuG6aeXjoaY$K!oYCJlM#I0703>dI&T&R2044k`M5AKKhU~b8%EGF5%8QFNL>sI z14EY!WF0%m4p12d+B--sJ3!`vT9U|ifXX~-+5s{T-44+CPK}Jz3oDR$pm;_02Pn=# zW&YG<OyD*HC`LeHPk9*_K>JWY`}RO#4-$jzLjkQ#gNecRp@7PCP}qaifyVhkWsW4Y zT>^?DD`p0UiI#}<<RCEzW(Ee(`ft#BI*?wFm=jbC6c#Ws7iI<qZhJ<CJVr?S3ZxD+ zmIgZi6Sltuv@ZDsBLf3ytuiRSKzc!9p!qV;T4hl9g4_%e1C2L;#(!XS6i5tN9VqRB z#9-=>%OOQJ28MNKnZW53WCzH<piae&&5$ukkR6~pd<k?-IB5O{rcMi#FHbOm?>qt7 z0a6EBI}U5xg3OC!gPcJLs)u2E3qbvqcMPDc1WxxLb%kt@^`oFR8cbayR17x81X9-w zbu(<93nT_QE+qXm69cF`g~d56pM&=QgZu%?zp#7`n$v=*gXMG3{x6U}K<Z%m999;C z#++rKa|IxEAoqd9RG|C5V08sZ3^acLTK^5&Zv<0k1)V<t?F)v9S+Ii6VrFCjweLV; zAb)_)x9Qu?#IO=tcZ0;faYD-W7mT3M2*}tatQ-f;D}ww6QU@#VL33L$F<99S8bbov z0UGB8on7o+%LHCy0uuw}OVAiGsDA+yYlfa33YwFKiGj*TkUG%aA}}$~yb4GRw0{;P z2C9!i^E9CK#-MR2m>B5XR*)F1tpZX9O7Ecaia}<;)Pe3A1hq@fL&E^1&W91Q=MFZ% z3lan650H7nNa{dmp@G&zgU(0<g#oBd16vObvI}O%Iz|SDYJMgL(ESWBF_3*Adly0T zIVen}IUsX9p!EnKbs#Yr4#;|PP`eH$CeHyG!v^&+K=nCD9c;`Qbhijh3^wL`1L|f_ z|8NU*%oKDc1IRp(7^qJQ8Z!m0)rX1gfR34(Bk2Y01p|$lg8DEpb)YjcL1U|eP=A2N zGePGD^5}z30fE$^ATiMTS7UuH_<ll|7-&oqHogZE1NjrA4mKwP69cIO-H`;c7ZlI+ zc*ZW7kj6M0m>C$*$1Yo$A@}thgSr`H-ehJ52GDq>3v}EQl(#|aZ$bBmfW{a=_JYJf z<FVPQtl<6pFfmX+0kp^Z4AeZ3I#8Ym=>^T<z|?`}gh6}bVRLh!F^HW^3=D?Oh_e|$ zVxVvaiGlW)fb@dIKxG|B40N9qObpa+2Z_Pr1Eg+0)I3<a0*T3i_P$xM!`C!{#1=3y zFw|PHgZBY|(k^IBvXBX~wm1!X_X9|s850A;5-WCwB7R6*g2YUqVvhtMXa0cZkU`}e zs9y~l5dxhR0BXyD>H*MrA!vLPG)Ke2%)kJ_6BrqoL9Lnzj0y}O4AKocf@uyTLj!0P zEtC(UQYJ8hHr#{8ctGP=pmma<)9O+g(ioT+*%(3h%q4+WtbuM;2AxaA1wP*hw2qX4 zk%JL*CXW|G5~C8sB8Ekbi<p#{Pcb}VP-0YI<Y90Dozlg~!Q{XMT2jl-z|X+T04kF~ zbv<N^544&RbS7H@1L$P?B+z+N44{*)n7J6aSh-lZ*tz(*m=%~=7<CwZ7;_jo7`+r2 z*cqG{8W<KZEMib$P-I|ZXk>6?@M2(PU}bV)aA9)c@&MI;F5m-^6gWW_t@?n*v|wQf ziVH^2Qc}oqX5f8vpf&>tgMtGz@ClLz<#8s^8Rc9I3{2b%3{1?R)qCJW`9MlQ<Cl;N zSV0RZK^j1s1JuAHU7)qHAVnZy&|E1<3I(@<N<6R#$Qm$%FadHoLKUL|Xh$ZDkIDo2 z7c_PUmSqH;%?3$Fpt2u?LH!+2c!0)3L2F7u<HI1+85uOd_Z$l_Xb6M+09t!1;=szl z;=szl0K#Ac85jh>5|Fb9LHb~7LHPzWUkfV#Q=K@#`+Hzw*BBWXKw_YE^Dr?`y$BM6 z?YRZ%J<7<yQ02tI09qpgQwORmLF)9N^BEv@pmkm#b>ET1Kxf)&d2)c)%YmE<>QjO0 za?p7}pga!~1MQguiGj)|m>6iD8zcrgqZei`sLapv<X`}`>tSNypm{A%4u&4+JPK&M zuN1UjqmzRH*6##~fzBAx?c`tpu|ax4bTbnJ!;v};2H5^zkQiuOrm2O40krlAls7<P zpfl>%ws0_j)@Z`SRzUT3K+8Z-Jqg+qG;KQMEMkxyATdxr28qGWtO1FE)<A*8K<5R+ z)Pc?r0*Qgj8kiVpP7EXlTZakK3+fYs#HyiVg`j!m-3)}!VgRWF?Rx^9*#O$}0ZQng zwmj_22GBVxAbUaTK<i0B>JBl2N+0n21OrT67PNi@&$ENpl&@e1w+lgfL1Li#OJfB) zc+43l2J*ZA3U&ri`w%7u@{7+Bb_Up;A0WM;wF9v$*csYDj$>e80G%BJ+BXI|I|g(I z5lAnn?g6b~1Ff%w)l(ob(3)D%now9f9VDi~1lsG&3U?n!OdBc&+WP=A4|HBMNH1s) z4ou7tstz_^51JDO<<pymoS?l3pk&GbszbU!V|MQB44}3<DDQ#9K;!M8c{kAfCdfRP z-ZxOapfvP^fq?-uNAazY6MPOY$Uu-@ka?haGLU&7y`a87sGW7Xg%y4eAx!KVni!~E z^st4M0hAs<K?oZ20`*5ew6HRO*06x=1(mCyHS0fHSiuK9!t4OG%lTSa89?V1fy6+4 zG<6op-A$l%u`n@EIslDjg4UYC#6aU@51z0xz|PhN>D6a}oSOnlOE7gHG0-|pWHHcw zU66UO`y@bmL3_H;*NJvP@3ex&FGw9|P7!1tsQm%6V**qiEPg@iKy4k6I#~RI#6ah( zfW%<&3ldwy0=YK})Ruvnx0VI64imI~7bXT8&j+mug_WHkz3W*R7(ihFnhSxc+seYg z@a8!y185H&Ol$+xJW$^nBnHZZYFN%b0rhP`VE}UrNSz@w1H)etHU`jn8KC$DiGkb& zQU@B}hlzpCk8oyYV}SMRK<Yqq7NGM%KxbmZ>;=t-qo3Cj1|8=HjmN{(MKDAB2CA!I zVxV;(Aisgyc*tU)`?_Ffih=BnWQN?s1v)beqz)8T7Ca0LVa#j{ptdDU%nB+7TYmyl zXAczv?G=KlbA*a5g_gS@bxu&R|43p1Jdm(o0j-Zf>i)As)*6Gt2WB2K2Lr>w%d8Bb zZ~^6CkUCIX8x*Fn`4f;BHwWYnG&ktH4M+?$77X%lJ#@wpBnDfjEDUW^g8F=mq4x}d z#v(xWg2X^;*}(lF=vW*`476?$G{ynCw*jVZA@uwb(Ee>u{sol<p#COE?`LRP4AKkg zn}Ng*Lc;(g21;X~GfiOSBuEUjcMEjC5$Mc6m>rX#?t{f8NFC@t7LYp7dP8)3LGA;E z6|!4kcjbciwu0L1pu3SkEnAQsATdxm4iW=3RbXPE^Y5-2F@x`ICM5O&O&w@$0Z0rq z{t43y>idH1K(-e&)&LSiwik3RHoCpI#6Wg{+Ga32Kx;ifVxaL_kQk^x8N&=&ms14| zdyrT>RO|?nSOPO-4bEmHu>fWU2LFf546t?MAie3#3=E*V9zbIlp#B9&479f%)MvGX zwsSz`AgIs1bqyl}?0h(oSOX&i!{s%M44^S^ko!PlGZ`5eUaetdNCUN>85kHq{S;8y z02<dafVu^w4zxxRG`0ddI|QZ|bf*<a40fItsE&Eb1nG~1;u%zLfy6*<Gtl}mP&mTG zVEu8>9k(F!K<Yqil0oayK=T=(bOjQF>HQA6QyMys4AVOu+Rg#RK`F=|ZyCVz(J(t; z>jPnP8z6O{_AY3BASnNW%0!SIFm<-jJOfe(8hZw<Uj&6M$bBHOUPcB6{dWuuu)PtW z^aa|30$QsGYLkN0fy8n^2ES%xfX$zP#6auXK=TBk@qdss$X?i35-7dG#9(7dp!=|3 zVz99!&{zz}4$wG!9V-LFg}somZ<ts+E9C4BP#y*4L6ADw92aan4J4)v8b92}$gmyi zUy#^s(3sdhMg~r3oP)$VSQ!}j_AxSm_TGT(0Qtj_2{I-Ix}yUY&M<#~+9fbCm_J}^ z<3M`7KpuL>zyO<n0*Qg*7c^f4T6+f53-d21e}e1<^}uejFfc^_V`2b_fz*Ne(4cw- zwB{VN9toxnbon|c?ZVapgY^D{>Wze^A!NN#Q2&C|9e~~?0~&__nFora#k`Pvfk16_ znAj4~nMv;$;P;M!)Gg<QoD&1;LxQ{s5`(2H*!nDx*h;86(0Di~|ANF|>Ol21%#IWM zkhRdDv1*vue}2fCM$kDr$YP-R6HsRzCI(uL1lk`D>aW1WuJbc6biHF@xDCxSV0-x) z7+wo9Gc-WU4UpJP&?e(|3=E+4@-V%3pkiv!^a4_M7b*tIM=*5{L1N0x450B>SpJ2b z9|0Qsf%&%_dVU0`?t|$CtyMg<nF)S26{vj-nwtZSZ-VBvVCq17=0IcQpz$D3ID^K% zVPoX5x*Oy^EzsEBW+n#EI%=3+P<a-)5xh+rJoXK;LkG(l0Y=dCL11&NAa$U9KM|jq z;ddB<#9Wyf7<k!OK&MW_%>#*n?*9U<e+JnLQzwU{4&-LgdV|eH%nY!0B1jB$SNEAB zX88TcAhBdd28Jg^%<y|{Kw_Y~Zb0f_`>{Y`8jK7K))~wUPArgq87O~(&Td(r#LQp? zZRdc(<*NYX?km`wEl7-4kbxmn8+ANfP!N)yL3f{n!WpCvmQG>)Zjjgy(6|>UPebz& zNbH3GWbAe&G_8T^DA3vxP`w2@w+xhjL1Lh?6;yA5<_SPy1rh`G#X(}QduTvn^`N-C z#LNJjn*@n9K*wZ3>+WHCL48Bem@F(zL38+^aptS<IKXRLLH2^ynS;U$bbkwIy%I<- zNF8YN{pF7w44^ZbLH-4)1Fh!(se`3^kh%oW+UiFf47WiAECU00-8!i30NwopT6_mH z5487*7<)nEAjH_~M1;Mdz7FUdD9~6dY@Z)U4CEKkm@F&OcrM5<Aa$_)Iv{nR^I>kO zvoe78mx25N5(Bm8K;yIvplJvs20EuoNQ0GO8#I1FebfJ-!1Z8f0EGoeFEzwKeO+|( zU}B)YE2ysu8tKabuT=!y6~PF;D*|*AFX)7BCkD`pxh4h|1`h^K2GCyZ6ow-Vpgrvw zOsx!!j0YJH3N|o$g3ja+U=(l^I4E#{@qpk#2GBA@4kisIJ_dFMc1F<s1)#IbT^U>$ zSs9s_G8sT)aiDQKke5Mm4nEw05xh#10W_a;kdc9*5i};x%)rpZ$iM*FbFzt%fngJ) z1M4QnP}WV1q70xtUZC*X!pOh?YIip&GJx+6`UXu`pmnPb4GavR`9#pX42Tc1PmF;9 z+}8)OVHhR{;)BNbAR~wnvVjZYQV=Q1AmhNw03jI|WL!Yw(J~PrQVv3b1~C}qAtcB! z26+aC6$~K%t^l7T06HX#0W?}J;|S6Pif2$>1D%!A5x~K)0NP&xiGljqptE2>^Hv}) zfzku)EZB+AdIY2nq$lql2g4QUoGeIP19aR3v_1w@XMn_D<0hapDq;45^n%Xs2I&Qf zf#!cf>+e??a>DP_0*M7OGB8wlu`-;1&V_))ib3;QhMWuwp=lT-20C{Mr0y?td><qR zs-r;WU557AKw_Zvh#+;dMIiG4ATiKAdLXeRF^CvwzW``V3A8p2*2e;gf!YVEe>vcH zPlLojb9#AIoZzt;kUv0TpuRmwj13xAATdxowyBDf0oD%&iGkMVfz-j;t{^ec{vObo z*|7E<Xf6V@o&|IcG{`NWs0H=EVdp@D#`r<@g4BWf)1dW%pz$`C7z+~v18999=$ti} z7-$R>BnH~+0TW|~sspvpVPc?tXdv@o`?o;*2|#-`pHARn0FC#8+yaVU(0C$fTnw~c z4p|J8-$7y^^FVq*Ye&CL;DYaG0*Qh88p;#7;CC5<#6a_7ptJEod!|8c5m4U@RAzw6 z!B}Wr1sYcbna#Nbb(|8k2TyGYWIhOFFGwAz%?i3V3ABa|CI-q5pm9pjye>=(G*_3u zgq>jnG~PgZL3{N;=U#*AQjp(3W0#=)SfH^>d1(3rofi!n>z;jwlL0g)2vP?Mmrxc4 z1_cjx2GE!&EFXc&c+eR)pg026RUmbsyaWnAW2n6#^FZTupm{AuHb|QQqz*Q(B?zqx zK<Yqqdn@m7GJwu~0{H`!Hco)<=@tQ%q>%Is68p@?!0_N4EBx+SnAjI_Hn14TEg-RT z?2vuOp!)$~=KW-2U;vFVf$W2cJz`^E0O{Qax)*@~GL{U}3o7?O>Ok{lpz;OOrU#`L zm>8(865v6s(*vmkoyo;3z{mhve+p9vT0^2HzzANm1rr0UQ3R<2-LnZ31C3>X%mejN zU}B)QBS`EIG)zHb6`(ogmnO{cwm(P=)V2kUBY@VSfb@dIKz%ik7-;V>Obpbv0F5oc z#!^7)K<B!F)WOO%kXSt<0|SRCGXp3;!SsUq?x6dlL1WJ#F;E)_ROW!%^q_PD69ctX zK<zBhd<rPNfYia-S+H{<Kw_XhJfL<K?CfQbm>wf!Yyo60%sdxHNIw%+W`op$_V<AF z!om;K=34{mQ=VV~k9C96E~t$Knx_Trbpx$W1(^p@w-&S}<pdM_d<&2m=uB2n9|p7^ z2&Qfubgc=fodOfv!N$OF?E(`6s0;wrKOj3m>j*)1fXaQ4I*=IXOj*$Rh@i3%CZ@o| zzyLZQ5qZC)GIVVX>@GQwUeG=@ka?iI1=9<fD*)+*?RN&L1I-nH>~MvaB_J`-J}S_? znV>l)kY14AKzDb8#y&v(X_y$OECiit1{&jsiOphSU;wQ@(TAorklr~=kTcCdV+JsF zpz|j|V<ezH0H|&RsRQ+)L3V)Fe!#>)WiUt#RQ|&BTB4o92GR@a8-VV@1+4>xsq<%n z^gUsF9zp8DSRi+Eg2w7#>Og0sg4_(769lQthMwUD+ItIAm&n4vkaC2H0d!9(NK6nk z&cw*Tkk|mZ0~(ZGK#dMix!v5r2<{Vs(k^HWF@c?d0d$8us0|A$UqE88JKRBgp<(8! zGcqtd{?5n%D)(VxT8s<~AwL)yK<7BZ#6a^*%wHM7cd3K=mmqsV_Jig=AiEwwy=TbW zF)ITDD}w|KL1&4%7(jQ}fSjTLUU6sup0iZ|pGgBDH5eQez=(lCK>>^yz~{=SfJ<S> zxiw7SZ9EE~Z9JeUBSr86BhV}{Xq*Y;9?&&*pga#6zdOL#z;J-Mf#CrdGl1_bhs_x) za4>)j04Zl+WKaN~-vVkEf!a%;Ic?B*CuoihG@jMN$idLV$i=V*jF}if=aYceCg?OE z_N?$JK=$W?=3zi{jG+7LOc{uQpdCeEmxKJmio_$R8=}^LK><7?4>1FDV;{_qAQ6Zf zNL>Uf=Rxb5LFd(g`XZpX1&M*qqCF?b$&d><I*9>PM}XqkgNu^^bXFWp9jI>!()$$J zrvd2&h1q>UPKE|(y$BKm?KKANHL+p`B?JcWehkpq8fdRc9tQ&hXek|NZwRPN1no5e ztq%s-0ji%tdGQ%5WRC{O{h)eLhLeHe8!J0}&Ko2q#|fFY0j<#kB`S~@XdDPM#{jy| z6eb25+W^hmfc%YY$J}+G8?_+r1L@`FWMBZb^FU^R+y@eawevt@CLq0__65j3p%PB; zy>c)y&^{5>5>5ut8PqT_P=6iNW&+Kt!o)!JFsM%m>I1{XKy3(v5>5ut7#mCsG&cwm z1Ff@yiGk*c>`FKpqM0G#2TG@)b9OyTI2oFtZ6T1GLFZ9}!T{7>1?dHel`%o)9AWd( zFfq{F8nPH@ya04B1L(XGm|oBwrV-~@89?WbBa4CbB8zn~F)&QH%*p^;Hwv-?REC4h zgZURUR|6V9iLqn^-#Gz_UyvB64F+nbfcj$~J3wNfJu{`2j0~V~go%O1FhOfrL1!t# z#6V-?Aa$TM?VvIoq!*NaLFR$vVCw#Y=G7lEFo41WCI;#wEHGeX0G*i+5(BM;1l>IY z8k+}=-NVE{>k)RSGJ@yMVPc>;A<)?>pgAIZVxVz<kUCJJhpAi51UU<3Av7O>>|F~L zyND!K%*4O|y6+m6w?Jc4pmpxI5}Dz5@`A)b^G=}gDo}m`mH(i5X^@!~iOle|>L7KX zx|SiG8GNQRsBI5Y2kOIs)PeFW$bBGnpgjwq@k7wwV`MSubY=#S7$|>$^n%v(g7kvg z#~^he`$2s{P#Xu-UxSH(`ct6sa64!^1*rqA+?sTSnE|wC7nD~)V(%Csb3UN^z+qyb zd!0e%f#$Sf=7G-d1&M*qhKGrP&N>Dy&IQc{!^A*)l0jqSpz&#t7^u#g$pkr@0aQPL z(jQ0+cCH9$+zO^{2J{?LSegW>n+>|JxfN2+g2E3ZCc?zPVE2fD0n`qL=>^?e4BBS_ z>fge|7BVp~ggjyZ@9hGGA4o5#uLC;I8#MO}QU@CI1ob_BO=p7dR|biJ`cR-f<skpS z)Pc$(*U!uhur?D&9jJ~5?I}M94QG(pWkv=DklsopG0<JwpfOnqK1jU)QU@9@1&M8h z_TfQdps^{C-fkg?I#4?$m>05^6jr`~#1f%n^B_H-^am1yjd_B~Jdm3~V(HNFf7rTP zkXR;EFX-+BQ2qsp!SurFD3Dk-R2^u~2PphNVlZ`}G6iG@DDQ*rfCH^dhq(_V1}djO zVz9UbiGlnJIv)VmUjm7N`VXLT19Y|q%sfzA0#v?$&R_+(52OxOzJS6TrVi8}0qF(p z3j?KH(7XpIjzMJ!sQ(I52jYX~TnL@J3{nSbd$>11?g0Rq2NDCt2WUPTG(HV84^+m1 z+Jhj!f!qgD2Wt<4@+YXh4{H0t+Jm6{4hlbz7_2=A+VcuB4>Yd?O5>pRFX%pNm>6i} z3M2-q`(R=(m>3w0-Y_y;hPLBDdO>rI;cpljK>I&o>iC!$7(jPFfbQ;qiGkLBfy@I9 zp25UGYfV97{Lpp;$UIOx9wc@QDh66(3mVS_oi}g{l+YL;Z41!cJ?OlFFXE7N3R36H z1X;6t4%#mOi3Nk!oQiQVT!*?DCI-4A9$5@Dx3xr!i{TY?eg~uuBnDE4EY`{dS??<a zwHLH^3pAAjIyV7yrW?p_ATiL~Fz9Z2&{`9i7^r>$*>MS4-h<SE#6Wf+i-F=3w3c}* zGz>uMKw=;}ezQT^FQB>-)aC@OZ)SzAn?M$ehmOI4#-KrMBhdIYsEq+~3rGyK?+Mga zF^2jVBnDb{0a6F*v%=JY)>wnaxjmub2T}(*L*|D%D+B1vDwsOZd;_SR!-!-asGSHJ z`!0r-Lm<7N_9RH}ThN{&P~QerAcHWd0S>-f7}~P{P11o{#~?Xm%mJEF<^ZYY04-7V z01+J^f&;YtT?0&jEYSdsIO%}79V`qCS`Zef!vbP4f(g)~L_rV%?puIP4q)H_Ef(eg z^#s5p)eNA12JBoTs53xAe+&=;)FL~u;U6n_Z#W0b1_lNWwhasnoM3`|0|P@Rh&Tx% zI6wpgND0`OlOQE0z=YTa28I_P;s=-jiT&W)z`$?=#JT}u-2@Z-8yFZ)f>^gf#Ay)m z1Ek~!$mBmD7T9D+egOHZpNWB?p&QcX1;rCcYzlNuC#-D@5(Dk$UfIpTAP&tpATdy# z30mg~I;#Yx7gWc6Z{}bCt)YR5&4aGr1g-amiGlJpXzeG+3{bfPG7pshL2Exj?OT`_ zZ0#o~szG`|`z1kRI(vgS7(i_jm{>3a1H<JY4)EGLm>6iB1SAG3`(a|B@gtC4*cb)K zJkS^hXgw{c&W5Q2tu=TagjkCUQU|JULFR$h{lnCOQV>Y&G&KE!)Ped<ATeEN9tPE6 zpfhkm<J6!vr69c^F;IUDbe|$<9Vko;R3?D>NT5AIpz<BmAA<FfKx-I4?F*0?s9gl^ zBSGT>WFDyexA@D!@D`d6L1Li(8fcF>=#ENIn1aTCV0+9#YyV*Og49*~<zN7*gNcFG z&Vu?}pt%-MvH`W-VSO&po_UxUtj`5%KY-i}QU~fUf%+Su^(ZhgSf2~DX9Z>lsBH!6 zU#W6H$}EsxSpP~CT0VjN0a^<I3aefuG0>VekXSjiy#gAaGGk<50J#~o*9_)9n43ZC zh+twcH-pj$%zbb-LyH%XTi|Yn&c%T20F7CK?#qOYmw?1T^95ld91N?W@c|P9xer;) zfsug$q_+&3UO?*jsNiPMT}3c|z}yT<hoEo<*#R1}ned*K0d$rGsO|@q<FGp~L1W@D zb)fM}P<(*K<3LpgC=6in0a{Z4QU_Z51?s<o?$HCadthM-TIUH`;|QB81*rp#34+$s zg636VdO>2K^|Z)hpfhnnYiB|82cY%}X#5B?9|>9~3o5FS#X#$6L1HlTOqn2ekb=fB zVPc^36(7}bF-(T$1yH*NbZ$hMIumFX4!phqBxV6>hp00#fa*VxUXYk2R19=R5KIiT zPZFdz7#hDIb=FXIptEXW>TIB5j7au^&NJv&XJUwFg7ncr`3h8DtWalS$VCzZ?QH>x zf#%&o=7HL>EztHYXuJ~?&LA;Z`xdm09j2}Z+J=U$u>h&7gNlLXuVCuRq3drz>(WUT z>w=mGN>8A)0kUH~bnOW&>_KAPoRBp>u(mcxY${X?lxJb~&ftXX{|2?2L1`DH4z~Xr zG+qQM>p)_#wNL+`c@QKvjT5qV40M(wC_RJ3U~U1;2f_5h)>47iKf=Ud>!CpF=V5L+ z!vncf1GMKJCU%1dGHwf-4*=Qmm<Q6&0qrG&sblAb><fjhQ30vr<Yizm{Kv!q+V=)Z zyP$b@GtijtKPCpyI4Vr9CNE^18g#D{OiY^>GENQJqX859#{*ff#S5)dK<(gg=y`&m zJ2ydT1JwS5^;tpn14u7O9q8;$&|X4NxWL3fW5}RB18fchqz-hi2xu%9)@KEY6@$*a z|H{Y!n#+Lc1>K7a>a&8*NP&rg*3yIeyP&f_LG=vCEwFwp=)4DzI?y-+ct2w)_|9~2 z9t4Sj*3Jr*GQ;n?1&M+BS0FLaxB^V?bVddS<x*w_P~Qe723l`vS<1`+I)?=&1{!ZG zXk!Ae9e|1TF)}cK%ma-jz{Egf10XTbd;}=`K<6}o)`o)m7O?gPD2;&1R`flGpt2Rz z{sz^XAoD=#Kw_ZwH>f=f69cvWK<YsA5y)a7b;x3%^MgQQpz~Tl=^4454w|0^r6FW7 zP<;c+Gst4K&@u;fp9!ek2HkN2T0a4@7bFHM<3M7d^U7gjpmlSgdrvf>^$|!NXup9c z=q?l_F;IC7QYQ*ce;{?Bz92|lD6~Bf5(8a<01^Y;@d&d6)HVZ&tw&M^YSVzs1D&4? znrj5Ldq8mj9(V^`vB3Z%KnERyaxN=~0Bx^iI0|At1reaw0xjoVpjFDuut3WcM2Lb2 zRuI7tQo;`!ac2jyo-!}sVn_uMsvv?DOn|zJte~y|>tY5523F9J6{su4zycD3I0o!2 z5YY$<a8SVxImQUQR0Sjs!l1SstS)4L?2uys_dpmpI6!j(92{#_X*KYG**sie5eUfy zW`juyWRe*qF0mL)E&`L_bt*H!<SYou2oj&Q5KJxrk&x9YAoswq2G~p}3D)%jLW0Ft zKuEC2N-!G~XP|z|RAvSS)4%Ktu(?%`STkt92P-=RX#5crZlE*<Yg>Wl<Y8j4wiW0; zD^S3L)WO<Tps`w*7_6NF8vB6R3$g>${s7qlDn~$iVeJpt_zTD_po9Rr*A_NE4-)HU zhTIzqx{DQL9;h$N$H2gF6nc(4C`>@-8iDF-(An~!u@RUUXzm7dwmhh=1VuAQ9jGk= z+WQ1ra{v<q`2%#eJZvl+WCv(JXYwZ&22dD)@-9d(Xw4?*EGF1`I*>Y0A7IfZ7Wf&4 zATiJ$#6zE07(i!B!Q2cwUk+q1XwCy91`2~ipgmGTYz&~V0=XF^2I`Z6+Sssjp+I7w zIs<gZJ8XYGNDQ=(8YI>MZM(q4a)sC!ki|gjU_pB<Kx+$O_C8>OtUbtpmMtK?r=en? zz8)wpL2XIcUJTHgI578t#*9F7ETH=dVdm9?=2MP??qPxSK|$s<Ld8I16)<)Cp<<vh z1DMzWs2FGuBuwlWR17qZ4-*5mKS1G9iR5Nb`vW8f+gk~;cRo~aDYTpeiLHl<fzHo| znYR=w)&?#6KyAN%7RY(zpgA6pI*`~L7RbG}uy!p-Y%vQ1!w~}}@K^vS4S~c!Ya>B- z-C9D|PlLoj>(@Z_G4fft7g!*7tbz6(!0fon!oZ-rn33TWG%i7U&p_3|&N_mLf$r=@ z7MlijGi*-;NFC^`0FYZi?M6_%fy7{Lf%UIJVxakFkUG%35X>Jib)dc(%zdCcfI;WO zgZ3bS>MW36&{@c!xem}e5tusA*;Amo6WE!*Aa$TKoj`gEpm!dD#9(?0k^Bo9y9R|7 zXl((^JW$>8)tHF^R0hMuHnKv_v<LO&k;OoIL1Lit3fT_O9zK{l(D_>+w}ATd$YLP9 zp!GB8egn->!qjbs+6(H}A&Y_Zg2X^=XJmUpXDxu#fzB2J?KcFCKZDW;Obj$G4jMxS zt&;=A5%L%^?2K)YUQk^Q(mNHJu8`FwL(@1&9jKmGYGr1qLlOhESwMP0V*{Xa7g=u{ zG~I*rg8E$`b)W@{paC{yb>YzZ9aNWt<{LqKV?c2Pax+K_wB8Nm577DaAisgcVCq0^ z5>UDViNVz6LGv$23^YIYY7HX;Y@85T3^acPDtAF*u)Q{*eL|o(LKcIKHG{;$m>3v9 zYms*ILdqeK7-)YpNbEaQ4AiCr&0B%?p@Pnw2KfWjKmfIMU%Rt|_oIN)5J(Kv&I7F% zQvgLS0|R`&DrmhOD6N6~3o{SY&I6eT69cu;Kw@{H;RjL&I<o{M20C8`6d%a#yop%G zcXvbAq#>(&0390!jroA`Dro&QsLloX1GN4F)XoO2$A*nRfz*NK<bFKkU;vG|fZ_wB z4z$+=qz<(93*=^yI?x$BAa$U%P@r%|RtL(*Fm<~a85lt7Ky#@ee;}&^)n71mdl(rQ zHay~B0PR76xewH50<8mI22Fn;d!I5gFo6648gqoHgZTqAb^$XFRPKT71+67S_XlVV zF-#rkoU=V2IT%2Dj9_A*^=Y6r<d2ykV^SbDgT|>qVekZ+CP8iit>Xl_8Fbwi%q=iC z!{!@7>Ok{6dXL!|KxGuj4p4fDWo2O4l+Vua0vhKau|%jCtlkHSB|*hN_rk*TCPT$Q zZ6uf&=q`7VdG$!<f!LSb*%@GU8OXfZtPBi$^4S?upkWFUo5KoO6FHj&a-SSX3{;+h z)<lBpG*CVViGk+hL1}z93*^ja(7qqYQ4&rL3``CT%nqQd@*Eu)91|EE6B(Qn84f2h z9Cl<l%*b$>k-?jh!JiS-&T(U4aC2Z_fg$jc2~hAcFfh0{K#@ZLgM$EQC6GUO>$W>1 zLp&oxydy)rGlRb)gS#Vxy8~z$5<4RUI|FEKK{^A2IwJ!oXuUdUOdjN7$RR_ZTl)kU zI0YCuz{Y{b_8~nBCQ$!^m5G6ci9>(^bi^+Mhy?dZL1WRNHLYiwIpFi;AhBd71_sdB zHs}l*P`HD{zB4i~D70|E_n3ghKx>0R>R{*FfW&?>Le4(`?f-=71?`{lXyIUh&HsSZ zf!3Ktw{S32LCa>47^tHG8Y>6odr<KL5`&GEgVylD#9(9P63}(oAayCwv3G0exG89l z2B@D3TCW3}w*!fR?&>_TfCCgu;4lD*f!frdHRquHrl33oN&~Pp=dk<ULFzzr&LHzZ z=fQ&f1`-49xd+{KH4EwwkQiwF8%UibG>wA9KyC!7n~Wp|Y9}n1&%qE3Ex$nOKw}ah zy|A;xL1Lgi<{-Uaq3S^H1+5bWt)m9@FF|eriGj|g0<D<_&1b>HKx^1R<DnpPL4E_Z zVPWH;u=oJ!1*rp>2T}*q3tB4(G7q$06D9_l4+Dw8<~=}qL1*WH&Xoo2b%Ln_%|(FB zbB2ZsNF8WDG)U|bk{D?GA7mbAuRBODsBH?ma{x5H3L94giEV-IA&0d&L1LSs_cwvo zYryn^)PdFuBdY`5{RCPs3~~dg3<v3jtrv#1dq85KbpxQbGi==?Oblcmve-#x$ogZ@ zT|=N82vP?#541iO=9W{;khRO8ee@tPP}ra5hTQW68n=guor8*j#${k)_qZ7tKz$0( zd^$|*J~w2KJE-o1i9O|p>_3l%wi`iqeBg%cD~H`}0}|unVPH`Iz{*g=0x9c3Vz9mD zKiI)53>iRTu>Oq$G#`P)KyCq@4U6oShfud%fvN-TF9GdW1f4AjTeAcb1I^*I-UDCL z2+nUHG0+$bNDMTl3GxR>40JX+sLcdge+&}?jn9C@Km!#pF;JThG{y%S{{yv!K<!J| zd10XR3R4Gazk%)`ft`l}vIA6}fyVA&bG0BbP+kX(@%?9n9QA`do(C$+K<0tQIV%Mq z_f&({{lLUJ1t4RjpfOjN*gOHqSZ@v^Wbg!}7dF-ly5k1aj|7Rq#%n=);$dR2@n29| z5@z0f0mz*a%c1cL(z{pyvY!)nCK*U<r2u4|E$BQWn0c`AU(g%@%)DX&$oYY=^>!e= zCOixbptJZubw8+r0*S%S;sf=AKy5$JSstME9-#Hsp!kKUgPp|(>f?j#1*rp#eSp>? zf%d1s>;;|Au=NlV1L%Ggn3y#WB&<MfPf(i)WG^hNK<i0B<vmCY7FM8g2qp##E6{xz zFfo{aL2WjW9iToEXe~VG>_*U71<1`HG1%FSuznCojEf0!)+cNn10)98&t`28xnl-q zo-h*wgRea!1E}1GiGl8A0HssVT4I<O=)AC4dqxJ(eodH|DCitrOT_&&AUi<wOCY_V zv0<1x(7aKNJtG5XJ`&_NkUCJC1f&kuM+UW>L48m3@mf$B*KWeaumsxf0jUF>(E}2z zL=ppyEr7&e=N^I7fyzRV80biPkXt}vpgtgITo<-J4kiX_vxD3OQwPeoAa$Uz6=X4x zI*=HsoCK9yps^3oIt|cTBT$?pi-E>|Kzc#*AE5n>%YSk*fYu$s#6Ww<i@$O*yn*Hg zkUG#hm*YP<8GNDbRgf4cK0tc8q4f+%3=|(Ay~mKmKx_N`e{eE@?%aUc0Xi!Qr1v4T zt_A4@&AWm0&VjZ!Kw_YMnjm{&XL5kV{FxXSKzeUM%S4bEsILVQgPnT|YHxzpb%Ew? zL1&<V(j=(<fQ>JL_G-bzVB?FRwIiUq8>9|2E(fZkKz$UL7^trUsw-|o=Z!#ifYK*u zd~pLaq`U{|g^e%5>N1cz(0VdZ`v5fF21;w7@*dVcfR%r6b;_*ZaXC;}fy6-jr$B8L zQ2zlY23k7+5_<_vYoPKOblweUOaN3ifYianK;syo{0$QW^(8=K5um+LAisgs!Nx_J zp?(92fzG)HsROmCV0uAfAUi<r0@d*#buhi4GXh}hK=-A9^n&Jzk;OoIL3ewD!T?z> z>>L7+*e(_Z2GH0LXj~PvGzKIF>TiPdg4Qg6%md9|s<T7p*kEM|Obk?Z!q)DB#5ACH z2!YO4g6WNg>ILn~Miv9<h3)YG>0JxDmu4p;11JrH>Oqhg?92vGdmE+~bmtVPEC%go zhKX%vhs?VrLGuhq?_Q|AtI)(i_HINH+Xr>?KByR|YyzFd2r6SiXY_#5E=UYk#=_2U z2Z@2k%s}TUgYN19xdkK!>NA4MSkS&Um>8%}3o2t_dvieQK>b%x`3D;Z2Z?=TWMBZ5 zv7j+%m|oC1a-j9qusLmzI?%Z#Aic2lqaZQRepyf%3#uzXaSjp#m1iJzpm7SAd7$yY zIrfYUpz;GG2C5H1?TA_185ux(JVE{ijlF};m<64)1FNS&>OgtnqAxT2o=T7y$nPMr zXVCBiiGkWEpt}QoSt0E(&|VJESOmx|pgu6nUeK5YNL>y)WPTl49c&K)$UM;53ZOd+ zwV~%~gTz4L1`<<)-lG5#i)UnDc;(B?PzPOC0ulqQ6$OcX=VxF5wU0n+kU)NfVNhED z!bX~d0WqQX;((djOQ!^RF@l0r42cP99)K5zLG(kIP;DC+0vMo70|XOP@Ie)W=AEF- z1q=+JnFA0H)?#5`U`PP%><5W4FeHE$c0rk-GkPG*L<BPh!33Ql0Fg%}L17Lm3pJqq z4v<YSF;Kq=v?l<zrwODEboK-2%rQ_o3R7po3^}h1RyKgtf%-_GISSC3FfetXwHY8W z(0Ow(F-K;|egXk#e-We?v<?Gw4=qRzrVg|Y10*&RTCaiB*)v1Vp90lUpy@r380`G1 zDJ+mlJCGQx{eKy}VTl2y{cjJg|3Li|&>4iFv<Mp~2Z`x0FfdfPFoO5ug4_ZU1I-bG z)PdF-!Nfr8@Im<zG`9>&0H8zx%V(fAI!qnNj-)U~@LD%ec?MDk+D8d84_0P^>;Ubd z2bDFTc@S8>g_Sj+y{<6xKyy$ay|8tBAibb81S)@EZ8DG;Xe|mz9caB0OfP7j7E~sI z`nn)7&^ls}`#|boVE__C4+D@GEDS(pAxtkU3_xS2=wSdVOJM3icHjyF&^<dab+9l1 zt%pVr16X|patkaBVDpI}F<2PD{0kC;g#oOe3KD~b0jysM3M<h3HgXt%#6a^Y=;;7d zj)2r5rvp$q0!jy<xI_;F(4K#g-$3Sp?7$TUuzj8&b)a@6C>^jv=TJcD1(ptA^MoLE zp#6y;w}95C!0Z5>sSQd89?*6qNF8Ww6{HSUmw?1T^ZuZ8kP1}?3Kx+3K<bdw0eTpK z)WO04IUT^l02G(#VF2qFf%Jmxz!e6t^AJJmU||4Se}<k8V0&>v>R@328m|C_0Z0rM z1~C7E#9(0n8e4*?gM|TXUlXY92s&fnN(LuG1GIhtiGkvJ%W-!2_#jBEk%58XO9m$c zXzdEfJeU|r9kLjxPY*Kh2(--zQU_YY0TTNJE!RL|pmn++F%cv&(0mR^40LZY%nnd{ z7-R?Nj%1h^XzU-PP6}GCfy@JqSAf)k=2BqlK<fZNVz4`BLFzzjpg?*ba6;x#Kw_Zy z63*mg$cBo6+Jd0_gF)xpf#g8ufy6-Tgh1^>*xU$A473LVBnE5eg6^OM%^iZ~#bA1c zm>3v9=7Gvfm>6hnB1kW2zbdGl1epiZ3){aA5(D*tKzc!Y$6<Ow{YsD+sND_|1MLe3 zxev6L9@Iwz=>_d40EvOxVW4z{Eat}qX`>*EK`U<X-C{7kurWSR+j2YF{$Y^V9_W5w z&{-ECw}8Y>vOvxg0>v{->^uu(A33O94-*6J5d)n&1S<bvVxT>lpmWVYZ6BDJ7Axew z64?A2$POJ=28M5Y85xk<xqi^|%7UQj3Z%{(ba%i$Mg~}23ld{xWneJe#|WQK1c|+1 zVPNpw$H;I5NiS&s0%*UN7BoGB)a``&cLB6M2labwxFF+4uyIb1m=pB=6VSPEptcrB z3^o=6nwJEn7f?S6HWmY#UxTTGjm3cW7J&LdAa$^@7|?nTP`H51bK!!FyMXp}g8JYf zG1#~ZsJ#w~bC4Kp+y%5Q1SSR>cLDVqVPddx7tr|}FgxtHAm{mk&d3L?Oahq)+iwmk zvteSOc>vHlG+4VCRF2PQgxt3QDmy`~GLRUoYzMgyWCutL)NTfq#h|_|Obm2p4ybGg zwX<Pjp!-BY>OkWqFfovQpt2pg-vL^`jNb16-MtFZ3tJZmvKQ3n1NA##>jFVyp!MIN z`VG0?0h$v8=>?tB1G5)&MklD>0Xn}2)HVa@1?6v$I?x;*OdV)#AE@5}TdNN$D?n+2 zr-GdUG;aV>2NDB~v4O;3Wjja=wEht!7AycMuRvm;@B@i~=AmJFLE}OoG1%M%NF8Xr z2PF0wnw~*op!p1tSP?WmgTz2*bb!S6A&G(d*&s1)Xc`Bp1I-J9#9(XZKw_Y^*&$Wz z44^xKK>cWt7-+u$NZlXk*a=7s)c*si1NDhPyHG%4Fm**xb)flMQ2c||pM&abkl#RJ zpn3r$2CA1}VxV<SATiJ$b(k2at^ke2fWjVBCxgs_jm3b*17KpXu^7;P08qILQU@E0 z0gcJQ#6aeO#$u|WZ3d8AKzoEh_JZ!7h1m<*ivbb?^+RD|pn3)*1}ld^dO_pUAhGMv z_yCE4!T}_f2@PkE7^tra5>tTIZy+(yc{CugVyGBsY*3L2vTp#iW(}0LK>mRF7qtEt zCI<5_sNMvXIUsc~|AOYEU}7-;g3>U^A22&WV^1JEVBrEAdjicdBdY_Q2@X;R69bKh zg64=|bs5M!&{^8@U)UKyYiVHWKw}&rb)Y#&m>B4;5s(;c-33UmG4yO9&>dkgb)Ydm zkUCIb3?>FTlLRym1)4vCiM?WGU;x<*YM;QwKx>Ra>R@|MKz8`BK+ZXW?e_wSf&2^7 zn+1&{kXQj!T{V&zXx%Z$E#^pZ1RA#jiPa#9f$ri1*?S&Itb&Ds0W@}ZmmSfkgN@yN zfwoIP_JY)b%mb-|`2#c-3^MO1G#`P~Swr0iT9*w|CkYj6gN_k^)ZJ%>_;(9*uPjLH z67<eU*nU@#80d~YkR71D8q7S<SP{rAWzhTqQg;t@x7vGl2GBVuFm<4_xj^b<py?i@ z4z%6^WFF{#RhYWRP`!ts<q$|6D4l@Rl^}&PXdg8wpM&lk1GRHN=^2*p=deM-4<rUl z_n>o(KxGq143_TyvVqU=U;v51()~ZA_yDcBuIAui0FA@J+y@$~28lT$g)`_J7f`%` z$|z8N1NFsW@dg?jhUo?E=>WMI)K`P$H<+72WhhJx=4Q~ED^R@;av#jipuR3l4CZFg zd66(XK=-YH>;R3Pfa-IQUYH%AHY7|8W=9YcWS$J94rWIkk{HYm&{+yFJ3x2wf#!!{ zXQqMT2qb1L!T~==4I~CSQvkHLhzA;%Ape2-I4;{67(naVLFR$PK<D3p#9)00kQk^x z0}@*TO;;c>P+b8MgWanL5(AwH3K9d=%`o#o^R6H<(7hBeF;HI!BnBG)gNcFqLLf2N zc@7}+KzqJHVz9F<L1Li03_)VBGjTy;pz$M+m@RbN4<rVfM+1q$=I20Spz$b>80Z`Z zn7yFBCP)mF9&m|)+E>~93=C)anHfNC0;MaEn7I%G!&81{h5*O{76y=5f-nR4A}`RI zPFUM92`UDwFG1?^pyq+v<DfJI5`&osYKMW!c90m%Jkb3_Ffo{UpfL}Sn?dS|`572M z_hG`?@*uGaen?vsbQUzIJp>YiwMF}wAo&d>25XD1K@x+tMPc&~Aa&KCMau6O7(i!a zgYqv(4Awpc-GK>m3#cEyhmnB+bbc17-wP81^?^X=Y=O>`Ko<j@JAo_)I<FhFt{Nr= z+K;`!f*E|DBTNibw}&2Mgx_%svKO?T6=W}{T?A7HItK}49_;KWkUG%08ldySKx-jk z>Of=LptHPSYp6l$Kx5z_u}o;X2Z@2w9mpT`(0qhX3}i2|I#BxnbcProR4-`kYB4ip zJ_FR}0J#MuwvL&B!AP5tp&V*2NbE8*<jyZpnG910sw<qe85v;hSdh9W%nS@6+Kdda zv*bWx&d~l5XdD%$HwxMxgxz5bQs)cpOFAOC4|MJn$c{oJv8zzG7(&ZukX}$53UnTU zJCfKh(0QBMj0`i`7#Ki(5>USuboRwGZAOM!Nb3GT-TW6i2M96`v_}clPhJZx+d=J5 zQ2!k?9tO$_Ab)_wKy1*Rhu5KVSTHdT8%73@7%1O^`T?LbK0xO*!1kDd)PeeKpm8qH z{3|FAg4BW9@*s7fbvH0OK>K|`c7V>rfr)|IgrNQm@;ED~Zvg6Rz{*LG9iXvUkQi*g zDo70E=0z?L_rc5q?Gp#7bBC5;Aa$U60MzdRtw9I1(LwG5wFN=yU}x8Z)Pee>ptEL9 zLiK|39eP-S#6b3f`~eCVki9T5LSY3`M@(3O)S-tJNDMu!Kw{`&1rmdW6==^Fvip$3 z3ZxDeR<N@IKw_}4s%8RRSp_}?418u8D6DFb!UYyqp#29hJ3wRM$mt4X9;mO09#$YR zV!{g4hs2exK<l(%_JY=ff$RXy3&F%d<1WbQ3gi~h84)0Vfcn)ib)bEMATdx`3ljsm z4?V0vZ61(1*nA|&JkY*%P`Uz5<)Ei4(7JD!d7!>2C|$wUtAft=0F7^f!V0w445SVu z2Ab~$`2)5O5GDo+E07p^9)zVokUG%5LtJ46I>QlW2Wb2QWCv`%2c#Et4l*dLKx<rJ z>Of~FgZw)eT6TiefySpnVxWBrFm)g|gTe~rKA0Hj%zuzN*ct60y`c3`ps)g+A&wqa zy3qDBNFC@N8BkbFLJ|Y5F9!Jyv?dGGW&q`1Sos2KpTg_~-K7Ka8*Ho|WFDv;333Z) zM;S~VsDBLdFKlieqz-fzBuE`-|0_%#Xsseh3^XqZ69cWk1o;EBb`;d^1i1xPmcaVc zAoDUncPv{%=3hZ=24r=hu^yOtpmAN0d7%C(sBA}82WlU{)PdXw8gB==540B>qz;td zK<>K-&6lA4vY_=GptEK`;{u?t0<9H;oiziZL2W5e{Q)~`26T2TC|!ZXU}w$fK;s-_ z9w;Ay&K?Bqvj@2aBnBEA`_9M20NV!w5(C)>s%MrW=>>)Jx%rF?{LnfNqz<%ZK$@S4 z!3W9BpnWGc{7mq5-yn6MIFILNVgT)Vg4qkI+d*ytoznypvtndm0I37rs|FLprVg}* zALKq;XnhH?10)8zuhRl523j`+8aHoegPiFDG7lsM>KlUAFoF8`FfmX#gVrJ0Li?8> zb)c{UiGlVrz|?`l1thi>+Rp>21C85*%=3fR(;zX>d=N-o9FiDluPJD~QXQHYXuT4$ z7-${^r0xNdI*=Gh9kLkc{56m|cW7GxWG_ezqz)to%9qG%ouoM-ZChlqA}&Zj6j|&D zHv{<GKTw$nN^_7CCP6GvYak(t*#*RAV1QAe`F92e7f{k<U~qs^phLVF7#u)uU|?_n zEmvS*V1QDf_A&zl1Dpx~t#)T;08`8miV;FFz$lQ7(DDLQ%`q^5PZa=Bpq@1YLnDj= zbp{w38K4wM8-oi(4z#=!#D_Qu<`%vHuu?V%#RQ=kz!VFpvCY5$owyf)m?FXfRxbjv z0W_2iIWZGtGH9Zcfq_8*q7QlTJxCV378MjaAxsPmp!t+6uqXp~4g)ry0_s14(i%t| zXni>-jl=eUfy6*(+kw`Yg4P4T)Pd$2KzG)|%1)5FBIvnkpm|u3gF#}T_AqEIDr~+M zBnH}#2s$?nbQUknJkY%cp!KO~ptF4$AZN3K+8&^D(?IJtVCq2ahrm`w@O^@yeh<hz zm>r;bADB8&zZ_%-XuJz12D-BlWCy4X2P*$SdSP~e+zwL*TFVAn-y06CKS1h0`v*W` zpf%PYb)dcU$5<d|w8GLXNFAtr1f9_eD!<XoNznNY=;b7+ZwfOHG%o}yn?Ps7!NjgZ z&jbMNO@W0eXiYF^4h=S52Xe~|76yjD-y!G4!t~w-?e+h`$Z!T)o`TfffvN-LS(rM| zoiH+A85v@b)I9*5ar*;t-wjAFXssK_eW0^FV0z!MK+cc_jX%P~-m@?;<o{q~0Ig$0 z76YX#(79fq90{r`^q3*%Bf{F*AUnQ+?g;zJ$N)M!5mc9f!W48SHYiLVLCbqkzZx_K z1sZ#Wg*~Xv2^xz8t)~aI!$J0f)Pcs@9&YA<pLYNf1Gyh02AV^GsRNx|JaY>N18glN zNF8Wv__{3|44u$)01^YOj{@n1&2NFkKz+%Nn>ZK(p>+UE?A#VqF;Myh>E(fzu^@Gz zdoe+J{h{S4NDOp-0Z1$sNerY8WFERYkQlN$(A@0fEgTG+k@SMr_cCwgU=Zbmlz$*Q zKx@>Lw{kGNK~g8d#J~U&Q-#iVgVafZw(D==V32~Yrviz|FflNI+;<Qv2HNikN{hm4 zxWIQcfWj0c1{ya5-M9G+8h#)#&^i#1I?#QAFm<5$ndu_z3{#=u2T})`V*%-%0u3vW z7^sZ|68nTC1{z-gi3LFY0a6EQ-+;u{A&FgMU|;}=@j%CmLFzzaAh#fkf!gT(`J4>X zp<w`02WlgM^qxf%1L;K;1C99?EaGBlg6=m2=>@Hgv|Gc)&@Bj=qXLP6_5*;-dkZ^n zk&%G`)V2hPsYCBf0I37@XF*~!pm!#K#6a^DAhBc6v*<u#pfVUFmLv(W12k^}y3>4u zGAje<{3K8~gTz30CEageXMo*f4-x~lzg{-5Gr-nlfy6-PK7rJM?h=CO1>FY$5(A|} zm>8)23K9d|2@Vqj&F6v41FeOIiA`f<U;wED)i*FP(Agg#b;8iJ0kRi#ClyExR4%~O zfyO>TVz9FYLFzzvt%1w~?Jb0<1Ksrp@-On-9jHGB(hIxS1*8{r9w<m1==^k;UeFjR zNbDyxU4hhr<~~7oyoRPBkQiuPBFMbINMbucXPGvzGZ;hD6-XUutO#V@3ut-)oj(ie zXMxTW2IVo3y&y4Ax_X<&1-`QtCI;%e%+%sy0NwQl69b(u`aO*cehwH&FQ`8VGLIA5 zCIpFr`XV6n%8|rC`3S5RNenb+4ANTy^#@2VXuS<c?;|8JQ2z*|*AnVCkh&KP3=AN> zZP2_A5(Ax^1=9NkNena}4$>RJ14)x0bs!AVTLPV90r5fo0Fd5CNMfMzeURRD&~`CM z9jN^P(t8e?k3eFe^Hf24ZJ_xGBnHY4Aia~3#J)q_ydIjjK<YsKOORe!QH1+I`+h)r zOQ2$){t@V03Q!*#v`!TiA0RQ%eX5{76KEb2CI&jI0yI|++FJk<>tkkM0I36&Q82N7 zX2|{v(7FPc80en9DV~UP*g<w|VrF0f&7Z^0eFcfFVP;?esXGO&2SH-9nIUTkK<hU^ zjYW_cDBeKhW}(o$1rj^U%)kKZBZBrBfZ9zUF;IC5avyA-2_%-y%)kKZ|E5CwTOhFl zW(I~Wo{S7tEDQ{wb`wY}n1zAik|!g>JQhd`8zkn<0`Xf9k{D>;1;{O+wec|j7DB@X zws!-hw}_d60klrxD3ZOPa~weKJB1`x3!1C6VPpWcV_@ci&b$SwlZU2pP#bp>69WV2 z%nevu03-%FO9LbZT3-Ot3lam3J(>PuVF1-ZFtM{t3=AMK(0Bt(>>LvV!>jKs44}3x zKC$zl^A|Z-89-y~Fm<5wl0bU-pz#K>_ZrkZ*!TuW3}nYr4pt01(8WM^NS@|kWiW<@ z3rO!9sGH{_iM@l0JwXzC54HC{0Wr|I8Vww*3`>y0^fOfN7bG#zS+Jn6S`MwdL2dzw zf&7as2C^6A4|IEBVxYDjNH6I8lAv!a4ELdBF=)<kA1mfw!b?ywSX_eCU1x=ye-4XF zkl0PA7%VP9Vz*c!_Y%Y65|`L*R><AGu($-Ny9d<^i%XE$Bd8cGE<s`-J3wcmquYTl z_7${t>kA?-L3)2c-3*IMkk~J%7%VP9V!xsG!on1n*dM4rU~vgj_aCYk7MCC~W;RIp z!Qu@j23qfpEC#X{<PUUvVPc@T1nC8xUj;gU1{Rl~W$yXR3=EI-*%@k~Wh_VxRIY)< zlw~1hF-UAHRNWdmgcxZ30cijGH+h5@sJ_4N&d%Vj1X-^KT1Nu9D;uQlwlYE;=zJH@ z84t{=kUa$;b)Y#r(EfK#RfIawo_RqJc7`4_F$E8H1_pJ=oG?f)s2vR2PybjAGQI&4 z1C^&B^HkIk=1qd$PZXg684CxggX#6xfRv{oF__*O4TN6UJzv^d4B&AKkUE%~&9xBf znxJ+p(PCf#-5ml_2eV_g76Sw5tYVND%#IUCdO>Huf&8nkjW7=+2J-J59R>!_C<#a} z%)ELX$bJcs7|gr_IuN~}ImmMy3=Bu_GlA!3LFFGz>;ak>=)SPu_n8<#_aY#x1MMvV z&FdnINj*R{uY&_}jvwrvZIB%boRIyapuLBn0TPf{u@FQZ><%uFm_8@u4p`8AzM%RN zv}O^sj=^jvBLgVC!t6DKng^;!U}E<;AbLUj*+6L=r1v;G1B2X7Muz#IdYA!nPYBGs zjnMiRWUn(P<X*{b&~g_f<^w%L5p-8E%nm=Oy)mqy(-IiK^Vl$dfX<kJsSARhmw1R3 z62BnxVCN+shL+D@F%F2m+0gP7B=&#<GCy4fZR>%=HgG`Bcx;2V^*~}9IUr{;F5-lY z7lFiJb}WXf1NF~AdksP3LtUUjYUtPvY>Wc54gut5kh*`+{ywO!2@?aIQ3x92fvr6U zsS9I;oZSy98)54DSQr>U>Rh08C`g?xD@2S18qOdw&^b0Bbz(?jptVV$ai1<|xPa7Q z6U$*`U;y2h3p$SuW-n+B6G$uz8gC%It*nqU{<V?J!zR`OH4oH&0Sy3x^uorQj94LM z39=YSJ<MKEI|$?tHE6vGQl|m+FKk{HB*qLCTY{wS2Q;i&k;J5+>J*{r0HpU8RNYb} zG0?f$ApcrG(*{T#sC@_$n*bF9wbwxBhk@F~pmG7^W{_An6Qu2kyw(&X2AX>UiGjwx zK<Z%gzo7Xmm|oDi>!A4=(0W18h%ZPTtQ`wFV-lt=8fpjV-b9!f=p0tiTnud90Hham z4mGGf4qMj(5(AxE4Qf+^#y((rLE}syF&k*Q0;!wN#J~WWKLU;U!PJ4yb_9um?(cw! zf#&Q$?M~QPiy*zAIXjRT?2I&!7$^)t^IM=WfawLD%M4Nno1+4$1KpJk5}OB2yC5;p zxFkpnwl)AH20AAO<Tub61~BtLbI=D}7#TqIAxsQZo`Lk1K+`TrFKGP}s2>0t%LDCu z1BrpkagaLDdUKdw(EJ0)zotlOJf97c-$3Wxg4BW1Mg>$1v@aeeRu2^e?J<CfrLsfx zg60@uVtG(8*qURIdHqnmpt&!Yx=Bzm(6|IlY&KL3v^NnZwh$_I0y>TfGH*3h47BeZ zrfxe_%pMx&Aa$VihP$RSGJwXRVd^fkF))C{K<n6GVnR?i!{%W@dL^M^pfiPG>aIie z8bZS!r0zCU479cbrcR%of#J+_Mur?Dbw*J0)**?3?pOkq2X~RgY@q63Z9<S8Zcy_; zdn{pgctX{|&SU|p3xtZn_LG3bf}vudb}vXTsP6<i%K?-&U}r#s#6aUnpuQhyeHv(t z8<d7%eLv8;E|^}>7!;^a2U=GJQwQr0!qNfAJkT0RkR7lyj6q_cF%*y(tUnDBt6+rm zr$KY|FgrkNl0oa>LHopEVxV>CAibb52z+9oz6Pia1I@3&)Pe4+0htGy^M#3l&L;qw z2O2wqiGkKQgT!F>PJrA8TF(y>1FiLkse`TE2bFIyG0>bLI1e&F+H0WjgXO_j&@>4$ z4|G-n$UIp61`-36dmu5;>8UXDKx0rKu@Gpv0a6EAe-9FiM-l^_H31TX?V$vztA~au zY&|eY40Kj6NFC^$7?2&HF?RHH01_i69e~sklMX=Y(9;1(3_Try#L&|LNDMt4fW*+# z0WLB0bO2I^o(@1_uyBU0fdq+x_Em$z3UnR`EUaMZ0JfhTqz;x2U~|kMF?{I&G)4k5 z50(x<V@xnHSUTu|#xKY`P(KyqmfJ{Tpu3hqVz4oIkUCg8P=J;tATd}v0Nr;8vjdh6 z+@R_}b6TJ?mO<vh$^(!XXumn3@&Kd`bjKd9@&I(^6v$qXy2;Qq2`djkVxYUAK=#7Q z1CSVKtQjN*TK53c3mR7j<zLX4DNGDhM}X9U_F3Q)1GOJO<pFGeEyxbgeix8=usx$7 zG0@sZka>5Y^$bW1w6+l>_6kW1H2w?{1J%PYd-p>92D)nuCI(6ypz;7TCJ5@kA(scB zJ<c$7pfPTcd6Cfg1=$Ol!vcv#A&G(7JRmVpzZ#|&bjBt~Y&(*=*-*E9L=pp?Jp)q5 zjTGmg^*bOjDX17|A1!Duvx9??0knq`WCutLbVk<#4#?hSm>6hU8l(=i2N5O)T6YRk z2Rio%CI-680i+Jp{)35u=K4YEKyyYgG0+}QkUG%Wyf86PJp@t*J6jy&7SK9rkegxY z1tbPa=O8gqI)v#ph29qj3JaJRC~br6fbDw%=>^3J$PU<ET96p1tOSXH&On0c1;q(S z40PTROw5ddfdM2o51KYWdO>@tL2d!f*TK|*)>?w>eTk$Fv{xFW7qq?}rVh0C8YBjq z*Mo_vL;V3eQwd}T=nTva9E=QF&^iSq22uwSLskbmWdLLz>^>KeI?#OvAoqdVl`uO% z;vjXfaW0TwL3^}8Yl=W?4M9r_K;Z<M*8u5--3<p*2NDCR1DOXB1D%x&%5R|kvoJA` zn?dS8^Cd7bkbgmTfc6J~=D?BdFoA{@$UKlbkY12Fm|jrY0EvO_h=+-R);oa2K=VE@ zF;JX?+yd&i!o)yn9Hb5wRv<gDiGkLfgZeI@vs+=~<FLL9XuUVgJkVK^AoC_d;{#+K zDF1-UmmNrAptH9?>R@M&fYgERg#?wIuz4Yn7-;+h)b9a})xzwEgQf%6TpLIoXulK4 zJkS{mFm<4_0zqZY7beJf4k%1vZ4g-h1Ed#Z9w_WV=7HLXAa$_#MJ`J~X&hw7XQZ+O zbT<S@FDTCOr45iekY12FnEPOBW|7kd=qzQBI?(zmm^#q<e2^WW^9#|_257w-vO17n zkUE$cXdV_M1}ax!VxaqfKw_YMJ}@!RIfJ0I0b7Rx3K!7a4@e#8EF_pZY+|6Z89`|S zbT%q_+JN;XLFR$R^+4vq+6*8u&^f`Nv;kYs4-(r3jbGS$J&+iv+ykYJzes5abk+_i zZ3rO62k1;lkR7nQDL{Hb<s(Q8biOXkAE14MptJ$ne~#Wq1da8;)Pc+cg+0hT^t1t5 z4-ZoZnzIJk0XuICG|sQh44Iz+mD3<~ATb@N7-(M!Ow5Owf#C%cEBM|;(D(sJ9cX<H zXdVYvpM%7FnHd-g`B@o2bHkwV1CW?GbPfo#1`}o;vO3T>AxIr)FZU#VRtC_zLS(UM z28bBUJeWGr9!g{}kUEeUX!r|c9_Vai&>RM+%m%IRL>2?>Ylpcd5VR(rnU%qg2{H~0 zQU@B#0Qn7cHYq5*fW$!TrOd1hurnq>VxV@xHhxwH(0mxoJQrpL29OviUxL~ZAa$Vi z8zA#Q=Rjjq2igvUtZpSVy@13(_vTDsWM#Mqt@lA<dCZV`u({B20bF7wP<5cY%VG9n z69b)NeTScw;SVQdtP^A&=&UnPm|lU7F@nU9)q$4zfy7|yUO?4><{Uu#3qj|G!O|5- z474^ABnC@YAh8HG28JIG5$Osfb_aR}4lG@P#3I=k7!>X!(iKPybp9^LJXpE{i6N^4 zr6G_QXssCNyc<}$f{8u5g-BO0F_1b?8Um#&m>5VMNDP#&Kw`h4=YYb}6|xvCU4g`6 z*%%l)9wE{dNDQ<W1>|N>S^}jjkk|`m$oZMDbOjRgW@BJja1)WPKw<$<F<80+iIqdc z3YM-wV#w-1=?WwUQwK{|ATd`q28IU@5a|je208~8G|vP}SGdGLcjSW9!O|5-9X2u0 zSe3*BM7jd0I{~`y;ub3dEM0-bkkx_G6-W%G4wkM!bslJK8K?{cwG%+;86*bU=SryG z15yXtuLCNdv5kWukAZ^ruY&9V)des+K>JrgVz9OGAoD=;@E|eJ-UgUD(0Qkzx&pKo z111I<%LS<etv$vk20H&7RKLOY)`RQ-&0B%S7(x3gVR}LL4S?)`jm?47?PY<SO|~D} z4g-mS_Nao!R6*xz!1RLdGyu5;)@B8%JIewY&jGEUgQ)|Z9|2MaT5|vs1D!_)5(BLV zfQijwfvko21}(2Z<}GJ|oLLD9N0_=+7Rb5>(0UK_x(u{V5vC4w7X-)-*m@d}d7ybP zkQf)VtOJRG&b$DLfzI}Z=>^^401^YuAHc*wb9W%IWk`A(q5g%<&w$JW&E0|2orbCd zwevvZtJWFJ450gNLG32czDUqrfS|jdKSB35g4&3n{sQP;X4u#lOm7HOFKACcXwN6; z-a^nl&#?1bLFzzj*V8hX89?Czax+K_biNNr40g5%Osp}3nE_c0w4W1n)*h(;4buzS z%L8(=Aasu`vYSDB*g<PfklhSAj|EvT$X<|Mm>6h(Cg^@-&>e3uF;M$2tegparaVjx zG{y|F_X9IzjuhG62h5N;G-P{W<LDs2f%MMGU}ga61<jFy^n&_ZpnJDLWh2ZE(0W|Z z*&QO#dJv=+)DH!@SsXgY0ulrDH8y83Gib0u+SDNPKz))k8O#jINa{d!6UfarY>;)% zAa$_#HDP050G)S->}FFWy`cUPNH6G~CD6Gi$a>GBsRQ{9qz=?a1F3`g?J86qs9gr? zyMWfw!qOV@I@;IJeS9EwpuLr#`*~hN^EtX0D6N6?g7!v&*5JbGMvyvCKjnfI8^dI1 z_@Rq|>PwK`YIX(&klydmJPlF@I^P;}FQFxpn~}vpbt6bG?5;v~G<6$U*%?53LG!gB z^FZf$oM&Zc(1Y%S1c`yhlR;vkNP0nik!mM42G|-okUC^B&^`i?y`VFhL2fR9&Y^+S zf!5B0>|M_e8Q%qo!R%dvqz-iM3rO8zb_NDv1_lOXbvuy6VD1C0qX4z*K<Z#(YS1}3 zkQnIv8qgkh&=pyr_0=Hv!S=XUa6{&BL1M5y?sK^zX$>R>TB{3cA6!EcgY9v@4qexW zZU?Bo1nGs@5yr#70271RQO(1^06L!yqz-1s79=s49b2L61VQ^+Kzq_x9p++y?Ii<= zf%c?dI?M%LR|T3&0PQUUjYoVt%*6m&mkG+NAa$TI2bCjS450Z*P?`j(1C>oKN4OYZ z>r_GNK<8M3?ne!SrZtcl=o}G{UN1Jt8WCi@hoJEWQU~fc<{jZ;ILpBRx}1OkBnEOz z<q<9h(7FbgTR`*NAa!QkkToL6>TIB43K9eLqd<3E@8pK85dobg4(e-w?z-NEqz-gX zBglQM0+2O#$nMjFo`C~04>WcHQfCHTLx8NV3A%m~qz*J62~u}J2(pF%S=~$M`bm&F z&|D2jU70XsV+yjmeCV1=kUG#hN07SzB9QVAS)B%Sy$?toXni(F-4anq`G>6TERs6V zxBw`QvZW#GOF()->&#~z;bJg_mL(uD(B_=`%Q+bYki<ayc0lo=09{iAQU^NEZOsub z26s6~ngrPk%F}0#a4{4>*BOD-fyyh8UJV6=y`XXubjHj(1xQ?i&R_x6&D6jkx8gMi zve^lbl1C1p@&Jzl;-x?x5aLyjER~>whYCLK1T8$G+#D(y!vG$`2en-gPJ(VKV_*O+ z#RCg30GD(O3>+{K4$w#$ND9JmU|;}W#ts$%Wk}E^C*T`cKnw?%2!z4Fz~ImY5{EEA z^*>kyG+4sG;Lr`a1O{|_43Zj<xeN>r9So54>CnLd)ztwrrvudF1{v6K0Hy}Ci3uzM zVT3qzEC5S|I6xIc7@#={hX$DTh6!Lb3=R#TekDkI!vv^Z6Ob98OzF@t1Ev^su?|@A z92T$}9U4GOlo=QpoM394U?w}lL>yrv4h^8b8c6#AID-RXGDr~Yd4~gB5D|wXs0;xH zkO;^*U<O!`!x1isn-3ruplS_d+7VQS10z&1gaJ<DAOpddWr7%>;9+oR0Nqvt(%#Sr zG1;LTbf+pvqzlIAc7VkoXlpP?svE)xap;Czq!j{-+z^NE2AE>d{p+BUjbU+`fQVB8 zh+P34P(}fakpN>rMFbci4AAyLaAFf+VBlB*xgH3_00ki<EIqR@Fo4<^puQ1E9FD<$ z2lJpT$elwV5fBR;5DbhApm|Y{7&Z)%1+B7S0INf=K&m;wVqg{{%oGmB21an4fpQqs zUJgdkoG(Njl*I~C2NGdmh1kIWHX3Y70}}%a++-F7uo!3qtpaGp0!R-FgcZQR0F?!? z7{D<MRtK7ags6kESfT0|;I@I!qK25P!O#G=t$_(5#=rn#ffFG^1Ki{WCeYkE$YeN+ z6{HR%0y7yj`veIq5K94WvH}atWDpAyFbZ&!6<Xl3FcvFF9g@i);~2mugINM_lR+#< zTnaEWfEv^c;C>>+3I+iIn8^YHpcB$TCc;^)a9MCHfTI9x2ZY50w}Z(6W(t!-188Cb zatA%s4kicCon;`C;Vf3T4zS6P*af>DY_tR1WCsqI$qpP1ps7?)K7)sg0|#j30?1@I zixsW|><);}L2Xn}pAZydiiyk&u<{?&?*#Q7K;sJ5(EcAt9VnOr5}Cn$6wo+4NF8X* zAEXX+hAqrIP(M07k(mKBpASmaAa$VrEJz)wT?$hN>hIJgGK25E0OfIzI?(!3kUCXp z9}{FRXe0F0L}muiI4G#v1gQh{dqL_zd(vR$fz~OnNn~a?4w}<uU|;~L1NB8f>h>X- z2O0x9oXE@oS|<!zlL=A>>K}vDfzA_xnFk63&^Q2SoiJ#>Do7ou9|KYcTgwA-A1Dky zCNeXC=50V>2~r1|*955p-QNW>4-^LMNz4rYnILU#kUCH;3sMK#R{>K83Io|BW`+P} zNLw4E4s>oRNF8W=AEpiz1|~_&44}C>ke@*6lo%KoK<Yqu{le6N!oWL;nc)pHq^%87 z2b$XjsRNCVz|?`lASsEN0kr-D<OYyBP@e{*4z`93<X=!2R3<SqfX3B8W`op$&d>&_ z1C2q#%manNgd}DL(4D6sJs@?Uu?vv8<Ir|G$UIOOfX21tKzG(b;|(^hB?KK41E~Xr z!M-GB2GAHA$e$qdKy&CI^AeEMf#hx^F*EFCWncigAEXX61_M&J4oMv-4BjO%gU@CH zxeKHYw4NKJjtM#r0J0Zk21_zCLn<5OG=7je&=@dCT^Ljy=uEe(ObiU5v)@2#0zhE^ z69b+7hAak}w*!s0fad2w`3Tf628}I)#t>k45QFqOf$nRT<ba=#0TT0q-iHjDn*?QL zkY3oB32ZGRNL>Om0|V$>K#;jGw}9qPKw^c^FaW7bhpOX5@(1WlPSAOTKas?OnHd;B z=U3i=_JKiqL3`st=1D@wA3$QDH7Fpx%Fy{3kXRhlj$24#pmk~>b;qImBSGqzp=T|g zf{p`##5kd1%b@#dL1Lggz(MxPL-z}U#6WvUL1K52#6+R?#zOZHg4BWbc7xPCfcBL^ zVt=6LW*R~JyC5;pJSxb)4}~H9KadzB)I3!rv42p#&ymFRnIY+*4cd<d=>^@70P^oV zBr(ug3Lr60=-ytCI?$P5ATbx{-d>PcE@+-bij85t1f<UjigQrBg3`-H355GV`)WXb zdy6C{4Ry1mB0`-!G)y-_`_v#i)SzM$Wf1Drp?XcB{b!ImMX1<nXkQs52AZ=4*=q~k zlLHb1%?E@0;RNkJgTx*}!%9#IVaHRb*k2?u(0QYvaYhjpggVgPG0^zoF(k2%ObiU5 zals1cJ{^!9pmG!>wgO4)D-#0)XdLf8k{D=z8c0k|4PggpKOX2z#@lKP43-QG44^Zk zLA59*29>s`qHvLr(3Pt|l^FwQhY~^!sK!MV0m*@NC4lk_hzGhk4lDxUfXN0lb3pAi zG;>%O(A6+FfbTN_8vrE|Fhsy=8Zto>flxI8=xP)&L^Lo(z~(?r08<<oA{rRBXJ8Rw zL-l(D7BvcJE^Nra6oHI8fn5S78ZtQ0b!A}}Sq54N2G#><PQjRz&<zN?W`NEb0GW&t zJ`R{7=)Pdka6$70gN75D2nQAsbdv=zVo*Q>T@3>VMl5q&K(mX1<3a#xd@*nYpo=g# zqUln=B7$MN0J;bxrU*s~XJJ53Q7jm07#h$`X2KM~(8b8a%)-jX&cVsW&BM#bFCZi$ zDkd%=DJ3l@ub`x=rmmr-V`OS(VQJ&&<mw+78j+OT);DSD%q6QX-MIVoKLZ;>1)~Hr ziy)&AqZqT8sVu(&qbiFAqlKY^lBZB8V<>B=XlQ6EQ!C>Hrb#MO*k`cMs9(jjYSm?_ z8;lR^|FQm4{QsZfA2S0x8zTc}0}F##G!KJ{AtQsM$RY;MNh%DXp^OZnp`i>-4J-_; z69pJ%{I6$Nuu6;JA0rdPzyHh(3Z9cxLPGyD7cw$1b{-XDo}}W*G)cvC(yCPqOsiJ? zWB&jDKmY&#pcVoH18B_;14Ba{sEw`SFY^S{QSs7TIt4WE1JVO(%g^}#|3Bz1!Bwk5 zKwHZhR;^kEx?X_c-((fg@qY{<p%6yy?QOZYw=pmjurMi1kYoV4F%;_7(9lp($bgN* zByLXz29HTW8H}M2zzU&4LqYbbcurygxebIt?Ich>1i1}F!_<M?2;zg>1yToc8;Ji8 z#DTgM#D{YKgU*)$@da5L8o?VI89=K}85mkY3-dq{5SKAP?FTslEDF;Pqha>J_%M6H zioty*m<)u5xf7Q>%v~_~2M}!t5;9N%lV(6jAXsoo1_mVet9T;(0&2-ZR3V8W%Y)+( zq~gUpiU6p)1TqFEhQ%i?1+e(VNuviSnn5`A5h+pqe((2t<P(gy$=3_AmlqMM<gxyc zrwy(PG;f9$q$pfM@ds+}plCqnbTEUOzv!ZHKCbjhk~nA}0&XH2L)8;jM8N(0|3Bzv zTr_p4+y?Y;bp|y$QPqHXN(=)FZ3YDZ2L)C_33SLbRK~%DNp4U8_dXfRq9fp%fNA6c zaI7F_ic$7x2#kin$O-{M^*gwb7$rtSU^E1VX$WxC!%MvRpkDqet@==3Nbf0FH#F2# zNlB@mg_YISrNx8Am8ILjph6>|p5Z4u=LC&~%%CgGzc@2kSU|e}6^*J)jExS}2Vq9) zH!&CpEMRI>;&5)9%EZmc)cBUYUXTG5)}uF}>s=U7VSNV!Dy$b^M1}PVOsKHlfdv)T zH*laraRx!f#;|%p&I%Mz{{a;g)IUT8iS>t3fpdL3DqyeYM+5cf?cRFyc6&YC5i*uV zPfiHB*9!@tf_eosQ15^S>Kjl2Lp>uJs7G(^*0Z32dJZ&DkLn5m0s6Qj7u6Y!6CBtX z8S2sca`lL`3r=ytq4jB@Q&+9Za)E>T4kS>peu75*#K|BKJaGa92-GX0fqEx2P~U<I zSn4^^K)nzes8>M)^&V)T9%4P5q=P#`QoWe!JtwpXty;BW)rwUUR93D6<#y1>BqYOw zhU1DrVXEpmNyQP=2fwu~H@ZIeb{JUR6-<V*)<cJqz{SO?RjY(x0w5jWaj1GQ3Fl5y zsh{M5#Pb9Rz?FcF(W-|Sjv(v7;t*odDu_!FvLF_+3XnSdW~}=0|37+yAz7ea#gi!C z!aWCOU}}+pj5unQ=`n&wBKMlNnW|rpNCG8rL`5UO@a#>+r>uLywq-Vzrtd9Zfwm+w zFfeSe>wUX*!S`buK-=&c7#Jo%hZQ&2y9C+Y7y5X}e*UqF3!JkK+uvh8JuSuUxc&Ny zKG~y%XQ1jX*hfxQnxf))$=(<YF59#Gnm%*p%$rd82lkUgLEx!91H*%VPI}Mm84P}b z>9f}LY4a95gQ|ODp9Ti+p!^T^vu1+8N2s}<?f*4tr@D)Nhsyth(y*iJMWDk+Dp1-A zN=G`pw*=YO2IYSM)2V0ALf60;Kn8Oe9ykZQe{}7Ml(!2w`~QCr8=h?dd&~Ipeuz2G z_Cw|WgTfcYhJ`U`EeVJXo8thjK>_hq63-@sh8{r}#7Cz=Vjyhr95TQGYe&P>f#g6K zW-hWkXlxrc%nMRK%4dMC0);GX8f@VKnhycR6DU4GG%{bl8{}G$3<QJX86*O_I|w9# zjSrqS21!EJiGlM!lnZ8q%tz*<t4Ehd=Y!OP)>wed0ND@1AT|ht*y!#<9>fR9gZ6JR zfnCA?CP92g(1bM9y&yg-<bDdUJi30+S}TxUAPh1OmZz}sSLA|jm1kf8tqlUnf0+T{ z8-h3t3=C{$5I$&48b~NJ2f_!fIRf!_1VZ={APxfqLq!pU4_ZS65}N1@;luLbLRJVL z6ptYJOPmlsEDR^=LHM9OKOlKR_!b95J!~A^rv<_XoxK6ltBS^FsfWme)=`1v3E{>j zh<ebvC6L;h2@pPLJrszqt`FhE-1|Nm!UwJK0?DJ}8Cei{Soj5Hqw*OhLijNAPZvP= zu<%^30pY`z6QJ{dE``X$))=DmkA^_xL2KkdZbO$x=cB7PSAysV?ePNXN9Sv%K;&Wm zub2zr!>0TvPJ-}3>%>6%<BK7DnE%lEg=pcUoCr}5S`P=(pOFXQ!_x2mP6!{g#}6cr z&Tl}oj}Tuh17aR%T^-0go>~YWHp7SRK6LlEp@oNXKSV!he;~-bO@$CX%>TS-eC_2B zdC(nMAocyS5I(330P(%h^3QCv{Dm&>QU%cuT9XITe?{8`bmbqzG|?xZ>n0g4OqVPL z<;{5vtH9e_QqbZHoqroGzyCqw+YtzFjdF;4Kx+v>?zy%M!iSIy4CwKP&VNw@Q3;EW zqBIB}7GDKz5I%TWG6Ms{3uyTTOD{n^5P8r#NRa*L=1UVuPw48=)BlEci1{%4Z=msI z(ZXlPOo)0|`Rk09fBsK~$b;5Tg4~N9ALx9B4v2b~{bsEYKCFBUvx4wpQ+Suq%KIOE z5P4X7MbCfsX!()a`Q=F&#C}-%K+ivf$`{=!5dE<5LQk*geDwT`?qBr$&9VSuK4>2~ zC_WCMxp((Gh&<@b9+12>ntJr~yDSu<9#%fHq2&()wDRYn1VlY(Z!XAubo0s@Ao8&K zZZ;afD;gpXTH_4T{}fGLEgd2c%TJW@f1%|!UbOZBKU#fjlLWCJR-d4&Z`Ogx!`eXT z@(0lTw-k-fOn}damOt7YA@;%AROs@A_}?NS`a$cyLE$ax3*m#-z=QY=(DEC^#)eDK z^rNdmFaOc`j%<aXtEL$o#TdVX%J~&bAofE$b`0LLAbeQ)Q-j8Tj+WmiL)(j>^A$jD zS=|lM537Gm>L7er`TPi){w+X?85kHy<x|@{Qte;V3-J%EzOY^d;e+;XfV}hqt-X0x z8zK)&pBtMYd{}#ODi?&$3et?g=-X7`{N%)(9EG%^#N1SclKgyyoW!DZ(6tP3ouD`c zaXx@L+5i9lKhO)FW(Ns@G4<s^r>cRC1{0X(Qz}oM`(f+47Az!BKe^!t>xaPN6Vw+3 z2Mm;eg--&J@x#CbS``S@3_Xto#Dlp{VK;txLiz{0JnhpjdFg{t`g#G4Uj;7w<~w9l zCXXxrKxqVw38Wuf`eEq{m-|3t7$Ea;$;0#$GM`*|Sol9!j6M8d;eX%&=%gKxOCcDR z|0Y1=$KfJ&{V?|@Ov0YOc0lzrtiWHs5egq%@(ZBm<C2HDk5YMZ!ygiwpaCiD;RACY zA@gy$pVIUN3%>>Du*WaVe1&A}@dry^3bEMbVgAFVA141`7XI*gFax_hEPXw=i`{;> z`x3Cr!}Z_8E)UBe3GvwFZ$Q)EfhpMKVfq&cV3&uxuNu2NEPN8CV)s8G`5#dCG34Tp zUtHw_EdCib;@8ixi-7!L?D8=8<4WJK{G;H9Uq8bu?BN6R{{w&Q`eFWiz=>TRrXN@M z5t82k3;$Qx%|8H@PgpcC^04%`AdW!#1Mj+k#Rg>l1H`L<x_`kl?D{W2<rNNNmxtM( z5QAMFmOgOBFD!pG@Z#7107w1-`2max)&Drs7a{i%l83pUfepL+Ve$%`_~jq4W0!~7 zpFp5|O_+&2|Ez$<-vfK>?E_f<^nn}p^b5CtI(GA6^0?v`R=zr{#qK{?_#D6yK5+AK z#4l)nJShHgl|L~34|=e>A7;M;4}SMK2x6BfWIwU;xXLGD_2cqC%zq6W*xe74f6$0s z9+v+b-eH%A>0f{&{lm&<T<#+zPpEvRR37Gj1q1B<1EnnxPT<3yKM2`h0L_06x5(3f z0;(TZ`3y_nxZ0l}w}UXQ`WF`dgya)!u)7}?eh&<=%fsB4fTR9_%j0Mt!u)@L4}1K; z%a@7R<zePCJjI{?(bGRD%s|*?6LiK?0K7B=Bm~B={uSK+v$2~Gs~;cWXurbzhbw+z z<~!i19|)E2gzSffkHdBB?uXfr9zHPt!}Q}S{|U*%%unFL9)Ga@YeES2`U_@$!ZiHu zU%-vsd|3Y}p$xnE2cQdi6s}=U->~*!LN9jvVeTV$d<Paj4MO<ckE{O&E58%ku<M7V z4~0+I-A`+ISokP>$8JBYe8N?}5h{O&yF6^Zvmp$B_~DX=h5v(2?D`v^^-lwi^bbp) zxW=Erg(U+61FhuY?(aj*pGB!Tsfop@#rj5iCVB?C2D<4M<_2l0#ulc=#)hy-Oqk<9 zG_*jwg|^Os19UhHXcC=5`G!bH_&x}Qlr!zK?|`oHVE7;iUBMC!l|KT>r~M*_LC0w` ze2_zxUr-OxU$#5>Imp=)n4t1eQ2jR``a?X#mV)FBxS{e;^BII8>h5j(0A8B2As%vK z9n5_S5)l3I6-MzO{S5}7Q|%ZS7@+zU(jfAEG9H;A`3E)-d06^V5P_Kg-bn;>ye`9q z=MZ@YsQVObA@YlO82^FvFHi?7V}R*@0M)OkBMSCtf+6VUUIw^4gBV1=$d?PCV_O*< zazLkMBjgu2K;*x7zW`^A4K<+KVi_13)F9#sQ2p23K_^i&FkE1VnjZm?e*pF0`W!3J z6<`b(vY_(N{FP7wQK!779dv{@LxUpJd|3RhhsZBEGX<O=C(MJm59a>|Xz~FIpz<*N zlfd3)aM}79bhQ-2fk-s-(c~LkA@XqZ(d0k4L*=3I_W(`a!3QD_3x5S?i2JW(&jANe zf(xqr1E~LRtm*_^5ySAo9#uY}6r!K!@pjM^0Sp%$P~{yIA@Yu4pwoyM7#ws^(`P~y z#Qy<%n7~E<0}DS;GJ(hc0VRljw+LIX!3m|Ho0pK{{|7kO8N7}~g05?02)GYT-_ZDD z_z98kzQ+V!<E-!iDi00+1HF*+J$ok?=*VOS27c7^>0kn}e`Y)nIAKrVfy5sy{2nZa z$nQyc`5)x)3;Jm86M)EvPj-j`$qUGUy#Zp<6ob}Bf-G==mglhc2(10c@DSo}kQ!_l zrXSWGfa!;ozY1vOFS;2E;voLURsIQxLDK=cMks$lB2@oPh&$Pj2!gJlVmPo45`PJ6 zAT(4t1_jrj1~KOl??lkmN(>2e!O_UDVJ3ve&<GV!NP_5lkPe{>xvqnkpFZG#q>l-l z5E`l+gHlL<=v&|jq50%*{sbv1aD<dE0#F(xh7H5*M=L*I<;#TmkaUPmFGvigA6NQ5 z08Qs0wb<~2WQe;NVjwijejl*^4=_R9&kUil=>>_w^fy5D2R0rD*Do7j`dA3)hlRfx z#Qy8I%s+t44KRS@uLV#VB!&&6r(alm5|(~pd~A9_Vle%%{-%N)Bpt%mgTV4XNG&!D z(+}&<EP(n4-v7vllzZ6pg2Z6@Vfha>o&s|}j1N+a4O2@$d^`g>p9^arCQOFJ3pTr{ zAqI0ltUvQ00uo-Z_;5G`i4R<Mq3eguXTier0owc*x;ZfYF#8@r$3tQ5Uj?-B2}1fC z`XTNGS8@yt3^4bg>x1!O_QU;u2BIHk{{tu=rXHP!>4(i%C^$muL70CMCP2(X*9YUn z^uxmoI$i)ve-EI1n0j;?rXS|sgiVn20@sf=K7>m@%)PMm4m0lo+W0Ll{V@MCK<D#d z<~^89od4nB4^4kC_a~r@|KPG8K3@sd4+~F)Nu=4Ia1f#%mVVIZzi`<PbH9QtB>Z9i zXCTu5F!#d7dtm;D@zL#t@nP`~vkyJ~(8nKP`d~CnKg_={{jmKQr0R#M2X*a0cEHR7 zv9V#8{jl=x!5m2V!OHIkMUe6cn_iF@Oh2ss^*|ny|1zNMF$G~re1g<s!!Z4@@Ov;9 zVm~bX!~BCyFGvigKLBbUto;TXzglnz;vSG%Y#62=9^cUUXk6nf=w?t$Kg?X%cmgc` zVSJc8It{ZQCJs|S)bzvhFU<Y0_=oXf{zIo>`3AQC2s)g>z{m$#mkC?H2TdN}z6-J$ z==x!NSh&LYFmsXh4hMEZ2PD5Ktbx$8L%Ts&Ni%G?3+;E@gU}10G`gQ*`VT<$dk9Pb zZxvFw1JPFir5Rx6p&9U?9%64o282#MfAK6xNkA>6-(diy6`(Xo4jIGrKY;4LWzszx zB>$ieqW=Jt-T<XRa>y8_KOqxhzs1R0U`9bRM85%)eoznLBkKjRVfr6H^>YMxgRcB# zc+dt>e*j8PXoc`WdXO<pe?k`6T88qdIpD3W2l^oD8=&-rP6!`aFNh7({{X80-!WD2 z_*uXVh<X7ieP9xV57L8-VfquYA@)Dq-3K0+C|CqhZvdqO=0f<$dO>WM{s&O~nt2;8 zg2WwGK-EL(hUHK`ND>Ld^e5y%?C0{0e*uzSuo0rZ0ZKb;fbc<b$QY*o0aX8AxsPCl z8+L*zh6zww0ZJq51+iiJ6LKN;b3f1B2@*fB2co|LN<Sc={{dA0#g}oQE07sJ?1$*z z0HqC}G{|gZ47WcIV!!J9IM7w^3>!{D^j|mup#z{avR)7yrvCv{zgz4n@E-05mm&HN zK<R)B5I#r`GKT3-$cNZ3muT=5Bwug?qTT>X3tWZpL2}3#rvCv{f9-1p@KX7Jdl2;s zw;}X`TM!yqFNh7(pHKj?f2~Fb<apJW5cLOMKxhXj4bp>*Vfr6H^&i!e0bO~`-~dSm z3<6NP;RD1RWW69Zto(XV46(mmOK~ko{KHq!&4UaK4BsI10VoZUL&k9ZH4yz}Z})<? z#81crXCsD$d<eY&%171<V#D+&G(hxc6sbW545}gS4S>=QpfpGiGKT3t0BvX8in<2g zYIxx@#N7&CAoK?)jjR{MhOKvp^?zaG1+exYtUU$m-^2JIy&w$J4{I;N<YDbE7$4R@ zd9VYuJqFVcQxCHrrXI$JwGS4kqP8bt`eE`g_3-vQbbSrHeFx=(+z!GIx**}+&<JVg z9_Z``kH0IdfR0~6=?BXp{0&e(NG}M(?1#5^uRzR$tv^$M@?q`U11qt+ALic#CP?_e z{FA^BQ4ebmp|2-{*}nifp9|B!0?K~?UEc$r@BIrg59D?bhUtgd4_i+FvmZ7;4znM| z2k8Z2n0}agQo|pnAC}%=`eEq}#)qXZg+fR?f$Rohn0}Z%!%s-~!~Fy0!_xzl57Ik| z8Nk<Xfx^K9+CYYdcLbCV%fGPn4dcVoH~Rh@kXgtW)-Kn8ng=uA0*w!|A7&oRK8FpE z{D5pGCi?;OT$B$`n!y2d`buc%QF{oz)Lsw_8tuh%4vOU*46~P7z$mqVQ431{f}R6| zX)?_H7ohnG=Klv!KFqzagQj8S8>~M}sQ<a(I3&F)bVKrG5}(^TkSh|VLB{PJpmYF~ zW|#pH|1cdw7eHx{9&Gr)DTw(CBq8QI>3;%U`^iv{3oXx}^a3ay0Hr5D=?736o7o^S zSopy58*ID*Rvy6ku>1$(!^&eAA7mCb3^N~=K49u$>9YYkKLbmT4GfU-0XF}$zzyPW zY-WSRVCK`>{V?+%K-crY!l&T?n){&j6Ks7XEWd!<iVefuuK-Pt4XhCV2f+AT5Pk!c zpAZ1CPXL<#9)v^q*vtlr!OVxH{{-mx46gKlfDK}w0n~hj5aP^-wLf6_3sxV%@)xXp zPnZWu&+zcf9~|bx+yjd*Sp35Hu=Ee(!_q(c`XEr4BV$<j)5?5gyM`hgHckT@pMmjV zG%WnkX>@s*e)KR<V1cBs2YVs(!V3wY>!=tC1R&+_11KFJ2;m=q(h5Ql{sbt^APnK- zGXKCni1~r)x4}opT!5-~5P|610HqZ~A^Zj?{Q*iRh(W~B%^&3tYzV;i5obUT#7|&_ z#53%Ge0cc=m1p39l%E%%`~@Wt{s(CJy&x2#ALc8V`3lhT7FK@3%3qlIu<`K+(DD;j z-on}|u>Kc%dnMZwGL8y6{|RO<jQ$5bzX_JVVB#=3mItCAHVz9DhtY)0zb^#Q4;zPt z>4VV|Od#^G^Q~awF#5eHL>`y^*U}Jq*m*oKeK4Af9U>1aFJR(>g-*zWqz8oxNIH4o zXuKcfHUSUFx`_u+dVv#!uiy@$FW5uq4~`I80ZJc$iQ7TMKS22gP(H|Pd>D5A1+Max zkUXj34IV6IU|=`_%^$G(^#+s=%MUNm_&=b0g<p_xgSFRT{S8?Dfbn7VIgAergOh0I zdBMbC^g9CiW4|sWeL+{_!?eL^Li%Cp8rEKdwU1%_XBZ#WeuD8~`5nfGwJ%_NxPPJR zAz<wnm^`fg0^`FSjK&!3?laVcgg@*&HZ<omv_sEx!Iho~$v?A#m=C(c72RwQUtAa> z4+|%d7(R?Xfd#pd3ZVmfMHO5CR4u?cu=|#f1VHl0W<dA|TcCWn0E`b4fb*dO2tGsr zi4PV)=7R)K`2Rt745El2xfH?#U&4i?gbz)C7fk?k6&aH9|KPjHkOUx?l_3P6x0k^M zVAq+!1mO3ZK?M*Oo<RhVZa@PIAYX|F5<s~l?f?J(sF$Yw|BrTy+J9I-umD<K!OAmO ze-6f<fF=(c4}{5YK$C}!2g2lG{W};R*1v=AzXmz}|Ns9mKCHa~<HO2N7$0^%3XBgc zpJ43~Sa}4Khm}7tKCHZfsfWZq7SaV;e$R!_*G?LPu2W`U*a=y8x#10jX4nCt1CBvx zgLe?R;VpzVI1Zs3PC#e@sQ!eL5Prca2)*Gngg)>ZLO(bIp$|aKJ#ZGnSAgnwI0xYu z?1In_4npV!M<MhEsJy~?2%iC}ZUU5VZ~-EI;RuBOupL4xKxqf4Jqg<&;^-j+D|cYy z!3%mI<7wok2Uz-mwMSum*!UNW4;x>A@nPu&#)q{}VSHHnf$`z(Rp|H*EIq;GVf8bN z4@+M#KCJ$O@nPu=#)s8^u=EA5@6g5@7eecA*f=wK_%0zJznp-46WYEEbo09i$oCPD z-$y`x0|EEVlZ4byu=DBA-4~6v&H`PY4SGHnuKvL>ZixA?ehj+)(*)!%5RhlWX+NY! zUxZe_uRt?T-v{D8*!gY?(9|cPsh4wrsE3^|w-QbLDYW=twt=XJ<<q5T>emZG<YDdH z!xa!d?EKHgXzIVBsdt_ZQ4d?syBtmZA+-3tIUAxLyh($Bfnf=n`cSm^{)X27T85^6 zCR+HshMq?XJI`@GntCfV^|@&0cdkHF|5pm)URe6HK?_e<e*>1Tc0&7;u<%s$fau57 zzlG@=rAI?xGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmkhXC}FOlD?g zW)5y%e(+cd6B7#yI|nBx7Z1OXu!tD=z92?M4jw*!0YPC=aS2IDX-`m~F)}eTGqbR= zva+#(ZmfiiPBSvGu&}T}06TjONF5UsSQ&J_g(m|f#=ywJ0?`Uqng<dCxrK?Dm6es9 zgM)*Us|q9r!k~#2b`CDkd{8%-&&<LCQp3f~&CSEZGaV!b!i<a{n?PP+o(1AFFfuZ; zva^HktK{b8<y`?1gQx-94YC-#V3UCnB+3NV%*x8T8KjPZfsu&=6b4*eAUnVl^B`3q zA45HO6r>L9X3))|AZuAzPJ+Z37{LN;Y#f{*H-k47F)%POgRdK9Wo2V$XMX@y2Nr@5 ztl-TX5WP%HOf0Ny9Gsl*!0JGPAV0HmaB^~T|AL5tTn(}v6gsTXJJ-M#gF>5ym5q%Z zJWL953dCz5Yq-H;U<1HvL9XTli!p*C4l)JC!^bBJ7GnSjvaz#+0)mrE10)6t14y*9 zf&8Hl5(Ax$4>OI0mDL0+2C)}pJ~JyDn>9#`k&zJ`25jJzz~c@T0~rTa$IQ&)0~P~$ zo{gQ8i-(7oUr;C>BnGkr6e!@-#?GDw76Zi_Gy$@6auvYEz%z4f;4}ml1BVDGK)JZM zxOqE3VvJ185YK|*mzQ@MSd0-AmMoxoKQ1mVo+V&0kooNF9H3Od%g?_RBF4<j0u2@} z9-hM>F(zhKkRo0_enDXoQPG<qF;G~6BLb8=IJlpI#GuK5734i$UfwStF-B%qPzK`U z<OF$`pBcPh1r%89oLpSo+&sLze1Z@$P@sYn0w{VVL1G{~Ai>2BO6f`vF;IR32QnxK z^+95w)B@4T3IZlzF;F50#|R53GQp?cKyok0VjhrVyus=iA<+ZMHEe9`As{hk4lWSj z;p68Q5D*Yd1&M)@6(|#dEMR40%La>q!W5z%MCOCUK=BAp1AGEP!lI(B5HWC?2WbUK zO#q33lRYHEv9hwWPX~)JfufCzn}-h!mV(7V7Q-+j^J<6~BiPlTM9<2~wh<%-766$C zvW1m(8%PWkQlPQ~oWwaf4}ioNnOWF4KrznC&o3Y-bQvTDHl7irnhjJs-GPXK5+KOk zV6kTqF|bMq!N~LySqzj7+1NQggT+AM!U8G`I5@d^c^P1nR1gzEu4Z8Yi-EErsGx)> z<pYU<QYk0_aB_lD2agm?3{vc}gYv5qNDSl%aE=2dFHTNvkQk`U2B~8Q0}d0A7%VG* z3M+mA0T+-MNG}pb76T<YW)>DUZ-_b&7aF7N?7?6$kl#QmKr`-KTybDAPy%FP1(msM zY;5eQ5HTbh7#Y(*V$gB~oYXkEcuPQHAXQ8(Y@ixIKuA=y3na$G3i1>vi-R%<-z<<A zBMT@VIJtOu1%yS!#5aP)m{~!InTwm350o{JL&R9vI5<Je2?PX%ghlUy#Xy;eor6~t z45WU5#Tc1cIk>oa#bsn=Wo6~WKoeo$5|@#YorhmYOhQJ%79<8rF5J9=LLy?~lG3tr zaUd}!7FG@}UVcGgQ3*+D8M!)$7#lmtT7Ixfnb{yQMkZE}7!RMIh?s<w%ubLP6AMU; zmrqbg1XS5xhKR9q@IV0{zu*gy7$Y-CAs06|C-Cuo1Bo$!A{dm@Aov$Zj0sdYaq{qh zT_YhO44<$A2R10jvPgi%K=mi6^y2_!Zf<3e7^o-(6;|B5`~rf4LS`T_P(A{e7~tUN zaR!S)0|rXDfW$!YhJ+~)WAuQS2l5=a9Ovfc<&Ol5fh`1OYEZ4ro&XgCWi_x9xwyD< zpkiQ^pc0gciMaqQ#=yV;N~WNSnv0vK7Agh_6;Qd)%Gv}KV*n*zumm%6D_9I{8YJyA zGqZGp#h{)AxssWgxfdn|)(5e;A1nsTlw3TZ5^xbnj0sc@v$An=fr?WBflVMWke#r+ z$<4!e2qXrow?KIxR0wf!aGU~(fvg2p7tG9{I*s!(Obk@KLo3&7a50d(A#S;WA_fv? zWV!_xgBr@n$aEJh#t3Q^g7Yt^<ai1a1Nokr8B#EDar1lviGk7|xCR9^Rk*nSg2bTV z0g5<Kg~kb9Pzn+Rmw%u_f?EtC1`=dt2Q@?m1jS83VywJ^;?hd$`UXZ;w$2^_9Uw6_ zZhjFNMKx`GQyWKDAHTa`F<t>NDMd9seRC@ZSKm-G&?F%%uaLN`f|{<WwVkVXP;fU$ z3{rN2yZ|aDIj4ifz+n$g_ne$uTwDu5Vu+>>$RnJqz+#{<L13^LxV&Zt)vWBGB4ry$ z9k`hTs-_?-l8%GKm_TI{$aGMx$;EXMBnCAK<Y`c9y9N>inFq<bVDctN45|)BA;chx zL6LGFi#k%pAf+~_!OX?;8e|?gtUwJeQ02(Y{S7Jxax16?1+`iJgT$CXg#;u>K_naU zf;ec}=Rk;oM8Nr#2P6hccHpKH4=*pjfRF-63{?Js+xxtr9*2-INDPz#LCF?e19Nb> zfW$z>6*w<|t2_>0kQf64sI&u#f*j2i4iaMo)jQzm=HlWK63zmNF))A%d=5}$0jlMz zz+#Z_1m#3f{nG#vgXSL=P<xM^oxL3-28sx9*8;M_Y$`|$5{ck?8`Qv`0}%s-3|I_g z-a?QVL@&tKAm1=828)5X5DXGr3Kjz?L|}Yk5Lbip5*yoU484quYr$fmIsn{|2PHu6 zT_7=#Mv#SI%*?VMEC%L)2~bcU1&M*$gkWDlg7q9o43hUj=^oS#;J6MF13MRDC@6w& zgTz4I0woV{#m~<E7$n93s`Eg-GEiy2&GP{)2Gb1Ez{vO!BnD!FTmmVh*}lWXKq&|m zvh18(OrXUBOl(~IA_yP`5(66tDgZ$)VPls?5(9N5Kt{`h#6UGX55J(Gkcf!5q@=Vp zNDOQ~NFUhKEcReAkPfIv*xA|LKw_XW0u<ShzA|qxObk>UfVHx+Mu5dYi5FxjBxS{b z#K4&iVMhW;4C-c>`w}5ypmfT`%g4_TYK#^^#K0=S*^`Z(y&6djEWyCQfLn|S)LH>o zJfH^0G_YP!oPit-_6+-6uo%oF2#qAh2x??;aB}gk0jUG!RZzjl$1flxA}W3aBnE0f zgBmrw{6e7Nf_o4#P=6NG3In%R-hjlwMuQ4pQ0tz9;|oZPk%<}9aRa9gPEO8$ATfCG zfr~_DM(~1vP<$|hDoh?eeqk{QuoyJ+g9=18HVy@d7)T+cFTl*gq5=^Er79*!tD2Qn z6C?(1+Ji<iKs`Sm9t)5d*nOaTmcWt8VgnKb*R!Ce1{-Kxfy)gf22%%d9<wJ%3^cp} z(FSg#g@DAEn8EEoej#CDP-@KpiGlTkod_DLU@w4(F|mO9o8Zz<NT>-c296_8ZxWo7 zI>2I}lmfMsnVGp8BnCDLR4{@X`D~n1Kw=<e5OEO6JOd;KVll#lfORfN4D1#J0Tu%- zdgTD+Q(kbqtp=+D2Okp?s90rV=hzGu19jFwtuBarwn4-ohJl>U#Kg1{AqFZvK!z~y z1Broo;D!X)Z%064;H=BS4(f*S@(BoD1Bo$$8p|A<5SQ@rJpqY9Y=DzsF;MdgTvUNN zNIbkBLF$-5H5O=~iVu`d#kfG1Xfwe(e&CTLagZ3yBv5)_<KR?;ih+Cvs?k9`c}=Jo zOeu&)h=BtZOlU#Wfzloe8yhD$?OQ;^Kw97!ix`s?NF5WnqX<`r!O$y7ttes8%gZk) zDN0E!No3H2kV(bGP*E@|Jzp=WG&3h9GcPS4BwmuL2Nz0V&`ZrpgJ>(thtMUJ1*tii zdD#qlnI(xy5LSAAab<2vViJR1dVWE2P9B&E6Dlq#0`pT+lS<R$6O)pPQp*_hs!+KQ z9{Bo9xEz!Rk%Bl6stdw_NaW-v!*zh!P~ptHRD?K~1Cb~wP0CBmO)Z8?A_U+HKz>9~ z02P2JNKZ+MFHSDXEGR*!K@o!}D@x2uN3sUSEzW=j2u6gV3MhPIU`Y7Jz_0*B|7KuF z_|Cwv;5!4ugYOIs3O^Va5`Hi+Ecn5|@ZbjngThY+hJ>FC3=4iTFg*Clz@YGpfg#}+ z1H*z}3=9u`F)%3nW?)bN>Hp2Z@BqaA!@%$WWG;yQ!@#iM4+De3Uj~MRzYGiu{xUE; z_{+ec@Q;BZ;U5FTf`1GQ5B@PQDEwz&Nchjdu;4!f!-M|}3<?a43<(U33=0?-86Ge& zGAJ-IG9)lEGAv+ZWO%^H$e_T)$dJIq$nb!PkwJl(ks*PZkzoNdBf|p_4H9EvWJq9P zWLUt$$nb!LkwJl#ks*PVkzoNVBf|q$Mg|2oMur47Mur7!j0_6wj0_3vj0_9d85th1 zF)}=0XJk;|U}Q+(U}R9>WMoL-WMo*t!N~A{gOOnYCnLiHPDTa=E=Gm~E=Gn0T#O74 zxEL7}xEUD|xEUE1a5FMI;AUh{;9+D);9+D~z{AM!fQOMmftQgXftQhC0WTxN0}$q8 zWKiH^WJus+WLN-V^D{Ce@G~+j;Adobz|Y8_u$!4-ffyshf+i-01E-l86eJlL5_U2( zJm6wsaM;Dnpdi4=@Sv52;lUSXh6U?c7#?u2FeC^tGAsaz3otS$2r@D#2s1JyXfrb? zC@?Z4C@?ZCP+(+upuotWAjim%AjinCAd`tfA&ZG2L70(Y0SIR?F(_m+F)YYtVrbaR z!tg+tkwL+Qi9tbxks(2ZkwGDciD5ww6N5r76T<=#MurC>j0_8MnHUsA85tDvm>3eK zGchEHGBPXx;XEdW2O#l$CWZz1ObiMIObiS<%nS-*j0_Jz>Og*zU}Sgz!jg;(3~o#e z3Coxm9GsXK7C136BnUDxED&U5Xt>S9pm2wY;lLdxh6jR-3=U~53=cS27!vL>F+BLn z%)sEx#E<~Or7R2woS7IH?lCba2r)7w2r)7&0MXN!7!;%!84{!z85T$}GCYuCWKfW1 zWJr)^WLR*Ii6Nn#g<*j-BSXVR7KQ}{ObibeGci1nW@LCE#K@rF!OWmAjhW$rEF;4L zIYx#Da*PZL@{9}#@{9}%<QW+rfUq(ngMtbpLxKt;!vYmXh6f;gpNT<1m60I<ghBDP zh>78W3lqZvNk)bPJ6RYUT$vaaxH2&~6tXZV$S^WI_{_p^0OWreMur6-J_s*jVo)e# zVmQFh%8&qZ(==v=1%*ru3bKq02_W~VGBP|+Wn@rLV`NBBV`NyM#>nshM5{A0JWyw3 zP|#pxP*7)NNC06CMur6%j0_JzVw#K$3p5!S9%wQ$C}=S<Bxo`+Bxo@*EYM<Pc%a3| zpr8#(GmH!iv>6#5XfrY>=rA%Q=rA%Y&|zeFaEOKBfes@>!viJ;g<>X#2TPb36m%IG z5|%PCG`KS{JaA`XSm4RbkN^^!!Nl-j1`|WVOeTg0AS}knkT8ph;lV5>hJ@Kn3=d{A zF(k}kVt6ozi9tb}ks)C&6T^eKObiM0m>3?+V`4~{&&2RxJ`+R20w#tB3z!%Z#2FbD zh%+)g5NBjy_|C$Ru!M<Wfetgn18x=u2190s1%8YS57sa-Jn&;=Q1EADNbqN5Sm4jd z@F0MR;ekIR!vO^r28S3H289MDh6N2w3<?2^3<+*b3=SSl3=2G%7#=KRVtAm-$e^Id z$grT8i6KFckwHO~m4U&Ni6Oz0iD7{rBf|qdMuvnL%nT2%voI{U1xkY~3<?ie7#=)g zVOa2#g(2Yu3xfh^QsM*)LxMgd!vcLqh6nnL3<?H}3<@)u84?T_85W#kVQ@Ih!tmfc z3&R4CcnK3j!zC7m2Ozc~BSV5ABf|m^E@5I&P-J9CP-J9ah-P6>aA#t0xX;9}K#`H* zfg&Trg6Yf*3QCL&3M*I`5<qkj6T<=}MurC<wlX6_f-)n+f+8k{1D;F_4-6R@6ug)i z9CVo(5|%SDC>Sv^G<Y#FD3mfWBp5L=EHGkZcmTpPnHdy}85t6c85tHBGcr5?(WOib z4?t>77#SXb^p-I(B$zNVB&=X!SO5|;VPsG+Wn@S&Wn@@j%E<5lgjX;zD3~!aB$zQW zEHGnacwolJpkU6(kYLWpu)v&=;Q@#)V`5OSU}Q+JU}RVTqE|98Jg{J7P_SfVP$*|& zNU&sNSYXM>@BqZOVq{Qw$i$G~#mumvoQc7~8x&W}3<*|@3=6Cn86H?MG8}lw#IV4d zi9x}dk>SBNW(EcyCWZuSMur6-{z@hWg$gEy2Ou^-3xk3UBSV4>Bg2AO%nS=`7#SYe zFfu6EGBPB9un!Z%f(j;v1-6U~4?z4?ObiNkj0_5uObiKjj0_7vY;R_U2X>4M556-q zDA+SHH0UuiDCjdYBs^kbH~^yU85s`vFflxs$ilF|o{`~!JtKpH10%zO4i<(42S$bk zl}ro^92glAW-~K9aA0Im@MU69aAagqsA6JBaAagy;K<1E0K|7<WLQwe#Gp{k#E{^` z$nanl6T^Zt%nS>h7#R{)Gci1HVq{QoW@K1U&BX9vH4}qE4HE;yV^Dd>$gsefk>LSI z?lBXCf(s)<0tg>qVOZe8$ne00kwL+gks-mAkzqj%s4QY+c;L#&py0;H(BR9&kl@D1 zu)vLx;ei_?LxKS_gMvFFLxMXa!vc3kh6nDTa*>fC!Gn=ufd?bQ0}n<91y4qX2Oxc( zj0_7vY)?>nV`ND1Vq{q0#mL~`$Hbse%fzt2kBNc7AC#UL85YzsF(}kAF)XNKVo>mA zWKgJQVo2}?<y$6(1O7}54o{dE9y|f%Q&7AzGBE66W_SQn=flX5;KRtUz=x6Hfe$F` z7#R|L85tJ%g51E!&=A1Hkg$e{q2VbL!-9Gy1_e;Lu#|~m0jNw00Oc)ah6MqP3=V-z z3=L<Q86E^MGCbJB%%Bj+$dC}o$gm&~R9~|&EC^&`co4|Qpb*5!kPyVkupo$$K_Qrt zAt9KNVL>n>!-F73h6lln3<@EP3<)8O3=2XS86Jc%GAM*HG9-jDGAKM_Vo+#gVptH$ z$gtoA6T^XLObiLnnHU&eFflv;$<JYCaCphYu%MBN!NCaRH)aNfFh+(4bC?+vnwS_8 z)-o|XFk)tCFlJ^*2xDYe0Fn!1WJmz{2Q;x0#KfS`%*3D&&d9K!nTcV64>LnT7YjoI zh!4V`GT<CDLxL|eLqZS}!vT<bMpg!eU?zr!S4<2I!AuMf!WkJ9A{ZGGA{ZGKL@+Wu zh+t$;h-73)h-73~5Xs2!Ad-<mA&QY9A&QY<K@=my0}w3>igRX$glI+vg=j{GgoR8D z4;C^pG=SO(5{wK9p!$3o69YplBZI;MMur3P85tTDFfu$?z{udRkda}*LPiFLMT`sy zix?RWEMjC(Sj@=Ku$YnI!D2=Rhb4>*3qTW}OF?xCBg28Ej0_6P7#SLtF)}<@#>n8X zoRNWH1tY_P<)HG1k>S7!Mh1nIj0_Dc85tg|WMpty#mKN=6(a+~YEb@QWH_*zkwIY% zBSXU)P~E`D;INjFVZmBP28MNv3<>KP84j#tWKdYo$k4E!k>SC5Mh1rsj0_7lFfuS~ zWMoL#$jESDBO`;tCPs#aO^gf=HZd|dY-VIwu$hs8VGAQe!WKq`16vpw6t*%lG;C#L zc(9d`!C@OC!-8#$3=G>D84|WLG91{>$e^%;k)dG+Bg2Coj0_Gt85tJrWMp92#mJDb zi;>~LE>Js<k)dHXBg2E;j0_HY7#SAqVPs&~%gB(hmyzMXUPcCmeT)nZ`xqG>>|<nb z*w4tYU_T=R!vRKygaeEW2M#bYC>&&DXgJ8o@ZcaLgTo<4h6RTh85j;TG9(;kWH@k` zkwM`IBSXUxMurDR7#SRnGBPYU%E-WQjFBPX7$d`hV~h+6#~B$Kjx#bmIL^r6aDtIx z!3jnNhLem82`3pD4xD6UP&mcN&~S>8;lU|J28Yv(3=2*(GBBKBWJox}$Z+5cBZI<O zMuvv7j0_LXGBP-vV`Nxxj*)@kJR?KGc}9i<=NTCkE-*4YxWLHZaFLN=!9_*}hD(eL z36~fd4qRenP`J#<&~TZN;lX7_28Sz*3=6I>GB8|aWJtKm$Z+5)BZI;<Muvt9j0_Fe z7#SX1V`Ol+&d9LfIwJ$a4Mv898;lGGZZI+^++<{ExXH-y;3gx3!!1UJ1-BR(7;ZB% zB;00XIB=VhLE#P~L&F_Lh6i^T86561GAy{u$iQ%qks;w8Bg27vj0_F;85thjXJl}A zz{s%R0V4y$Lq>*#hoJg|kwM`RBSXU@MurEE7#S2mY92E(EO^Yw!0?2TA>j!l!+|G^ z3<^&f85*84GCX+7$l&mdkzoO7qWw7|L&9@Lh6B$T85&+NGCX*}$l&mjkzv70Mh1pg zj0_2{7#R+{Vq{Qw&B)O3nvvnbYeohIkeWA)3=7^cGBCVlWJq|+$Z+5-BZI;_MuvuW zj0_LnF)}#3XJlCLo{@p!10zGi2S$bi9~c=Pd}L&B_{7Mt;1eSQ!)Hc@gwKo&2R<`0 zD12dLQ25Bm&;Y_;7#SXXVPtUl%E++bD<cELH%5kpZ;T8FzA-W=d}m~6_ztSe7#SRX zFfuIo!N|bylaV3eCnLjwpNtF&zZe-BelapU_{GTJ@SBlg!EZ(ehChr934a(F4*X$c zQ25Kp(D0X$;lW=<28Vx)3=94-GBEsSWJvhW$Z+64BZC416GH<76T<@rCI$yaCWZx! zOrU`>h6E-ih67AY3<}Il3=Pao3=f!@7#vub7#6TFF)*+)F(j}uF(|MxF&toJVrXDv zVtByD#Nfcr#IS&!iGhKGi6Mc5iQxbT6N3UL6GH<h6T<^eCI$yCCWZxEObiU%ObiL! zObiOKj0_2}j0_8685tfVGBPM6F)}2?F)}QOV`O*`$H<@%&&ZGv&&aSKo{`}}JR^fb z0wY600wcqM1V)Aj35*O1iHr;hiHr;jKzidC84{8h85SflGCW9PWKc+EWOx8FKbetX z0f?Q<$e@tI$dHi2$nYSAkzqj!BZERJBSS(eBg2AJMurEej0_5yj0_5Cj0_1NoXg0t zAe)gvA%l@2A%l@&K?Wnk0}!3Y$nYSIkwGDyks%?SkzqkPBg2DqMh1l}MuvneMur7h zj0_Jz`f?Z<5^@+B7UVE8Jjh{WP{?IucmTp#j0_6dj0_1NHF=B-2_T%w$nc;7)P`YX zNT_6Fc#y})ppehVkdV*Fuppn2;XytlgF*qQFUQD`0HO;R86FfcGAI->G9(l-GAt-$ zWOz`>$e>Wf$dFJ3YF9EcJSbvhP$*_(NGN7xSWwK!@SvEHL7{|^A)$nkVL=Ha!-En= z28B{ahJ;c^h6SaJ3=c{f85GJG84}7E85Wc=GCU{)weuJm63Q7F7L+qGJSb;mP^e&J zNT^_BSOCI#pmq`?!-GmtyNHn?p$gO%Vq|zw#mJyg4Qlf+G9*+pGCZheWKgJKWJst1 zwPhF?9@H>0DAY1CB-Dc17>o=LY8e?6>KGXk>KGXo)G;zVr~|b%7#SASGcqL9gZjXX z3=c9H84k2EGBC6;GB~s`GBmU?G8|}QWMF7#WOz^y>dP}RH1IGn9MEK9c)-KN;K0ko zuz;6|p`nA3!J(6pp`nwJ;Q(l14~Xt$WMJrGWN_$WWN7GOWH`{p$iUFe$l%b;$iUFU z$l%b!$k5Qk$Z!BecQY~^0Ezc9GC1@yGBoruG92h-WMJrHWN_#M_0O0X8u}O+8nl=g z9%wN!Fz_)kB=9jY9018{GchpqgZgPq3=a~R7#tFr7##W;85;T-84mP=+9sg>HWR}E zJ|+eQekO(l9VP~b35*N}beI?#_?Z|U@G~(u2rw}$5MW|p5CrwNnHU^Gm>3QSg8I)) z3=Kj|3=f1r{W~TG2Vo|L1;R`W3?fVn2_j4k2Sh-9ekO(nQ6`24T~I%tiD3a~VNNI$ zgM%0o!vZlT1_p6ZpAFPbXJT;BV`5N{0QI4m7!HUtF*r<MWMI%|Vo1;jwU?O~8YGw) z9!M}TB!n_CC>Ss?ECBgMl8K?gfQcbtBNM{|keDPBgM%Tczrw_Dz=(-K!I+7m!I+8R zfiV+<g9)fF!o<K}%EXXh%EWNM6x9D<VrVd9Vt8Q2#Nc4g#IV4ei6Oy)iQ#|+69a<< z6N7>!6GMX~6T<^bCI$y9CWZx8ObiUxObiJw%nS$Cg8FAn3<(#Q7#>_;Vo12i#E@{D znc;yjD?`IEW(J27%nS*am>3vbnHdyLGBZ55#KfR*m4$)f6f?tv$;=E3T$vdXE;BJK zn8M8P;4%|K!Zjv_1@bHm53VpVJOG&mqOUSBBwS@;aByR0NVv|#kT8{*;lVXfKbo20 zfg3YJgFR&YRbfUrc;HT9GK6-3(g{$y0ZKnu0+Cl(3ZWCA`W8Ut4?yV$P<jDWo?!|^ zy#kbe02NPwnzH~(KY-E-%OL6#p!5PL{QycUEQiWN=><^w0hCr)0hNc+3!wA^D6Oy( zDi5U>Kxqf4`x2n^gVhjq4N(39D187*E6jw*Cv1Sw51{5efXXk}1rbkxs$-Z6;VVFC z2PmBYr5m910w{d|N<V<o4AUU`4?yi(a1g>*SOuk_@(xfs0ZKPO=>;$v>Yf8oegafK z!#0RH2~he0RQ>@}y}~Sr`~sNz=@5PbR9ped2PY;528Q3@178?9Y(ZoFAVKJTz8^M& zI1CH{P=3P}2wy=Qa^G*pHV8if%D({R2S`KY8+JhC3!wZ5Q2qoc-vWF}Is?N3D1QZ% zzX8gZ*aguqAOkUP0hC_=<!gXX(PLov0Ojw1@)yWL)CWLL0cT*4gYb7i`3X?I!(NE_ z7ohwTP=10uM18<Mh<pQ-zX8gh0OfOl7vnN8EP(POp!^L`{st)j0F=*h0Ae140>u0d zDBl3e{{ZC&K=}>_A?hb6LDa7}1mPcm@&yh<_zo%%d5I$segTwU0Oc=$@-IO74^$!Q zcYqfTGcX9KLHG*CAo>|JA^aD|A$$cW-{S;??*QemfbtWxAnGST79BGbK>07A{0mTi z#wmz?18s=<3sC+9DBt2VME(JkzXQs5(1ED8I0KPS(1q{?z_&awFf`~v_&1>Z4^V!> zIjDMli2MmC|AGO8e*t`pB?E(l5rlu>0z`cPl&=83g@A#f0LpKG@+Uy~51{-FP`<+@ zi2e&u{tPIe!5Cux4=CRN$`7~<QJ(<iuYmFwK=~Y3Ao35O{0t~RzyxC62Pppllpk>w zqTaw1B7XwP4={u9S6qY07nno%6Rtz}3KkH)!VL)j1C+l3$}g~l$T!@C$RB|68E!%N z3RV#L8BqQNDBt2XM4rJ0BL4u&UjXG-+=0jo*g@nSz_(H|FfiCd_&=ch2T(o-_*8xd zh6fH1`3F#bgCm4L0kW8#A;1a3Z+HkX|9~rmuki%J-{1z}LvATxU=Z+x@DDtL$UAsL z_yXWtBp4VPp!^Ofe?uTdeh2s#PzHtzK@fh$8;JgdU<jY%ErkC8%CCU(3&J4s8Sf$T z8=(9LP<}!zME=1ih`c~Ng#Y6+gkJ#VH++HcCqVfRp!^L`zQ<RH{DuUG{ufX_Ln4G9 z@C_pWAPK^^_zvL<q(JyTVEj}FA2bIDQt==i!ao4MrICR_Ap^n>fNlubkO|>~<^n<L z3$h^m9lt?528Mua2tVQvgfEZ-;d6j*MP*<}$c6BKK=~UAA$*7b5cLT~5dHxu{{fWm z!2nvs&cMJ>43S>}<r|bj_yy3-2?iAqeg_joy+H$n|A7U<S7?FoCD<T*hBgRa1G15U zp#jR@0Oe1B@+CMR>Ki&C>I1kS{0~t64=6vO3nIS&x(VVzFNANw3sLXT2jO!-HbgK? z=!fucK=~h_{2BZZ^$Vsz<X;Fv_!Fi=_y>d_{Dx@|et<BPKOMps5P|RoW<dC$c|1@E zH$eHIxjYb`VJ1{w6rz3sl&>KM;XBNN$nSviFF^Sop!pdF28M*$5cwNWKEoUcKSKhd zpJ6V9&jFhIV_;y|0Oc=$@+ZuL$XiH3)H}?F@Gn657ohwIX{h`Hi2MsEf5Acs-vGLq z<N=g_0m@&n2qM2i4yu0%gb$k61NmQIDTME!0Fl1{<^O>44=jVouK>-@FfcF_tbp)m zC_&UStc372lp*{DQ2qrNe+@+5KouS4NZ**Z`5ZDIcS7<`y9d<zY8?+#NhC>j( z0cgI7fq`MdVF*9M03!bZ%D(~S2ONROI~YRbH$eFtp!|lT5cvQji2MgAU&0u|PdE;d zSAcA=VPH4`;qQR*6;4C=p!pt9_$5I30_ITtXCU$#77+diC?7QE15$tBJVgG66+}MZ z0)#&Uym^O#!Qc{v|H1|$UvLG&pJ4~#f4BzW|8Rit8*W1Q6PzIY1Ggc34i^Z2!$Sxk zG^YWwKj8_451PvW@jtwT@ImtoAilsG2!Dq!ME?OOe}W%`|KSrv9yC_~QvcvHgdY(M zkq`I+;lF_LCqVh2xdV{;1yKHh5QzE>P(Elr0VIC`%5MmT$bW$HUqJZ_zCp}y2#3fg z{DANoA|d<-zae~&Xb6A89|)fz2Et#!;0UsY;X)jQpTGp+2P8oF3M>%*g+vH{0Xu{* zkpkfxa6|Y7kj+sH51@R8bclR|07PCO3&MXO0^#4tf$$q7A^a8j5dHyK2;ZOx!cS0y z@DG$g_yS50enlyS-=GHJgT{|Q9&u2I@EIy0@)Mx^1}OgllrK>Qkr&W}s;`FdH$eFd zp!^S75c!N6h<t!Hg#QD|UjXG-)I#JLbRhB!br8M+lz#xqU!V(-KTr>mpP&cfD>Op* z0Y(r$Lo<Z`0m`2M<zFy{$Sbr!<R_Rw_y?eT14{^hM>|B`z#75_jaPxf=YS1_zX3Ep z1uDPnA^e0Mi24Rc2>%9XJc@yV;eZo_zn~8yU*HVkd-Oy2AE5jbP=0_PME=JVsC*!V zKVce#&kzjZdrXJ$10o^(6SE+EhA0Ss#cT-w0F+-b2f|l~hRAcwh42$%Ap9FpK0_>o zpD_<2&kzUU2h4}?6OthO6QKDhkpGe){0)ns@~II1hs6*+Lpp@du@u4=$bj%4K=}$; z5dMW_5cvgAegtUFih+UQ1C)OQ%0G||QD3nFqFx{m!p~R<;V*#lIY9GS3=9kc`4IUI zDBqzN!gp8$Q6EqZ;TNoj@Hf;z_z9r-ECvRKhFS>!1(Y992jQRC2vM(458+pAg76PO z`2wK%E|C5vh&*WC3zU8)G(-45wm{TR=z#DAwnO*|T@Zf34hX-Y2g=_C;XCv~_#C?- ze1VA&{)9ac{skx>G!F){FJT5me!~HXyuxe<p93`C1xmlmAp9Gk`7H(p28ZPk{*Gf1 z^&eJ4_zfo^{Dh4V{squ{76SuAz-9=4#~FzHf-MmKgtHJn!&V4i05rb^YTs;w@NYo* z4%;Do(7YGOy%%;s_!1W&`Zw%^@DD)w2X;aDKR|P03=9kpc0>3Amm%r}_CWZc`7w}r z8=(9Q&>R^kJ?w?Zzku=^p!|ZX5d9AOA@UclLHGv_LHG}DK==!eK==lr`7)6Ik3#q- zp!@?+e#dQydVymQ`5#dJ2Ppr-9f<se;}Ci9{2Iu8Cn0>$92>~}38x|a0MHy80|Uc_ za}fT8hY<Y@=OO$Fk0AU57a;r>p!qgX{<#F<YdnR@Uxn~Lfacpk7TkpJJ6=HK8=(9M z(3~5{zFScFR}lGtI}rYX*AV`N#}K{%XnqaU9(@Yoe}M8oyoB%zK=W*%`>EeR_!mAy z^e=b|;ZFc>s%2mZ_z2;@_y&>x0Oc2ahwvAChsZ~O=F>pw^$&zU<1a-10+jConok3j zPk$luAE11J{}4WCehuXP1O_J%#qfdwGHz_Z4B<;KLHGxt{1;IE0~UxpXx<H^@c{>f zzk?N`{sWXR!3N<Aa6#l>K=}&X5dIF(d>p9#$phho=Hx);G4Mh79h?yT0Z_gGXnu}? zfnfoZKLg4a5P+z^zztE)AOhj<;Dz!<A^ZkD2!DYbgl_?wmjGF)0O5at@)e-`2oZ?- z2Z|8+38E1G0VN1O12k6wN*_@E0Vv-=86qDb4pASV0^x(^F~HWTLHH8jjnE7X0_qU{ z4Je;M1Hzvm1<}u-3E?M5L-+|${s$=k0F<8~1Cjrr4N-qW7QzqEf$#(5Ap8wb{thT# zK^H1750QTW<^O>48}uOZGZY~53HlKJ1VspcgE54^KpDa>FoEz_s6hA%W)OadDullP z%J)!%@D<D<@(k(_euFiH-=GQMKd^=H1wivwpz_8M!Vdt=OM%L77YP4>E<}BTD}=v7 z55jkFgYZFfMxgK)aEI_U450E}5Wa&El<x!KR~SS11-=me4Cv<b4^X~_DMWsPA4L8F zly49S;TM=g<P$<5e9*iR$o>am5Pkz_-U#HMa0uT6ypf%O;Xwq1A7BH~&kzOSE7(H# z3egb$4=BF@%3omzk#~rN$iINj13id?@D&^(@&SnuK4`5h$UO{65Pk({4J@d<fbtDo zAnFBDAo3Qj5Pm{BgfHL@;VWc7_&cC{flLU$!2=@y0Lqu}gzy8hAo3@m`~y&ag%?Dg zArB%CT89U6UjUS!;RBJs0Ofyx@*VOa>Ot$zK<X6=Ap8u_nlVuMUkKql_(SwBD1z`G zK=}^E5Pn4fME(Gj&kzXV2b4hMcR=|JWf1;^Ac*_}C_f??!aq<Bk-q`uZ>WIq6F~Ev z3=9kg)e!!UP>6bk8VG+v7=-_!7Q){V4&fiDhwwWhApC*`2wx%+!avXq;ctk7@Eckn ze1~WVe?u#T&kzIQ8+1VU9<dPqgiZ)wBM!oE=z{PcK=}gQ5Pn8HM1BI4&yWD&GxR{@ zJD~i4J_vt8B1HZIl&_Ek;Rp0X<WE5P8=!oTWT^ZEs63P}FcHG9NP);-fbun<hc_fl zg2*3$@&hJA_$$&N>K9Cf@D(y3{0C6}1}I-)Iz+xB6C!Ug1Io{W@Fzg|p!Myb^kpy; zBL4$&Py|E6EC`<=7oxsk4ul_(2jM5oh4R6NNPxzxAp9FpzQTM6{{d*7J1GA_`4xo_ z{Sy{I<Rgk8{DOrLK0`5t&#(x>?|||hmO%J3N+9wAOCkJ*QV4$ol&??*;U8EAk-q@t zPgnurTU0>g8CFC1ptYwU|0}G4@I9&^@(F7pe1U2Re*=_10m>Ix50TFRtuY0)f1&&v zP`<(ji2Q|GsD3Cvp$@`V*a(q-0p$y9g79zDL*yHv{DcMw-(WLD{sol(0LqVOgvd|W z0+Bxf<vVPJ@GY7k@*kl56;S?yZ4h~hW{7;ib_l-%%4gUC;s1d0H$eFrEfDnyJ0bEn zpnQQ{5WWZa01*a;15o}BD8FDgL|&r}qW=MuzXHl<*b9-r(GHPsfbt7EAp8&eA@VOe zA^d~`5Pm`zgx_!o!q4c2@E06`@H=`S{0C4zM=yl0a1<h60OdOzgYY~0Ao30;ApC-U zDE}mcZvi<Bg<-)t2)|$wM1BL5e*(&9I1iDxm<*A3fbus$`4^ykk0}s&gNqRL22&yY z1yKGDC_ms5L_T2}ME=8N2)_by5DP=WbqIgKOo+U}4G4e2EC_$XO$a|?HiR#53&Q^Z z<u^e21#=+s7j8r3Gv-403U?rU(4GWPekp+RJ?25=A3*shp!|mW5cN0aL*xY>K=`2j z3n2Xh4<Y;?3n20hP=3Qg2!FyOh`hog2>$|<KLN^5cnpybSPYRjcmm;TEP?PJK=~J- ze1qpu`DGCK39q316%hUcD8B>B{{ZEGfbs?2K-BM82~i*L7Q)}K3c^1C<y$}xyb1US zk-xA8BLCnEgrBeu!v6r}Z-DX*zCz?B)<fhAp!^0X{{WQ#1IlOk22o$I0ixak%6|am z7eM&|;KOzp7&d%|s8`qoQE%`Q!VlOC;UD-7;cIM#@EiU>_z$4`4gVl~h3yde0!C+0 zG%|4Pgzy!ZAp8YTegPYV|6?~qK7bv<->?VD=Y;SV?1k_j@Id$t`yu=Td=UN#@F749 z3<dlUe#b$Gynq0NZ*d61KOh9*UpNfmJBUK~JB~v57odEPV-WrWF^IgxaR}c*9Kv4! z<u8zg@B>al<P~Hge1+2x{s$=k0F=K#0V3~k79ww;1mQQFgYXYP`4;CP`~nq-e8vR` ze}O85KjR{VpP&ZeJAl?zf%=E)Q2u3z`~eLp{|c0^3FTjf@GodV_zl+}d;=W_f5mkO zKLE<-xB=lO=t1NwZbJA4h7i8SZ3th%1i}aHI|D`h2Pl8VU5Gq`8AQI|9)w?D4&evf zhwvSoA^aatAbbNC2>--W2w%Vz!ta0{+_b<A!v6u<BLEtI@P_a!UP06|_(1qSp!@^A z5PrZLh`d4ogfH<9!ruVp|A6ux0wMAX-b3Y~{Dcn>enALC{=i2F{{WP4@Cm|a2#3g5 zKn{>%Fo5zuK=~V>{EjaW^$Q{(>Knd7_yJK6e#SQl{{fWm@EyWWh=s^6_yOg|LHH3r zA^Z<eKEp2v|3W-Op5ZryzabIApYR95Z%BghE&f9I49O7w0VrP}1Hx~B9)6XO4dG8< zWCley!-X6O{{Rz&ACL#(Ge8c;Vo=D3@IOHL2cY~NtPu4I1rYfHHVA(MlyATe;eUYg z7eM(Ag%I@|91!^eD8B;AUjXGlfbuUu`5v4Q^$bN2{R^Oc11MjB3nHHY<rhHt0p$?& zAGjg%51{-C9tc070wOQL3*kS2@=rkdA1Wd8E5L_>F)%n(L--N=5cL6d5PpRqgkR7C z;d6*U_!pr31yH_08$^DCC`3M>1Hxwzhwu+T`4gc0fL@5a2KWFn28M)*5Way7ME!-y z5dH#L2tQy7gzq5-;XBNS@H?P~l}%Ux;U7?j$TKX2@B>sJ{D4Ieeu655{{YIDP=oLl z7DMD4VEiQzeup|lK4BSze?tSp7g!GA&(MVM6IMX@6SN@wfRzxw1^D1J28ISG{|1!5 z0Lrh>fvCR#<um9)_#dGB4k*826~sINJ&61UD8B>Bzpxr258B5D$^ajr{04o9`U`6y z@(~6QzQbAw{{@sk0m{!XgvckXhsawPLHGh2Ap8Pj2%ljiln*`xj)9?ID};Z+3?lyk z%1<zd@B_9%<TWfH{13Y!{25jdzQJAyKf@Zz-v{9{*g*OFA^Zp^KLN@=0p(wS@&jxk z>J<(`)!RY%1&1Jf1A7Snz!3<a!2!Y#I04~HI70XbPDA(&P7r><SqMME8N$B+<)47^ z7hHtM|9~EL_W{apaD}LMxCD`xaD(tCK=}<&{sSog2b6Dc8KQoIJ4F3~D-iw-4+ww5 zRS3Vq6T&yR2H`(|@()1yE4(1`2d+cpCqNJ0Yq$a7YxqFq6K+EI2cY~9P(En?87Mt5 zyo1Oagh16p`8%Ne4N$&AC`4Z1Jw*KpC_e$puLy(4Ux4xj!Xf+(pCIaQL_qixzCic_ zQ4szCD8B*9XZQ(`4~T)tPxu4j&xnQa7yN_p7eEgXY+!H!dt*U7MBafB!gol3@Ef4~ z4N$%V2SmOBe6Sz`g8(Ole<B^CK0pA%&&YxBCqVf(p!@|;zCkWTet{4~{f0aU|AR1; zpAX?Hh(h=a3Ltz2F$lk*5W+8j@*RpGd<JQV`~>JBhZE!>{1;^qc>@Iqe?mEgAD{@~ z&!~X#8=!oKN(g^}5=4Fhly9I6;Tu#z<PSjkGobtc6^J}XHAH>`l)nJVFHnQX@2G*u zGpIxO4Yd%y1C-BD2jNf9fXF|9@)u}A_%G@q@&;NE{)`3)e*%>6&<Nox=s@H-njriD zT?qdHlrNwM;csY$$P4I0`7IFs1ycyWpdG?DFoW<VIw1T2D8B*9SFnJ{U+9F$Z?J^$ z8M-0-1y&IL4JiMBHH5#R2P$s^;m_!W@DJES_!<2WK7%uaA21QZH*kgUUrd7V8$2QW z6H_4k3s8Q>R0#io7eqc{8idc_4dH))@&lm!i0Kgd1wIgYiy0991%C*C#!LvGAppYv z0p$zCLij7@LF5DCAp9NkA^Z>V5dMY*5dMS=2>-=m2>$?-AFu?%Uyu!v-?0?J7s!F| zJ(fZE4N(3KD4!u0BA>AwDi7t~fbt9SAo3GdK;#wjA$*0E5I#czgnt3bUr-3)uUG|< zUr-F;SFDEcFO)#|7Hc5<gi;9q1eE`w48nh~79xM30>bB558+R!gz$I3_*D>o#RiD{ zfoce!1AOo(14Ba%guepHKLF)RY=Wp4sD;QkK=}z!{tYOfp%o&3U<*Y3hju7`D}-Or z1>vvQ2H{_T@-4PQ_!A~V<Rx}O_!}le_!_$){D3JCKErMZe*%=B0p%-9gUCDVfyiH& z4dEB;gYXOHK==XsA$*0o5Wd0z2%lj-gfDRr!f$}`XF&NM7C__+4ngD>EQIhq4nz0> ziy(ZCBM`p9VkrM8g#Ta(gnt3b4_FG}dmMwvPgoA&?*JeA%D^D70>bw=0g?Xz<!hXT z@Gq=_$Sa(J@E5Fx@IOHL0c#=r8>b=i8#X}r8D}B<hK&%u#5o9GVH1SE0LpjR4B>m6 zhstk-@Hs9(_!FS~1}J~SE{J@>C5Sx3J_ujo3WRTP1j4Vl2IU`x@Dr{>_#dEriyILB zgJTf+A5i{;lMw!bTM+pRry%@<+Yo-kX$arq4usEe2Eu;<<v%zJ;XB-e$X~bs;jg$4 z;WJ!<@I4+t_!FS~3o!l_h`h!lh`hs92>%6?zW~awcnpybxB-zr@C3p)xCh~%cn0AY z+=uWho<sN(9zggVFCct|hY-HOO9;OK%HIIx2Rwqv&v*rqzX0VcyoT@#9z*0GK=}br zAp8w)Ao2lEA^d>15dMc}5Wd7a2*2SuguepDe*xipyobm?fbus$`3GJ?<Sjlx<R`p> z@E<_=0k0wajE@lchPM#@g-;Ov0d`k#d&%H8gg=23!dLhM;WO|+_y&I={0mS%$3F;v z0v|+P;y;AXzz^ZifbtJO`34LuV0#`2LF5w{A^Zy>5dH)v2wy+~!hgUF;eUYgD_9`> z1(FbX2382aKnlX20p%yiK==ym5P1iA2!8_yg#SSi!jIsB@E<5c_ywTzX+ZOrDo{QT zL|#D+!uQ~X@Dns3{0)2%{sSoAgCD{_pb3#@5P<L-v>^N)P`-gSgzq5;k$2F6@C}5Z ze0>Q2f-r<X!3e_d5QXp$m_qm#;t;-o8HCRv0pSNg`5U182T*>2Bt-s#IYfPg6og-3 z2jPE^hVU==Lij5bAbf=Y2tPv+!k-Wb;Y%n%_#Z+c`~qbNUm+aISAp;kL_zo~R3ZEY z(GY%w8iX$p1K}Tl@+Uy~4(bs32T=YFC_f+;qTWISA}<gJ;opGr3!wZ2O^EyfDE|VK zFAxt=Z=nT|pO6CKf6#{TKS22%IuL$BDny<`7s9^)<xha}1JWS!26_;AhI9y@Lm$F- zfbu(_{0mUNfB{5)LIy<r4k-Tsl&@h3kvGVM$Zvr1H$eFoMi6<2EQtIKC|@8O!e3zw zkxzi~HB2D<1yKG5D1So^RJ|!g{sWY+VFuwF<U-_kK=}nwzJWPJ{sEN#1InL}4^bas z36XCofbboxAp8qZ{thVLpb#o=4Ut~}<==qvFF^SoHc<Iui24Xy2w$KC!hZneGn7L3 zGwdMp1{Dx~hXaKFpc2Ag;0WOdR6+O#P7uCA4TRs|4B<P}LihnL5dMKW2*1G<!WXEA z@IOHL4N!iA8$|v=14Q1z9m02Lgzz^&`3s<Y1rLb)11Nt6lyA@kQ7_>Mm51^VK=}&I z5P1VHi2R2Z2%o_l!VhSJ@Hu=S{0Hq2{sSoAp##D%@P)`9fbtpqApC$%i2MpDU!V)Z z5AcV|L-`k={0~rmLI6bGp&O$91eD(Z<$DA|<S#(^C!qWZJrMN?K@j-~y%0V_Fob^r z$}fQN`ylcHArN_i2@w7PC_i8#gl`cFk!P3$;opGr6QKNpFo^sCDE|eNuP_;+J|i3= z-vH&mfbt!tK;&;kK;$<-`2mp-zQR<9{0=BTVFrY65e<<Sm<i!ufbs*Ne2*B2{D)Z( z`Hol!zhDl8uMr33&xP<Cp!^0X{{WPKVID+&K|DnL11SFil<zPfBJYp@k>3F2?|||T zK=}-b5cz@y5cM;le1U}!zCsd2UIEH4fbtm@L*yBfA@T-Legc%g0m?rC<r^%4sMkn= zsDA+E&w%nLEQQE(q(bB!mO=OhQ2qxf{|1!5U^zq{bRHq70aCC6!rzbv)xQ$Lmq>^3 z1y(`$4N(3ADE|eNzhN~*J|F|4zF`f7zXQq-SPS7RWJ2T>)<O6kQ2qxf{|A(RU_C@W zBMYK_!UhQc29%$$5yJP#hR7Rig79}h`3##Oe2pB4`~@g~29&>G3q+nH7b0J<6~eE8 z@*TE8_%EP*f$b1}LLNlD0+hc2$~S=W8S)|W0Z@Jbls^H=UjXF??0}f(Q2<e&0Oe1B z@(ZB+6HtBwlrK;SQNIAn&w%m|K=}ut{0C4zM-fCl!%m2O5m0`>J_vtC2}FJZl>Y+C zzX0W1ltSb`K=~a|KEr;9{tHmP0F*CL22n3?03v?^%3p8@!e3Ahk^cbY|A6ux4nyPv zDj@P3p!^L`zQPfRyg(&Hegc%A0p&9sg~%U(@(ZARi7JSChGP)<3MhX9lz#)tS2zxl zx2T4w-vH&$fbtzqK;(Zw`3+EhL=8m!1So$2l)nJVe*xuhfbtD$A?go6`4v$91t|Xj zl>Y$A=Kx=R!octW$`63@8BRjnGXu&Ofbt(e`3g|JK|RDi11P@&%6EYB4?y_=P(DWk zME!(Q5c5Ak`3mPCe2Zp?{Dun<{)`p~zu-EAzoH$&Z-DY&K=~7(e1i^%{01n$0m^>> z<==qv8E!z#)98e#H-Pdhp!@_V{{)o30m|3tf~Y?L<rhHtAE5jbP`<!Ti1`xT5cLL7 zeg>2u0OfCh@&#@|)I0P*)L($|Z$SABw;}Qdy%2c?D8B>BPk{12K=~7(e2+eedWJg? z{X3xi15kcKKScfll)nPXSGWsNFE9Zj-vH%TK=~V>{1Z_A2Pj`-B1FBwJ&67aDBl6f zzX0W5fbs(-LDVzchp1lw<p)6dKcM^sDBoc+M12F4KLg620Oh}c@)tn)7E>VV4?y`H zQ2qxf{{fUQ@Bm`J!BmL)0w{k0lz#!rSC|HoZ+Hk%zXQs5cm&~lOozxHfbuUu`2mk1 z@(D8_@(fQP{2x%h1C*aI6C%F=%0B_+GdzW;H<$&HZ-DY=K=~V>d<O8vMGOoA&mihE zp!@<T{{)nO0m}E712K=`IYj*lD1QT#Z!i}kpYQ@Ae*nrq0Of1UgUBnqgvfV5`3Io< zfcX&lf>#jv9Z<f(YY5+C0YrWSl)nMWcX$Jl7gz|Dhw=-c{DQX-`4>?B11R5P5k&oh zcM$m%P`<)@2wz|^L_Pq@FM#qdK=~J-{D2P-^&U$g>Mua~8=(Avj}Uo-r4acKQ2q%h z|G+1Re84h@yu)V*e+QIb0Od<8hsa-m@*ALhgD(*EFQEJdP=3M+h<bysP<beS1C$@I z5+eTq%HIIxCwzmbmskarhw>Ld`3c`4@)oNh@)w}|7f`;#4~YDNHBfmdKVmI}AMg_* ze*(&X0Od!lgUBcRg2+FB@+Uy~8S5eP48I}rKcM^oD8FF?L|)+!L|$PdgwOC7!ruVp zH$eFkn;`N6{~+=WQ2qoc{{@sU@E;<du^FO%0+fFN$`@d812tP2EVe-88=(9dP`(2r zME(bqzX8gR*a}f!zyy)s0p&k{@+G!G<QFhQ<SU?j0Tu}V29$pR%D31KQLn%Xkv{<C zKY;QRc0lAA*dg*ip!^0XzW{t`83Tg=2Sok@ls^H=uh<3AZ@>wWm)H&APk{0pp!^R| z{tGC-feWI(U=Kt+12=?!1IllJ@*Va<<#{0TE1>)dP`<)Gh`a$WME(JkzX8hk*bk9+ z;Dg9-fbthW`4R^p@(lbC`35Ne0F?g%#utFd7aWABZxDp=B@RLO20{@20w{k1l+SS( zBL4x(FM#q3gdys0K=~h_e2XIx^#vkOc_@DYlwWWZA}=5ck(W3I;U_@(JD~gpVi5U) z;}CfV2?$@}1cbi;%AWw`D@a1*Elxt@6QKMDQ2qfZf5j<?yn+-&eZgr6zX8g>0p&k{ z@*U1V<P)SJ>Q_Md51@R5vk-X)8HoH0D1QNz&u|VRuOJJN&w%n5K=}`#d<8j(yvKQn z`UOz_2`FDd9wKjX0V00@%0B_+J19WqFGA!WK=~J-`~*dayu&4k{0Aui1eBkk1d%rY zU+BlcV4w`)Z-DYQK=~e5Ao?9tAo4$;{0&h41kgEmp#6%f5P6Mj5cM0N{0=C;Kn)`Q z0?K~?<wsnHsK1~Nkq4cn4l0NoG$8zl8xZ*hD1Qf({{hNZxCxOzpb1gG0?Iegg77(R zLF7L``591tfHp+_2bA9c<u}}hsAtfD$a~y@@Ef3ffx8g?2VIE#f=3Yk0euKx0(==F z1A~JBg#Q7`KLF*QcnMMOU<i@l@e0B}0OcQe4dFW&LF6;uK==hvKEqoG{{ob+@eaZ_ zFovl2cn{$xm_Yd-Ap8O-e+87^0OkLH@+Uy~9v>m<9c&=_CwztQCqVfNAQw9_DA+>e zGrmLQ4eTKN1wSDC4^aMwpAh~5dx-oA@FkE83>Tn$h2IeQ4^Vysl+WM*QP1!PBEJF3 zxA+U;D>y>r4gNv+AE11V{}BEHCy4wFDF1^qgm1tIx&)AcA;AU0e*xuRfbu(-Ao32b z5P1b=2>$_;ufYQ0e{h4yTd+d-3hog84Jcp01Hxay29bXN<yWvn_za#9c?J#$e?lOH z|APm@PY8nWAMir>3?WcHAB6uQ9>Q-Bf$%3JK==lt5dH!ve+863AqgU%AP$jlNQUqu zAeU`2T!8W)K=}qK5cvj4i24gqzJe5lKOq$&e*((?0OdzWL*yOOAo4Gu`~^^cgbYOf z1C;*)$`{Chs5g*<$QMBQJD~gvP`-yeMBX41qMktk!ruVpPk{0bvLNybiV*n&Q2qfZ z-ys_!U!eq*hw?qZ7mYG7IOIU&Z$SA8P=168MEwUS{{f7j4^f|?4v}9_3gNHNg76i} zAbbyP2>(G1gdd>?;TP0F`T9_PJ%n#y0O2oagz#q=Lih$v5Wa^Ig#V!h!e3zw;Rm!r z_!=e<zC#;?{{hNxXov7Qz?Y~pFa&f!_$Q$Jf=&p3gE>ThLN|o3U<u)Wfbw5J`5XEm z@)6b$d4&lOzJm>fp8)0Wfbl0n<S*Dl<O?Q2_%G}r`~{OC{2%rZ{)MR!euX21UoZ{A zk8pzU8>U0~;0s_G7!E-B2cUd~8BlrX#jhKnd<IvDeubG3`35L|0hIp%%6FIrkze2j zQGWo+=WvJcC(MS(?|||T%z^L=JfQOPAp8tZD1SbLZ{P*t2P}Z_A3*sB7DD(Zydm-r zpnL}(2!Fv6h`fa_gs-p^!e0R8Ux4y0{2=lNmP6zX{2}}eD<S+FP`<)y2!BNYM804R zgx?Sd;U8EF;cEmz_#f6m_&1>Z3F{&J3BeF~gAEYAL<oex;2(sakOAQ*{D<%vG9mm1 zC_e+rUjXGlfbu6Wxr3So3=^^;>NhY$_!W=~br~+OK==~5P<b{8Un38~FJOo84?y__ z91#A3e29DkCxm|hdKvErD1SyFL|%alBF|9-;Tu5t6)-+GMBbtpBHzFR;X9N-_zb)d z{tGDIfDgidPzsS>0OePdLHGywA@UOC5dH-yzX8hsAPAA4Pyvw_5QFeFsv-OjFn$e$ zZy*VgKTr$d3&=wF7WELm0+hc1%Ksn-k>Ah&k#|sp@Hv_wd<A6)-=P`8Z%~8q6Ivkr z0(A(#19CwzgMtQx&(Q{vcYyLUp!^A15c!IBh`fRhgdfoX;Tu5t3!r=lDE|SBuLn^t z(FIZeKp(=_=!Wnc3?cjtQ2qxC2;Ts52{MC&9faR75u*NpJ%m4D5`^#I0^v(cf$$Tc z{0UJ01t?!(Dn$N)D@6SRD1U)FgnwfiME(PmpD-Q5SMY+!H_U+WKS22oGa>u~-VphW zSrC4L4}`BU8^Uk!h43Fh`2~JZ{v3#WfIozPVJ?Jk5Dejem=EDE2!Ze)EP(JoL_+um zi=q4|2>%C+9}VH3SOSq3NQLkhEQj(lA$)<AP<}3iU$6?o-%tqQTdaZb6DlBlgLM#o zK@EhzVLgPup$@{Iu>rz2=z#D&HbeLhoe;jo76`wf3&K~}3gJKKhwweNL--9-Ap8S6 zAbf^t5dMvw5Wd4g2;X2Ygdea7!v6r}KY;Q(_Ce$m7DMC}_Cxp$%OL!S0}#H$atQwi zlrOLX!tXc;kzWAi3xF@yW?)#b5+Z*A%4b*w;aePrs84|M7eM(3pnQ%a5P5~w5cLI6 z{sJif29&R`1|pwv6rz3tl>Y+CPgo0)pK%N#e*wy8I1b?(tb@omK=}(cK=?mSK;%C_ z`8!TR_zfE&@&V9G!Y6Ek@C8mo<+nok0cRlmgl!PM!C44jVLOEX0LuRW<<B?=k!RQe zk!Ls$<?n>>Z$SA1P`<+jh`a)nzXHm4fbxGp`2kRV!bOOBhFuWz3@$<V1yKGADF4Ah zi2RIe5cvy7AbbVr#pVl+Lih)u{D5N+zQaw3`U6n@1t{O(I7GexdI@^N2?*ceHbi~G zNeExy4uoHD3c`N@<!?9*;a`AUn9lIv41|B+9z^|tvk?A{`w+gvIS9Yu0ffH+%IA0p z;VYbn$j^ZCCqVfck09~}7a;N{pnQjm5PrpDh<v~$2tVNog#Y0(gnt8kc{>9G!xac$ z;~7N$!c_=A;5n3k9m4<c0>VFV6T*M-62hPG1j66(7RrAP;Tyb%@DD)w8=(9NuOad? zK0@W8d=ALP@eCW@K;%zA`3-L&e2>o%^#<=Ce1<O&egKqT0OddU29cle4I;ncJA|+C z9l|g83E@lpfbbJoJ;3c7j$aV|1WpM5#cv3IfhdH3;y;8hAP3=JU;<q@&%n^23E>;C zL-+^uAp8Z83+@>X=tKA$xFGTy3?cj%+z@_%5rp5s1K}%}K=>8B5dH@!KY|a!S1^Of zd+<Z}0p<|?4Jcp18p3}e2$5H?hwv*zAp8#w5Wa>Ogzw-4;Wvmw_ysNyeugB3@8AaE z&ya%f1E72bX$arJ10v5L1K}5VLiiH05dH!$2>$?-f598Vzaa;a|KJ1RACQOeH~2#M zGZY~F20sYjLlMFc@Q3gflpuVCKnTA<8OjfW@F%E1_!EL5{28hcK0_#kZ=nX^D}+J$ z>QH_-l&=BdCqzK_5t<PGfk+6yLkq%x5C!2kXhZlLVj=ttT?qd{9E2aC2jNdhfbbXS zL--Ae5dH)M2tObR!uK$Q@E4>*_z@-$enSR??_mnzJ7hxm24)bxKo*2AVGiLlWJCBD zVEh~izrzA5p9|stfbt9SAp8U?i2R3q2>*sPgs)Ht;d9tR_yt7}zJ?uy|DYJcZ?K2( zCzL|?9~>b317#3ChZBS^PyyjvI79dgDk1y{E)c#$HH81e6~Z^Df$%T5LHV^1{sMOh zU!e}dH}HV+>mmFDP(DKggulTPBEO*#!oT1J;U8#*@FRR6e1jGU{|A(>&<5c%_(9|w zp!^9?zC$}iUc(<EzW~ag0p%-nK;#tyAo2>G5WYkpgx>(=H$eFhp!^q5zC#y8eMJyN z{Q)Td29)p64Uu;UhRQ?vE1>*<9*Dd}2t;0>7s5XP<tOw(_!B}Q@(oZvLl}g=0Lrg` z@()1y51{-9P`*bvL_I@4#Jn9)z5<l55do2RfbthW`3X?IKqN%I0m^TH@)tn)FQEJb zP<})dMEwIO{{WQFFact}Ml?iT0m`2M<rhHt5-||@15o}7DE|SJFAxinXP5{vuL8<f zfbt(e`3_LNM;t_b0+hc2%5Q-3CE_9S3!wZ4DE|PI{{qT?0ObcHK-4o#g4n+U%2$B$ z6%rxx4N!gul>Y$A{{ZDPOor%>NP?(Wfbvg3`3_LNK{7->0m`2N<u^e23@H%#1yFtg zlz#xqzX9b7Oo7;!kP1<60Og;6@*ALhi!_M*11SFll<zPVqTeDNBA)=|FM#qJpnQf5 zi2MR5KLg4?0Og;6@*hC?2AL4`4AUUyPk{0Rp!^?D{sJgJBMYMb0F-|L%6|amTVzAz z8Ky(bTL9%NK=~Xw5P1hEzW~ZlfbuUu`3+FMMJ`1B0w{k0lz#xq=g5P|KY;QJpnQfI z5c_XH`3+FMLq0^kz)Xnz3MhX9lrK>Lkyn@nk>3F2J3#pgg%J4!D1Qc&-vH%v6hY({ zK=~C={sAce1(g2)%1<bUsArfBvF`$u9{}Y$ltAPQp!^L`{sAaoqZA^qFbAT429)0b z<qMQS<QG8s4N(38DE|eN{{YI5D2J$Lm<uuQ0F<u)<r`E$<Q<^=8BqQND4(MeBL4u& zZ-DX{=0VK+0Ocz{`3Y4J^$t+}1t>oO%6F)S$TvXw8=(9JP`*SBME(Gj-vQ-6fbxGp z`3&<R_Gi>W)GI*wH=uk6DBq(FB7XqN-vQ+ZEP&|OsE5cGK>0JE{0UG#M*~ED1C(C? z<zImEA3*sZp!|SFh<bsA5c5w!`36wFK@&v20m@$h<u8Ep1)3r9AE5jOC_i8k#Jmqs z{st&NqXnY=0+fFP%Krf6JG4UN1r|f}Z-DX*pnQooh<pH)-vH$oK>07A`~y&aL_0*i zz!HdgC!l--DBqw1A|C+dFM#q3pnQQ&i2MX7zX8hM0Ofyx@-IO75nT}VAE5jbP`<!Y zi2VlL5P1VAe*u&q0ObqxK;#Rc{01oh1C;*(%5PW(F)yJPqJ9CCe*wxr0OdRMLF6Am z`75A&hUF0b68#W)1t`A*%6EYB874sF6QKMID1QT#e*?<D0Ofm3gs4|o0Wp6El-~g5 zYfOU3KY;QVK=}+SA?gJtL*x~p{0b=F0m^>?<tIS-0aGCA8=(9hQ2qiaUtual{sWXh z0m^q+1+kA|8bm$;%FlrE8=(9fQ2qia-(xyN{Q)R{2bBK+%Ga0yk!M&9F@FM-uK?vU z%!J50K=~O^egc$#1IllJ@;zoj)GvVYcR=|HYar%p%!bHsfbu6m`4^!4A5i`WC_iHk zM7_XTi2e&uz5$f)Fc%^p0OfCh@(ZARg?SM92~d6ql>Y$A{{ZDPtb>@JFdw2m0Ls4r z<!^xU9Tq_31=d5<?|||RpnQ#m5cvQoe*%<W0Od0*g2+#R@-v|P4N(3CDE|VKZ?PDn zUSR{oz7<e@0hBMX1R_5H%5Q-3H$eFxp!^F^e#BCU`VUb42`FD+BgA}*We|A-D1QNz z9{}YGEQiP!K=~C={sbuh1(d%5%8yt9QGWr-KLO<jY=YXi5+eTq%3lHHGi-*)ORR#( zD?s@jP`(3{{{hNRfbtVoL)14w`4^!41yH`n8i@P>D1QT#FR%q-pTb&*yaALy0m^TH z@)_1a<R3u!1yH`jR*3!=P<{fGAF&>yz5&WV0Oc=$@(ngX<PSjkGobtjP(H&(h&;nK zi1`^%egKqz1Ik|j<$G*`s6PPZZ-DY2K=}%rA@U5{A?8hh@)e+bhAj|z2PnS)%1?mu zZ$SACP`<}ji24Ok{st)j0F<w=4I=*l%AWw`Gwgua&#)aL-vH$oK=}eYA@Vn%d;=)o zVFyHg0F=K0$}fQOC3ZsOCqVffQ2que{|A(R0m{$V1yTP2%D({RJM4nkZ?PL9p8(~r zfbus$`4W2|@&dad>N}wP0w|whFGPL<lwSblZ-DY|K=~J-e2;w)^&g=84N$(o9*Fr8 z`yuiMP<{iH-vH%*fbuUu`3VOg>OVmFC!l<Ry%6&(4npJ&p!@|;egKp&a0nt_0OdD8 z`4gc07f}8NC_mybMEwOQ{{WQ#0m?Tx0+AQk2eE$vly3m#3mk>W2SE81P<{cF{{qUN z0Obc9gQ(vC<sX3ZFF^SQ$070`p!@|;zQBHn{TwGC@(ECW0hHeW<v)P(FF^SLCn4$$ z4nXuDfbu6m`4*=j@*AN16;S>KC|}?-ME(Pm-vH$c9E6zn0?Idl@&nF5)CWNMJD~gm zC|}_$M1BI4KLN_$0OkLH@-IO73Fjc{KS22>pnQQt5c@68L*xyh`~^^c0F=*h0U}=j z<rhHt6QKMDP`<!nh<P_ILewvS@h?I62cUe1%MktpD1QZ%&u|2ypW_NdUIEH4fbt!n z{2Ney0+jD@6{5ZY%3lHHUx4xju0iBKK=~C=zQa+7eGj1g1yH`nb%=U~V-WcrP`(0` zuW$n*?*QdDK=}z!{tGC-0m}Ed2~ocQ%3lHHAAs^XZb9S)jzjD#fbtWd{2Ney1C(!Z z8=`&zl)nJVKLF)(+=0kHfbt8Ve1;Pc^KU@;3Q)eqU5I)ID1QNzp8(}E+=IwBK=~O^ z{sJif0+fFM%D1==QU3tSUjXGZoP^jf@BkvO0OeOe`3_M211LWM%J+B(QQrXN?|||b zK=}%fAo3re{0=Bz;1tBZ4^Vyrl%MbzqW%Dse*wx@I1N$n@B||70OhZM@)MwZj;9d$ z1}MJ(%3lEG-+=NDK=}^OAnG4L`75A&gEJ8O1fE0W8=(9KD1QNz{{qTC0Obd~fT(`} z<?n#<8O}n?Q+NrHSAg<6pnL}?{{xht0Od!#f~aqR@()1y3!r?B*AV#wQ2qoc{{fW$ z1IiCL2eH544Me@bc?kapls^H=Z+HupzW|Zvcn9GtT!ipX!1$LS{EYVy`GU(3zQP9x zf5H_A{{)o(;3|Y)@ev}Qa1Fv|_ypk}xC7xge1`BJ+=K8lzCic|_aXcbQ2qocKjJGy zegTw!0LpiG08t<C4I<C*5W@ce<rhHt72hH97al?64SqoQ8=gV<Gk!w&7oJ1-7QZ0; z11})_4^aMtmk|Dn-w=6**ARZd9|&LI4TR6}7s5aA5yD^a55m9j8Ny%jAHrAo0^ut# zu!H9{KS22(VEnHT`2&m)d4_Kgz6BG6e*nsV0Oc?E0g=DK43+;4;ajjm_yGc*V0&)x zL--4XA^Z#>2tPp-!Z#3x@CC#nd<_u@-$4?>pCJn23rIou7Ge<o1t|Xlj4ut5uMmgG zKahd&10*2)1F{f4gCvB10m@H+@;Asq<OQT4@(BtM{sd_V-#`h%XOM;P8I&RXA5i`W zDF1^TL_R<jBJZI9;U}m;_yLL#et|lK{{hMm(17q?C_&^6G$H&C$`F2n7KG2B3gHK6 zL--4z{0BM^K8HF)o<R@7XV8G~7eM(FpnL^=h&+cTM1BI4-vH%bfbw5J`2hwH^&VOf z^$Vc<6HvZ_Aw=Fl8zMge%3lHH2N*%*J#--Q2cY~FP=13kM4m$zBA;La;Tz~d_ztEJ z{skys!3@GL(1*xRaEI_u7(@699uR(l34}kv6T-JJh4OtM`~))ye}gZCuV4=0D+ECK z77%_xFof@53E^J|f$)Do`3a>E{thRod<BFb-~!<@R6+O-t`NRLHH5F=2H___`7@yW z3pEh=40njU!Da~GBOJnS*b3oKh=A}vY=iI{A|d>S9T0v-6okKECxmYi4dDmug76t) zAp8kXegTwkum>VPBNigR0m}D?gYXmfLgW?Vq5ORizD5Fs&#)iD{{iJY9Dwk5BtqmH z4np`9Nf7>oLlAyOGK7EOFqEGH;cqws;cKKq_y#8+{ERdRU*IH!uaFMmAAs^tK=}%% zA@T<@Ao2-kA$*1`2%q5`gx>(=7hHhw7i2@^4K70X200Ku!(|9RA{W9hxB}q^<U#pY zA$*T~2!F$E2>(JMgzs<%!rxE?;V*#l9f~1*f%_2oj1man-~oj1PzvD}K=}ut{11;H z@(kq=`G&_3{tPHT;R%#q0g+dD3gKH+Liit`{2Nfdzz>LgMLk6Rz)uK2qXEKiVDkc( z?>|}~d<G5(|3WK-KY<IvcW8(38TcUl6CDtKf&henpcBFm5P|S5x*_}vQ2q=kzd#fs zZ_opkhw^7Y`37PT`5#dJ11Nt+FGT$Wafm!aAB3+U0pS-w`3s=@3sAm-Bt+hzAEJH% zls^H=FOY)DPk_h^$UyiE6CwNuQ2q%hKR^~DuQ3TCFCYiucR=|KQ2q-jzd#-;KN+I_ z0hIp%$`4S0$Y)G}$RB|6PeAz%iV%5?sSx=EQ2qia-#`f>A21CfAD|538%&4rFF^S> zpnL~ai2REg5cvc(2>-xL2>$_;pD+u;cTk7OGt7qY7eM(HQ2qlAi2Q{)5P1bH2w!3z zgg-$W!uOaD;RonI_yP+cd;whuUt%GYuLt3KEQ0U@^dbBSiy{0CMi9QmG6+Aw1j3)N z9KxSq0pSO%g75{bApC;W5PpC)gg;{qgnz&W!Vg#r;Vale_&cEd1}I-)9Yo&14kCX7 z%HIIx2dsz6+e73pK=}bszQYEHd;yfd0Lq^L<^O>4H$eFj8zJg1K=~V>{0~sRz$U1? z1H`@^P=13WgdedPB46MP;h)$7;XAlM_zSi|_z6(Hz%~fK!4)EZ0?Pm34&gWKfXF-e zK==!GLHG;&A^Zn>Abf`)2)|(;g#Q7`SJ)5XH-tdsCmewA141Eui-QpU11SFllz$-{ zB7fr$ME*b|gl};K!gq**@Gn651~Cx+gQHOSI0)b4IE2rT2;rYN0pV|e@)J%%_zFo7 z`3F#b0hAwc3L?*t43&rSCqVfQry=qSQX%pu&OrDL=@9;fvk<;RCWOy$9>QnHhVW-V z`3|`d{)7t<`2Z;Y2b5m`<tJQ($WMUsIW9r?3!wZ0D1QT#e*(&X0OdPehNx%AgV?tL z$}fQO4X!}s1&Sc@1y>>b4N(3ID1SmRM1ICKi2MgA-{CrhUr+*(KLO<{ltTCsH=y!m z5Wd1q2%n)G!f$}_D<J#_P<{cFpK%MKUZD~qFL4{fzX0XWfbpv!@(y<(@*8R({DQj> z{sk!i29zIA2a*494<f&y5yEeH0O3Dqf$$|BLHG%+5dID*|3U|Zf8q&5-k}e|XLt_b z8}vi?7ohxv2@w8@7ZCXeP`<%S2)|$=ME(SnuP`0L|L__jFEA6rk9Z5=Ux4x%-a+^e zW<lf?-b45TOCbCSpP>9@5dMPC5dH-yf5jIF|G{#Ie8N`<pJ4@re*(%^fbuoILF6|; z`4^!411llwH++Z4Uswg<Tl|3VAFPJ(UqJa6)<F0(enR9gtcCDBenI#P)<O6mVEpwE ze#CExe8C0?{{WPquo1#P@dqLwunEGS@fX5x*b3oi{D<%v_CWXoOdQ~LQ2~^{0m>KH z3z7F=hR8b{fbb`<K==<1Lih!&5Wd0@2!96~g#Y0vgwMeN;XgPB;s4-<@C~j&_z8Rv z{)1Z(eup50zu+N+e?l0-KkypDKOh0&ZxHqdyIVp7!apDe<!eLuAH*U26HvZ@B!u6f z1CgH~1>sldLihqQ5I%<<gug%+!nZJi@Ei0Y{0379zrYZ}zhDO8Ux4xh%pv>*MiBW8 zP`-jOgfCzLk-q@tuYmF$OrY|X5cv;KegTxvU=EQlu!6`NSU~sz))4*%D+s^C2Euo+ zgYX~NLihsq5dI1~2>$?-A7KySJ2*h(e?a*goFV)Nju80+z7T$bD}>+R2jMHYLHG&& z5dH%wKOg|Y-{B6CKM)Avuke8I7eqt&4L%V5g;)q*zz@Rz5C`FZfbuuQL--5)A@T+Z z5WYhIguemGzX9b7Btqm90wMAYNf5q85QIM=6Uq;T^0OfP4^TcsE`%=;4v|lQ@;5;F z1^E#99T5=u3k489M-+tbPz2#SL__%{5dMr92>(Jkgf9^X;V-C!@Hyfk{DLY7{{WQ# zpc=wwNQB5U)I<0VNf7=4D4!!4!gpwe$S+8R@Dn;9e1UWbe?vEf?~w`Nf9Qko4YDD8 zg9#A6Mh=93VG4wAkq6-$Ooi|-K=})%LHHU45cvhuq5MJ!UttD>{{YH&m<!=I6hq_% z=0o@#r4aswg%JLYG6??xl<!dv;X5pX%0u}Fp!|dii2Ma8{{)mDuo$8~pb{z%<?n#< z3zk6SBdQ?sAE5jfP`<+|h&)FvL_T2+gzr!X;U8EB;a{kS@C(*M_!Al+{Dy51zC$yF zKj8p`KcfS}PdEbM|LB779Zo>_1-%fy!AS@|qYuKLa2mpY(GTH&fbtJafbuUu<TEBi z_zhPf{En#*{)Af){)y=j{({>O{)8D2zQP>{Ut=bOUjXG#fbtpcLgXW6LF6|;`2w>c ze1>}v`3fk10hAvw2O=MEA0mGP%2#**;cu7=kv{<CXUv1}9UemDZ$SA4P=3UGi2Md9 z{{xi&0m^S!0FgI%1ko?C5W+8j@>f9l8=!oJMG*N9Q2qiaU*IuR|6+)|0hB)h$}fQO z6_!BcH$eFtp!^R|zQ$6ByulNQc{`x|0w_OV8AN^ql>Y$AzX0V2EQiQ{fbtJO`3c`4 z=4q^h$WQnI;ZIl(;a~U(;dg9+@Du((_zfE&e1X3Ze!(UP{{WPquo=QH_y>`f*aG2y z;PL_2zXCfU{0aOJ{*PS{zJLIP->@6PFM#p|_CWX#p!^$9et;lE{ery^`2$dX#y$vN zK?ov$1InKO<<Hm;k!KKw$VVK2@C%@PiGvXS1t@<4l<yz{QEzbwBEJF3XE+SuD~Lkm zXF&N4P(H^Ii2MgAe+QJGAO=xiaTFqd0m>IR2H^`xK;$EiL-+xb5PrZ32>%0=uW=H> z50Hk)Gn|6(H$eFlpnL`yh`h#Wh`a-ozX8hs0Oco~fy&E4)Ek_I@HasDJD_|4d5FBl zIf(oP1qk2aJcQq%2;qN#@)xK=_%kj-<O?(){12BP{00LEf59~f|AHxmFL4vXH!y?n zS3vm*<`90zEr|RE3kW~qHiZAc62dRI1LfO5_#f^<_!k@@{DcP({sw0V|HMNGzrh8< z&v*pkFM#qNK=~V>e2>Qvc?DO9`WsOG1UCr(#}kOWgC~SP;~9j%!3)B-cn;<JK>05q zd;wnw{{WO<0OfnUgvcN8gUAcKg76;%Lii1@A$*1q2>-+z2;Tw9cX$ioGlWCrGu}b? z2C)!+z()w5AqB!e@CCyEkOtu|_y*xW$b|4Ge24H2vLJkc9}xbA0tkP{F9`oa5rlu@ zH<Vut;V<|D<yS!X9{(YHhHeO7f`t=YZUjt%@D<o0`~y&a2bAA187j{Kk^cbYcR=|Y zra<IBK=}?+A^ZeRh<b-<5IzGJgdYIqS3vm*Q2q-jzW~aQ;D)F#m=4j;!2{ucfbthW z`3y54@&ddN`2$e?0VsdNOo)63A4EQ3E`(np0Oikv@B;*){N)h7fhdIEumZwg0Obd) zgzz22Ao2%RLHH8l5Wd4|2)_cxUjyMANI>Kh)<XCnpnQS#5I%zxM1BF3KLN@&xCoKw zP=d&Bfbt8V{0o;L@(Ici`3aXHd<_){U*HOaKLN_00Od=lLglYQ<Qt&;2~hqEC|}?j zL_R<bqJ9FDzXQq_xDJt5P>0Gx`5jQczzvA}2Pl66lpmo1Q7>>4B7XqNp8(}+XhP+0 zLF6Yu`4gag1udxjZKynyKLN^T(1yz2fyfs?`4gc02T;DiU5I>u4n+L~D1Qf(FK`bc zub>N+hw?k1e1ZEA`43Qj!$SyPKp&z$;R%HAVF2M5JcaNL3?cjr&msH^Mi73(ODNwM z!oTne!e=mr@Ecx3_y=HoHeYZ)-eCukFJOo86YL@U2~hqGD1QT#@8AHDzX0X0fbu^; z`3jB@`3D>j^EjL!{0W>8{s|~Qfd|4baDm8g;DzuvxI*{_d=S2X8-zar%AWw`Gw?&? zCEOwM2~hq5DE|PI&*1@)R}g@xuYmF=K=}-w5P1hdi2MmC{{xgC;02LiAOw-W0p$k> zL-+~a5P1d>2>%6?zX8fG@PWuDh(hFlK=}e<5PpL%ME(Gj&*2B*7l=dTCqVfM5)i(G zKScfll)nJVUmyvQHwb{pH%LMFFQ9w{X$XHtAVmHEl&=v4;U~yI<aa>%46+cuM=(Ub z0m|P2<r~OB<Q+mF@(-Z=3sC+9d5C;MC`8^s0m454<qIf6_z7VU`3q3~4=8_u5=4GN zI7B``8N!!{fbbbqAp8YT{sJgpBN8I-pbC-S0OcQm@+G36@@i0dDE|SJFA)uqU!V?= zKLO<@Xh8S|F%WqJO$dJil)nJV{{iI(XhGx~Vj=1;K>07Ad<JcZd_){XegTyK0Lo9$ zfyj5nL*ySo`5&PC1YL-HK>|en0+jy%%3q)dk*`RE$P4I0_%ERR2T*=M5=1`103!bY z$~Q2C@C%Y5@(ZB+2T*>25k%f21tR|d%HILyZ!m_)E2Ki?6-*%f4k-Tvl>Y(BPcVhZ zN2Ed28<;`(2cY}~P`*YwM83crB0mAje*oqGfbut3K;#oLAnFZVA$)^e2!8>T{{qUN z;0BSOkq41aaEI_Y@*#W!PY6Gv0Lu4<@G}Y_{0~rmK@o&+;0uvoPz>Qe2!QY}ltTC) z0wMerWf1;_AP7IA9KtsUhVXAd`4gc0hzf}O0x16gl<yD%QJ+u=m51^_K=};e5P69j zi2Q+g2>(GHgwK!+;a4<3_#aXs{0of`en19<pU@29D-=Tb3)&$3fKmwmKnH~10OebB zLiiKPAo3ree1>ueKcWjFe*nrq0Oc!GK;#X&A@TuG{st)j0+cV&1CcMNgs9&D<r`E% z_!7Mkd4_5Te+85u0ObqxLF6Am`4^!44K)z;Gx{O&3bhcv!~_W60Lt%x@&llJj)@R? zhB}D)8&LiRC_iEnME(Gje*(&X0Ofm3hR8pthv?Us0^u7pL--q}LihzxzQr^Me?lun z-eNk0f1nM*XP5!uKY;Q(p!^A)5cvl)A@U7<5dMqV5dHxuzhDlOKM5lLVJ?I(FayG8 zSODP%K=}<Y{#=N>z#@pe!6FEsV+n*`0OfZ;`3=h<@)pY=@(e2={2Nfd1C*bz93t<q z0U{r;3c_#L2;nEJhVU0a`8S~a3!5SGFV;ZhKWu^U53Ggo3${b}JJvz?3OgbE73(4V z2~d8(1_*xxlz#!re*ontY=p=+?1AV%u?fOI0OfmZhVTvcL*yg2K=>P={2NgI2Pi*c zD?~ov1VsId?GXM2C_iBbgfDOsB7XzQ-vH%T?1ab%T!6?w*bU(yxD4SZ?1k_jK>07A ze1WSF`5pTp@&-2`e2W7RegTxf1Ik};3nIVcAVgl_HiU0*2*OW*@>f9l0rw#C7Y;+@ z9bQ2AAC5uz2cZ0n;}AZ>ONjgfD8JzYgzs?*A}{a}!v6r}J3#phPDA7;K=}q|Ap8rz zA?gdxL-+xIAp8eV{sSn#-~vQG;V)Dk%Krf62V8{6FZc(MzX0VY{D<%}E<xl482rHP z?Hf@30Vu!WGDN<B5hDKq%2!~5@Dr{;<Qt&;3sC+8DBs~KM1BG@MEwRRKY<0p&$tGW ze*op*fbt7iA@UyAq4H4v3MhX88$_Pt21H(f9m21G@)Mx^A5i`UC_m#SM7;tBMEwmY ze*%>6aSI~<0LtG1<uBlbs1LXek#FFJ@D=Vr_!mSW{Eqt&zJL^jU+@sZ-vH$^Jc95I zq#^PhQ2qfZpW`t^zCZ>dKLg7D0Od<OfyhsghsZZPh42%UAbf}C5dH=z{{WOPpbV9N z0g*3&@=rkd4k{4&4=*9|2~d8*D+s>;%D({RH$eFguOadip!^k3{s$=E;tfRJKow&C z0w_O04Z@Fj3z2WofbcWkLHG=s5I)0uC|?W0zX0VwfbwU2fXFY<hRAz-gz!J;K==}$ zAp8JB2>--q2;aa2!vF9E!WS@w@EN{A_yuMVKF4<m-@zQhKLO=Guz>J2enR9KTp|1k ze<Az?DE|kPe*nr)_y>`{5CBmh!N>*9cMf3?{t9LY|3L(V&%p}eJ48bG7odEBC<woT z4I*y<<-dUP6XGE9KR6)r1yFtlCxm|i%4gt$@C}k7>N$8I{0Vsw{sVppe*u&qApqeg z<U`~c1R?wl1rYuVDE~q!gr6V+kvAxV@NYo*3!wZAQHZ=kIYgd849cGX;UAEN@C%@P z2RR6zVIoBS0hI3m<xh}@$O}w@$a^S2_y&_9d<8`azhMf5&!7b1Pk{0ZpnQR;5P1P* zi2Q|V5Wa;9guh@0gdd;^<<Erj)gb&2Q2qfZ-(eO+-as89->?wE-=GQMFM#qDv>^P1 zl@R$CIuQN@C_h0L!ao4zUx4xhRzcJ^=t1NktcCDT7(n<R)<O6e3?cjjn;?7!69|98 zW(eQG6v7YK0pb5JhwvLtK=?asA$)_=5dH@{2w&kmgnz&R!cVvc;csw;@EdMG_#Cbf zzQ8>Q|AQNZUvMA7f8Y+`KY;QpJRtml2M~DyPY9pkF@*2o1>tXa3gIvChVUJpL-;3r zAp8SReugiE|KKG=zQPZ}FZcxEHv~fX4WA)=g&+uD;0uI*0?Oa;6~g}!43Ss(2H_tF zf$$rke2-8F{{fW01IqvK9io0h7(~9{CxpKt9K!zq<wrz7_y>ML<PSjk2EQSEjYx?6 z2Pl66lt1AQM4llEA|LP<!k+-;AAs^1q9O7O{~_`hp!@?+enJdH{sDtOxSUdmh42qB zLHHSQ5WWC2gnt9d-vH%rh=<5Cut4M$5+M8rDE|eN&%g?ipO6TVcYyL8k|6v7Hi*1O zGK6oy4&fW5K==!w{1Z_A1}NVl6(WBD%0B?*8*o7M7o<Vt6BHnPi#!NFKoP?K0p%Zn z@*DCY@(+|C@-qq``~(#U|3V>z|3DSO7bu4C1JogWhY|??fChx0Q3~a2Lii445Wayn zg#Q4_PtbwzKa@k{C+I@>Cn_NP20aMBqY}zDfbbKlAp8eV{sk!C!4M+vPz{k!fbw@h z`46D{gc^u^ff+>ofjS8PfCYrVqaMP40Oe~mK==Zd5cvgAz5$f4&<K$afbwTR`2|ou zM-xPT0hC_><zImEKS22oRuJ<Onjz{pK=~)2d;@EUd`1gIegc$#1Iib$fyf86LgY6< z`3!9k{sdcyyh1yKUtkB}KY;Ql*hBaWIw0~NpnQW)2%o_LBHsb!3qbh~p!^9?{)8@w zdI3j>dV_8VzW~a=0p)*y@;iDU@*A8W>Sy#q_y?eTfj$U-fipz@29)pM4&f(EfXEkk zLHI8wLHG<l5Wd9}2tUCO!rw6!%J+xxIi^GS8zLb5hFK8)f=CG8V>X1(5C!2Y%z^L~ zq9J^Nxe&fU41^yr55i}Nh45$0hwvMqe1`=PK0_Qt{s)x*0m|1{1d(ruhsa-m@)eRH z{0&PW@)w}|f~64tfi#Hxjb#viLpp^2VL62V0m{Fz0>V$ofXElDgzyh!Liio4Ap8qi z5PrjI2*02e!VlO2;UAa;;WO-n@D-*&`MV(e2T(r8ZV10&8bn@W4}|Y99m4+s<u8Ep zEA~R<1!h9zC+vgpH$eFd_Cxp#vmo*g2O#_eD1QT#&oCPzA8`;OzW~a&I0WG{%z?;n zfbtEXe22pjd53)v`Hu4tKEr+p{{@t9Z~($zaRDN~0m?VH2;titg2+o;g76sx9KiLB z#TEtz0Wgb!Az%vwL--a3hNvwJ3``I)=Cmyg424@57&5kmf<+A0LTCvnotamXT9lWV zqn}(*s^^)PS(2HUlUbF@z$9#7pwGd;;>|FJNtTI~NrH(Bv{O@-iIrKHg&(x(6U=1e zhwkSLfV$@dl6#(PVPN>Wg@NHGl6!i#GBAAK%D^z^6~rA^B*Vb&!s?!aqWt94;$r`T zlFa<PVg@Gp3Cx?g`k5IgFu!E#XXavHIm*j$fk~f5nMHz$mrH`5Re+VBRZN13lOv8p zK}em6n}wB`lSx^KiQm?q%Y~JPg;kcxkdc*1iiMSxi;0IxjY-j;D~OdNB$6wN)sl-x zk(HIFmC=yPgjF&`l1qk_--Mf4fSI3}hczUciHnhoOP-5~i%V8Ugo`OplwX3CJCB>6 zk5!hHk(H5~Ta1xgR$Q15)E`J-Vr6z`VP#&#%)-iC!NSTc!U7655Y5GGkHSZY^P`A! zF|TGq6VOBB=QD9JFeI?BvbuAyvM*xhV&$yhV&xR!V&xR(VdWO#;o`REW91d$W91b_ z6X)k(Wf$RKWrwL-%_P9eFCxIoFD%3=C?doqsE5V}TLq3M1#w8aTd|FSK>;knz_5QC z1H++h3=BuNK?N8Xm?vz8#4jvfVKhp5%gjqjt#GO=No8O%VPG*~c)%>p#L2`4>Y^n; z?J?L6H<KZJI|D<`b_Rxm?F<ae5Mfs79SjU0Y`y~`0JBE|&3=e114GYWNP0tYM@oKX zUS<gcidbfGaB2wylXyW7OFm;kTs~ugVLoHQdZv6X2DW$X3?YgtCi1dO++fJZgaO(3 z6ty%2SvZ+lctkx|T-n`N9NC>%?AaYyY}xHttl4c?EZMDC%-JniOxc;ZP1p_DI5@P} zWjR<lnYg%Em<5Gc_}K;6d3pI*xY@yWa5=IlaA>e7GpVriGV!q}b82$w3Nnc@34oz6 z69!}xR%B*k1$CEom{^(Dfl`ws3oG+FMiy4)AQo2U8%&_|)5Xlh!pP3T!pzRb!phFU z!p_dc!pY9V!p+Xd!pkne!Y?GmF32asE^HviBFZkoF3u~(B55qcBF!YnF3TjsB76o? z(ga9D(#3`y3=9&`z&^Z#f#KK=28NT+xM5&mV4RQ}4wgj;>*Rvc%oGMDX$B5y1_>4> z7A7Vp5DCJJVATu^1yJ(^b}}$PSF|vw>||h2-^swBwG*m{fq`)WHuEdeQ%jP{7?=bZ zI0P9iSeckX#<PHpo&YtsVkg4gb9XW@EZE7wuo!Bt2m=E%dU}GTvy#e!R6V_n#NrG+ z6fZd^CTFDjWagy?B&IMhaWimnGq7+oFfc5D+V=v<KJHx%41Bv77zB4g`~kA>16uk; zwJ)_0pKWXmTx<+1kbV3cp!Ox~Lin$47Xw4<E(V5<U66VLWMB6#28JoSaMu&K{Fzvk zYi7d0Bpl%6&%wYI%fJ%Lz+lV7$}9rf_ixL>$_i#MFbK#%(#H!Vcd+bcVBp@(z`(Z~ z;SRyw3=C4c85llngOnfW^%cY&=>CVh!WHC-1O}D_1_nn^RRwZ|BMU35Fo*#P-2$jP z3U(vHqklI8!?fKD3^S43F=sae!^+*HhetlRt^x%}6v!#13@oJ#3<gZB%nG2=8{`Tw zhYc1mAE54G*n@D7>>dUNjXewuI(rb|Vz7sS!FCU+;R17wcz~rpV}O@G7X#N)Mh2Fp zj0_CfOsveJEUe6epi&^4g_RY~0R<Do0$E6W%|LP&HPcOng&Ei$204g540{p&m)gs~ zptzTTL1iz(|LS`g7!3B3>VJ?eOu`N}_8bgc;S4O{3=9^qRAGUfDi|0Npzi2Ea>weu z3=Et0GB9jKa>tIn3=ANQtA2x|PjGn<ZD<;cQYOG+LpUH9ls57hSn?Pc9GF;{l|bnN z5*!zx?hx3A2oJ-33=B5=7#JM(A;QCD9|HpjZy{7p;BiHiKVtx&KQ{wcJ0k;2J0k-_ zFcT}YDkwcLFeJ!B;-z9A1A`>QX$;f$F)+;B$G|XWA5?&WfuX20uOu@!RUhur#FG5n z%w#?1#9~mnAjQBV#o)op1cHoAEKICS;8K@?p#f_C4J7k_?_*&2w~v8=aX(S!muBXb z6qFP(FtIZ5urfqJ?p2roHP>K2qFoF#H<So-gG=&@Qeh?+u`(=xn%A(Ofq@6=ta<wx z7#8klU|2$|c??WE3_Lsx9Zam?x(yTr8=&UiKyv4g{R|Ah_cJj3Bi39{2=g)U@G;B+ z2QV`eBf|lxxgG})?X#Q%3=H`P7#NBUKs`WA`z)!lBsDQ7T`xJmG_S-xH7~U&GdZ}V zC^Ijefys!0&xpZ76jX~cGBdF-b3iYp02!|UNjE1Db}>9Sz`*eM00YA_gcvidK0~MB z=?G>&sAZ;Sz`&%$AfUt`#mU6O!V9v-0BVoKK?Vj5kN^V%gVsR?2Hk@U3<d|G0*Lgf zmz-Nr40XL6gOD793MfTFF-Ua))VvHNy#)su7)lN@FjOFkCFd3-<)>6KFzsYv5ZcMY z;K0np#>5P1Q82NwfSNAsOl(Z7U>2wW1Hx?Z1`QJ%h|k0VC)rrQx<MvzFflVRa)3+# z@tB#ISU~P$W@6%i@IfkBm>{Y^B*-i#CWty_7G^exPLM8U7G@TR9#BIH)Y<{5XJmtj zfk;pr2xJ$?9V|?2Ol)AgKs<bGkUR*pF|l%iXps9LG{_!M?uPgbB*p}GH!BA-6BvWS z7=$M%LDI*KLx}YG^$-KYk3$R$zYl>_fH7kMdOHr59-Kkx5tb0u7(~<<G}xJ#!08{9 zyI7(3e;$C^V{sU4UIU19I?TY}c9?;|3nB&*VgM&^NQ{1BVi5Vn#NY!mh6NIXEbJ`I zEG*#AVd7wc0%jH#7G@@J=yR|$F*AWMGY81eAaNEZP}nnaKvb|WF>x?~Rj{x@v@<iY zurV_+vamBTB6PDsBtdb=%*4nBPC+0qfzt`dWKbMJQUWM7fJ9hWKz4%c2B$w3hzgKy zQ2c_57_k2wR3PE)a0F3~2OeQy2sy&Q5Pk%#1WZ87alPEs+|<I-#2f}D6$UXC1~E_+ zGsD7{1zvnJ6hO_LafE?E5NrYi!-gXa44aQIFl@tPZhTo{QBG<e1Cug?m@<O_%y>}R zVMQ{YVFA?qA4p~~9c5r(JIcVobrfnL0|Nuty^#3Z$HXAEkBK1wl%7GDor#G9M1w*L zWDg93_?Q@!M`8I7Bnwgvq7fLP0vy8dTndo|1rSItNDnylL3xpdg9(&&nAkumft3jq zTkzZp%84wX;+o-sDkOeh97U94tj8D_IF2zea36#FlQAF<t=|O851ybtG%P<TGDs*g zsDYdT!pxu~1T{iH4PuW6#7uC02s*~V5O$1#AqpY}RtfHsL&EbND}%&4Rt6VP2?xpp zpz;NjFF-zIV*-^%Opsgxst1@@!6go;8~}v|sQiQIgYiIqX9XF-gsK-*5`ppz6Br<y zimDE~%^=;ZOrU%RvInLcB#Nq=73@+_Y=QC?OdZHM5VaupLSzv>0GYtTgrpuE>j;xT z=>cLAxXgu?`XEz~Wgw=&MOc_YIUiJhuz*eG0?D(2^fIx6$_XAeP{{$V6CmXV6UcYq zu!ZDlO-MXSoIs=(;}Z-FW+xaJEKh)=5JWIK;7u=1;4v2o1}O;!5l~72XFrfW1_h}3 z6(<noGtB&%Nai=-DxbmW5oA6zO)8<9?*KLb0>mtEI=pv+f#J~!28L%4F|a!z>9DdS z6_OUGGcia_XJW8JE}KEQ3si5xN@h?^2uca?5)xE5vavHU@_|w;D1U--A}D@fwG=4L zfRY_3PlMtClpa9o6eJE31H}-?`H<AX3a-T=av)Pcd{F7nh*XDz^EAi<30jbNx^NQF zjt7k^JUq$3@Z=;&1sLNTS3oL{L7fQ$1{rRK6mABF0;stLrx4~ko?>9|IK{x=eG1Y) zU|?Wi4m!oa5Pb@F{}s)A3s8^M&Yy!pCXyjbmdO+}z-tNX##<t~@eCKB_HV#q{~_}2 zH#B1?f?5j>7X|}uNcc&d#`LH5X|nxkXk@`qg>2CTs67ox_Dny`z%cJL1H(cjdsd!i zVAyb)=<tMBb1VUl{)`MVZVWAqd@Srth71h4pkQTS&;<o51H%KT{S0Ri{uDUFz#x8x zfkEmF!k<cK7#OtA5VpU#qzIH=K+)+4iq05@LyWR47NAjj8<54Io~8}RY7m2gfx$rs z63#P_?B98Yf#KvC28N4g5aX;L&oD6jKEuGkdKMBtxaJcevJ4CtI3VM!uymGYYy@@} zoS#@+Qk0mN!oVaRFoV&bF+ks+F+k6sn?c5&$)0gGBf~XDMJ7%bJ{ERSULGDcHfDAv z0Z>bu#fZg#U7wwcf#EnK6DzYbsJD8Yk%g5N%!2A=U|>klh4`!BEFxSR&N47eILp8= z<1E5oJI*pN96HOuaE3^KJwf-EnF-imOyU8vP`u#?@y0u_H~3jNbWyw^1NX*BxHnG1 zy#dt=3d;sPh(8q0A^c%*j)B4a90P;ZIYjz!I>*4^e~xhaNQSvr*df@SgFz;bp^ZtF z#S4@^jF?!N#bKjVU=Dngih<z+)Ez6ZxML?d?l3g600-OznDrpvGB70QL;S089^v1B z^9&5>=NTCC&m-ck_dEl`it`K%htEUw5lW9oxKPt0xSt7j04zmj7Q18?r6!knrX?n) zGBAlZFxJ*HHZZz^2w@OWRL{jA<Ho|yJdcTC7Ly_i2NNe7sC~eBnUVb#BNLMwi<__r zR}pA|S0NJ<vkMCovlR;~vmtcuN0fyPw3e-qg^AULjfvHYjg{4qjg?iHjg?iDjSVzT z@5aQ=I1e(M&Tzp15)K9z5aHl@fq^0B0s}+J1w=SBTwq|BeSv{t+XdpnVGB2EIOJvK zAc6wq=}M4S(LC)0@$^2Zr`ee}zcRA_fp}R^grf*F=aq}w%elC{>;&_&gAv5P3>Ojp z1&xafU1VSoy$JCes9wW0E)I)DaDSjQF~<OOk>n%j<(do(3;|H{6Oha=y2!v#dXa&l z0?B+_<7w#TTQD$*GRTNBykHUqJFWm~{sAQOFJEL}xOS0&;U*d88=5gNi808CF}!0E z16eQuYQDlHMEDqAVqh@4#K2&A38VsynTd73u@M842!o6W!xttIkj@QI^Ba)Nhq-?; z8RnarF#H0!`~uY68%XAY`ulG#F)+L*!(31WE~CcKz^n#xH3I{KF(lnsTt<WoXuQeu zG6RFpWvChk27=*|W^4rM_sS?Sv@t6&7(mUPfMhPHf4lH91H%$h%r!HCnybvv!>r7Z z05$golDR)GGcf$Q%)s!U1ap&N<}z6_$XGH=Vzz|%bph0Tk1L4qh`PeS5POAzA>j%{ z4JaQGN+-#NMivl<Gg&jpSToFEwgzi{05yLDlKBU(Ffg3C!oYC;3Zg!`euaVI!4=%& z^|1U@Tv7zJMmWIOpD{qnpPfM_gJBM{ERzeU5gN+G%FGUGtAw(!vVs|4XD|eqK;!)? z!k;jAcwZ&m9pFL`;*v$ovP?WoJWM*E1rG<H_AJ0+&o(mbft9xqtJWY}1@@SMDa2nI z*AV?Li)#!F{?`~7!mlC9{laSu3?0`P80KFCYhz%*F<%CiWtf82Zb=2za;b&jdd>w@ z<ZFQlJ`e$}=PX#*n6EH0Y-3hr;$q`qVtvWP_JN6sLxx3LoS&nJn~Q;=h>3|=4YPhL zVqs!c!>rpZnAjMvFfuR%m_hu<aUJ15iR%mu`qvp4%&#N-7kr(8A?rE=L*sR*4+!{g z4to8L<UbFPuh9Hw1M%NAu>U}#s;u42Y?GLoSY%kVMftgkc)1uD%CP&e47(3)pgx4S zx4<0Y&l@oJGcYi`xX!@v`8or`_v?uCDSCr}0ff=V!C`z@K1s|;2aSX?Fo`=9*fTot z*>f|<EM#QZ$D+u=$;8RT&dwyE#KZxGT;i-uT%bxhl8KdBi-n(&i$TEx;+~8f5ch$| z>KhCUZ8sPgx^5ucv*ZQ?0|>+HN2g)#0XfDoC*9FC804gUdqxLqdoBi<GmH!`SQNR~ znZ!X$B3P7|xH!QJ6PTGmNv48{pV^uTynyKg)I9<>5%V-wHyIe5Z!$3W-9)5=^qUL} zIX4*?3U7j3jJrI6$TBcIU`K7=K&GS0lVB57dKy~gNlv9{ntBDLC2onuB@9d~21)vi z1_BI9DopH*0!#{wG7LI=EMg5z?Ee`Bn!rQ*LQL%KOgu~i3=9RJvZ#QCoq++N8f15Y zB_w=LAo=I+O$LT%HyIdS-b94&mzxX>AWW$Ig}A#IG#ga_a-ya_qk$BIk_UqW9}_1V zFB2E56ljVYdYB*s!vU!M0k;t0nR$zWq39L^L+LHJ{VWZ)7#KQlA;OUdny+B-JYfQ4 zoUynvFIgYr2tDweSU^6wh-6@5aj>*!bdX|DieN|pxkVP@7JVjGW?4|U=(Di0f*GJ- zVNkGwgv*Oti2TlVn}I?2HUop$ZG^iNZZj}w-e!Q8V>6)Z)nM)_z~V0F{M>@XqEyGc zl;HBj0)zt-0^=DIq!^S67$z}-TxicM#lT?4#LBD&a-SUwD=U}*cHac3`#NqjFc^SE z7#ODBW?)!-n}K0HlKT$bW?;B@n}H!>D`Z`Q4x0NebV9-nmOpZH6AKua#2+voVZ6`C z_<&IsOlI9@<YrKN&%|J$$i&CP$yCY4%OuAl$C3@&m9YlY6YK^p&rt#8ku{+1U^gQh zD=V050|`fiJBawTzr(=bb%%k$?+zjyWA899WPmW#eKAlP7LFg#-C3FkacM$KJY#}l zJU4^d0!D@~MJ6sLdnPUx2_`O3#!UrBE~p$~NCibN8$YPO0t&7LP<NfUgQ$mZ-C<yO zeushKHIlo8?lLfF-eq8z15IxYXznWLK@Gp+%=E-$(6T=UCYBA1ZtEEtH-P#^8ZVg` zwD`n?nY08r*m#*ZSy)*(*;qL=71%jc^;y`NR3emF*)&<%*;yGFK;s?ZET96Ai#d{o zm01zgw`E`ejedZI*|=B{!UA@X@a?#Z@W+h13=E6zGB7N=3lCrB6L%RH?%ri!_^=a_ z4q|peXju5d;y*b(KRGcchk=PDVKrksV}db*)?-G7eT;leyc~Q2LQL#TY;1fAtSmfC z3LHG32__w(a!Gb628J*uR%Uq?R%R&{P<jO|8DwK%2xDPom1kpRm11LMRRb^HgRJgh zU|_I^xI5w=B3;b5$H1`W9s|Rcdx&!M!94~B5PoqF6l)9&xYjQ~WEmJF5>d<1<n(;c zV)uMlCWCns6h~#LzWFJoIjP0)G8UvbFDNlDJrz`R&12MOG!WBgG!W5eG~m-`WY98T z5@wvu$Z(F6kBN^%WH}2v6Du403KkwIW=T#ab_ro|9tnPN7A8S4HeO+FCRToSeg*~w zCRXO1jG(nN!i+pD%*+BT!puBu{H&sEER0Od#xQq3fQ83>M0k|mXJD9cpMhb@eRw>w zY`)LHaO^%}yaYX;Txdc~kICuz&Kar6+2KW*C8<t{MMasZMWDzHk7rEKjb}{oh-XaT zL|_KJkBkf^d`yyTl02aLnTwH)hm%i~iHpsigG-uAk_)s=O&l~J$HEF;5$est%B;b_ zfFi`k$_ks>W_aKLiD!)mh;e_12Mi3s4;UE2AHe;^oc(}-q3QtxL&pwCx^#f9^Tm=r z!T#}0&CSg(1I1H-r$1wWsXt=?Cj>L-&0u6`W8`DvVH0H&W#VGdVdCNjyIYxwm05s= zmDz%YpIL-~0nBA%WwqeoX9xEsL2=RG2yyR+2Mi1l76XIWLk0$|hYSox4-x4y<RJq? z@<RrOqK6<|3=DJjK-^uh8$!d}4UuJFkU?w5!r~=3Co?(KIlrJ1o(L0DQW%(63f3~_ zGZt_nFoWJ?Mt%+fQ-(E+d`tptl5FB!tc>hTnv5JQ9IF{QRxvU&im-BlAOnLsG=eNy zSebpHTsBr#OAc1hX*rV_nK&3tp$-dhg81^mLqt7S{)mBL@goL?$Bz*Ho%@)9VgF+W zhKG+K8WRpd{JG;GgogQd12p|vJjBR{;CKtEEJ#gp%E?d8hI_cUATiHBEi^9~lulDq z;Npozsd<UuX&JasMrvY#Q)WrATYiyaN=gxixL#6b2_iegRO{uXR+PZiCZ?nqGBB|; zF#Tfu&&b%oWCtd{Fo7vwFli1ZIl-g~gPs?oI1@iJGmA8{Fevk~2=TKB=rA*}@PcVp zHcm!nCTm6!7G-7$78Yi177=D977gYA7Cz>yj7;1NHyHU?WZC$FSUlO;+0HSuGjX!9 zA7til;b3m&;AP@#;t+1+VCCZb!OruYot1_6H6zO#Mv#%hOuV1inf|i#K4fHh1QYqg z&bot%b0HV=0xrh0j6xR}HRf}1D{(L~HZcn_aj<Z;GE3ZGWx34Cy@Ulc=fujy_?m^) zk7)^u#%2})HV#%+0ajii78WKE4wgAAtjsJdtgE5o{E9AY{D!QYDxfBKg5(!YZU%-A zj7<E@1}yx{R~T7Xnf+K;nL9uXV-|j9ITluCunHD_Rs%ME)+>x0tn7YVtehR7s^6H6 zpH+^Hm6e-;!HY?pk)MT`S(-(dS(uH7RfvOyU5AZ{m6wZ!Q-_6>gOi(?hmlE$QG;7V zK$%}ch((ZFgrA93lu1NGI6#C?_$nh0Hv_`~S4cYTc!Ef$tDZ10Y<t4MaQF!#opL;7 zU=VuBz##LK0Ss86<q5}L)N~4#WME*plYl*)f>IfBl1Tz38OGy`pe~sdgPt)9hp?c4 z1Pe2BJ;OC7J|-R}b|yw9MkZz^-pPy{n;8YqFmW?+f)>WiWn^B)$jZdV!Y0fr!ottW z#md3LB*4hZ&dSNk%*6#-H_ay?#lSEb)Qa)|t$v*hYCw5#urV+gGjT8pGE1;Av)04h zyTJ_-4ilatg~L+@hMP|r7~VcbghS&q28L<R7#LPRgXp|+4C4P82Ou;ozd~dg7$#U_ z4~Nj=%)E3^rUf?&S3??wVc<q#F_@eP5#j`MAf(iNMlJ@ujg0)v0?z!b0)_l60=fLG z0;LRdm=&3XxCGhwLRh@n+1Uh`**IDFWtb(I8M#22f`yrlmx=ixGxHH<W=<v^E^$^d z4nbB%RwfA+)~(E}yO>$II7C@lcw{(PR9ToAnHgD_SlK`;h<KTppE9$&0O{gpkztl* zm*5iTVqz8H5M*U$WszX!;$h|Dc+SjviJ6sym6_EXoWwb~l%znLeBXis{sJgjd$I5{ zYd}W3--6=c0w_s)aq)9%aIt}AZZ<M9F*7<du`(92urTMcuril2FbH@;;zQv%qW$Il zoPi<gIRiuTb3}X`e9pk|2*iH@3Go~IA@T6xD1=6jj}3OH@sXRDotm7Hm<Laj8d@13 zzNTJLVsdICs4xVLf?o$G(6vnUTnr{_8AVydzA`aP08Qnv@w0Jpa4@NhvTAX1akD8f zF>PjK*}}-n$HT<S#L30a&BDkGTBF6t#1CfiG4nF1v+7APnXoY{vdRdEDlFq-TgWBE z%*?_e!7R=yDZ?qrDh1kfz7Q0CjiB&TVqs-=Wr1uV0qq<SfrRBkP*^sCN(ChjR(4l5 zR#tcDz77#cxUOYnVqyHq$il{4$Kc=%3D1ZZh;~rc3kHV57Yqy~FA(j8nimWVjV}=W zLG<<3u!bHejEYn9z(sz7RXihui9N$VMm{EWP*=r(iJyVNfQ6rdfuR9v?~50Rc8d8+ z28N863=Cy25%GBb6$69pYX*kw*N}LOc?gNWHTNMjEFKM-A?+}pD%5y{xd$m8%M&w8 z3N`go^9oB-OH<(z>G=hTMcJi!D0L-Bds1l{1C#g%#?<$Wj2{@MFoLOd%wVdM1xzJ? zNe?i&j`2Ms7lX+}7A7smwJf46Vh5QS<}oQU2{Llo$usdVvakqo2t=^@3$X}sN%M*3 za!p`lV&Y>G;^1TAWa41rV&dSC1dq?KFv)^OY#2qDxS1GNu!|mMX9X{_VqL?|!NkcU z2wG0Flbvx2qgFGcIGY3$ACCwVCz~J>CzB8}t0%J;I}<Y_6S#lC#LVi*>;&d>iZins zGn=prF!Qr6U}xFO&b*JE`7%566?P6L9wv@ncIGa2R!*?l%$%FqnYXYrpJZn~#m)|r zZDwa~U}t4zS<BA6j-B~1JM$5Cb^+#2cIIDftZUi9=~<FlihUJ3^G`NrLsoHSF<vI- zb?hAL*;(0Hc$xWlnON2`GOuG~XX0gI7GdUR<z%&F;bK|J$Z?aArGZ(RNtlU^iGzh1 z6dcO_OhVF}Y#glY++2*Twp=W1OhT6!C0S&6B}@63Wc+2Aq(D8+`JmhY>H}G@K-SJr z1Z`l+XJKWwW?^NX4BA+w%fiYW!@|le#KOuP!2+GTWMO6IgtEbNnUJhAACz@KohJ(p zR(1t0R?dk`JgnUL9IWite5|~anFUz+bvansV>npZ!KQJrvWtVeS*+}wP&S0m25PtO zWo8m(6k*b0WMt7|{tL=<%uLLT%uF(j%uMQx5VkZUGZU!s0TSn8WM*Pzlwe|JlxE^! z^kL&*VqrfB-N3XV5Ry+B-XQV|?;8dN$u|rPvTqRi)c*|wL&6&d27x1x{K10OUWDaS zP#y)%spt7K26Qv}b1|6AW@4DbqNpLj0ZM~1Ozdo8OkAuWUotVXGc&VraWJ#8GBR;0 zGD$OYg4R1SvubmJhL)I^O;|+0Z53ls9(QD6Vm0C5XJlmsHBP`npqzUl2;%+&ZxH47 z<u?orx85)?+(UBzn>P#$-`^nmCAj*{AooM&VHuc&6SCvE8B97E89uSd^6)XKvZ*q0 zfzHG52Jfc;4@Y>ju(B#}@PncS<Q#^CV2FDH-eS5Z`7I(miFHp=YH}IWJw2?l9DGcw zOsY&=pwVHlbqpV%_T6}k*q7w;j)5WI9Row=J4AW+_8kL*%zFj~@AnXWJ!c^CXmb%l z!^%5|ECa)vrD)|{PJS_Z#g*=pSzH1d$Slr)mv$Oj>Cn~dntH{lh}OD>7F2a=Zb1p6 zlLQwo$OjEq74(BPySe9cF_<JX@iMV8?_mT}yFpzYW+pktK!$m&icEYQLQMQ(>@1vY zOiGM5Jb0KGk9)D6V-#oNWMt*w<X~rGX3}64Vqs=xVi#u;1JTT!ESwgMTcApRFp4v= zvI#PQ6$-NQfR!?{urq^J#4<98@v$+na5D+b^5B^7!NkOP#DfvE19iJ6ACnBXENJ8j zG_>OgZAq(uI%m*5ZF(&1%n~fD%tr7c06gao8uD>uV`cT@U}aYUb>7%mS%bJ(IrVth zxg~g5xs7;Oxy8Yl4OA1uyw1kU#LB*#k&A<qnMZ^>8XPqRVUYB-;XR^WJ@lS|;pBS; zhBNOG>FdgS28NsOiK|yZY0Qv;i6y|mpOL}Dlwl1UACoL7gxRW)$Ex&dmh!v{or zHShxiL;D8?hM6B2;QJx}d}Ls7{=~r0^N9frYF<Lp*AodydV-}h0cgFtrxGF#D?dOL zBgj3V&9+S2nfjR+CorXh$$iXV>Jfyp0&@bvWEz<C?`Ps-FnI)OEES5fialat(qcTu z&TxiJUqFzb%K|(%!OX!YkjI)T#3CUkEhNOka+MWSL+oPbWfMZGBNWcEv+iLRXW?aL z?PTOy1g=IE(5g`-@VGn+6FZ|Ms2Y92B-+f(D#Xgh%EbDH30{r<WMW*+thI?5RE=`7 z2{4I(q?kC^IXNU)!PO-b4-+#p6RQ-cIm^t-%&N((1*%S2Uoo*XGBY<ZGf!q_p27^S zLf<kmzhZ({q2HO9e=sq3GBbBEgR9WTOw13MSXo&<GBJN*Vs2(;ZeeB@V1CKOe2a<o zBNH<lvpBN^FB9`gM&?tD?5~)ZZ!$68VPbyE#LCLS#0{!6#aWplRVg<UGc&8VKa+wa z9}_Q|0<(-LsJ3L~RAOdj6lRiPWHsj!Vq#J_#3;F!QHDuMl8b?%2UJ-qu&^?Fv9L0O zszq}aR%Ue;R%XzaVQ|Hn!NSTM$HL0o0;)JQSy-8!Sa_I0Bg~K$Kag6o2UJTcu(7gw zaj>%Ma<Oun^RRNOgYpR<EAK?m9^niwR?avfR>2m~Zey@9T<ol@%xf6ASUJVPjZts| z{}v+?2NMf(Aqy*W9}}A>s|cGGD<g*%`!_~57FK39W>#i48CGUCbtqe!m6?qb%I0Ea zW@BYl2CHFaZDRo^V$gc7SV+Ee_>8D0eLpiWM15vpNc)UP|C>KEFr4|!!0`MtMCYCB zko3RjE`)}qe~2st!wv`3^bbk<;t4ZAgSKB8<GC12mN1F3h=q!>is>?3<4|N0;NY{6 z0XOJyR;H{1#)^C_f7w8Z`vnIVhXQ!*8V3vSPY%{s9O7(TtW2O|iL#j>b!;RH7qcli zPFR_FK>0o!Ue`u)adDdRuyQ-`vGRhY=72U7urP;$A{yiefp}=Rd_mNAp<fsnV!kji z#D77QN9kV}7z(}+E{}>+b5fH_@{&OHFsKH0j^|)7iDNj&Da&L9nvb`K?Vqzp-9J|V zb;p4(h;s457Y2sQUl<s!eF3=?jB)M@FG@`VS*!;tf57_#WEo6k8BTC<v2lV5e1-{7 z^A)~gns56RY&M9%X+C)JDlaidA8JufW=SgCvKw$~7&bud=|Hk);a3KRHD4JRHXzxv z0>{2PSUIYp1?`Tcq(ZtQOyUB${EPxQ{M-yCe;65NamzD-mY#!_kg;+IGBGo8h$(T1 zvNK6?GjVgX@NqFSv2rr8G4e3+FtV}>fx68g|1oSxfW)`PH!v4Wn15qnu=>WpV2cpL zxxWhLKG6IvXj_#(gOxvn0yhh2oInWFv0!IsVh5$cM2I~zz9HH*o4zqHoczYXaP}J_ zT`_%UU;trQzCx#A_64VwI0u9V6y>L7=A;HCg0}pNZ(!_T1W)YThEV?N8Mzp2t}-*^ zDGCYja<K7p@$j<=aWW}02{3W7axt><u`^jP2{VN-@$v~Uafu3YaDoSn1ek=3xfmEg z+uT7FzX%H#GdBxpyp5Gv2U;j{fJWI^_`!2PptdhJ3p;2r9s|RJB#1v7z9Y(&S>G8L zR()q+SO@YOID{FPPkm=#xc{Aj;R$r!2iLf|hE{SxDb$;qdf+i=@ePbGARbf%dvG-q z!wyD87A7WEMoA7{CQ&9%79p-&Hf|;^aYazW5;UF;IwS(*&SVx=W;0N9fCbrDS%uhG zS(7<f*};Mg3=9G(5cfO$K(q_ue=sm){$OCp{eg(5{vQksARIz4-J-eQFF(&cA2jQ` zi_xCZ!IHt|2P4B5Mm{c(^QAcyS)`ftnZ&qQn7DYwdD#V68JXBP*|`}Q1i+0)7FK2@ zNB|0?Lfpyl6Oo?ueljrF|72kB{fQ{Q`+qVpfbim<5PgK^scgzo%WsVEcdaNXN`yC$ z(@OJ_1DqL{<QFh4Vw%syxPYmFc|H>tgUxj&Rt2`njI0W5ix?SJFzK@hF^MpxF^MvB zGnue)v+;>YF^PU)R(Q+I!NknS3fg?d;l(5&FWSPQ&<NV;0~TWiiAl<`b1^V%169d$ zL4DTMpdlSoP#j)oV&Y`tWMpSzXP(T+24%7@0`Kd1kPZnSj$cUO^NWGO^cMqz#V<rW zI{ac_0Acie2IE8Xn|?t-QGS{ploy{|nVgea9FSTRoSK{uT68U}peWD5U}MA3!Ys=q z0V>$R$GLzOH$cK&Ap_!`86f*1LJXUJF)$qd#lUbJ$vv-sF)*<HW?*oDjt>W*jSoXz z0CP`jVhS{KfaBmOBRCGGFoNTtnVDf0vp$mmXr%>{6%#8X6B84cJGUwesNp8SqQ=b1 zC;-YJR?vmYpbWAY6uO}KQt-MGunZ`du&^>O28}w(gSz;ju-}jg@lVEYMEz0yn}MP2 zHv>c0Z$$Vm{>{Ju!m#i}r=kAQbNBakj0gzwcLuM23ASf+aI@!Tu-n7PprXhH@c|c; z2qPDVBpWviE0YN5m_$$k1sasF0T)J~GZMHM3bG*X;`oE8zvcfhFzEeZU@-avcNdGx z9|i`WKMV{#(0TI}(0O#2`x53s#>rsrOG!;CO?OVr$pOz6fhNL&@)-*f^BD`|@);Q% zZZI;K@v%s;32@1CaWL6r$u%>|GcZg8C0bh$&BDrR3y$@GY>4|-{DGv)UIqq+eSa7j z&i!FvxP;`sSAQ57e*R%#fQ=ubuPX^?!QsB}BJe_q1B}g#`xzMzF!Jwb<YsW#%E)jE zG~CK0%*4gQ%4ER9#l*@az%KwA8Y*Vu1Z}2cW@S+TmEpxKoS>-yC|4i{;@*tEi1M)a zF9XA(zYGk^{vyhmi+>py?*3(9c=;FXO@@elkoF<2`3(!S^=0Y#>8T~Dd1dgDB{i?i zJGIgkvUgH^0b|8{M#cq<#$d8$J|h={(<vqf2}LG8CQde1c2*8?CK*OfE+JMEW)Uqp zO;#3GW{?+I89{wcDbR4nYfxl(L0aaoK`nDH4)EyH4Mrw8#t?|B85k0BA^!FFhe$s; z{}>p${xLB0{X?XmGyfPEnEx{{_`HPpbAmFY+=Qi{f=1N%(a-`-RyY<Hrxt-$VYn6* z<rit{fhh(ir3EZMndY-FE?{Y50aJ~PU`k;=3m1dSX$}TEBNhcAUT$$FQAS=4VJ2Zl zUY13SO#A{0g1k&x0=)c8EWS+6TzuTJY_2?W85sqb1mv3-*@am^V^LfT4Czd)%mys1 z%zHtZI|aHB0@R;zU}0qjbvr;~fU`i7wa`wEI4F;&v#_!naImuP1$9SKcv!jBK>Zg! zR$d1IR(?$(RzYh4R{mLx0<8SC0<8R?z7bd(I4Cz1Lc+1(KLZ1-yzBkXz%czk1H<h9 zU?m`ec@Ba4GqWT=5wz%G7HH9fAcM;WMusXrCQv(tgNd1$nTwf|jfsncnO%Z|hslJI z1A5yM14BX)#C{G2Mh4iqN=gij3|b6~47v=A@bkP(85kMtKx(!_;t`hLVDSjc|EZt| zgKWWr#hP#fV{APKgG&X&EJj(T5Ky7-$HdAk2P*XaSXf!Xj0aG6bb#yt`53f^{vrdz zLIy^LB@E!I3M9&WfIv7D<RoV1fs+f=dKXcKO^l)p48;(CeqdmPuM1#hWMmLyWMq(H zMEKK=k&z*Uk&$5ybiEaNc>?oiVnIP_UW#X4NigUn2=Nb$8=1hP^_Re-^)5?U7*;W9 zGl?^4GBLABFbXnNGnq4nv)eOqF!FLpFtRdna58}kk?o+&7RbWN4C*L*u&^@wgAx=% zl#P`YE(-ELgFz|8A3GQs;pY!rVq|1^#K_3-49Oo6OpFZqOpFXK2>2r@zceqU7&*Y0 z<PR`UW8Kfpc!1e#KQkAD%QsereN6gHYK+`0;!FZOyv!O*3XB3QtYXZfoJ`zITui)7 zOzf;otRk%Z=B!*y90DBNtUMgt9BeG0aXIiNe>P!eF=kO#F%wZ%CJq4sK~_E{79Bwt zo0ZQ^nv<DTj6<GDhMSv1kQ)>-=b4yTnJ0qs8fb}%FAFPk0w`$CGqJF;P6SOxd9$&y z!i5<ODj?zVf{77+E*%duBZDk6BZDF{BYd2yk(rUfkcE-qA><e)h6TqV>AB=EgodT( zf^JB;<iG<duVC?BP?VWhl9-1W$pjs|0z2VB{sGfvru$5c514-N+-KrqaQVv5(8a9J z)XwN55UCN>%IM8$BWumaA<o{+=%8mWs3NW0$S5NrEodO2-@@pk<}7R>XWqqVB4I2b zAthd*Bd#qVAj99s=%MN^rXV3djgd!!TTnw<T~I_uScOHInH3aJmq1AfRK0_y?8HE8 z%~)8OC0V$bL8or<vG6eSu&^?7u<$U0=lJAV_`w1^Ed0zh;7JPzpOb~3xgI=v$<NHk z!ph9g!q40a3Vo0S=sY`6&n6pe5<fGD&&$Hk+zC=3#=_4m2sH^DUmzVIJ3&@}Xpl-! zOGyO0AeaH-UeFdVHda=+dpWq+B{^8x`8askc{o_vIXHOO8zBuTey{)!2S0la2WVOZ z!sq1RXRilOk?^zgaj>%UbMUjbf;506xIwc#9Q^FrV3YXSL3~~ge)djK0WHSC&n^fx zX(CJq$WD+IAR43+)P56z4DTOfWYS=4=L6?H1_px$NIG+1g_lVTL9C1nF|3RX39OKE zM3{krWeO`J!&O#B23UX1;tgv05`d;Jc)Ci=%S<i@w@H*9u<T~P5313gvopM4Hezw$ zkYM6u6Jl~>WaZFc0<rm+I2iT$HKhC*g_-KuT^PBTgE)N{g&A3ygF(x$L2FKhSy-7> zSy-7tv$xDkKpg^*h$wV`4#Woa^+2>fbfcIrm<A7A!wq0#WnBmgBT@}uP-uaKQw19% ze0}R&Hb#cEY>W(h*%0~hDH|ihZ#G5-L3T)Z!q&sRfX+9;@*_0(8642oS%aFIsfdL{ z5N@$sQDSZ?=-|c%=JQPdnHU?G6aF)CGq_D+l#G|EljN6TmK2e4Wq8G;&!od-$i()N z(TYj+9itjk#Ct~Y^!YnR=C_QjjQnpHtwFsiA85k>l+A)!SedUeg32PWC>txQI2$V~ zLUa-%Q#@lG6F(y}lL(_L%-sxaknkvAN0i$Q?2HWE?2HV3?2vQ=+8;BEosnTNJ0pVv zw7re1o`rf8G*qS*&zN8p&%xkU!!V0kmV=8)n8lixoq-_+w2BN=$;Ke<yKR8F;{!XQ zoaEqOWZ>ptWZ>g~!~w`1uy9AGVd*t3CqJ>o%p|QSKNq}ai;0)PgO?!-d`1q)&l8~b zRB#~L6(=|t8Lo0LGH`Gr;{O^aBf|quMuu0M5W5NOr?k<6l*=%8<fi7L?4~SAO-u>R zOU%hk&r3})Gl4ggi}Fiy;*AXvd}MW?O=;o*hnf8u1Dcuqxf#3ybeZ*(by@Tzp;$zl zMJHKXn2}j;8lyHhBeR}~Ha8=a9<wf!o{l!RPBSBDVKpy@1QXX27UspE3Fjo1M7~8V zEOSBUqr|X8^UYylnGRxyv4rwXV_}&HVh6DJvoJ9-u~f1oaLI9ogHB-N;^5+96N8-Y z$P_Q!#>%`OWLh0dEgusL6JHfeB_C)4qKu^!bOHoR5lbP95f>956BiSIHzRi&EAvT^ z@(xCpc1Ezm7Dkq4MiyBnW$rI5O#4}w53n%pWnpLH>tkf;W#kiPRp!=W18e7-#>g_2 zk&l~|-3-(TIK~Q2JX{P6$5_Fshl_zBfQgw=kcEYr4|-xFAN0gVKIn;!oI)&u$s*jK zRVd<uQY?}RGAz=faxAjk3M}%bO59A$%7SVvs-hY!>TFuvOibF$dQ7^a1}yr*M%;!v zCM?FmpmfvF3CSlLxESH*IUeF-WH`#j$Z&=Wk#0b0LHHFHB;61iuVJu9t;eD11{CvI z3|<_%?0V4*poIjC_n6u5Gjp<l4p`vRV$lQzEeNwQFmN!jGb*yMFoO?}`v7%k1UJH+ zS=@{ax!jBlCES?q?BgcRof_EP2|95rnZb))mqRav;TR+P31*Jt%%JsYd}1u3AoqbV z8v_G769=Od%#EP%N$7%vCj$>6A8PV2GPv_FGWhc#+_{X0k>NEDBZC1i#GNr$AoW!b zl!lc9ATvQ&0KH$DT9R3kh`ik;9@H|@)XPiEP4!O$t$3Nh=-toAIDxT;2~6!^1XE|3 z`Wd+xd{UW&7(3Y*Tojo^xP-Xb`Iwl5S(q*`37utH#VEwiq^QR2&mP9aBrLFsQIua+ zfXSFiK!R12mBXA#n2D2_m5q~$k4b=qm64T`i;0DqnS~cLzosG@C@Lt$%*DWv%LG}U z0cwX#0<~H|OKU-#=^ze>A;rSV44S^u0ykw?!EGE{7RZ8Q=t3yCA#ALy2t(LdSrLYC zu(E><;bP_F<YMLI=3?cv<zeL(03VDCp5%pk^g%BqUS{wj;^j0iBf~jfMuw}rh<E{o zH3)x$#)}G${trkE2p_OOP3Ia~nI(xyA&E&jsi2Ovre0cR9%6|uNX{d%IK!<pFPVWU zj={%RmqSmPVIL!Ry+9Kq2NRnFcvXog<4Q)3O`z2zY}`!X!2oV10Y(WH4rXPLognPc z2k}n?A0zyn)C@jGh6+ALh8jM2{l+qnkC9;~A0xvFXnXq&bX_AXeNE^H2N?%1r$H`s z1g)qRpTHOmPB7;g!3pLJ6T=HeMJ_20b|w)WVJ0qpRvuj@J|1-@5e`un9!^PR@N%9M zCVpmR7FO_vc+iABXtEL-n<*^(tjZj$>{eW?oM0(%lavjVS{N7@CiFx6W5JK8Cqwxe z86x-@8RGdd<ENb;l5cSBuL66Dfq`KLq4)t0-G?&xSm|=;2{Y_s;$h_e$;kEx6d7vZ z$WUfvVqMC_v4V+}kCh8_`WB-gBWM9X8>1`>2eUBLq7P8_|KLZIPj&)~3?TxH40Qqs z_v;8UG870hGHd}E!oa|g@)nZsTVx>V2o^6;V;N3thKR%JUr74W)C0}yz#~mV3!3>s zMF1%C!&HL`1HH^**NTGtqLS1U1}6CjOyAhSJ*FTqsR!yd`K0MG>nZ7S=-DtaGpaH1 zG72)uGukonF@jcwFbXhfGTvrn5@%e)#PEd)G;GTW-qXaw#QdI#`2!O#3$HN8eI|}K zOrRrlIJj7aqy@NmnVFcGd6?K3SwX9M8F^U*nRx?*yrr0=nK;id^Bra8=VWDN713bg zQx^uUsS;vk;b3MqVpipl<zx~B_16VhjX3OC6L@0<;<)_fxn)5*#klxoWaPv+M0vO^ zn7KH`xg=OUG+5P{)C8Ec3>D3In7EmwjkyK6*}0hcK<kG<4aW7L0!JG<wgg%}240a3 z8e{@>qCn}hAEW}b!xglkOd2%d%EHPFYF~m+!}eieWnB+yl52CZa;kB$az^m5a(6KD zvGS@5u<{EEu?i}PunPBsRDfhbrI0iiD<^1LJy?WQ7;Huw6EmX{69=OW3p2AC3oo-E z3onxps~ra)`yNIvUQPinE+%>I+l+kTylbGxLNF|t0?7{^LXdP0N)J&&j0_n<j0^=r zi1ai~h>>Br5F^75s5)5tRRlV2gr1&mpw%Ow{9X)BOrYhk`@qX#IqSI?d<^Bq6&5lw zJYrU45;(&MUW9)Uw9Af(laZ5=pNXGQkV%F^fQgSAe)KeGPA;B>pP3WXRtJrN8?mvn z!UYVO#2FVdGEA5X@fU|MBA>_!Gcu?NGcsri!^<sZV_`-HdtpZSK0%njVE%!n@B&aj zE+xMNl=58}n8XbZFzRzL_&j7}_{Xfsw2cweV+Xlq0V8CD6~cy`!vPA@hG`J@Y(R3) zF=0lAbHa=amxK}F{8X5c;iE7k!(Wh_7!dP==<C}+W`gh?wDUKR-RTM1N_&sdo{Pcf zKO;jIiy{jj6AKHg@FGyE5(8aC<;=v&yd3IcXBJl0<&2OqVGE{3jEt;IVjy3EY++z% zm=1A&gb1Qu%Mf8?$QNN`C>BA4XT1m`Lyrg}LkfZMspR~;vJfLzP(LR#o}0m^9lR)y zPnAuUO%AjK#TayqEemKgkqflmo|RdN4K$(%-s=l;k-!XydtQhj%JCl}j10`8j0|j| z2=|DIGBSWLp>saK?g6zLg#*<683VNa*%^Ft8J4liGI21mbMdn(GjVXTGcbfOu`=_5 z`d$rC`!hrl_E(59GBk@aGPEJtKTVX80fdRNAJiHY4$$;x4A2GHU&yeFRhEehYCjjq ze$Z|{evthPGok($MWpXPqKpjeVvG#jVhI1si!m~QFro2Pu>WD>T@W|;`ExP&Ol4#^ zhvWz;7G`EX(3&=oLkyU>7#2X?Q6Yv%7u{lv4AaCI8D=5e!Lm+_kpYAaaI|v-(B^X> z?g4GW0<As;MM)^gIrA799<hRs%7Mg*G7B>^4`>c2f{B&c98?}S%z}gqgE+!HqT-AU zG9bP<B3yLD85u0aiB6|^`QVd*g%ff>iM5N7;RmZMpDL3An;fY82PIa}n2a3A1z-+5 zv0i|>X9CE6P{L(kU|1l|$go_TkzqBGdv=O5G8_|UWLUEsQcu@FY4mak94<zl3{1iW zMfuzeJ`)%jrm)E}@$o4z$+F3bb3wMLD#3CNXr&@(bwAi;AnOxmL&8Tv0x@1;Ai>CB zFTu#*EP)80PzgqcI0;6E0%$(QRW5?u;|X$)cz~HdV}OZ2V}PJPH-pc7MurP)iY#1g zTx^moGAxpULZI#^=pqYHssUd!0cJ4Ffw*S_l6wwGK+467NbY$o!N~AQf|0=kx<4ZW z$3DbF@C*~0i;DcY7~X+g!vS+m5oq)W<QmZIPYk5U23>uj30k!c+5G?tEd~aLxe)gS zNFv6&k|h}#iX<5s$|Mot)g{TuFj<mtc){Ig1WMP|{)_?U{)_=)pdecV8ZiO~nUEa6 z3==DpIHx!$&_J7|EkG#?v{f3+U|?X_0Cm?3NklyUl4N9Hm11PzltQ>mT#AuFO^VoX zbA`ktXy+y<%zW-LGHhU1WZ~kFWszhDhZ$%D5h=_-o8M8wY{EQ9cr{2N!fUb=Bf}yo zMuufb?%E;6$Z$}K*zj^S@&t!hFgUEB;kB5N;TXtqY_d$OJaU}k?BLJ>IWP<qS|F!^ z8Q_R?m=AH6gfyaD(3ECmFp*|tu#iTCmy0wbgRe9rgAcBB4_#N52|5o199+T)VeyO! zlJQ&&KD~?#&)8*|oSC>7B|%juX!(E($SqbZtgK)L!v&~27DyxdQ`@8&8TLvuG8{m1 z$2Dn2hWpZt3?f?~<pHkws?3tqqQsKacyRlQfl1gQ#GZq}C!gU5yDS@M>;N?0!^+0T z%EiV58aoVNVqz8p)gJ*YOspV=!vaXSc*r2?u`U@#hG{a442xtC?UF+>j12E&7#XBw zA?-wg;}Cb%KxtSx1rBV`*(4bKp`5hhlEma}wDsjs(SSsxji*qt(7XbWD3f?XN<3r2 z70}wr03#-OMgt};#`BB}CW=e~OpGcbeBAo1T%hx)r3G2lSov6WS2Hq!H$ZiRCQv|= zC!qE=cAB3V+GzwGlfWv%#>&cxv`qtar45|V#m@=d7G=aF!o<yN0P2P?pNE771H*!a zkZ_zJiwMW%vWyI?WEmMY$|AxM7CuLy;fQP9A55JF8+yMC8akSK1)wGN77PKrOx%nL z3}K+vf2;!BoS@B)JWSv*35X2Xs0UE@8OR~hox2<(Ly#OJL!=zSeK~TB49#+k4721Q zJ|i@4+kv(pE+;K7KP5FRF()$xvMA5N$ez(5-kysgK#fV1v4WvSk%?82myZLqmz2qY zNeWaDdx7qifzEJ&W|^Ro0u~1M-dR~W+4xySAkAqtCSE2E<_fU47#1vog!>01fBltX zWRQ|)WRR0b<m*y-Mutv#Mx6In!P@!YU0t9qN(;D)5)Il{S_&dKL4;I27emM#W`;P> zS&~9*9Be#H9184Q!knNUI~yZ23qKPVD=QBVw-^f(BP%1j2rCmpl$Bc$Bnn!-EW*yj z!Xc&4%E%?bCeEtE70ks84u}<?BpblO%IpkYz6+kSK^21p0Rw}>5{SQF$RpzOJIKEZ zj0|iF2!AUnFfte_5cW58KO2*{L8LyTftx-TL&!nsp_xo9Y^)rdOk7NSY}`yjg2FtK zg5U)uwM^{bi>RQnRm;K-&Owk^5?BgxUxxz1eX|u98I~z9GOR*!-#!IKhMPpVFE76& zwLCF9wG_0i#KFX#(IL~Giy>q`BSRaLA`=f23x^;R7dH!wHzY;bGVz1<P=npa1x{I9 zu&i#&!q3VAO;ucAnhTb)7#J8XK;7-2h;Vn1A|pebA|peRA|hN{6d4(&C^9mffu1jb z-amt-Cy={~b5c`5&Q90@S_Cc?&&3e(fstVblOhu*6E7nx7dMY6H<O3}J1aA2f<TOk zpGi&>9Ky3e$w7vNommdjLIEvNVU=NHXO)9=(-;^S4lIL&^9MylKY&MxkwI38kwH-j z;of*9Muuu7!u1Kry(M4=$HSI5gN~)x408E75TO*$$PluMnc)=pKqfvWZXP}~$BS_A zv+;9?F)=Bzu`)|avk5RsGx4(tFmZzhbGanMMMU`-7#a~?V`Yv7pQ-{Hr)6gb%dxOR zyvfGO8q3DYs>R02YR<;a%Fn=1uma-W2TBP4epX^+_y_W<GQz(C%8U%s%7oKB!oQ%( zGXQiF5hz`CFfuG-25qYp=FnyZ#|3DNM;#IkprIOdSVmk4aZiCV!aXg@j12wCj0}^J z+_PMnkzp4}?nwuoxf=;S!j6j}WFjNO9<YNrIarw#nOK>Gxxvl?9g_r_>;=_vQ0IY# zKvfwVD=XZY3!v_lP=S=|pwd%Yg^|HRg^|HV1rcsFDvS(QR2Ugx?R{MBj@-nYocv_y zfKI>}CV$3&4rYHYhOlp}413r>Te{eVn3yCPIk^NlcsO`DL^#+vxEYmr1eo}k<UH7o zM42Von3;r3g_C`lBsoF2h)I%NQWZR^5Awkx&}bQG#R6zd%?+G|A%pjz<=7C6iw{~B z0Xpagl;uG*2!o4Kgt=_2tW-1?)TdhmNe2^D5$($-s*DVOR2doc)e!Zozd9qs9(6_r zO$|sq7`%tHqt3j8(CF=`KYP&Y|6I`V8Jc><mBo&rC}4n<G)15lKX9IgR$68SIF2;+ z(uz_M+n0+gi-S^&Q;R@nw8$S|de5<+iSYo_Jti>qk9j{67ekn<xwM4`lN#eJMssG1 zdR0L+2US6}DJ-g7YO1P2YI3SVYIB)D3>GtHQB^LrW@d&RocbJGtc+~j?^&cju&6L` z^6)!xGcjJ|5M|+FV(;N(Zf2AKO^q_KvGB2SNN~up%5o@(vv63lT5&jWxUx#KuyHMA zWj?{mX~oPW$;T<cE6>5isv*T8t0<_%6~f8G9WTbj%*d%IsKm#_&crFC!C}nADIlSw z#3ahYs>=e}0L`Mo#L1z{BrL_s3)+6i!Og_Y%*raL&cr9J0$OLm&nm_$%Av?*#G0Tm zkx__Kj7y4@olSw2Nn4ePlaZH4iHV;Hv~5g;Nyvf2n1h>DP(x3sk&%aoLy&7OyAc!1 zC3diTgSbjLxLA3avX~WF6Ir)0vhHPMoy5e!$SN!;F3Hc!B*`r)Evd-L#LCCT*2l;s zwGq@6sA6Je-U3R}6F|f}5HSTrWU;U^gBEIlc3C|F30Ob{c7Zsh&~y(zY6{8*wPZmU zl;e?UVbJM0kOB%~85=9>1kiBtJJ9NwDU3X<+*v%V-1?wFV-8k!h-G}Ny!srh?7KjV z7)!yIHL?mSLTM2YEy5}+BEl-niAD<x@e7Iwv4Iw)xH3sIda$T5&tha^W~^ruWOd*W zWS_#q#l@-0Bg8GoC&W9KiA#u6PQZ*`l#7eA8M0A%!4^n<IiP{)zuwYdWcZ-L$iSkB z$S-!9j12yoj0_2yko@uh+8;7N>;HqwGZ3EPgqmLv$=wmMc7TCNd;#NgM({dXYcMH2 zpOKp(OpS$wS(rtSnV*G?S;k!0ViO}n1CJsP>v>L=3!K8|IrT1Z@~|*p<Yc?XDa6id z!N|n&m0kE7yBHH6lQ0u2n+UTw3l}q!u_U_;=)mS^CRXMI7FK2-7FK3QP!BMgg_Sjd zjg{4hjg=KFpvJ_)D9ohC$j`*aD8nMmyb0nzfo;%m(L{vHZB0go7n+O=Z!{6*w1O5R zL#h@dLk0A_AM}10tW?m@%1s7c9jFODY{v~$5-^D;+y~X<tC`}t7{b=DFwEjrWKv?` zV-jNF<q&5PVc}yF;oxE7V&dVD(q#f)Y?Qzx$tx)hx=<ySNs<@5j?bNmmD!Ai6}&w* z5t=kXtHK~j64bE*6}zm=kk%JumKIr#8x$(;EUc_xLpWI36T$oaSUGv1G$**n1-XEf z3oZuX!{oq*fTEqjVFx7K1hf(5?_O<2hDX|r3}!lr^klEc$k43E$Z%W_65cOfL&{wp zSxCBpmA?w}A@h|2j1U?Yo{)MkJsDomCMFk_W)`L9!UfXvAt4SIC`!#qO)N%I0Xp^w z6cga%B4GxnCx;Yerl+SC>6N4wBlcN?&KC(TNX&E2Nh~gg8<~`!pJSAnhft=Wm0Mhp zn5U_ilvtd~z$CwcsfTer6XOP^b<ALD8HC!y1?H8n2bJ>knAmt(8QFMPwb*!BIoa4* zK?fSJvazz-m~&WYvgxsYU}V!{&EXJXH|LOIhbZP}Rb+Eu{mx|0VZm?CZV{}?EXX3n ztk%b(%A(fA#BhgKpNW@4kb{?nSAb8%oS#pCiJP5=kDFbb$$*!IlSzb2kcD$EJ0}y% zId;ag>}*VI=h%7KgxR=uv-2Ke=U`$y%+7v-UFs=2>jQSygY2v)*jbM;u^wjPWn^X% zuwh~0Wn#R}#qoqo7+jaIFmW+4?&RQP;%DMx;n>0<!N&5CNeFZ@2I%Y*CW$*t++1vI zptJFKSa|L*vU0OrWMV$T$kD;bYRk&Y%EK$c&&1fs1=atLlb3^sNtuaFfQwC>jk7|e zl!Z%{U95ytNU)ueX)hNi6U!nl#)VvLOq`3j6qp!ia&a<=Fp077HgM_6YFV?h=`jhj zGYPOTaWOLU2q`ezF~_npvm`OcGBY#fb3b8ZzRk!gD#6FPijh@`m5sHM<02zl4(oL$ z(6wj0Og!h9_~kioa&g?@VrAmG%f<eHi`9ykNr6L-g^7{zFe~$JR+c@i%*R+oCo+S) z$O-Xc4>QMnCY}{c92*$fc$jB0vMRG~Vq{&*D7K4{Rg;w;VbdihwzZ5*>~b?0kwxb) zvbHmeF|jc5GV%Ol;^EYg<7A0u<7MJzVm-~ta*mUy0!cOZ9!BonjI8>sJe;pU2l%pb zGjp=DvRcYdVB%utW#(e$n#m~1Dwz##!gYb#_f4Q}2)`Lw*qK9ESea8;xR@1KxWFs% zK`TxdgZ2)Cs=L3Sst$B+PdT(4f%Yi7K)V#0SUFhPe=~BibB6G+a;NZd@hWg|v4fW7 zXA7|MFJ|UoWw#Ju<^Rhl#44C8!YW)2?$WWsx^(lHn0Of(nRpnrSa?C_Q7}s}u`+_3 zWy8k7s>z|pF2fbX*~G}B$DP9`!fVba#mgkX%g-pl&#x%tAh?!^%ZO7#ghQBLj9oNX z0(7@6v$&{Cu=GAg8Dr_KjB+fpUC^1-Ly+=kf<B@juvnjwVZA;h!*P8?`NM6%$e?V% z$Y5;%DSu+1^%;6Q8(gR{Ffi!ULdqprF_Dv4l%5J{_%VqWEMm%MEbz+bVhCHxsLG<o zqs++&N-ly-Mk;p7yehT~#{?DGMA_I`SQy#)<XFs@n1$Jzxmo6M^PJ}4T*t_=o{{ko ztKfcCUJh0+&@pA~Yj{|>q?u$Gna=X?p5T#`29HW4GC?*zg5m>wxF9rs5aMjCtoGoz z12?mmf{rlZVc}$AVPs?xWHw^9W8r0nZ2w`Ha2OI!3k(qBWSa~a8Fm^lGVC!x)Ne-& z7#YqQFfy><8i$446RDS%TArVjm6}`v>MdFLb1;MjGyD^jWzq+2M*vO5fbWYn2CsDk z9d7#pYQKUZrv1i-B-jrf2QJMkPE1RU_e(8j=n#Th3yQmdBM^UfV6kTf3HHD`;rht? zjFBvz1hsSn)V?2vi1f#4#K<6F#K<6Hgz%S<5hH`65hH^Fblx7l9R*8gd8y@zMMa5~ zpjnD|@Kq{|0kWX}-C0J4IYNp|+-w}|%pA;2Vr=T%`W&*LIk-3`(AlW0%-WDfXdDZq z<E{<vDK{L2gvSPun?M1?z`$_Bh>_vG5hKGRBSilAX2i(AXw1mKV+_e3xbE$R8o@Au z2Q_~bKz0O!dp_{$5>(*^C*~I9q=N3D_t)oO2+LyFBP7ejuEwd#qsFSr%mlrUUV{mA z&;j^9dN31mAH50_CnFCNE29nr!w0B8DvS~7XQMGA!+v8%h6~0Bf56<wZvycLtX+Xl z!_<W&qWU8(vA86)qM!(Lr41}jKw>%h=|*sWK^N;n7V5GXY+%x7G*H%OG~i<hQ#H4@ z5a41IXO$@D%;4f<cqhciA--A=<<gjKf*ed7?}ZpGSoOKt_}E2RxLJglbRG!tF!6Bc zvop!EsxfP`atIw4;$vV?WwK`!U}0opWh`gTVBup(I1Y)=0uw}gtHXqmVS))G!xR&E zdSh8&!pN}FgpuJ0G#?8<X;?TcfVQ(>ek@2WD$Xn}NzE&PL?LJ>wyvI=A#4F7!#82j zh*<=i2xtPj7PgSJ7M!=?3j<C-+$CX(aF>@UBSWGoBSVWRB7TI-7#W<+7#T{;Ao24D zdY;3Zn~?S(%zXyX_53F$qs9-KI}r<zHMH{bOFZ*DQWFbc`?VJ^Zes@bG_FFr9r>W` zdtn*i))zmkwz;@Pk-4CSB%1;&Gn+W;QATrCJ66_>pmh|ATzqVxQ#*M1cqCXa@^Uh< z9N=Z#&&$Tdd5Bk%iIa=-C%^bFeikMHCT%8e5oSiv^^MX~1q9~_u&}T*@iH;K=jCJ) z|G~%nm5-l=;}aiiJ0lN=AO}Ay9}{R@Bon6qA1mk@1a$!>A$Be`4qX8b*5CZBJD6Da z@$v{7GP0^k8cMQCvV+&$y$4n4k3n@cXtx1qv#dG`JF_fw3ms^AB&dB4!Qgt}J*fVE z4C;c4f)2vsV&zolVds|RW98N4VdVxL1|kApKgr51!ULYK$N<;X{EXTx;><;Cf~=Ap z3QWwPvwb)ZFtV_+u(EH2L>2=BgTiS@JU=i)<ilTPj0}H4@o5fMM#Q-V0q_(DtyYa0 z!i*Vah_W-Wb1<{BG6^!Vaj-EYK<z6qNA%0)nlm!2FlS`gZ;nV;pUoK=7%dnX_$?rA z2!Ph_=;bUd-(QGDO;;LPIhlE>iA7+yXzD>S0W5PAR~CmBgO=BcC*;I4CKSeVGlXfH zi&-d`3tEVnb6IGC_K~x2F&i<Q5LIO2_$eUvM?i>;nTfkuP_RXim50AykgG#bj)~{G zFv|^Lj_bl)w}e4MY)rhupo!+?pa=%Vrv|8Rwj30DvY<1AK|D<+F-8RzL1q!~w3ike z3o8d37poCN!Wl?BbXXw9%a>U&GOV&-WY}tf2p5=tuR_Cx(7J9BjCrq&Tu_e6%u6oH z%P&hUO3TSFXJBe%WJuz3c5)GMW_FQcILFM_%)|@6-V4+=XKP>*`OC=M!o<SFEzAYF zDVmSTiBW`!nNf=21JvCPmWXm<gC!%wK}$x4YnBLi`&%(GWLq&Zv|B;q(*`=;zXeLe z$_beNVlc+*AnpdWQ(&%!MW=>V64+00@1RQ*r<P!mgBX}xl$uzQ>JKxMNqht25+?BJ z7ah!?V<D2foVi{0Fgo+PTw!u%ana<K<`H3d!>q_6@5|NB%-zAv7RJWM7%dVfEx^hO z3N1zf0X9Bi>s*$8X5I<ROht@^JSF_aTu#h7T)Av{5xJ~+JYqVc%(<*BjG$8jIYgPo zm{`?oS?gHMIW5?0S+iKV1!8&nnOPn&3vsjXa0zjK;+1~O%gW5E$i=__p2~OtDm*+{ zSeac|Sed7SIQ*cr1)kP;04gUu*;rX!*jQN+at=&fOx%oYjO=V8taco7?ABZ?OuURt zoQzyNocvr|oV;9YoDp2CoID&l?95!OjI8X;9H5qoCa9Uu&dI^f#L6kk#>2tFt0^GO zFT!x(JS4qZSR>M_pEV;xs5K))f;A%E8>|@_K)BNy67Lc?)-A!}odInf9;n}*n^+KE z3>q*1wL0?kxfzmloq1hYosC>%7*4UsG6^&?^ENTFeq}<skM08#GZXmqIZ*QhynI0y zG)Kf_#3%!{D&PXd{XeV`>DJhWk-^D^k-^Ib;r>-Nj0}5h7#YslK-{kZou}V%1hswx z8w#4QPev_=pzen^H9+amCqFU8Eit(yAF(ehsWdq|wInzrGpz)C^~(Y9tm!AldM<_} zZ)bOxLre@0SQJ6$WO4B=W=3R9b|#i-%&c5YOiZ`9xt{WJJmVF-&CSBb%*7(augxlF z4vIY%btX<w3CzgH#K*|QEOdgK^)@#vujngo)|cF@+`>}K{H(lO3=E$^#TMvRG*A~( z3zVQfgNiOg4p#PDE>=#kh&PivBPR<N69=Op^9fMG166-o3=9kgmmuNkVT&l&OKce# z8f_UF7TF@gQ_GH#!O@P9A;Jz4o=2ePQ^58CqL%}E4q%3-6F9IO!Odz|RtJyTmlhOf zR)Ow_OW4O0&zNuzwA3Lfg2|t;(wW<Z-I>KDiOG<Wm5GOugNf0V&qaz!laY&I6RRQ< z>wYGV6QFhgBlCGCjtfl8OkB%Zd6{^bOjodSGVxqzV!pw|dYy^&9TO`rA0IapI}@J- zD>pw6ClmWqR_+PROjnp#SQwwPvP@v+xx*xKn~C!T6BE0Du#5~dKeIUNVphXNtgN$H zdFHTkOl4)9$HG^^s>RIDp~@=2x|CIfS#$!Z+V~C%|2!6UW?mLn=4MdfYJwsGA<V|g z+6>CwU|}^T4n|QH4rX39e^yb@*(Xe_jNu&2EF6qXoUD8-%siYTT%4SiY=*3?Y&@(S zY>Zrd{8DV1Ad5h0wcrXQzJAyt(*HhtMuzM5j11rH5%JaMz{s%JfstW{10=p`py?jh zxxNiw(c`NiF*!N4xERs0gQRue#A3t|=^9#)!nimwH#Io3D%HIxzZ{-rQp*yPOA|rM z;bD<ol3#$bsZB#GH?aV;q8PN!J_USRV0J2E3o;hz)EuO7L?n5TvA&t<86^Rrb;{tZ zIFSjoVqqRQLnecmcA!kToY9%ZMcSFgCC8b=fL$conb&|_MAn(ZMPHalB!OWXo1%yW z59s(jIVMg`=7pe>u#YecGqJ2>V_C(<dV-7dC>I+C`(`$le@v`Q{M$g=l|XmbGM@oW zw}TdDFtMnJsk8ENTx8?8!ph#j$i&3VqAbkC$hw=Ebq_PEgw$;|-dn8fEno>DR;H`W zEbYu39n8$Tm<5<v8JRiRw==VDVCHb<QDb5gV^-mk0#zUROiaw58CjT^pE0tqz-IFE zS(sQqGjcGoKV#%#fvwJIVr1cBWMLF%V_{|G;AH3JVqp^D=HTPzbrs-XViz<QVHK7a z<7HwOmlR=P<6vbLmgiyR=8)l$P5>n@h7Z>u=_|q!Q66MFGBUI{GBV6@M5HerCq@QG zCq{-aC*snV!Al(J3$(7SfpHo*vKE6QD+3-`yP1$8NeLQBsSG{rD3LUsg^P=27mGL( z=Os?g^PFrP+^bnwnfTYRaO`CP$IT%Y78X`$+(?7s<~TbjZoYw|030_PSy(r*uu4c> zWCz8~FR+9-E7NHfXxwaIfyT{R7S?4f96K3#<}fm`Nh8P34^ZrUK#QFppxF6<89NGW zoUDQzBJ3Po+?-xK98B!I<^rtz@<O~!?80&a;CSH&ZPn(G;1N#+$4kRaNW6S-Lc|NR zGb4kPGb4kZGa_C#IWsaGbY^6@;0%cu73ld|8=&JI=;id8$2j7}n}JDu0%I3sPup5X z@D`=$enxJFr1g+kNdRXZb!QHjP=*!`MJ5S0AtpH{PHyIYRxU1<DXij5oIf}?zjCl~ zaJRCuGV!;ua!h9h$HyF2M107A;$tBPC_XMQf%1w5D6e$0vi7jDN=U8d0L8}@u!IaN z(^6Jwd~~rw<D;FGwSkpGPzJQSDT#@R`5!12PB4PX-y{|$)_<Vzhj2^5*#bG>y}<!* z0}ps*q=4502Rdkd$!$ouXSg8B+ea>p44+*X8O&S};lA9Bk>QaWBZI6vB;0GD=Wefo zp2LkE?lubO^C|_2#l@NFh=bHKi(S!<mW7nH=|%bFnR)5(Vzwkd-#0O@64nxiRI-Sv z5~M;hFEuqKJGHX30J=1-foV4j_%4ZF_Wz7r3`r-Mh1o^egxN&`g!x5Oxy5+cxmkJi zptOiEhlsl~uS<rrh>Nx}hXJQZhA_W~xHGSdgEO~F0=G0zA0xv*4n-zG30^i)CN&Nr z7H%$H30@|V_v|d6*txk_m$9?HWD`8Z#<iV|c`ZB7GhUH{Y@q2w(Nt~@4t5rE=1w*) zE|wi^%xBnHPqMSI@oZ&dXJTPu-onPi#~Q+E!79jllZE{l3)_Ac_ERiO9P&&&Oxyyj zoV;vo4_Wx1uz*sJC@Yg7D=W(ZcCo$e?EBbR_p<Y_@PZD8;Ac6-E_NI=yTW>$okxh{ zDhtOm7FI!4(B?m0Rz`L~2`12<WuA##yz97FnK)!wg;{T~ad8OWXJh4JJIBT>%DSD6 znUmF#hlzJDr^q%=W=7@^W=~d5)*ePyVOC{UYtGZ099LL5e=&pHp)Je0iJfCLI|nPP zB&!5#2&)RK2x}(mduIM`%uH;e4>@_aad8B)vZ}CfFf(0cV+Hp}m>;pRGIAKRMlj2> z`m?eKZ)9WL#wN<l%FT0$L;50zC<g~SD<cmR`w~XU?TnJ*;FEjvn3$MPgW4QBK|~1) zD>LX=5zur)6DaKFfkZ*4n1as80^O4V8lDh`3{d8=FtMHnoj9@+)Ho^OV&(MaV&#Nr z<zeEU2ihg$1)2^6o%Acf$}b|o$}cX!25NF11&wfnuB;Jc<6>pz;9(SD4d&ruWZ`7y zW91bR;Nw>jVi#l+VHXY%;}=zx5EEyYU=`Ps5|d<?VwDsT;ShG00fn)+f~-8Z0<$D5 ztD+J&6Q{Da8V3`nx+x0>v$ht$rnnBTwzVEB6E`EXu8{yMznYP>VIL%SF)(a+2+5ZW z9*FT?5f4TNRS!l6GY>?*JmJB}aLa>{;f)7GX9lz!#MN%SG7+OZ1T8Vn%*zBd@WG>t z6PfiH4Q_(AKP6oN^)DHnEnL`~y<JW+F&yJj<Pl)v;*n)yVdG>HW#Z;yJkP<+#&?E8 zf=O~cH}4K^#?{=Q-VUh4$;QOIjGJvIH!lw_8#^;6lNb{_6Uz#2b{3YYTpV1?J)m3v z*f==&nK;-unYc9h82L_e=$zu<ILW~}gM)cG2gfN6=93&utm+pySkH5?GIBDpAL8KS z<YQuyW|raLV&-NAZ6w;q%)}2qyB5^vOaT>)`<PkyS=BjM*<CnT*})=hj7*Y@hAh0y zj4T$+Y;4}F+*}-t%<MT#I*c5kHVG34BQujGBP)|ABXk;rA>j!m{2M$G{m6Hoj0~(^ zj0~1ui17d6&B!3^!^oiL0||eI{gD1w1CIMXR75e#MNs&IMzG-}9#WA8o)xIbEK5ag zH$qCv{G1d>n>80(n>7zET?}e3GEIY&h4b0KMWPP4L|nt@%<aM~%qb${%<Cd2%qnu9 zNtj*4PnccgGNUl7NDHGghf4#fMJeOV?c(pu?Gnhaf>)7=hl`JsRe+O=g&kDif$qEE zWMOsSWnpIIVB%TL!?K2lgG=ByFZU-t=DD1lt2mgLm<3tOSmSw{`FPv-xZW^vzGh+* zW}V2vx{X6vj^B^jSIUmLo>_$1fR#f<O^J!Mhl%wo6Dv1|8fy$|Ju5St1Zxs2iwF}7 z3+Uh?UKTDUPA0CKj4YQJ**STcSne}2KVW1AlN^jpih}Ps*gt{nWZ_j{mghXo$b5v6 z*??J}Ly4J@S)P@Z$&A^YON&{P=_LpI0}gFp)&@paUM@)vV^#~+c(y)9R%<3@NhY4d z+#FoYySVwUfZZYma|yE)s~oEdD=%v^C+h<aRzB8vwg6T;W?NQu897!v)=*Y?RtpYs zRwLGUHa9L=Rt;v5+*WQ@a3h#~1sCffM%HZB8rI2-tkweCnAuk{i*m7SVP@sz<Y8OP z$Fqfx`6x3p6Xz~w#%4iqBb@IH7b_dfMPBX)yv$52?cAIl+^j5Ya~PTVnFW}unZ;RK z7@7MSnN*l-m^U-Bp5<a{;Nw`z%z2ZS`wlOYDkl>g6Bjc(6DK2+q!g%Vo6O9_%6tt} zcKWk0F;51yC+0Cj3QW)~39Q$cIGEV|IhfcdgW4AJm?4{GCV&b=2^MZ<(8<d(9K7sY zT%4TTJgnR^K#PwKG4iqV`U$Y}H#3TGFft4BbFp$73b69mi?K3sFtUqkO0i2y%CRzW zGP1Gourf>Y$T709FbS)2YH+KwYjJAos4=l~$a3m%YX`#GB_Ccw@*jgQBm7(_L0?7& zC0|AcHD5&jYw%@cnB>dIu*4UV|29DPrGJ2~n?Y}v2%xQJ2X(B#y*f~9a37>KcmTXp zJ!t_8LxX@K7k>bB0K=b$gOf>{g^!7iiJ1*_0xxJ{or8&uMUaJ?O%OET&cx29ZOm$* z4yyEoIYrpndDvOlxP(~*nT5E7S;ROPS;Yl?Se2zrxfmE)K)q_vIepC2p&K+?K%*M3 zL3P!1Mo6d|fYv%N3NkJM-3Orp4jO?skZ_UkL(~hJevAwzevAwjeu!|1@?&I3_hV!z z@q>g5p?S6k{iyW<s1+8TUz82nVwzyj=%8fJ#gMdwkzt*nA{(nL3nx2hQ??l2em<@( zd@MXdhFlgrOmd1WB3uj%u}q*t#o3uzK!q`w!^X}E=BTlN4q#_y=HuXEWnmQJ;^G92 zXoIJA4nY0&0m)yC{)`Ml{)`Nb{s@1?1~M|V1~M|N4TShh20HGw2YOB+EWSTL_Z#Y% zqL#O?PCEl=3;P-_@aFXkjG*1?NgmETE~(BeF09ThE?mwWE=JC5E~>(uA{D}%B67l< zBF4_lE<(=CE>+H~F09V1E<VB>BJ$3xE>_MgE`rV+E{0$+ei0jIR+j={4iQ#iE)gMT z4i{l(K9>Mz4i`IT78ed@78hP;4i`U$dxH8*5=@N81$q7mfHVJpUKS=HRh|!goLsUj zoYw_eZV0ko7i765$jZceTae?9AV(%=9$PfaN<P*Z{H$!e-v!xz2y!v8ZWdtKCcwnR z!o<YFwVsD_2M-$)izrtPYb5J>e&!i`oQoJatT>p&S@?N4W-~I+VdU-R<?Q2SW0L6P z<($OJ#3aSR%f<Cyh`B+Sm5H}en7K)qLxwq-IgMGCbuT0HDn{l~<}!{_=4Fh``b;eH zm8?#zX{_=bt&FTo7&%rmaeNeD{U*fvRfzSI5bIh-<^_z**~~c{*&y|ntSmD5VD(3N zITr9hHT+@ZdMm{GLI`vdmpT*Ybs?5pLaa<Yw}m+F2yrBXl`n-E{)dtEtq|)2A<)S+ ztck1&N=%}=dHDD6aIEDA)jZ6H__?H5g;~>BJvpSAO+ad&2(gMX3o#3_2JogcALVB{ z#m}e4?#jxfF2^dv(a6hjf`|1u57!AERuATI)@FW4vCRC6kBf<siS?@>-w#37NsJ;Q zVoajK+-!^!g*m1PvoNwSadB}l3V=@7Udzb)T#)63Ajfk-mREutOsua3Io=2gyyjzV z;N!T!$m=QP$i=|$i-n1mxfpuS%xq8@dl*D40WFmQt@Z4OX8B(%EUc`>Y^<yi9IWiK zK?Uw%5U~Wb90sBeQV@7B@i0M(PcbGQPJJF0ZdN`PUM>L+ehwi{K{gf^Hdam{5oRW4 zQGN*)aUm&ANjWZNAx>#OIZjzQ1x|TmC1yn-6=vlk84gxfQC1OFVIKt!d0rU~X)X~~ zVJkHjRY467brl&7X|S9Yzb1zcx3;DTtFVF&leV86hb*fcmn^Rys5ocV6)<99;xY~} zV_{-3x3glg<gj6}=C$Ln72sfH=3q7ims1};LCUEEL5OndTM#1yQ!pa~S1=+!gB3F{ zFgON7@-v}xI8xB=jY~`^OUz47bu9yr#bxH_`6d=2#_u4_Bd8>JVohKrBR`{nJU<sh zQnE9POEV+GAz^tYK{j?K4p1WFU}xm)X5w58s(M+N_}1|;cQG-sv9btqa54$92s86? z3LF$*S|Py2z!1g6$;84W#LUc?#Kr_0zGQgt8RG8+!3ckE31(#29n8qEFBm>=&U`AE zk>PqUBf}5q{2}`KAaKJkxkN8Fu>jOQgtX6v148^c7?ScCeu&62NioSXiLkOWFo0$f zKpTR=D`CJK&=M)oCO`%ThJY^+_ZWmA+Oc}S7#Lha7#Z9{5bg;KVPuF1!Ly$Nx*i7V z9&md#$%dg#R2Fm$6*D^ngBlZfS%n%4KZrL0YVU#&ME(6^KLf+&5JrY=A&|HRomYly ze;k_sLCxPJK88u6e9#250BSx%D8hV^P(}vvP(}u+P?F6DkGnGQGbHgd%mHm*Vb}mQ zKLN>n(ESAYp^OYgNao|3=R|Tp=z4-AS%zhzTzs6Mbu?ffT!5N?0E_u|h%g`Px}n^} z0+40<kZk$@wZ|h2F}_nC#>mhU#>lWg43Q7D!WkLN!x<S|!XaTd0eW7v0giRp0&h{< z3%QA~@$`7mG8SXRA{N*zXm)BPs3YRgzz8}Q-k#B62Z$kM&&7}y>CWN-y5WxBox_8l znbVoW1+;>X(V5M|pP7$E+?~aPm6@G|)1AddfJK;@iN&5lN|8%~jhBOqg-wasmc@>Z ziKmT`xs{QLiPw?CiT@ZAC%C!IdYXy#4in>aW;Qz}9x+XACQe1>TTEPBEc=;+nLtZg zS<eWtpA=x?VAlsXwYkqSv2by-vz%dKy3E8XFUBSXTI&rSM}?iD0p)<#JTXKvu`q(} zBI0M`VCCoF1nm)EXXRmJV&nA};$mds7Z+g>W)))><&<Dy5|9*@Vv@86M?Ppj$u~&8 zd=QQp@B9_c$iN@L$lw%#h?fl!j0}4t7#U7Q5Em~J?{UP75iDMq#2s2eA#Z2T=&%>W zz=#47lqd+n8U-#4Sx8aP%gEBl$i~ED#%#*O#5<9ZV-lmtH%4eA{AOhB0Y^d~BNLA_ zBo?|rvGATzn2Dv7rHu6tKl@L9CJy#`NG$wi1joW3My6&aR(WYiEHr=yN!LK@O(+L5 z7DP~DAp}P(xG*pz{D6diLL?$zR7Nr~v_	oQy<-|Jo=<hRacm3_qiY3x69X)b=JQ zUx3Fw5y4+@f;peDpqn|Liy>_jBQpz&3^OZ>0JAEK9Wx&bGczlTA~QD&uRFJgm^+7u z88a)37_%%3J2R^@w}-krw+ACLGm9}ZD~l|@v_J<V!!AZeCO$r1HUl<(7H%#s305~| zE@oe5MqVa%Sr$1qCT1sgE_P?uUwo`5`2-g6aXsbbe8wxZkWYw-kBL7Vw7ix@lX({- z7Z=M+M&^0^th4#q*aQVw-B{hgEi8N9jZECzm>5~uSeQW<(H&*tIL5@u#>T|2DB;Aa z$;!&X&&toTgO7_tct0QeB0kpr0^C0s8JW05nHiZuPBCTmV6$g!X5#K(Vr*b!u4UC= z_Gk41A8oD5>d49>ynvB)HX}bXD>vs70qMm8Lf}zAPA2v?M#-s+lDyzizyu~H=A)pY zzC$2l2Z%6cVPys#v5Yj}hf5WxIs_m02|grj6R0aI13Dp&jf;tsQHa$JKC-7Mz|GGq z#4RW$!Xa!Xz{)QsCM(L$#wx@ut}eqZ%_zkzX)M6XFDoZ4+W|=p3=9u`L(<WTXheMv z>W>|WW@NY=jYvnN_QzZ%pyp#(e+-t4($tvwSooOvScI6lShSc~ShyIDuqv{#p5cb} z%`S8EU1j3h!pCx#TWAM2*G6t0Cb<*bELWj5(1bq_cPGRk++7jF$j}+X$nYTs;ck&Q zMh4?JMuwm`h>v0S*zbYflLYH;3A94ey^0EihE0jW`dct}Gsz!dn$HH_jCPC>v>7eU z!=1;)j70}j$ipx*Ckq=hCkwATy9a2SuNpHaOB<s*i-(vyvxf{b2Me=1s|Tw)tA{C6 z!vscVR%Z?uW)>cIE{_0r4i7u91gi&!JFABPGcSuF!!1^Dck3`KT6gOW6DOAx3+H84 zmMg5Rmswe^v9exeWx2u1I*XfgDmU8-Zcu+~3NtGk$7fddFRWZltm|1=Hi0@@p#By& zD`+8u8|w}(&X-&qLL5wDEc`qod|YfYOhR0&r`cG}u(2`;o@HY`$Hp4TD#I$tTEfx6 z#qp4fxu1>o4IArgHr8`&tlH{KoQv65ma(xeWn)>v#wx+V%F4zn%*M<rsl>#~#mdQS zz@f?-z~Rmz!D_^+%___$$U2c3+I4vb+9bim_>q<C3oC09yytS4jpGp;O3$U1_c1HW z6IRy8tgO#iIi9kzzF-x2&IIbVJm%t+1b16jg2slLK)EXkG%o?`t+YZ*-IdHNtgKC- z5p__DcMBs2J3FY8(h5CP3bm7>1MQ@+3b63A!aFIvA}r8OikcLsWE-Oli?o;=v#g91 zha|HIt1xH>pQ!?iJgX9m;siz&aCbwQM}vilO*24;g^5Mi&VWUq)ri%Q!-Ulsxx2xz zfWZ-5wHm}D%5$%HMuzx!Muti8i1hq7o{@nofssKx0g|2xwR1$?q2@zSc@9}O08Y`M z6P?5znn2a4C32G{Bhr(_ON5n~jo*{Qi=P$Tq+w%aWprlq@@M5^6Zd5CVr6A#<Md>4 z5nvN$Wn#n9q~W7olja9#1{l_)fpQR)sR#=*GpS9Q1SUxMZ%9D2XHF$BGTcvKWDrV3 zgnvUKBSUW@Bg3pj;=*6%1CH>Av}YjU-wq0Yl=cit_=})~e+bs_$J(CZqhWid3AsH3 z<zPku(d`)p7D)ITBq7qjXA&brSP~<{%p^qk*CsPEEKX)*IGIdb_-8Pqmgk`Kk7&<; zgTLSuygie#iIJ6sO@@_~O@LLE&5o6ijhU5|O_7zGjn|XgOTv@G%Z!zkO^j8Rjh&U% zncGX<liQ1tm6^?$m6c6aP+EwN?HP_i(VjU1Dr^b1XV6rU+@1mLa3Zojvw$6vjw(_R z>1av{Bg4WJMurzDh;(!*m672`DkFnj8YCSZz|mhc=!B%7DJ&2g)-FI#N8sbv#0##1 zlG032(-=J|@uMUqWls(-byg-e3077%aaLJ24qVCU9TU#x@kP)C61;(YjF)vPufRJV zXao5z4@v`>jhl-_iun~U>vLXc^EemWJTBpV%FO)^biON#AS6NkWajwA%mHd33$wE` zmogPd6tZ?PLfXl!ExcSD!ri<a?|4A%<TcC~?d0vu+<TZAjhW3bn#sbf65vMi2R`Zd zeE1v5w?T<&7l^>uNJdjdFi~+sr@vv1WMvT!VRZo}ehJXDxtOdd2i}IV0XHOFT}VT; zH$m;>7ipBWlULwtC!3itWT>(7vGK9;u?ewqv1zfguz_bA7#JQv-II`xa8E@#BSU98 zBg5}>L^}7(WMs(9WMt^fgrsv^?Oy|E`&UN|HJzihe?i5i`~jwA?BMqA5perA!^4xu z#f(h{)FOakR!%lHR!%lvPj)ZRSvG2{oNTtN%xqPjEM8)s%w96A9Bj;<tX`}j%*xCL zYHP51vUsVma<FwXvVxn!Y&@P^UICsQUUpDJIXqds1Xy|5XxkKaVcmt?6y~_d%zTNN zmra&URs`H6zRt#S1JoqG$;Nz(jWreAByM2j_`?Zp6aQdi{m#aEi;eX^GxI%WZfDjO zMrM0fK0#%)CbBWB4671{H7i`N8MtLEkJM&X;t*$z;RxnXfy&@&Gv~qE%rDtE-l4Xc zI~n1PW)^UxnFX`ad>7PcUI)r;ouD!PEwEN|Gqlxw7u0HA2WmBUGO{tT!kf*_WH*~_ zxtKYtu(X_6xtKX4p{-{XDGteQ+%0GpLk<%b<Q8;;03?4FWFgvb-C2wb$Fmq2jIt5= zK{tny!83=EAvFh*ALg8dtar${1fgO5!4DmfeBh!3p^?jdr1o=hNf85+_yxvUjOQ5{ zFEILn$tDOV6U_O<1g5IMqyw0g0FzSZ8Mzp;ve_A(*?d^NS$u5SSvWMjxqVE$S$%le z8J)SoqAuPXKIYy6K9cM#9BSSIJ|XOU9OB+AKCJBQ9K7BvE+QNp?A#nY-Yh;Y-rPQR zV7)A$z9a`1*klcMRt`~bZXbWdkRvCP&@b?KmJ+KXixL|P9}}05q%hk8Mwa=EOgxfI zyvE!nLZDeYJ_$osHCAR;0j6gl_1sRZjx0`WEL==HI&3_W;;eiur5qKiOhT0cRYJz> z4U8=HY&;?yJdpxPJZzjCtYUghob8N|0n3}9p|Wm9mL5jdXZ-As`Pn$wr!s;^%lMx& za$I8sS4I~ZS(sRvm=`m$EMeqgkzkWz;#kkfy@62()J^4(VC7m4npb1xVP#~x#Ry$# z_>__B8zX3hbO|G?h@>bpJNq+6*0+otw!FdIAwuqwkioZ^pi7;=C!6dArSW#qwKQj- z>3b&VW+(7LBv3gnR?ahwkPTniOpHuy%&crIthO91>>6C$oF;6b^V1laxOqVxLl+?q zL30rSVM#F-Q8ft;CJxCEIW9&PX>o8WW>@4@VPO(c<<Q_(=h0%(bkX6~w$ozO<k4dR zt=Q4!GGb(6GuBXJRTVYkHuVSh{~m}y(y>J@;(W^BTt<coxr_|Ia}nwIZyqCqWIiK< zX+CN?o^ug39ScC)F9~`$(lMkT0!zn>AW1h2oI<-GoI)_?7bG1+)3yg#OaV-yCuCP> zLbd@XMp#1jfhJ@{q7t$jSTAxyHh?DNc!nE{u#sC%CZRpx$bZ1ddY_Ty0V5<aD+;s8 zvdDpx@<&GQPmEHK#QdI-^$8<5Ij;a|;%;DK{m;nKzywRtil7v|nTcZ?lPZ(Yb|!%x zOj5?|puu@iqMptquz(3US<hkQ;$o2&W@0(X#Bz#>bp;>$Qa&~g_RCC=RISM&0Z!Ax z;3WN!iRBR!G)cc@;(o^@1xwOTnOGk&fs?chG)1d(S%A{>BPLc6MNoRyVAbca<(<gH zJ&8%mT@jL=SAx>>3{aVU6qKI(LFxGkG(E2brRN!-Wf)L7E>_Mb80py+nx1V?(z6dV zJu4EOp4~9gvjH?c$Ai;zgCrz9a}*%TWu*c}2IB%ohS~x|dTuRbWLRIw$Z)d|lAixS z_phPfPZ<DB&j(mB(=(!92T9Hc7~e62@7P?&vL95|u4QCr;SgYF<q%{CT}dd%VaLu7 z+Q7ph%r4BK?#=C^<IU|8%`VHq&CbCg<<0Fgospdtw7`r*hMko|f}NE^R#;l3gOOnx zGq_RC0UfgjoyqVQl#;kPSZDFFKI9SH#ly9fhj~9E&of@3osgDzB6z4;n^}>Yi^YZc z059uaUN$yfM|RN7@eZ5<tbVLqye#Z<S-2Om$TES4s#mjcuVDeT#DxUec_qYHSy?$b zm|6K*FYs`22w&%66=B=M!z{|Wl!sZEm5Z5+*`Jk<{W>%EEoMH@*tHZZi?B2^BeMdl z2b(RcCTj#MC#$}+0V@})04oz~Gb5`E=u!e!8K!XP;?^uyMs{7+AZB@1M`lM>3sx3k zTUIA<ll>T<^if2Uy^m3HKJvKsZcsA(2_mk7h$<FVW*p6SWR+~JtZ<dkBTdCQz*l^% z1&wbbuU!R=aKjq!!UDql>O$OtIwIV{(PFZq++xi9tgKQJ+~U(2rNB#3C1nIy!EJh! zVQz*4vXFE*p$O40Tvf!#aIlDxLAn@`4y8*N8Ei`!8RAPI>F^75eU1xsT^6i;PykJb zZ`d%>VM=OFYDp@1cny{g`&hu~a558^@&%{Hc1CtSNb2)uap8An^<nX5_Tlhm@ks<V z0@yjh2~UKb1x&kmGyB-GvvR0-Gy4R2v-+^IgImeaN*<J^mDpK1SiM<&(ipC=fXhcN zZ8m-u7G>u9pn~cbBXbuIYda4c8}CyVmUm1npxcr@GO@m5VR^~I{Emf{iTOPX%Lf)N z771onE=dj%78XX%W>)StR&FLi4n8)nUeJ^zcLy``1ST#ac0T6yOe~N!(Hoe!ctk~+ znI%9QZ`ZOi?_gyT<KW_DV`JiyXAxu;V`gTO=bFyMx`>HGR!o{%h`EuKxrvoshgp*u zbkqxntO=_nYYJ;1s~{^g>w6Z~Uo5O2L8rcew%j~p<hlwfs@XoUux2o4vNALO1ef7l ztSl0r8CicYvOZ;DeZ;~l%{7HlQj}McHx@kMnZ?A!{0Wp6KQXd!F@x?sZ3Xc__cG`~ zRtiE?u(7g!Vr1iD)#G4ghp6CU<<x_$SZRlB(_v;~WfkUNVd7_GWoO}FX6N8x;ZEe^ z<~0%E1g(4K7ZG6r(ZVhq%<Q%ztimcB%<MrttlX>;;IUtEE*VB9Hdz5KR!$`@R!-1S zF=^mpks(15k{%UG5&bt!KSl=2Qbq=!Qbc+rb>4*sZGAM#ybCBfW~s6BaqzM8aR{+< zacHr#aBwmF15Lb4f=#^4<mT&V22H#y<`(+L#r2(whe@uFn`Jg+;zd9S;_e$r?*3TH z$iP&_$dFrxaQEVJMux-Xj0}&<A^8YbzcT>Z?=;as%||HxPLR7n6EEK(6EDZX9nUNe zZypyj4joWq7>wC{K-V;~v2$|pdb9iRgW4JFoE-BRy;*$3yqSGu*f}_uL0QL})yEaA zfz3ySor9wrRLFC<Fmv#D^ZEpMbNJYSC0TqpyxDyO*m*e&8K$s-Ctv=ePrfW;22Z~9 zva$5BvG%gDOkiW}XJenl#`=JZ^EMaTJ1)@V%Q?{G%UU+}b!=QrtS?zu-mpLyyMdYj zjI4I7ZCsq|;gc_-Y)UNPp5|{hmOr4L=3h4Ee{8J&;GSj{#|=)7<y_1s*;wbWv(9E` z{l~^CD8R4I#CeyE<pCS(eKxj7Y^<QZr5cAlD@?T#s{yMvD<@LdQjJ5J)s4fJLjWox z$a)$!9kYNLw33W*H5>0bHr5Du*Rq$LV-h>cbWAbtTsD?@Y^-zHSQfBx%x7a?#3rzi z88jWU5;h%k6x6c>Us%-*YNc$4^(@<<EtR97p5-J^&$1iTv)m4wkZB{(vt-9PAu}J_ zgp4b=G0vul(WT@>nUn!_DcRv&$_6z^I$u$N$e(*F7#U7fFfyE}K&(4@RKdvbv4WA{ z{VbqelqtEXxw-kEgDqJS0^=DIGU6E-a`rPa>;j$9$HpTf#w5&SDk>(#FUSg7j|Dm@ z-jRhJe95UC0|S`L#?C4Tx;ufvK^@{gk4l95Vk;RLQYsl4(kl_}E39N>sHnu_KIpnA zRQCxxnA&qN<ODE0VUiV6V&W2JXJD{`tdFvSu8)G+{{V~qOjU?-0A@c=6{H+sz%kAW zDHRzQPB22|OEU9HQj78ubM&DLk#aIiQuR<A`HxAKiIr2GgPnmviAk1G5MsgusJkkv z5bez=Rg4S^su&qoAi3*O6(hrwDn^EHM7m4C0^%;Hb5NX8kXTfbnV7@CB%ZJabZ}up zJQqVwy04($Hb#aXW<@3qHc2K%CPpUa|4f1{%;IcHOu|eSoLro&0<5B}Qmo9ZqO71J zIKfAffsVIuVPR(mA8~;YVPj_ni=;DgGI20(V`LD}gvNh0qCJyP&B%~h&B%~jjfnrw zYDR`B)r<_d_SL|~HK6`VPRz-HCQ*k_&^jlEoXLy~N0|ATRM}*ixL85X1NASM*jQP? zn1R8Yi64B(q6`CrHw!;#@|RtPfnfvGeJ`pJ_g??6W@HepVPuf3LAcMkhLItthLIrx z$9{E~`@m6O47zV6IlrKifr(`UV-F+vI0;)YnYEsgks)Un6T=l|J{AEsE><=+RxMr* zApssHPA*|4ab8vyVGbd7CP5)~Z!uO;R!uPmhEnK(P&q8D%nA$)rJw_$I9S=CTu6HQ zpalu%4K;{$dPiy)8P3))GF(9N$AcP1hSxQW47k>*qxd5?H8(k@h=Ga4!PK77L5(3N zfuVtwkBNs(jERdCbSWSc1A{gbKQrhM0ColjZ5Do3D3c*T8{!^^T7-K-Y8e@#YZ)2h zY7ya@QOn4XUyH{*X!#!O9$^D*eGY~kH--tUvY->EKno!aAlcpklI<B5K<z((WdE&N zMuvyAj0{hZ?0;9w$nd=u&w5Pg`Dl=!@JK8U$OmWnVo<2~*fTQZ1Tt)4<zrF=xr2d0 zi;0z4n1vt8VB=?C(1C<QLLDL;rtM>3m{rHfu&@qM{y<`pfnjSMr2N6P-Vvsb!3vts zq3(kpTv?QwmYI_SKK8~LbYLk1EJii7(vzJsONur1k}6A53mA+<7#W#Z8J;n*PG{tt z!^kYayhn<0w-gKLd>2+0PG&YHMn+Z!1*rQu>Jjc&uV-YiuV-X%u1Cb<+<Hcawe^I< z5$?{s)QS?&;hmm&DXA5pB54JvNb-tjOt6n<OyG-WOaLA5ZuF0dp@SK8TonrwCmSa_ zs{rWmN+uT22?s3T{Yku{Tx`s&%yO)<Y%Cm1pgmIjA}ma-T#`&2EPO&NO#G^>e5^v? zCIJf<6Duo|Gz$~IDL)%{lTH>BEAwVho7)}M-iGlZQ^X7m3v?mzr_g{Xzh*TsGR$jW zWZ2w*8GoM}An}Lmo+?=U#T-P9zud&4Y*6gD<rg`oq#zCk0i8zbpN15P(DO<2ld>Qo zhlpON43bIcD&Pqqv!sB5=`kaN0V5L^qZ|`ABL@>FBOg;FqXrW{!xwJG+w5GY*m!0z z@~vcLInTt*#ID3RPm-OR@wqh1IVRR+l6*@gxrEtS1o%Mx5Y|uZ>>O-NtZZVlIhZAQ z7?>Ctxmb7@`Pf((xmXyP*g;qL^0V^radWYWv$C@3v9dC0urRaofP-R!9wdAl8WH1& zlN%WsHZ(FaoNPpduVE7-Lst_c!_y{6_%`5J&kZ(|fq}uN8Z~@D*LwOx4>%N`z?ci( zhpq`GkF$WOec;_lMv9<Z!KlE*%E-d>n30i_X(|h&I+HuYHCDxWY>cznSi4vSXE5^5 zWn(R2Ddu6~;85h^G*EPB)na8bWa4L1<`8$_v~OW#;$tdgGUC+Gm+|4`;AZ3sWscyG zkmTlJ5l?5%kYeIs6X0gLzzPXB(fw@9%sfsaOj52~3=CTsnIH$QbFy$T^Mg-=1fBN@ zIR%&#%tt*17<BR!XmuW{92c_&_#kV3=4nij#Jz=)g$sPM1ScC8D?fN1l8aRV!$6ot zkbCa28w|=wB5eGuFryVgWd(~MBR?Z(4Eix62PgYf7AAE@cZL8XNc=i9BjPu@nUNu< znUSHO84{PE_2~&X&IN)cZ_r`wp!@+oe%Y}oH7}8YiNzp9pV2@Hin$q#W-&6fu=BC8 zGqJL=3-K_qatSiBGx4)avrDsZLledasCzCnGcs5}#a=cuGJJ1lWcZEbo*TrvCoR9I zJh3PR<eFKG`iuse`iutL3`SQN8Md+WG4U`7flh_xVP_I#kp&&$&Y{4}B+0_0zz22E z1!G9~WV9gKAKfjC3{zSd8D_K~%GXsbj0~Gw7#U2qLdMO}*NbC>6VzS)MNXje>=TPX zCr~ypPGYR*W-!{q$nc6?kx76<lAVi*gUtmrEXTkADh#bbd&#m`SXsdg&^@mv5cesx zBHHgct&9vaS{WIxw<7W<V>=^*Vml*)V>={HVfTuiIRSC61ay5nG{_m=aHIC4lS*^4 zof3<RGE<8Jic-UiGD{Fg?H810=9Ltb6zPE$FJO2y6ILZNFtIc+wL^B_Ze{}SzRdxX z8DNqVO!|XK6$T?uMnxuJMiwRmMm8ohHda<$UUoiaUKKu9URFLQURJ(fUUoiqUPUn9 zi<gzpomHGIj8&K|pHZ91ieU~XACn#%?*%5tiHt%s82QdKaVl{AWMcWnBp@QTn}zEJ z8{2g@E)GsEK_+e%_UVkQ2Uxk8M6NTk$g%8UWMX33&d$Dzoq0PuGZP1s5EJ_*cBWot zHqgiv6XR<Zwm<Aloy=O>Sy;EQ^RjSov2qKu@vyN>WM*B@!n%QlwS}3totd?VnS(`$ zN8mNc%nKkhnOM%UvtM9mKMUS1EX2fqf}LqGlBtt8nC62`J;%<$%E7|H%qqajE6l;e z#<Cn{@;qh^R*u=stP7Yqm|3}4C3)Cc*}0apfNvB1!7RWe%B0T3)yl%o#LC9r!UE;9 z@o}?pv)*BX6lP+lS($G!@i22WvvB=mmSSM|1)2i@o!`C}RMCQt1=!5U!p|JV!p~g6 z!UmFOVP*B<U}fJ6>S2d)v2voydonRH2{H093bV1Ws&RlC%w|liobr4uj4a%20_^<E z0xJAWLV`?e!c0P1jI8{&LadCeOss;uLac&X5-f}?qFhq!lI&87l1ws!Ol-1DGFptR zlCCmfRnh`7tkSv);__ii!ixFedP$6fi(QJrz!H+~B{~r4-nxU4!LNgnA+rOK?q78< zGW_UZWMJ!rq<h$T09STlPxp8Bq1H=S(tU7IvVUF<sPAxqaT8=>KpZ?CtHNNE$SA~Q z$;QE|gp^uscv<;U7?yB>`aZmDV*RXKpwozj_Ol9r(;o*Y{c%iT6Isc`BFECl#KgqX z$-&;k!Q9CKIu=8SiM@q`={{0AImf|t8=OwMI9Qpv_@JrpF)WSTVrFG#y~)gai<y-} zf|He%tDBXpja7<)VIwG_K{t%#fTDFHsQcvx?xwIYFoZF2GYK(TvT(49aIrA5u(R>7 zb2Ia(a5M1<GO_V9@o6!#a+~vkqlA}>kCj)4iHlJRR8|;RL*ijUC!(LctCNx8P$wh9 zqfSIT<a9AIRCF;iv~)q@p#<7Kz;&-~M=#EJaLO#nO)OwwVgc<PU}P`?-I&_K2n}#? zURFL8UUoh<UIjirURFMHhC5t*Tq1|rSdXwVvGXvoFmX@j<e0@N&ce*h$;2_6lVt%X z8)!Q&2Zu1L2xuo8>v<0LOB~GSIiPv}6bF+ClQxqMt02#14%QtUta_}vtP-q@tb(i( zOl&MSIoR)Tu-)VU-DEAq#D0Z?iHYYfnmQ#`K~_P228P$5z0UD0tjsnbHVZ3jJm}aB z(6|FD`0{IQHWpR`4t92C4i$EB4pw#*E_O~fE(K0L(6#KK&}LvbU;_znfo?>5SErki z!J(UxA*34--rKtw8UA)NGC21@!n*-F@4$njytl~;16u$qzCrzDP<`%%DEGmg<)x6b z7dgS5<*!T(MiFeRte(&SSK?*mThGjJfSZqrkByIm7jz%aUUt@f?4W%U@=Ux;EKH2E zxtN!5ff6>$QBL*~oNPxqp&@sGlS!DBkCl^Eh)0xlGbif~PF7HY<7ee!<zeL(66Rtr zWRm3E!N?@ZE(HocCd9r6P$Nhf+)#qF%ve}hnL#VE5#p#VGLX0kC=-D8owIs?=8d2i zF9?I!99-<6EAhalpooLUg;~LJoE-dMT7-j*fgys4mC+o$R+ycYjZJ|SlpxnbuK!>t zu!qFgfgVJBvG+1Ei1#uwsP`h`tG$<zVR0`b!~R}Ke7PKkluvgKLTL2*xd81PLwNfO zv_mquAQ5rC5WGj1lUSKwS_1E?<fWE_d!e9MW?&Nkz_^<EJtN}>MyvOXTnt8<jOt95 zjC@S%m>5}^Y#A2tC^B)JWaVYz1PvUWV&wo$H?puZNinh965_il#KXqP#KXqVA<oFe z!@|hS!g!yH`3@JW0Eaj;BQp~lBOj9$6FU<(3opC4keHy51gkJBpWuJc+IvY>DOoNC zhI}SgW=R%SX7E8aaV)INpn?i|{yIXAjg>WygO%M494l;~EA=#))EO;V_?QFPm|1Uu zj%|h>T+-kO33r7)M7!3xkC7pykC7pw57BO`>tkf->0@MA0xgdSw%b7A>zt9AoDJ&7 zfdd+J(Ml`i#N&QO&>bsA+nE^N@hGzJ@(3}4=4IuXIYHY~IC$7Vd?h9jUz}M4bZSc` z6DxBYsNOXJHS983SXtXZwJewi&W{J2ApUrP<PV;HMh3BdMh2;Vgg*@W85u15N$^K< zPHJKix<@83wladw{xVw5$S{W&-3KC|b2C6L)`vM3%mBNyz!~Dsj()^A#FBnShUNW? z46FJfX&Tgzz%_0HD|g_jH95aDuLPVx8JMaVjH(!V_(Yi4m^hjEnK?LknK+nunV6Ua zSva{N_Y!=7+OIJIk<T0^Ffyb}U}Ts+0g=y^OlD+wIGK?_ZVJRrXQ1bH$vnlL&phN% z+rhAW7MxlVQdt1Hf@A^n1J3!(j0>1|a)2pEFsTG4S250K=3+4NW|U&GVPpo4E;ETS z3NX!KVq|7gV`K(xlw@jTWMpPK&d$ipl)%W$<i^O%)WgPbjZa@p=p-j6sHGqUYbkKE zu&-ccUdO`2$Cbc7n^kZQE2jV}KgVoVfd{Po86sRli<#K2FmZ@7Gcqx;GlI?~V!X-E ze2t%VIuFMwE{=1ItP-pTc{o<_uyU}A@G-H1PPP?b<rQIKInBc%#IcQsjZb_bGxH*5 z9wzqb?94OR#a1w}w=;2WXJ_8U&cejFT!8rv2kSZxuD86bExdv(9DJNSEG1l_OtNe? z>`d%T{I?i6IYgSdm|M7nnRq$aIXIb^nHX7EI)z!fgqfI_8Ch5udxe?1gxO_ynYib( za<5`#YZc(-;N+47wZcIMd^5{%G6{X<V`1cCV*bU)(ka5+Bf_f3q0Gt_&LPRj!^FkJ z%fh%)MC6tThrEOWhclNF7ZX1d<63@J&=H%xtSq-gRF3nra)`}j<+vrnA<eX!pB2<( zXPqX(w3uH5D#|LutjM|)D)T^?Rgr^<<1C-%2R@EY5s<b(R(Sz-*7dC1^H@2U*i<=w zvM@hjVZF@A3>qq7;+!eS`ACq3iKz^9k{HWfA(k(K%r4C9S(sliGm9`^=i@lS2MP{e zHn4ioxnfMri#eH>aB?y+P84FfAOw=-ye!1h!N>f7h1r4aH$TT7epXMGsVvNYn3+wO zC7D=Q#kfv$GN0iDwOH6dC!FsWV%Z_YY|QM#%FSWV?8GF?wwsfAA4nclPc39&-oV1l z&a|9`c@YaUBa;KO1KWI7=6B4j;mnq79^7m!TpUbnOpN;lnGXrFad9xQO0qBs1hT5~ z9AjiY%E$^P1t#)xOyXsYVV%g#yo8y#ossoB5A!*GW`9;D=ABHe8azylOngTLc&;#m zM6WV&@UUHBWVT|S&&2u>tk9ZyCKERgYc*@C$yYv>Z+u*?!ne6NCJAtyXJOT4Yvkr= z;%1%7$fh99BA^6Hq)falOl({dOq@&tM?v)kcRnktz%^z`#bhQ)PF8Eyt32FWKxg28 zWoKe#22~1uprTTZg%y0a3g|Yb>1-^l%nw1LpimG8w*gq0#h@cA;A@k<va>*HjXuy3 zJ!(9x+y*?X+=+awywlmZSUDepL|M34ImMwzpNWC*W8&uoYj$MfVv=ICVPa;MWfNo- zW8+}tV9o=rw&3F66yoCGbmS7?G-hFDRs*fd=V4}NWd>d0RK&*2Y5_i&f|=id%aKz_ zh=qw)m_>|PbQz<Bh`5gwcpy|(MMj#5LzYX5jg3{FNlJr-LsnFTjgM7PR76vlLySW- zMuk(EUyVhTpNoUjkd2v@OM^vST#H4Mhl_(#nT?rMMTbROj*Xc$UXNKfn2Uo`l#7{D z+ki)vnNx;~nbY5h#ZZ=ugHxD`gR_K-nR7oQ6Zk?aaB<BL;0GzMBc>w8HOi(kGPF-+ zWayrXC@<xwF){>9V`ONY1}QHU_Cm@@SU(EAU1DK}+KxmkFWsF3it^JLn8Xh-PK2yw zdBOx*#bPv_o#BzVB9kbWBp;_FvlOd<7>g<kD+d<~D+@CtD+?1759r8EW*#PPHa;d6 z4t^$P7SN41EG*1mF@De>C=;p(3lkSFD<3PH9-jgW$3k(AW#TN1Jd4Gdmx=Q-@kg?A zv&tJWiPUk3^D^_Y^0I?5Coe0nHY+zPO9GQ5FZkZcQ=qwLZx()LOBPn<PEbMvZOI2U zAYsFKJmACz8kGZI25<^A8STx%&u+=a%GwE9J_lN@0cuaeu2|+lv>idyv)@70fB*|K zb1SIH_#qGyFE^$k>akDL7#WzRGcvGEN7VO9(-|3zr!z9_fu3`R>t5D^qWtubeCVVI z_)K5W0Z)e@=X~n)gPM91m>3!)6`91C9GJKmK?C$!Ou}r!%#y4ejNG8}HY1rJ_q%~^ zz7Yo1{9pmlRX7~1>|g;<k_v{nd&P7_Kk(jkMuwNu85!PAN2I5qS&R%3vltoTW<k;u zp?O>bCDi)2s5B3nhF~p*;?ks&qQvA9!~w=Ikt7Bt7J+Y|b51UCF&LfYVK^koXT!?J zdV-n#Co@0j_$p9&{#u;*jW`nv9}_bRI}<mki^9b6SDfXaI1?ABd}r^LVCj?KX5nRF z=Vjw#HspH2%*MnIZhe3&G$xko(#)5nS>^ZyA)<Ut%%F3`m{?v)Ge41LW@O*X%*MpN zRDyY#1P2ofBQp~xXfZITW@KX7D8al*0?qEj5-dj~nAkw4TQf4ToR?sEBF=2Y%**V< zn$N7l?8GF*&%y*+2EhV4S&ETK;IRbDGYNJDR$fpii;EfLX0hKA%>N|VIatKFc(|At zCrYxM5oc~-VcrfJT!0Mt&z5AKBFU=3$;9=Mk@*uNCl?o=q!|+zxW-~)*(}ApMv9G{ znTc74S(lZGU5i<hpNXZOrHPS6NDvfgOe_bbK)zea$RWbY#LmRdC<(fp_&pP|5%W1_ z=G)B77noQ-GcmtnV$x*RVUA?w;*w`pVFHb|GPCh;>N6X#{$ynS#mLDd^_!8o4`h!v zM=uleD@LXu=0ebshKvhgu3ipyH8;rG)soChB)L{FGBa_paQv0xY>*aYQUFOXF@Kg~ z`6C5hOvMDMr<hrpIT)E(TBX@~q?!3y1vr`58CjTw8JR)OT+PgE#C(F8`6@HBGV3a4 zHbqt)j@8nf>!bylR3N4;lxA5a&B??MR?o;J2r+H5G}|s|W-Vq*Rsmil^EesV6j>KC zF-x;<VPs=qIL^ZaCP5uc8zyE(Jr)*bJ~kHCBo1bFZ!Ts|aUN!Fbv|ZZJpmSeMIjbJ zFA)}Db1`O71ql{$2`OgDP#I?FU^!;lH;f7_@-j-yit;L)%9d&@s`?ttOw5{TI-J_J zdMvt*2Fy&%hUuWZ2Rh#-(h<zkn2i_@3!Tl#5IdWZA!Rlq|252JWaypE$S`X*B>#Cp z*HNIKy8||ifq@|*1+_fSO)N<*%1q1w9Rdz1%VGI2C9^CDG?X0*x&peOmocB4!AMtF zTqK*}o2(+}m`OI4g_7cnBo&xgHp_5skx^g*_a{P`SeZd9>O?>#3z!3%`2cZrnRuDF zm{r&~S?w4Y7@{El<Cuduzei>cBZJBuMh5*k2><!dVPuGz!^n_12jagO(0*MFbX*=* zUn$Iklq+Z0QT+$Lhy;4EA(ME*M8<e-hP(=K0SQ)dRtZIhHH@ISP90_@0VXD4M(!1Y z0t*FM7YVX16l7)+5$9rH2m~Mc#>%V+@<AXAE2}OWD=U~&!6X10_heKA2kil<e@-Cz z=k^>%hDUQ48J^C8m-8&|=P)w-n1h(N?Sc9S=8u3jh(BPl0J;Mg=6qoXdwULsyeNiK zjIvBRGF-Ck3=A%yE9gOIBDt`zvT}hKVCOI>L_@+iU@oHnmN}P^p>!@IL*-n!dzk0X zWn|bn7vUaU{bj^`oRA4`1}1TXWuW<&e0?s4yzNX3-xw8HWLfywcvytkm^oybSUI?O zctH2c^GmWz@=J0`vVtq02GEe1FSK0;s&+vb+%$v8fD#K52Frkaln?{)kHS1eda<3y z$lx-Mk-=jg!as5I7#TpAh<+*beo^Q(myS80g*py3_S_74^B5VnF)1>MGO;u9Ftf7B zGD&c;GjWM>F)*Ytu`+|N17L`SxMu^BdoImmWOz7_k>M$ldzj}lGN{cbC438tQVSA` zQr+^4g3D9E_pdvI+cP?F+A})T+H*1FH83$;V^S1gW8!B4^~m^`1ev(_LG=U+TQrjt zXb{4Y2{Jqex|<giyd2Qr<^Z>Bz^xj%5@=X+z-fNi4TPXjXJBB6gZgtmA{{X<U}TV7 zz{sGs0Fh6xFJNTwT*%0<dm$vB{y7h6SN5ER(6ICkHI>1_5;dP{XyqoS=9LsB=4k4H zBL+Ma1Iq=VS$XhHqI#eUCz4U_6a_UFQbE^NK?fJaH!!Ye1`lV>hft3gLHEiOXxTG4 zY-VP1X57fa5TwY$`Gk|{F{cuf5DOm*FK7uS3lEb7BP$ap9|xBZlMItU1{bR!8y}Ml z7dI0RlL!+h2PX#$8w)E76AKF$hZGCHC}=G@6FcKAe&@6Ntn93^poS!CEl4jPlV}ZV zB|9gp3LlfA3WtC&2Pc~_=tK<;J|-C^J}y=^R(4i?VSZLNR%TX9Rt|9%3D8nMCSfLS z4nBJpMm`%hCU#L)L(uZ&`H)5%=we<_3pJUAl^N760<mX;ny)6%u{AEJ1WXb%OAYE# zffPzW<v?uEiE5y+RM7Y;h!0W+qCtaoDlCxkR&cI^+Xy~lhLx3*jg@sKsQqgK8nNSG zW#@uQh(qse=VN1Kh1&>~18D-=$i>PjjHC`E4!4nql^blM784WWW@Z*==8Y^22}zK2 zC$I>S?hF<>1C)WN=u7$nRx~7#SumVr002qaOoHLCEQ=G!K!&n8Xu;;~5j~fUYhn zxX8q?jtMkR%f`gP#Ky<U!^gxb%jCnuCJ@M>A<V+bB*P@5&t=3U!sp5r#LCUetf0*y zD+Ov(moTw2gBECkLLY=dH;n~AmI0Kou!GlbfrLRAbf;JVY`F`=fn=yZ7bE%yu8SEN zA{H|;BrHbcuW5@J8TKt^WO%k1lD}~I7nZ*QFz%s3<S$U2f|9-9gLIjBKAFX!1G61s z?HL{X>=_+`>=_*j?70{Ug6vrxb}=z5VOC^PVPj!u=V6*5$~sY$jg6CupG`oXU5!nM zkA<CykBOg6oQaP~o{3*shDlLWk{=vC;KChrb3G{Ofs&gP3oA3I;}5!h8dOF>hlU~Q zKvgUYD>JBr1-jc8t`1yCfe$+hVq#_71sW+#NQH!7z!F3{$y~z7P`QMWVd4@*_+4DW z$Z&rNBg5Mzknk&k&KDN!#$G=4l;bX+Kx^k2n8XWqfo?IC$!9E($me1x(6VQ7n9pbn zzsb}t(3aOul;H<xHPrz&mi=t9Oad&bOq@K%OoEdbIkDYhx|5Mr2z-kvukbuZ-sOzo z{(Tt}EAu{3PWJ>gLCRQIS@(f*A(*Gd#KJhA5$VR#Ko(wRQE(bahla~iMEfyuDI-JS zQbvZ-rHK49Z7Cze&ZUeD3OK?8mVb&Xi=pK!%LK-3@B*IspiU=4)C495EzooTCleb7 z8;3BfG7}#^E1NPC4+|?ZD?6)%1d|8@gC(q0$il_U4m$J;TqS|ntjwVD0j2~T!(6QF zpmQ|fY*tn!&@>L%TLKvne`zd3#JANlMh4Gij10cZ5dNC6jFDl>GQ#yP=xVyWQpn|W z4UA907n!s&)pIdK^{_AmD4GcH@UZYOGfQys^RTn=aPx@pu(9#+$P4gsnX>UQDRHy0 zFflX9%W`pXf@cu<WCggmxtLkm6(qRC*=1SHxExu%q-wbs7;b_ZJ{~OWVDEx%eF7ES zmXIO>BFDzg3JMCi9Jq{7$b$G+VmZRUI?EXu%$GAVSS?5R*K0W=L*R0v{OeJgo*Iyt zp31-^9N_BD7$E1*&Jb0{(7`0j#KR)S!p*|ZB*)Lrz)--%4!VrCfQ6lzonZmg9SfEt z($j|Jj11eCGcxQ#a>tS7j0|T%ZY8j8x45zxv>Fk#jK^7@ks->1VFeQ(lLTZ{ry^`s zry>hzn+-n$1H%WX{R%4(_Uo@;WUyMn$Y8eu5e@+>7#WgRFfs@bw%->t=`3DQozGZc zoX^D&b%BxL6lh&3i!~D)n<SGYs}yLg(u4_eiLfm=#ey48CM>M1I&7@0wxCoA*__63 zAR7`-2UZ})ORleAWO%%Sk>NR#`>a<oGWf4#WVitBzu;<b7nY`$rlw`)C4zcppuOY) zhnW2t1JeAt7)nmDFw9|8Wa1SOU=m~EW71>dV&Y@s7Gq)(W8`Hr<^YX)a5J&9h%j+6 zvaoQlfadl%*u|N+n1q?Qn7EkOnFN@)IC+_PnRuC*nE9Ex1i3V&__-jN)RBdi`8X2` zKXU>kX@W8?M2wA}H36I?A^9*5;@=G`5$*AVD;XKifc&-+5g#vBGBSK!$;bffx1sk- zVdYDCVm8RT>7Xm;GW8h^SoFCVO1c>tCNasf2rz-}LT6`UXJcg)U=m|w0y!3RAgnnH zE3*WsR0MO_SXsdwu=^H3-50P5Qr?3ElUFe^<gH?4C|rebU+pSJ1`vj|Ptj?Z`ykgA zre)@VU1+M$XdtK0&QOxau!TvMMTbd-kqNXYI)(`}hy%K>Ss))0J};2${{gahH6sJp zYDm4r#lXONWi=xM%Nj-olQj@`CP3G1$vnnhFUjzt)=NdDd1;w>h2WbI8aY6hAC#2a z>e&5fV>rU38p0~iswx1Qs0E#}%miXF_A%*vWn@)gHDzVxV`mi-;1EgSOav|IW#V8~ zU{>c~l4Rjy;uPZJW0hxBU}NIr;9`?tl49Z(U}sWeVrLW*;9%ll76Q#1GlQmYxR_ZL zSvib&m|a=jSVdTbxwu6^!@ZKsQXD+Y+)R?pLL4H@!mNzkp!pnbE*3>OW?5EQ84gwt z7I#(?R##>oRuNWt);LZk0kHc7@+Fx#IM@`JU0Ks4wIwBab-6%WOjwyg%Pc@0npP$j zR%Y<7yi1@;(T)XDfjEP+Co3~AC^=X`mtyFGHt;cl2+*X!B}N`rZaY3!UL^rmeiZfP zOgfDJ*+5Bz!J!xuZwuBS+G9*>85wlfGBPBug~S^J0|TqgI!1<V>lhgf)<fdW2Rh%V zA_0jXSUPgp1nJNJSppG<rKjN35;u76h6!qDrIqF-gO+M&>ZRnDKoER_A6YgNc|8C^ zQ+{a)1C#s#=6<IA%!~(^rNQKDW-xUcA|?ao++y3$%*9Z$m06WrO+-~uO-@x+pV>fL zjW1L%EGCpU%ukh7El8C^EmoC7%~+LHEkl(<Z4IL;m)bH`hBqwwOuRfCOq^^29NhdI zQgSSuEb<bZOw5d&O#Dp3j7&_Ru@orD%)}wY$im3N$RsJCBf_Qy(kCD+$l=YM#>U3i z%gE2f%EH^nD9Xaw%E-shD#pdc@fNi4RC^60Cll*aM!{!{%#5sTtZb|-UY{9xzc5;I ziJoO-?P28SVPoOuP*M;$%*e5fk(G@@omGQbot4YNjQcPn#}r0Z2@YAc`HZY3)@%ZD z9M-I%0wNqs7?(0?viCA_bulhwWZlKco+B&CD`_stp2G^>APT;_pc6Fn)D22o0W7S{ zpkhA(dOHtj$^f)}H;M(c>423P)W}EXgEWHp`A~TfAGGWN)YXEtaY3^Rte_j`z{?>Z z;@~O;d`Chj3kNHEHz=tF@UU{*^00Cz@UU`&F88tIW93y4VC9bjb)&dgIYrU<EKq(v zR30?{1zJ=g3|?5l$|(Y+QN_UvF19i=aWjgrC^E~j@iDS82{AD<YP0fj33A5p@N)aH zv9boSaj?d+aj=3mZ)dP^u&x1Zo?XTY&MgcK0o9QFvSU4>eR63%Bg3Qhj114#Bg$Eo z4U7!-8yFd$9EFr?E&CxfET14{fy@f<pdIWIVJ7hcw|vHekbK61IgI&S3=ub(7@8Or znFP5wSwIu7Y;63ztX!boZ%iB<T%c_W>`ZK+*=t@_79kc^Hdan1Ze1pJ(1f0>G81?b z2($ntgoO#*0Rin?5&`#n!4Z+q!pa)L!Nd;gbI5{2jSF@|AK1qmY9Rhyu>q3rL4w;i zFftt8z{qfX1H!+zH!w1QFwB4GG|a#0$)E)&3{2t%O`y><ZGA3=xbut*mzWfJxYz`^ ztT?#XK;t{w(p;c@NnFfspz@7@fuW%m;tr3Ei265UBO^okMn;CVjfiq;*+xbN5Z=5I z;$C!j!1y44fbbHud+Cc(6I0Uj)05$)P-01brZMR9zknKl#sEPu=4OZsmyi_akN}Tl zh}$qUF)K1DGYM~CmR!lqv5J{vB{Rz^W~SxLd@MqoLZC@9Qzl6FFBs&Va3)Dc4kivp z7A7V}8;~PF<KJ}<{|Rh@xEmy_xQUTLa}y(j?j}SyJ8oiRh}y)+0Bc9#YG0%$r{*Ol z<)kt&i3coY^yg-XJI=_kfLW16nMs04iAkJEoQWMYj>f<MavCU6fUpn?D>DcSf}9DK zU}I$k-7PJ|#>xtp0J%+}9^&2;n-KZn@g_!wcbga)J|W!8!nB!@0fb@U?t!-MeL^3k zzJR6={gl+C(saGz)Dm~+fYc(;5E%oLa6p<rV}O7^7em|>Muu(7sx0<WtQ_ndT&x1> zTnr5HOkB)TEbO4IgA5D@pzey;j7TR9n;97<Zf0bd3UUj`@eB;ik2f<iC~hI#e*o=j za?Z~yDay~uNi7O4Ni0d#)GIDYEGluXOa=$<1jgl%>t?<(fu<wl_OUW-VpC+{W?^Ar z5fW!&7m#EUWs+tQ;$mZAXHnw;-F(EsA;!eS#LmpY$H^khB*4T2hMY{?obF7Vj7lt= z%t~yWtQodKV!Xaw3=GRb3tyw56+SnV23_I`DrC`V&|m{doSQ|2SsoOP5Z&O;B`Yg8 zl;*`jgT|>u*g)z;Si!m*njrDKU<)EVk8ELNII)G1;mj6zT4g3Y{+ynS5spQvWtqjP z3{0I&3~`-I3}-;gb~%}JIHbAw*>sFmIGEV<IE9&+n1wi*1Q>akIQ1D-<+$Y7cvz(w zCNx9b6|ohO{^o9FWLUSAkzvzTM0vorjgg^l8zaNFZ4kF+K<6J|`3IIRA<2S);SDQl zy9I0fr{<+VVjr4n_0p4F)6!CtOESyASId@U1SOVa=7SrlQ#imo0**2MXXIvx`^(92 zgF}&tw}I81iRV0v#5oq`^DJykQcR$hz5o{|lRhtxh$V*@3$Hx8h=LFg3kZs{aWM5U zu`6<PGD%3vtEsYbo1bH0KFh*-j>YLKi!|s!f;FJt_9{?Q5ft}`Gyti93Gr2-X$n1U zq3eUmbF=U>KLB4|3AGoRnh>dn6}k}!Lc>xWy1Xh>A50#WD$&)!<hj}SSt0i7F*!3z zFflVWae&&{Oiqld&<LE+0ZA7H+Y#w?|8_=(o7))~zHCRN3#f7ipB<2Nfh+yO)J=&c zDqZAc7MFmoTS_g0S0JEk6p9k_QV=cJf}+&a+=7z)yj0L|z+xtSMgtptMgvY{%n+Ar z&+EWuZ{@&j&*NaME~O!)F08?%E}~)0@Q#yDOn`%zjgv`@jfIK-A_wmk4z}YQ@Z0$g zaWL=aVBzD~!ok7B%p%9c^~RcoN$j~b2Z+Va#Pi;o>4!Bt4{xFW02KPMME7dw{% zCj&z+3okPpixo373kxF$qcNKls}T55V-Z$sSU4zjLBjXK4#c>`oSlpeJ9jcNoZX2C zU!`4)4CcES8GLp@!q);i-iE%;17_}zZX&`Lw8<{0G!I;{xjUnUb9yr9${3KKV_9Nm z4!H7z<xfy92FYmZfdak~9yc0VIcdcuiOJcR5?G2WY}V_6IwOds8|kG;TZx#&9lDt8 z8675rjy0CFXLL}pXLJA^Zw$JrA<kJ!OOk_^i!W1^Rc$Gg6u+c7!#*xWCSEqtvn*OO zBsu2FaV?Q!V-r6v!XYHUBqzke#;wM_mYsD2y95&_n+UU{rhtF|lPMQB2M4b(sMW_S zEXpm!#Lg-$$|-%Dh5s6hFgIi@8dQDgv#>LRCUAa0&zxugZJ*F*18sO^W&Qy@Xu_FA zi;;tci&>VDgF}y9jEj}?F(U^zyEzL#vpF=P8hRk{zhf67f8E^0$nazrBg2bbh<3`K zU5pGM3@cyJX;{n@<mcqLftJ5y=I15mfZ`~%$h87|iKT+EJflLqJU2t!Rz`+B+=^_R zOuS62O#Cb&Ou}rIpvh{`xLGT>9Aa?jg}5tYH^N=hb~7?8-_6LdWj7*STJB+F0O6)R zkaUUeE*Kx`U4|L9sOd628MJb?C^J1hwFpwk!+eri44%Jq0cUIR35*LNt%7b=aI4@1 zqYS&Wmkhh~I#z}c+=^U$Od1?w5*&QIO!h47_c_@gak7aqv$3<X@iB|AfG$sE;^pF9 z%Ei8ci;0QDj+KeakX?jbkeP#xiA{);or{T?n~9H$MTUu;gU4P#ibIl>lU0R7nN^>U ziJ60iomJR^kyW2bh>1;}iH(Dsor!~)pHq-kiA{%<V>J_#R3aAx!zv~we&$LRR`7N3 z&p`b@<f;Q!^rO?TDu5F)w|at+iJj4lg`IgFD+7bTL`Xb**n@}%*1e1jyn7iLr1m1> z!Fewu0|*E0B`zLZlF;KJJTbGxA976;lX$=!a2r=gou7$ajZs}k!=GV;pdu5K0_P_i zJ|@QFUhLO+8K3g#oZt~)Vq;@wX6N8!X98V;3Q9(xjfo(Csj=`gaxpOIF!3|7Gc&U3 zu=+DFT!8xLz+Oao7q^d*p>`i5!{&Vm|6JP7$N<7N2T=WkzE8WL9n#(n_>AtKU{IqH zUNRM@<|ZZ=mSz?qwkbjy#Tkjk2%+?3r*u%M7XX<<N7fCU=7$Tp=NBhuq^7|6;Av!c z=ircVREuHaVAn8-UtnCxb{=%3=S0Z4hkqc{I>z&iTnurFjJ!;Yj66&RjG*BVVI~JT zSy?YRS=rOfavHLX>H-?NA`ZfAB3{BWa&odam>5-=4ly!36H<K3&B?^_jhpc+Hyab% zH*S3n#*f^bueh0?b91wBwDAZtv1LkR@bNI-7nFS?AW^}=Bfux(#A?jgEhIZZh?h%_ zNs@<^MSw+!iHi+%)FC$$4+|e?6&DjPn*fUd7b^=JivSZJ7oQ5_Mgh<iwBRNI#!Kv6 zOk5Y)S@~G`_&9jjbb>ijm~IPc+!JE_Eg;Y&$n;f!hmpHafD^RHMV;|1i^C-rR$IoU zEY3{qYgkytneF&&S*00Y3&_0_;Hu{3;NlWYV^3yd;!a|XW@i$Q;*4ZsVq*~r5#;Cf zWMyNQW=&vC=jCAK5)5MvW@F+GV)bTc67^#8WcFh9WCvX~>B2A1_?nxaiI0Wj1vgh3 z2U8CtlT;-a1H&UmCRXMo7FK4^O}L<R>CVE+tk1&A%+10DJ_IM1g^L+9My<@k&&<QZ z&MXa`5(lZbVc};6&y&AL&(WX>b5IUPS{0$l#LLLY#KUO7!pY3cBFrqwCc(<jA<HDe zX~ZMTy^x8|nwL>Pgg;71PLNwfK$wY7oL5B5L6l9xOI$`uPLhjDgOi<0kdu{5j+2v1 zhEtABkd>89nUxhx%dj$m#vs__SOsKMr4K=}1w+CNNckXe5GugHz@U7Pk-_R9BSX+Z zM1I<QkdXm|-$2#D%4PKY6adXncb1{&Cs4kC7RC!0KQhl}WL&`52HEB!KOdBMHRQx( z4SBiv_A|-J$(o2b2+PUI$r>~K5LIMj-zy?^L4;`%lfXnK`MIKyinyDTn}>@@Ac50> z6P(tJL8;A;l}Sg4oykRvn}d~$u~$rPniwaS7#kN8*GfjlpX?6**jd>n7BVtk7nZvv z%)=}TDsL>A*qK4`ng*KlWnpEGWr0k>4%Kk2(R3qk-f>&1}$p*r56s+!@ng5@R%E z<6@L$Uc|&9$8N&qz$wQg$8F5Oz@RW260RAC5asT)LyQc|4>2+vID`n-D~A~w4j*A; z*mM*U{u<En_d7V&tw^BXCkk$5g`^hcX6AwVIEYp)wBUdQxzY!=&m!;H7(cKrXME4b z#SquS$jHmY#VEq`OGr*mmXXno$yP*JIFR9)gb^Dz6XQt{a5g;!+Sc(~kduk!mmnt# z<6l8GCcb}yrc8oN+)Tnu5={Jj7GeTg!b}P*yj<EWyj-Atdcth1Y<x_7ERsy@%v|7Q zr;<!UOrmUZY@$r!Ol(YiY%)wT9Na9R`C0)cHqf{`7b~cc;9zAF;1LkwWs+nOXJTau zX5?e?WUOcB<4|W~XY%Hl!^qCr&%``~i51-7Vr5ol;bLaxQf4aQo5#qj#l*&<!3<gr zpv=j^$I8sgrOU+6#Lp_n#KWir!z?_^fgGG{{7kHDjPoVsmPs<+6yanNXX0a#I3S|& zno)$EaWR+j8ZOp!!HXh1jNFGrr5ZssP&=puSOm(zPT*|M3O>9qpM{?pR2`oHb@M>u zf1vUJltv(F1u}N)$HK~N0Zm7s+zy(R2GO9!Cm<R$hyxn_t6*Ve)`F^&WZ_~q2X_Iv zm|+J(%mLYstiS-QfFG^^lxRT)f*O_hXov&2m^oQQz=<1Fn2E6PGaIvTG21}q+@RiN zV`X&$4?VDgPa@3c;^*Y#V&y!+#Kp=f$i>RZ&BevZ&Bf2j4Q8`)+H$dS`f;&xT5z#) zO7XCAi}0{=^FV1y@K7czrx2Lt;;i6e<<x?zljP#!G>3|VZa5a<;^LeG8ihtyU;tLY z&k0iy3+A(Of{ri*jV|M&dBEzpI61jQIHkB)Io-j0eokW!E_SGQ?U<|?g;{u+xmZM) ze+jY4u`+U)vHNl<bBZyEGeT|$W?(2-2FcGB#~}F>)E-Sg#>kL=jFF-I7$QISA7f;g zbBvK;B~%@3TmyYv5TqZ3Jvh<JNk|I<+z5a)zl-5*guMKcRPa7K(CUx*pcS!k6B#+V zxl|ecNGq~wF!3<5vdA(?GBI{aaW_dxg9bW_m>@$A>Y$;gA{I#hAIzBu+P?worZYG! zhxkw8IHJ6YKhDTdaGa50%W;VRxEUB&TTd`D+&jU@V15!B4$yJ*1JH4GSiN8Xtp^-l zVD}%yYjB@wXyrnCYMP+IMkI0AVGs~;Ch-T1v)S%5GCpAZz;qu}Ys?1~WQ>fU(~DS? znbjCoIn~0ztssa9huSMfUM@aORZcYyMm;806e&hk9<@iz3|nLrnfQdXm;{(WjSXc+ z){CN?Of1(#8Lx`6T@z)zEXuNxiMd09ais+3E(rl1EeDycVw_AYhr}2Uim@FMW85#s zvXP17n*=WhCle2g5ECCetB_TQAQKN0Cle2opokC?p8(rSSxBvA&&|d7T#ECR6vsnx z(0mmi3&%Zi*3aUcOe{?jjExd(O%ja%#X$yckz%|m#nUFqY|s9VQA`=MOMsJ`m5+;w zhoAp3qcF2X6BFnt=RQUj$!73D<<U%%f>OPp0xN}yl{uY-l^HaU<i*0uoW;V$d<|5B zfRDlj6%U|-3q+%?&17Xp@FD32(mDaL!P65dEUc{Q9IWiB9IWhK9IWhF99-<zK+A!y zf-)>9BZ7(u5X}M3XsnzZ;O$Q!8Zr%pE-nH&*K|H3xb4Hn$OyVZotcr1lQoQkmz|M= zjh&H$g^7dn6(b)PqbxU%04G0(ke(o`2(K`s2%9jY2n!R3D5C_A_#@DE7lse3A?f(R zNklq+4@#@27#W04LDDg3{<QcMBZJ6kMuzRDA?X-)e#wy|sPjUgJPN`E82wIAdxn8Y zegRWA+k7U*1x(vn<}-0I#7$z7(vo}&8b2rzaTWf}%&<;gpNW@)myMrIor{-?PefjZ zO^ef2mxq^)lZ(AyN^6o7X!lk=lPH@Niy)glvj(TuOey{bDPAsdGj<kMHa<|T$|A|c z_>s@`6EBw{R}nvxq_?E-GbSb(UMbLE<6%(sWXHk^-ctlxYYB>2L<Dm&%Yo|((4t(> z$UG=AL2MCb5f%{UXVwO<iehDM0wob97G-9T0U!*TJp|D(!-%K(!K*}}tLhGeZeX|L zU}d-DV&#;8CJaPE;o_8oi*a#+R^~zM1Urrmlx+ApwK=%h*;#1k#7Rs{T8wX*nD`k> zSe%*vg3}Tx-V-)L(v!p)M0(OV!^mK9hLOSM3}Sqx?hGRX2*b)hbQ(4)k)8}5l>lA; zz6vxJWn|CjkZsS!5ci6i;gNzO6EBAV6F(CdlMD|xlQ|0)lK|+@6DDpZ0Tw1EHbx!} zRwe;1Rwh;^E)D@EP7VPkE_QY%1zSN@Nn!BV5@@+h4=5JJSU}qr*_lE68A0I&YQwU# zh=AL<pz2<Mg&kZvKx2p@U^B#@3}+$v0pxedvy2R?XBinZ&m#O8ewLA;;4CroT|ueE zsU@IH0-mH{U=mN5&ICT`jf)}fI1|GiMMX9NCNUOHCT>;^E&&b!CJ811(DkuQQp^%e zoQy0?+&tW%JCOPL6v2Z4koFX)ZKVutpC^LqG#w@+2{y>WD6j;`2Mi1gwm|%K;VdHl zKAvS{_;Z$#;XlH!IOj`>EAx`|pph3}l$xGdT#{N8ke{F9oRgZES6aZpBrKrH&nO_w z&(07R%rHkunn{$2lTjG7at-W)tq}Vo&LP4z`y3-f`8h_0>T`&2-FuFa0ff=h4U7+U zf*!c~FUZf&0p0nluz*RPQ9)Loiy`hl6T=cEMHT@80Tw|f(8eSdCQcR!CIJp1CMFIJ zhzKV$4?7DpGZTv-KPQJEJF@`jFdZfq0VXa*VM#7fYr%$zl{o_1#I0uGXBLA^<1r*` zgZM+@JYs*R#(72tqw|amX6NC4W3E7(PegZrW=VdcOJ*5pp#3mt!dZ+V?j9q<2PHlx z&_tXB6BjdRgdB7^0T&Y+=ukpdRv{iH6GjeBR#r|11_p=i5O=INk2ue3*Lg;UYv&mm zZk<Pj=dbgO44fAj86pU*b4$xD@e6h=_6=qL?PJ^wT2eZJ(F(l26tc>c13X;V!pty9 zS&>PION5Dwi;07oRfGw2Emb(b7?Ub@4i^V#Mc8amF%0e{7=se#Y*3-M2z2@ah<jlN z#N7!O5c6Yg7Z@2PU0`IGb^+n;Z5J3BKp5Bj2P_?EXq6PDCKmYRr=)7?l@_EVmZW;- zl_eHsCgzoZl19K{Mt{Zt9)B)|x{HjU^$IMUi~>v?Op>7UHkkOBSlBq2*gz+!uxZJ2 zF)(;Bu`*YHT)kl@#GMKk5#wu#7a17}FETQeUPRQNt1mJ#9J<KJaPuN0?iHZ>Mq%w; zSiFPt00RTVnF`c)Ad34z1Npv*6`8q-Iq;Sr*n6HOsYPy?IVGt@dbx=u$r-62uNkz0 z5{{5Qqk+FZ7eieq6T>smYQ!F9wr*w?HeM#~7G|bqP%3X=X8X^?#3J~IiRm{J(;p_L zR%TWS5ouu&Q^-D*7$#O`e`wVRY3G33IACGuOc)0nd_RgF6C0xp3lp<28yl-I2NUSX z8Rk}q=NT9n4(x)2Tf`+qxD{PuWazrY$k2BQ5pEYQF)}>7#K`dJ5=3hY^gan(^DqbE z@Pu1IQECckLBT>$Tnqa%1_=2x1}OM525^GneF_u91SUl$(ML>d51H8bSeTd;SR|QQ zL0fHDIRsBJv7KaM;u1W-#I&D@iH~^`6U$~MCRRmu5gB1q#XC$a51Cj&O-a!C9H9Ml zpapBPpcDc+RK$pbmED<xl^rZ##>B>G#=^!d%*MpZ%EH2&&BDSAS~3fc`Ukrq;Sz8e zk?vD2Gcu%KW@N~^3<(3!IUca|f=<KIJ2*K&k^%#h9z&fT!vhupCKe`E(2bkSpv7KH zpcBj(1olAedw^u0#1%#cnJbJ8npY6<0CpS$14Gyqh#PR_H<-ErT<5PMI}GMn_<n{A z=u+om2Bt}j40W8oe12lS;eMRHtPD-8oFAC^UNW;XF|+VJVHRLxWMWy##IlMBRB#J1 zF|)8TiT`Kj{0BN#ih+TXiH}i?NtB6&(GIjOje%hU)Snx!Al3;Uzrx6H?g}Hr#Vd&N z<n|Rt1`vKipj-xf1C-tL3W`$87?^||tnE1%>cSYVu*xz?Gl?^^Gcf2eu`<g*xA+O{ zg@m)gRfPRpf*Bdyt}-%sUPag+e3g+Q;VL7;103`GNI@0?D$q3bQu9(6>hc*ru*x#Y zG3mnW1+@slc7q%t!p6!9<}ffcK;3Zx$sNqs7#RevF*2xML&W#QYm5x@uQ4*Ly#|Tz zE70<)25tYvght4GfXrg_e3uJ#Uv4RA(Fweqf)-?;pwrYV1|5{dBwo<Nn9o?y!IaO% zP^aZ9<mcea;%Dc}=f~+A>L=wJ=_kq1!KTQ>8p(2qS?C}$YaA;RHwzy#I|myZHwUX0 zizW*XD-#nF3!?@odGBN5yU4_Oi3yQHn3*_P1R*KpITI(V;0-1bc<Mot`^m%)s?%ec zn3zGMP`|(vbFnN;tbQD<>=2$B6AP0NBP*jd8w;x)2Om2p2PlczuuHK>a<H)5FiSEx z?1#qlbwvL4yw1oFb)Au+<~kyL<!&%C<lJCn*mVOEzHeSa`cW}2AT%sr!}8OTMYzH@ zGp{(c2($-i0b?&@l=v_+XneTN(wD_A#+TENCEh;a5u-1kAD?e1C@cAOGWv4*&0=IY zz^2H=&&CM4PMx2XkJW^kiP@9`G?dQ9#KgwLqQz{?YR1aQ$}OeJsll?Am6wNyjg6U| z2{Z`FJcku@Y#0Zq@y5i#%Pa;8ets?y31)FYCLu01HcmDHPAPF=E>?C{M%HJHd~2Eb z)?ts5!%Uoqn3y@4E;33AvNFXo@p*Il#4<@bN`aQpflp4)WMO4)2VFYA$->So%)-jN z9Mob5ZQlc>azq~s)`tRh)?l4BerVqeTvK&{ni!cJtnBTKT<n~jT<n~}JgnTy8TnXw zS)r$oaKdSResLjIK@lNVK~6qaUJ*W4UQRxKFfGEz2I@vyGO;kmuy8W7u-UUdVg%pC z0Z9#@eNdf@Je=I1Fg^rH4-PjG=^^$eBSY3rMuw)Fi1hH}CL_bon~V&6w;<_3V;>|P z;Hu|%FzPv2ek)1^9sCYz=zuy+Z$NYRXBh3d80xMtsv4*XtFo#|g3|)HSoKq3*uk#I zB+Mqn0lIBj2-K-y5n^TH<78oD;^JXp<mO}C$|lOf#U!|hO?WXI>oPW0MkZEHKF%ga zzO9UW+ZYkq45=VK&B%F*k(rZeC!-W-PsRjBCU#~}<bh@kc0gzF5rS;2tUI7H_*WR2 z)EH%%SQ#b3m&${}(+)gn3>#Nma0C*b6}J%OX#XunhFP~58Rp$Wl%v~jF*5ACMM^me zYE*&Nb>@K2%jIIITfxY%f<uvsgNdJslaHT;je}2`Q$t)?3Uut*3`Qn!ikiX50^Z-o z5O5UYE{)rWcy_(b$l!CEks<gtBA)YaGcuIjW@M<n4T)!5=gh<My$u_#d=H6d@V-DM zVFN#X4u(2aUrs-3hDV^?+)T_<nK)-Kfe!2fm5pp{d`w)T><kRSkYnJ3A;-Y0GI26O zmc|@_y8ps$M0*T$9{r2kj0~@DBf=GS9zCJ++BCFa=`pnkG~-+6#?ZnEKa8G%LEso9 zyd3Tz!YlR;BSYpLMuyxw2>VvvVPx2OhmnB=x<3d#KVa5}Mfsrm#wRrmR3<vKfzrPo zDEVJwWSGFI$Oc-r%EH9X0vZZr2Azk_0_r@muz=aJtST%_tSoFyECQV1zBDTvD=!z9 zlsOjzLnElW0$Mhp0U7mg1QjNra|kt{TZ+I@_5tcIiMt4YncZb%aJtLL;C2_`uco_< z3={8??ysQC^bD}an&2M0#K>@uQ;~(033P}%GicWh6UaLt53+(;tV}HUJXQ<de$LMf z^;azm=z=nSc8Iqw9EZdc!#zlP1$i>+9wWFHns*P8u0i4;yb`JoR)3+>AT=Por4Kb- zLsmZp<>!~6uLZ!6L0hr}S{nr(BXTND)6~l=&2`GjPtGo8U^>9W(7-4fEFNMVEFR*; zB*_@hP{+l@y#aDu??%uJJ3qG&Clluqh{$4)h%C1PCljX(e}QB^yAU4>7n3d%t2HMt zD+7ZllQ^Rs3pcY6n>ec+2RFMSmn3IAgTP5h_$b^*gpbdCMuv#{j0{Ql5#clWJ|n}c z`-}{Z4<PDb{XSgt95XQHIY2{0pzr~o83=Dqf!BK%mn4>yg1UMIwfT$%t3cOQH!#j- z^yKnd!VJ1*kx7J0h>ed)nu!}U0>;fM!q~yd)yc`j!p+3PdXbSsfJsuCRgi-z46@wb zfr*vb5j@2Ot3^?1#7sOy9Ml&CjWdC2W6*M5)G=|;7Iko02{#tnS433Y5M#(Rb~fmY z{w1&h$b{37_}K6Ok^c@pU}U)TfRW+Z14MjeJ!E8<@Q{&V+e1ivFhJ*J3ZVDI!P4yn z=>2di80WAQWf$e=gR<fW#>q_YK__=^X8|4E*}&M#7%U#L5JYTe@?`V6%*e2aNs$9| zP@W)@AQLYKA0rzF7dzVzCh)n@z052U;!O68pc8Vqm{=GkIJgC2a^1`<3dnN894t(H zOrQg+n3znMg}6AmI1Jew1exUc7#ld){<8Bk@p0M4vIenBOPaFk3Fxx2@G!GFv9d@- zb1^Xd1QlT5+Z^gySedtg+E5BCT;Nq1>?~Z&l8|BzbORvkX3#K9JqIiM7SJ?>0(jz* zi<6y;i&GLZ{m{$EB+j^ykwu(&I};llE23k1;T$CV4IUx(Rk}T5WC(o3$PoDm5&o5r z7#SKLF*0;Mf`oqp^c);mzJP^4sH^~C4Q|x(0(AN(DEz^h9W+>K8qb&z5zo!gz*wHh zkz~kljaiXL6m)`(5a^6bHb!PHK3<md%pCWaWjVP(s~Dy;GJ%&%O$VK}&Bee_&cwlJ z$k1>e;{Fd%cY*A-eay(<`<Rg-@iD^vvmP@tY<|qhaON?@{V;dq%Fi{m*xm0|l$z=u z3|hj8n6}i=N(XK0*VHR0EzSU)bAqVk(gQ*JOkt}%K|^qFAVYAf{fr>LM|iS%`MYy? z7<jUJNqNe6i7>RWD6)W7=yNj(tny-8?#0F>!^FcR%EHaYsLi3kBO<^g(&WiJi;-!j zmjDyjEH6GLzWrWYpp!;HBgIP4Wjxv}tjsDb{LE^g5e>Km8!Ib{L<AEXqdyA=vjGP) zBNM9>n+&T6DEvV9zy(OSdOSho%eW_u40%r&87iJ2!gbFRMuyi<7#XCVLc+D@45S@A z=K_RAPZu^g(gmnp1S%0PFwSBIum8#dE%<6+ywB**5^$J_;Sh_W3>T9%p9B*d2d@;D zGM9l6ixd+ZAICgKmbr|a{Hy{3T*7Qt{H*+}3ao5=kz8CXoUBo-{`yv|mMkppOj6cd z3=F<ZtjwUzW}qQpQ_$X47FK4^`T)@60jMwptwjYDj?hU1uyQt5)(8$(c2f>kcF@d@ zI}a;23v|+-gNKWogNL761afKdeb5D(he3xXfc8^gf`nhkQ^dIZ?Wc?kKb|r&@IFI? z-}GmU3|pTuGF*KI2|w6=ff(p`0xbLlp!3ad(Cz_APj)OW&MbzW{0d8W(6uq3DhMJC zUL}K5HW<42ZUf^X$dN8{AXhxufsc9NTn|pFGnqXFyo`8N`HXm#`ILE;`SclXuqv`i zvnh%&&0*x4&B(lhk!d+27pRNLA}7So!pg+SqQ)h}!py=c!WqXD%NEBHXv!nNB*DnS z!o<NPz{$jTn@Qjv6TbvMpD+_+3#aN)PF6NnR#sN_Mn>iqMs`LP9%fEfURHL-8=T7L zIYok)vYFVpm>EBCD!=Ar5(ka-vs!bpa)BE7mq3e-LFXQU7L?eq@GyI`fGS0HW@S(g zK$T@<XJm!Qv$3;+<!3T82{0P5C^MU|DYGhbD6{K>@&E`QxC)8Kfai#Okp7&Jq3$^& zL)UXeJU)NU$YAh-k)iShBp%NY829wRm~Vwd6!;JbL-1g|A9$30Av2g-1K#Y@!1$g? zpNmgcX$3RGK6XVmH5M)bZYC~KRs~MbygVzbkSr4qhb)sUi>!bFhY%AV58F<5$h`b} zF?KEi7FN(fT+E==i=e4{QC>k#v2>m&P}4J-MbZ{bGfC-lF)(C<+Z3$ebvmFzWeTYJ znFt~v83ob=fMgb2v+CehL^ca6t0NmLt2rAh_@Hm7LEx?Ztn3_c8gf23f)6=2oCAFJ zBO7R(=RFe(7o#lW3TVsWCL~^7K*JjpSJE#T8I)c!GHAU-#EZjAMg|b}e+h{fSbjpM zLHa@X3Pw9FJTW`fF((HSJt&1fv}`ZPF8~$)pq=&M`Wy@mjJlqJUM38eIAoaw&bYEO zah-N$W#R)L3c$|50NP3qI?0Bcg&#DBrpqMAXad@A2=&j7mx%J>#Y;wpA1@ghgkB;1 z6a0#i0faMO5$B(f<*4;odU8NwQ8vUg@J6YI7Ob8E)nHDE$=RS$_idn2cWZyf06l+D zRTb_j%OvQb=+5b(;Hl%q?+I$gv3hATyx{<y=)=ba>VPqxbKwT1u<M?JlUR9}_?TFk zn5MIG&S4b*4P0$z(b>krzs-g5wkOvEPu8a{0!;j{iVJ*HC}>d_Xbl)YD9?s7$$}13 zU{&PcWLMzQ;pAsx1fK$;35q6$3%8*0{|XUaVy_t)lwLD3*uF-D*QD2s3?RJZHF4pU zvJ5@E+;U2bGn~?$i&9fE5vRc;1zA4m0KbGSpvhl#aD!$ts3p(gsp2K>Daj=4;Q$UQ zCNEWneVm|$IMOTv%-n2D9IR~IeB#oq_nf)zI<qq|u5)2z0;LmHChqkv{8H>ZjAxud zM`JNv_Y|JQ#>yhf!~>ej+~*7lKBmbof=u9gA9M&HXu0lsP{T+A6m*k8al^r)!Ys}v z$;8FP$?3obx^I_>Rh1#&4kX+Z-XO}eNpBb#HoReExcLSVZj;|KGT6RjWO)7#8gB0( z_4$k(Nch0=&w@4K;Q081cK=s#a$+8MrwdXd1M`EE6Z3*WJ$_BSq|(fs6!bg2z>56A zH(P0fmP&z74TsfSDXEy}DKaTNV86t1pPlgm`!q%{#SbQLu-s?oVrXD=_hj?p@?`V+ z&cb5C?8L&x9OtX(_n*m=%WEwtp1{NoMuv4<Mogj+Z2nvUY_7aQoE$=2oGfxo@<QBP z&Kxd0>TK3b++6$ud`u2(9IUK@ENm7+9D=O;ENm7s9MY^ZygZCk*-d7#i!-sYi7~OV zu`sc6FfQg~Tf@o1!mYr{Y|X;Q#P~)~akCIB2L}hMmN*j&8!s!X437v06Q?AvoE!%~ zlR!J8v^NWrg#d>tvly!_GY<zF4<{#+fTX|-Cdt=~l3HBmQy7^f&A1#vlmnOV6h<cS zt#6&6j>0KW*$gVF!8ZbcmS%%6XnQ?qDJE#uObQDtcqI&I0Saidc{FqxCP*AqsDqYN zfN01HO2nWn7kKLhXt@QX&?iqGTp2)J3aSb?SlQ=+Ix8+*tehYWI_yOf)X3ssWl!N@ z<<<sWTf@i7Yb?OZAI-tVZVnQM4)BVJunLQaunO})`J7OiONbvl08E)Y8)&lI9W-9Z z!p8iah0TQ3iGz(jj!TjAKNAlZ_gY3iF5b0_0$lu{t$Y_AL-JF^d&D?g!FxuA#`la2 zZSN7|M+e?BGF*Gl$WQ_8xBfT)$xpC+1WVzde3Mp`n44OjUzD9%#K0s!foV5mKNI5w zrjLyMOrQjPjhW#Vk3N?-8wU>u7eDCAaPY7gGZP!Hl8m%87w9G=876H90WN-4VNMQq zCL1X(epW`%E*&lg27e~#cs%IlBG3wO@R=?UQ8v)td{*`_&?+WSIl{oeu;D4he*qs5 z<wflWMuxr*j0|f(Aj*s99~l{>J~1-*eu9)2u=WoJ^xQa@Kf#3s0|P@0Mm_6Nl!$Wb zMtU-64W*`DNl~SHYKdE7F{tmpfbj?ucxC-1R?wVD1LG8Cc2*7}c4>~)jO?r&ml)Zl zION$`ITG1<IaC;4@PZZ`iEwf92=TLs@G`QCv(8{-&1F|-VPa9^m1k{XWbI~T(PGl% z)Z*e{=4R$%u3}YWP2^`1ViI8EV-l!f1>Fw1oRM=CBNLktct0CcxqvB)0h0)85OW~& zeNNVSoUC1p%u39e{8_Autk!(5IhmO_+4$daa*A+r@NtPT@zirRF|ui~DzY$ffCkN3 z1R2>UGqSj_W-+s}O0z1ligRw{Vm-yhD$Y8Uk#!0qD-+XHMwV%etenhjtlXk(tlf<4 z9gM8)jGQ1bRwY(lRt@H*jLd5onXOqx`IuNGS&djNSl5Ei>|m8;)?ig<W#?fM{mjY1 zB=m@rhn3TXHIVf_C&&hf8cWtNsQye=XBI71O%@GSZuTmHN<ntkxtzRBoZy94-Jte# zI14K?7Yh?JxN>d<9ir^O!paQ7pqd&q9t1i}Ya(=lpc}Ns53GfaiM10nBGC%EUfO|; zl@)|R4M0vdR#rncR@RB2kl<oqn8M7&%4ozS&A6J8iIwpZBa;-PJQFKpA`>rcVe*HU zko0%q6C!^-{KUxc?h_*e%V$LTEBnmIFzquV!?w?;=?{JU8J7MEu%|yiP{XI4$)7Qx znaLlN*%mUgOLP2ZWEbU-VrS*xXXoNjVK~9B$iyqa%gn>V!z3TV94r~a>d)8C4UYIJ z+?*n;T;SFo6R!lTD658;G#{G~=oDop4o2=^)(}=^CR08pR%uonRteT%RvlJO9wyQ0 z+#F229o#(poUE+P+^jdaS%X>GSif^|J>mjK$1hM3ugSv7><V2+4+?x87Et>fT(JHE z4Q^|)v9h|dv9fAG4}t`pp=Ayk>|V&oB+dArkx7&hwDp^xi3=9K3U46cEAa&}{-gDU zk-_8(BZK7^MEO<wg^>Y-a|q0{gUYWY(10!jllTV4^GuMw<3}cj8v=@aQY@hJ!a*l| zvPv*9u}BItv4QShV`pXKVrJn`XHgMn1)bd~!~#my60Gcej2ui%%!W)Hj7*Y3pqAod zP%waw=rm(tWtIUIQ48Ke-2dSVqI@#@%E;jJm64(UD<XZQePd*3`o_pG?;9k2$UxV@ z3gEaeXu?@YI|sR3&Ih+j;0+qkWVkcvs8qeYe2}-{5}*-oNZD0T3N5(gCorvL1()LG zU@`_$l<WI)fv-A|2$1$?3E=i;31IVQ4KQaH=dfny;9z1G;c)Tg^JDg9_j|?Y%k9VN ztKk>r&lAAP&dVX`%k9U-utZS5kq6_}lOJpn4XmJr#+)3yZ@E~$aIx{Q_Oi3|v9rx( zW&Ot@WTv{6kC};;g?|$t3nMEFpBjrMs}`pws}`#$$4NepU3^Td`M8-lSMae3aWk>= zsI#!H=jLQ$TF1!zhm-j?C;JpG0X8-sb`Ak$Aq#a|4t7=!9wiPn4u38WOAb&UQs6f? z<Q9=x0<6oqHFj{bO0lwYsByTndNBtw8S^j+G%<2a29<UkGZ|UCS$Y1kuzuv_{lzOe zm4~~Dhl_#X0I2u@-8RKs$-)j^_X}>;fR8af04ir*gBE31a<H?54h;e~B*1d|kozZC zBv_?6SlGF_SUA~0eNP^7ZfhP6ZYCZPZWlgo(4lMs?EJ4lSGuwavhr#0MzQg*vhwh9 zOTw>w2>1v|R|ek^{R_MAj0~RN85#V(Bl1PucSZ&fhShKAG(7!eB&MV?Fo_q~<}()9 z=Yxutd5jD%gcO-fn8cVs_j(F~I=MzntjuBXMTray3?HEGxbPiuFH`dmMuxdR7#Vnf zBGNb8FGhy^UyKYlenHZA3xV-j2VO|K9p=9DqSQ*X^bH!a1zmQfmst!NNJ84n3A?01 zFS8gty8%*(O}aR>1e-j3`A{b0j6#?jK*oSAgf6T_GO{2sJr&$j@J|Dk(3e0Zbb&u( zz#mW_mD8UwU>hsAxHj?SWK?Ei@#FPn_ha+rViaOB_2ckW@Z<HB@niKB@#A2Z=8$6- z;b8L>^JDT=@Z<ND^<!mc=iu|@@#FAi_ha{EVdM;8@>dUF^5+W>@fQi;^=AnH9i5`( z%g@Ldz{<|$&K0no$(PIT8WTf{up)~P8{<np&Uunb;M;_c2=d<KW52`4_K_EyE8({m za{uAw_|C<~B=wDp_a+w`lh^|;*8f~gOhW&-I64J5m{?i(E(q{337iz*X5u_1z_yoL z>=PH$O)fcQraxS)%v`Uzq=lGnaIt>oXaB^{D#Uq_pXU-kM<+k~9DX)7#)<qaGdMUK z*qQoyS^C%*e=`dGV-#p&XZp{^*T63Fmrdj!8!HnF7t3l+mNlGA>jjuk2(XF@GI2?B z-REav;yxwG_@9%tS%7O1C)X3U8H`N2Ogc;=Op^SPGx@l_@Uk+QF%`1uvgxphFi9$c zPk8zaI!<OHGjwqws4@ce98hV{jlHbQ>MX3x8c=my;B%lrJqys%cMuKfQ9$&es|QzX zpP5+LStl~Xrl7FWT&x;wtgPy6tY8|nc#4Y+b~CUD%E2I@_J;@?x_a=6023xoCMD2i z2JF0`TLgKyn1mQjxj6(B_<4n71X)EyggL~dMdidqMA<~dgqeion3#n51*Dmn1X;z{ zMfoIn#5q9Ma>}qUamq3&urM;R2r&!DGRg7DipYt`@~W^XGl?;aYDw_(F{-kPadB|T zZU^-yu0h9(7kr15H#>eK)=8ZG&B*ZNHzUK(--vvk^oNn*!yiV5hQE+}-T_^AatCc5 z4A#!!z}Uy`Qkq);>0dK|wp-6*2M@O|WCT+NkWqI<f5rd~e}#Z5f6jnzc7K%sF@`B3 zit{-b7jbZG<^<grJ%@vp$%l!LL;4~w=Oy0#j7+L*UL2fE!W=v-5*&IgOx&yj92|2Q znS|K*#W~n{6j+&6IhEO1nc2BnIhaIvm{ess*jXi=IhC2XCUQV-S{3@m&I4MJ3F?c0 zRt<qt6b}m*<hBFo)>V)gB(-3s9?)hWggh5Be6$i~7(yc#vk(hE`2IW*7C~ka7B2AN z(jqK8%qlFPv*#qiTSp8)4Ge$K5vkB*$HT_OY6N9Nk`m<DZcvg#<#VyZ&YFRUbFq@< z8t||v54#HJyg4=zCeUE02s_w4psT4lz*kdOv2n6?voonMih<UR|AC~RAAb?;WPyK- z43hsC85I8^(vSH+Mg|ae_y<Wp==Bwh4@*BUFxttWQaUfS99mg0u>_?0GX_X8G%)J> zGW!KF{1M?};$)N9Ex^1_Kn#4&6c@J?1A_t+D|001h7WxvX2u`}h6_;lPJp@tWZ%kv zj0~IqF*5A<hp1n#{9|Nz_>YkRc7KusG`+*z39CCGs}4dk^C}&4a`KZwQ%MIHk1*~B zPhC7?WSAzZ$fU!>!o<hS#l*@X%_7Cf!^F?a&&Lny>{l_dGF!2*GRuJ?yo!aD)ry0a z9n4`k@D~!U0skT41#)Nle@2G#|BMW?|0BZn`F}=+kN+7NenZs}nqTaxL2X}xPN{>} zl_1wIV4Mf(>GDB3x>5e30nGl)0ifH(K)Xj68HJd5{aAf9{rvs;nfUy;819KGGO;u1 zFtK&>gEmBPw(&DJ^E0=ANY>qm1F?nnv+^DgVBIgk0y-^#iGziGjet5cKZ_tUM=L-7 zPDZ{x0$dCX;0}i?C_KPj3ot8+NtBV9iJ1|!^oElOQpE8xv$AM1`-9d}v+yx<F$nyJ zgjWLtv|wXkV1R|!3<f3!W>5e!FtCyuUNKdu;RW6&f)rpMV4j}~@%#*a=BfP5(?BG; z=PwDcUPO3)AI$U9!Ja<{@;vAs7X~M=OFbA7{wQT+V(4OIV(4c?_~SPt69WiyFhSJ8 z(j__#HVm|m9wR>mBqpZ@CnuJr=A|Q@;sk191*MiGX6AvHz!&Uh&Sxx$$!9ER0WG~{ z%jaTfV?4^raD`EkOMpv)lbK71$(W0albMT)O;U<6k}ru<j7@}(ja`mKRzQH0iH(_= zkBf<ygR`8~p0$G2iItI;jgtd(4jj864-<!wHt2LdW;0<nZB})5CT=D!b3;aRPG&Av zc5WuF35;xWK?U<|P^0`R6J*`NAx0)X#(XAL#zt_I8Zbk`s{$GhApg!~Vq#dq#Kf?H z2@zf=n3xzq_%>7>dN{%OApIb$!jBs7pmU57p;eNZo2pk_l3x&#nF|^$69yk_Wuy-Z zop2^jMjeKI%(9?;uQCEmT>R{}89ARYvNK78R;q&LVL%(jKnr1{AuVo*4v?)33<se8 z@nB|R5P%3V<S{cb)G;$LbTcFTvzeKR0fa9wqsBk_xe*3EkoI<iBdULjp)ntv1G<X@ z>Yv1-5~zp7ComR(=l^;cK??@j7&kI9aWZoF>jW4vd|?Jnys$FqaDmqN@(ZwnFR|oh zV&4r~q07$1=%Qn*0h;y(&HsbO3P5}DLDQq4=9oSU7i4M_VjznSvk};r4_F}a=)l6n zzyTIvU<hVmVu)p7Vklri`1>>q6N3dS6T?zgh`(X;)-Ry@kznx{&;;@Kf&^55C#A!D z4&GyWfgQBDw2g5qqrYLmb!LCjfUS)FIstAB2UzsMCsebuXfkng$TBgC8O~v3Z3S%- zVB+NPh~VbqW#VJo#KguSCM3kz&m@|`I*$=FDkjXr&CD;z$`s5GK9;#&XAUE)B<o^E z9?-QyoPwbA9L@weeG+u$1t?5F1L2?%Q4#1NMfhk@aFdn`e8edqxXl1sZ~<w~g4R^Q zk``!U#s*Z3!YzX~Q&ZSjS;L@h3D`MwxM)$ZIxbc<Hda<XHda>9O&=;?F;-TH9)9pi zi~4M=tYFKwGBO!5UT0<zW!?%pSICWl;Q<FEUVlL23lz2NY)lMXY)lM%Y>+r4W}gbE zp3*a9U}9uwVPs^O!33)nKS0e-U_<1WMm8pfUN$C%No-88+JN~O8xzAdHYNsGdV$rG zu=E0}&o#8b6G2F`MFpiL0VSZ5+6^Xy_D99&b1}3qPGn-}0pFOx&cw>Y#l*wH&B4J0 zI-DJ}Nsx=5lLxe%fJqQ^k}Zz}t2mPcmpXVn4K&^e9?ylIk$~Vsk6;(zf`pd=JHkJK z>`V+P>`V-q><ItNWM^Vn&d$Uj0$s0xzMcrTf6`N-{&6U=XLQiEXLK;JXLR7U2YIWB ziQx#dB4~p>XwZTSv=@t=iItCsNroGAkhichs{kh(7b~k2vpf^Cuo^e$5bp_~u`y6K zHv%O$2#<}G70hE`P~e96M}mWiK>`%{3=9l5983(J983&;9Ef~9frE)*5eE~)77j?7 zSOVQgf@>W04#s+C^mt25Nr87a9JYa0!A=G(Z*O6I&BX8v;z>R?HZ}o1CJsgx7Jkre zbaxq9A2G5saVfKMaWZi*aWe66v9dF9uu8IWg7`d4JW^c3l8oGfTnr4CLEZ*!-~u0A z0`mH0&{!x~gacfCF)-|4WMXAp2TrOJcp%}x!O6s601XF4P9_F(P9_E$PDH$>aWXNK za56EZK=;qQL7T^b#cNV#USd&YaB5;vaz;o|Drksj17kB2c;Sp8nB)W9j?}{Vl!@UC ziy{*rhY1rCBNr1FBNvk-6AKd?6C0ZmlL!+llL{*{I}^7q2Ons!0_e)f92QpQ9`L4? zNETMs91d1?2oK_FK8Qa~a3bRKEhiJh2Tmr2FPxCL1Lfxf1jcJI^BHJeJuJdJ7+M%T z7{0LxGJ$sLvoWzSF@Y{aVPaxo6XpWDBLM1-2rjst40&8k45eI53>936@NDN|VgO-S zK1Ziv;hC11msy-q47!;sK9_+>SV2dggQ3cuAw!mj3A#ZXye?jqiItfhvV;Qcjs;M6 z{NO^=TO8a>3<BIt49eVy^qtJj#L&ad#IO~54>qoH2y7?=1A|I0M!f}c8)y*f5NL*= zs=!&th0|HUh2L4g#n4&D#R#++6;fp}Nii`#VAi?G4BDQ<*upH(&dkTf_>W28Ka&8P zz&b|u)r?Gh>`aWiz-lA}m{>Kz^^zwOE3*uE*o2)~h=mJ$4mxOw8mRuZU;&-w#KjCg zF&V57T%WMB3bApqg6att(8<YctgIGn?5x~uT&!S)1xz}OoGb#&{A>cO3LNb0TwFSw zkosOg0FsUjco5+h&BMe{%)`Xcz=H@k23{tHU|uGMWxSAhhLzjs<sB^CJor$<4IHDO zqY7aW4H_gzECVk9FQPcWc#LU3BjW+aW6WS`Gb8A(+p0PaBldMH9IEX185s_ME)?Wq zVdvlg-JKx7!N$bIBqJgVDkDTeSBQ!82*@(a2}?68vNExG@hT}XadL1miLifV<X~lF zWo2h(mFHv<<q&aZk7MItW@P2$^k$V|mE_Z6R%exC2Ti0hF|o3<v9NIHvI=rB3G=Y< zv2d_5H8C<tigJTC>bHX~xJ7OmKw1Kb;RsNJ5;UR!YEi5OP0E85!-gIZORXUroxp?E z?Vu}gL1PGzx||gpk03r|?0^+qx5I|5h%>T|$%t_s3zI4%e3`olB%T@g5b-R_$HZXB z$HZXDhsdY#d`t{^e8kkxxuE$E(00b+(xTMh^3(#*{A|Ev(15Hsc#AG$fRsNML)Aw{ zhFeUE9Gpz@9H48zxH*JCM^%e}u8rVjVq;`x65{4#;^O4u2A}m&$HdBP$imMo2kB*i zxorHba?om-fk71Fj|Y5+a<7M<iD5236T^FcM0%Yp$i!eO#Kdr22$Ej!+=9%vFZd3j zVdYQ4TuA#=f&)S$k|)%E;DigG+5ruIpbk;{<>xt;<mYB0PH=}0Z^IP3<rg`D_S9gQ z4L*k-WSm=m5qNYPZjXi*OtYq5VoF(JUNYhkM$pwhiKRIu3{3JHn2&L<XJ*{MyqJAG zGZ#bEL?(AeMs6==PhKW&4=Z;b4`ojlFBeZ<uON384;gnB4+VD)4>@->4`z2&4@P%W zk4#TiF9%OnF9mll4`EMEFDp+LuQ$w|EMAQAItnY<80InSvvD%XvvD!$aq)5p@UXCR zf~L3CKo<irnK7F(KVxKm%E-yWr^~Ddy4RLbjd7kN_g6{gZ<0LV0r6K%?B+}^tk$4m zHr7jw92XhInb=tvop?N1Wmwpm1ep0nw=l7+WnyOH5@F@$0NrE4B+SXWfsv(#;}tXO zXBO7!jI4sZpb_$Qj2urHna!9@*+qDqcx72sWd1U;G%(3AF_~}}^D+s_vnepiF>>%S zaWHXka0oK8fmmEDV!G0dtSmfCoNT;Ie7vk}j2!GNwH!}aL1w3et}kF^;bUjzW350E zPXsj^1?9OEShe_euySr?6=VY4Rmio5mE|W3t2zslI=ES)%f-PY$0o$b%cjQ1$;KDT z5yr-(&t&S%>%wov!X?hh!luW<%FGnYq{OBKYPc|Zu(&f`X4bjG%+Dmq#L6zG$*RaC z#VW}s$Kk-@%<9W;FSMMILrhSJql1ZMG80D|6KgjU>k?37LAaZdNz$E_i;ZO$Ba`HG zMpjRd04I36-fd8k)Cd}kw`KuNAAv^NSeZf12hhPd=Rjo;Xk{!2Td}Z%k9q`+b|IHb zi1G<iE`j7g7$%Os<_B_#Hy1OkJcFr&(XfS~B9Lr+8&twIGIOx9TXR6xmqc>0a^`Tc zf=|YQxR8gH+n0-#(~66oGl`Ft*9yAGniGwNEVzc8z6Lsh4JHpei4DOAEzAZj(1xgm ziNk1wIc%I<3=9*Qm>8M3nVDHYmm#w-v2$AS@Ng^hvGBU^aWJv+2MMtV%80NCD~NH3 z%1N+^GfSyTGKy)ja7$(iu<|<yu<|R&a7habaPnITu<*ZOmSd4+RM3%M$p)(r7sx@% ztp;I4e`1y}6T>oLCWckQi1PQIFcSj^!^$Ug8dip+q^6W6mw+o!=*mPUafd&k*0qH_ zH$&BRCWcdNicI2Myj(nNp!0MTn0Pn@S-1q5nb_Fb*+iH`*;zRRnOIr4g@l>dC8ha! z*||XTkJU`9%u_&HcR^=-3V~YF3J`Z2h#=hUBErPrF2cm%B?2j1LG4Uj=L^8xo1BxM zl$2Tox*CyzNuHrfo?#L@9}_#M8x9U41*kncknFiE!o=`Igo)vk2orqV&{LF&Ax)Ht zp;;7Sw+Qr{Z}ffcU_%)g7z!*<+aYMpj?&@`@Ir-OkOlAaz*m_zF`i*e=1e)mn9PzQ z%21@pBFw?U%FV?j#VO9p$12If!w1UkOk7-?M*2*gdl@+ofv>&h<Y3R|F5qP1a%5&= zX0u@8VP_IyVdCRw<&b9MVr4R9VPec>DPWFcj%5YyX8|?dK)2a|PF4kt5=26m)+m5N z9YvCjl@%<>!O9MnJOdg7I>X4q!Ys=0KoJr@A4HiLG@zd55o2Ny7h_^j5JQBUmlzX6 zkQfs~lo%x32%TrbfYGmmp6ujQnx?4-Tj60)rq5_#sn5mG#AuhylG4w}uz^XDO_+<5 ziE%mTtOsT`R#4A@iIY!=O_raDlU;<FlSy8X3A`uwAaqaeLFk@bJ0=#!esCuZG)}4n z@z)BddqG|UjaO_JV`A8a5MxHqA22>F-GRp|j2J2y8JxgIUx1p+A&zJV$%`{FXo)j1 z=!zrqp}ja00|*mJ*P!$SS?d9^3DjY+mS<GpmS<<ENMv}$D9a?s#L2|U#>vFR%FWKs zz#z-S$_y$@LG61sCUyn~Wr#m#h$HgXI&mh3o#IRkdy(ApR-B1}S%R2$SvqvxTmk5= zDshJjdq#&6doG5GJ|>1oOo|*FOq?8iOx!F2LQ+gDJmO43imaf^#z4pBuyb=Uaj=TB zvT`!<%W!LQF)+-AYy^iiZb37-QlP{+8?=@XRMLYmToe>T3=9D(5dUOIFfk}VgczD7 zm>8x>Ffq)MK!ooT2_}Yr5=;y-(0R)b&~=gM@rMZCg3=Nv&>1-lOyUiUx0&i08yL+% zgk(JzL&aHUh6~J!OniJCOq^VTESyZ7Op+X2EL?)Td`3(xOv=LIOzeEDTr8a8T&%3D zysSK|Ok9ktjI3PZOhU?>OyDzP1i5s$nfbK27#Kh+szH7`4yrmqCyj%8U#1|hhk`cS zvazxr2Q5GG;$r87$tb8n{GA|)h{sAvCWa14CWanKguk~)GBF&LWMX	aljww_xcO z;cv+LM^J||&Yv;B-JdZ)(VvT<;w2-)92U@ScTO&LCO#H+F&-uvX;uzS=rzdvtiqz4 zOuQ=GApd|$b<owgpi7s)%RQ@ESXsq6Si!e2fdv=@)FJLykV51OD=8)hHz_6tFDZok zOQe_>K$!S^k&;<lkPo_bMO<MvqdcR6wmcU@#S2D;e=LejyiD9ooLoFCEKKZ7oLsyt zoLoFi94w%%DMGTGOx(hpOnjix5L+fz@S)S8EUe)3VEI5%0Zm*Q5O?1|a`z`GCI(h% zCI(Jvgu4x;nHb!pNy$G+i8<hAH<P$QwmzeQ7xcCaQGG6kitmgJ$5<7a1i{Duf)bmM zkT8>wAQuxSlNb{V7ZWR&IF|qyCpV~N5dhw<4O)85&J2nK@Bl4bkd2)cE(rFKCd5B0 zq!ICOQkse3iZm0$btL~hlxAXhL6m<$3yG6Jg?4gAYI1g7ejemX3MOF#dGN^z><kql z3@g}VnZ%f+K&R1wRz9;cFu1d@fp`f}_gKhax+hEq5x(TQ2jZA5aO)31?K^;E-$fZF zhDS0?4DV$S^_jOU6GO8s6T@y<NPV{EDx_a0a|c4h%8>{2ApN>O=<{x=B@nAY%M?#T zYX2QfprN{oenxi|4-R)Gj}B&rV{D2n0z7;yoJ@Q|JaSBoiejw7tkx_x9M(*Bpn*v) zK2~lf7CsKp@Fc&!4jT`L0FxAxfE=qVt1@U8Co6}58R#lVQ6?El(7Ac6ETBF;tA>;z z7X!me(9k7lH9lySJ}4E4vao^=Fa*({3IZf23Lfx*TuKh@6t4sga7uBovV%?}76)Bd z2R)%!l!ujDhKm(^5U?m0J11x;LY$A47pkuxv_X-BiHWg;nc;&rB%KM!A>vh0j)_4- zj)_5A4iT^Ba!d?Pa)k3uK`AUeg$-==IT$J;7}l`MGJ#In=3-@MU{GTMc>%oT3Cv{U z2c<3sh5#LiJ7!>U#|rY?kye_M!>|w8a?m<<s67nw2>%MpGcm}?GchR2Bm8SD&&1#$ z&qVM()smvh^wbitRZPMTuJ()$eD)j+75NP3*kzeSL4`02CzA*ZKR0yCmoN(}voaHm z!^X-A<}ffQ=tBK3kEl0R$TKl)k!NDqf#j}B@=Oem<(U{{pz9wlK*yzF=^R#XWF+RL z<fnlb$#pUMGY0U1>WW>A43F3qSwMvoXexo1os~nGjgLu$9bCGCZZ!dwte_fC2~@Iz z1wd<vIat}j0t^fc51{UJP=Mq&P+1kDz{HTQz{HTPfC#^O1tta%hPex!hPkr<a)ShD zJ2j~JlvJ7qTJ&I$0xpuc7%CPpGBklUmN9Yha5Kq(HqY^c7oO=ruL}m9Lk{g+f}Fz8 zpa%)Z9}0+Y)K+9-FjHh=a8*Q<8<~ns3?N*m2=NEH`(S*qp$rTR5jLp(2T%tlI6pTv z9PDD4Z#1-WK^vGs+W<B7GK*bv3rZ?MHMXNaV?eP#H$z3FJBNpfyMTv)JEw;v!vYS_ z9&gZ04*wkij{5>k9EM_yhXe%n3kVz#;M^;~54vU!<Wo==+!eIc8MIj$6g81d9E>I` z0?Yy|oXnCSHzw#q{QW@@;cqS_CI)FGCI)#WgufY-nHc1hnHX%8A^zTQ3{p;}psjy? zFb7gzT6{wFw}w_OXi0*mUUGh7PHJ&7Xrki+<A2EQ9Lrci%f<|jb1*cqE3z2|iOpaX zTFAt*gq3k3BWSVMeP&h;VJ1F5Zf{m5DFs%3!9C1SnT^b>{K8Cp7DA@1Oj2A@T6~(K z-x*n^FiG$s&M87V^2?rI3)@j(g8s|_oSw{#JZ#ErtVfvHIoO$4*@Pc6^H^b1EtSa6 zrp&{71)}aVGxINI;h)Sr0TL*OjBzlrFtRZ*gN~^aWe(?vU=3%T4(hGG1s%xO1KJA_ z#KO+}3dA@JBDR5NYTh!kFtPS9axk$6aj|p00x=GQHbFpSGnj;!SeO`@1R42R)tHz$ zI5<stz&vg>0U>@%AwEG-5f)(yAyF0<W*b3%0nj03X8g<ooc!iIYTP_rk^(IJ_MGNy z!mP|}!mK<@vQjLP4vZX3tc?7iv;e{zj3McPK?RW>tW=m7JXM$&d{q$Xp<0EBp;d*6 zp<e}(9th2YXy7^@5I&8Mlouc)!vz_Y#h`o8o$^cbQb4)eAqqOl$!gEVV6csmVG^g} zVkX8lOsp%JIOJIrn3+I_yD~3fVr3C#ft*0e$0Q=iyqt+?0VwhJf$B?12#bZ470d!H zhht>|MV2~a0BB|n6bK9q3<V~TaQJ}~4&16t43er$46>^5@`@!=m5Cuwm5JfQPDp;3 z1Epc*z=v)~et`L|C^b31C<Wv}a6cpv)C1YTRL{j=u$Y<Q4yPg;zm6o67!#MOAgef+ zArmt<n-Cup7ZWd&APWZ*KO-v>4-*@c9B7w2C#c3}5@Ka!m6QUF2W$rUZUU&s0jl~{ zAp>Wi<3Cs-f^4j;svO{*&>$}=m_hvaLKP9u|5TY67}b~<Skw^l3{nfi`f8APhWQJf z2B`tzHOZ*?J~PiFHL<|I2z=xP15+J?K^?;vZbnP4aGn{AT(+#QSy<kHj&24w;kj7Y zoFHpC#ZI#_zhYryU}9wCV&P%rVq|4wVO3_*U}0v342dm(x_^Zl!u`9|m>Bk{F)<v( z;{KOV_oJ_?f%yaEb`XA&j_Q6;O!=pQ{J_9e$zV_ka(ociV@8ncgIIsFvixCXV&Y=t zVPkVexcnO{n#<+jE>|#zgnNQIq8)Kaor&SRIunDC24=X6YeK?(0*-lDkefmHz$sLB z!^>OnumUXgX=tUvZ|_Bu$OYY9T9lZhsfR9sR5Rs)FFF&L!^+PnFqxU3i@`umBUznM zBU_zOBUYVJBMgdz)P)>4>?Ir+?R7P@)Y&yGpjcX+T|-5kT?0ge#9h?67~b*7GqE@G zaD&cnVc}xpV&Z0!W@7)w&DhEVX0fot#C~uywu9BNBgB4jGj^hgi7>JM;b!bc7yHM} z*oz`2z{Ea*hm(VeiTykeI}>A<1P>EWrvzgMh~CdFI+KTwn~#aFfrtGsH#0LU4-Y#t z2P**);cwg^)oAJvqQXr4aPx#=<}tE1fHthCF(orHvSc$evc<A8a)hxna)og+@&s`U z@o_LoFfy`82#WG(akDcqbFngl+@i?I&cw{Y%E-gY%%;fB&cw{d$|%gr&cw{32qsw> zg_+rzn3>eUBrBs0sO|xs6JQNVe-AVf`R1o469cCf6N9D}BK>XEVq!S2#l-MZ3zGg| z>%=qA_ML*#9tdx+#F74rQj>EMGjkb0H&c9K2H#BKe4mk<!N5U>SDR6XU;7=1sL-*{ zX4PTU=GI}==F#ETmSkAPtEeG=lZ}&!<ry2}Q#Ljx&KGRF94ajQI?URfEIcgC9K15j z(gOS}LTrNU986;TOak((IxKwJOk7ONOWFAsv9n6DO0imkTBWQm!c0u+tU{bzlI*O! z>>NxAOdOnSjEC7F7fcB4U}xRS&bp7CiJfyRJL@)frf^m^*2ir8_t;nkxj^GsTR<xp z6Ioc9v!OjK(2?=rlRvhAHWMYXv9e}^7K?DOva@rsf)=GSFgSoOY~W{n2ijI&!J-Eq zc>wjOKyx49wihVe9@s+SBSM>r!2%?}z`#(Z&BV~A&BV~94X<aI6?B*w%ykgsT`_3! zfXLy{b`~_;oij@FvOzO_Om|q<Gcj&pN?p&y#bEH7jo}8Lz5qXyf*`99s~oc=2cv)t zCzB)-7c)B#n+b<4s|l+yhaIyqn+da_Ad@VUE-Nbws{yMv7n2~9ESn%RuNsfIf;6iR zD`?d`H>&`z1cxZA3I`K&C=ZhW6E`CZlOP8(BNvk~6X>!tPGMFS4mMCd%PPPs%^|~O z!^t55UYEe6&&$ir&CSls&dbOn47yDW6x5&<aiHzw-$7Rg1%PVoN1$*9^^?UoSlPdW zuGj$!GXyw7!f%5PV!ZIA4im#I9VUjyI*9aKsLRAKS(k}nt1cux?|_aQhM<ibLZg5o zrUyrQ2G6;ImX?T5VEo7kUR&9~0y+cTpo_`L+3^@N!##e`G97*nP8Mk<VJ0yqVHQ0m zPA^UlPANVn5lO~AM(0u{c>yI>MOIgCCM70LCN?IH%dAY-SUJGEJVC2u*mg2=USMXr z&k5O$BlwR|@DelAd1k%~%p7N#InFV2vk6AB$AGR;=VoGO5>=LD0v$I7nj_@11+DI5 z?PTWN$INHX#lYai#L8U80=beY7`ieMw7TgeC~Q?hVe7=g$_iG&!O9-Y#mdRc#mZ^K z#mae-3Djl)spw*2a%N0mVPe)`<78#x04?1XWENy)V&h}wVB=st%E-pc3Rx9a-~x#c z2R%f5gzGUer0X#;Wa}Z~qg9WIVWJ)r!(2T`e8A2>7C>A7nE>riq+rY^K+`!mKEUVQ ztboLZ3^+2LF)}m=Dspf#C2(*Ff;Mb%vWT4JVmZboA<D(T&<biRc|%t1wt_}OyxG{9 zLE8pFBOFSgG?3s5@y7=wfAH!vF^K9jF-Yno@};Fd6N8sN6GH{`yq*Fa{c3o940f+? zVqR%t4(J%Ojg0Z!3<j4O84d_4G6^v0FtIYRFoBMq2az010xbL-d@Lf$&>J2=cb<bU zvI0d4sDlT(>pT{;*#jg1a<_vU#N7w<5#fJJpNZj#J`=+WeMI<!)Pk^-0VMow2(&M5 z;40sXQ%gV%gksQ*1zSN2D@7R$&NDLn669m!V`QAoE<TT)hZ%JICLa$ICp#AhHy8K> zZ%#(;rR*Fl*!kF*S$J5uIJrSn8{&+KEF6%9!~*V4Am=f37$E#{&wz>Hn*kGpupz=9 z(+!yzP8%{Y{5OR7qvsW*9UdYL$-l7n-2!Mj;<*YD$0#qs@dEORPkwSX(#}B*$VCE> z^Q+4eb21TEQo<K%K<};uoi+b~@f0)oaKHtOU}`QS=!`&v7(ZrTHa~9PUrbsonk-se zntXn&z6%-sn0?C`<_IY=SwG}qe!|1{o`<`OmywC9nUm`z6C<=!BLX_i`UDem2PZp= zC<_~h5>t|ez(F3COFSAZ;!NxdSh;4ivatz0<Y76*!!livWriTjbV06Jf_$%eS?BR8 zxUf32_H#0yW@5j_&8n!%B*voqo`?Ap4@U!|q_U*9BqzA{;L60xyc*Pb09}g&+MN#? z(E+VK02LLW(>_2u^+Cf45Dea1=gPv$x*9Z*k<P`+Y0ksS9m>bbt0%z958C5o4BDy> zJy!#CsIrI<_*{$_CT2!97H(#4Hqc-<3!^q8GwU`+9u{slJ}zDf0TzB1Ar?UvAud5s z6`;k&%*4)~E5a;X&Hx1tUXXOLzzDH^XPXfd!!aW!hRa5XbRllc#NcSm#E@+aNf!ms z^JLNUC)8Mm1YGGmy(qsNT)b3(%li9Fpmp>H4uXP0%NYd)g+4Me91vDy;$#u~$|v}P zk24IkvPF`KKarJBf{Bw$gpEswor#@I>?ptBIeun#R!x2mE+!sTE;(TiRz@yXCdpbR zeo%>2!^FxA%H7~N05L$}3~IX|Xf9^(xkfcCtgIjf;E)I9f8>>3pwVH_N-wZV2PQ$r z<%}$X%pVyU9DE?*cEcDEZrmnJ48kT%4B{q;a0@kIV#qOJVrVvjgxeQrxZzsA+kr3K z98*$2ISP4I5~x1~?P4&AC;SJOehZlxHi;;*Nw6p~u|8u4E%IV{$;|nNnFVwzIjC>W z#KHpF7S6%K@}HUIJuk}#URfqi4i+|HCK)C!MJ@&g6DG(?{2CTk@I;R|<YrvBFdHkY zG#hBjiXXH{A)ZNyk(0@Yk%fhYITxA$3Vb2q^TGrXK1`-e44kG+3_Par@}5P^l!-y! zl!*a$jx+jxg$>a2@iEF5@W3DV_<P}mgm?}HgKCBcBC<@r23&^h3=FAEtjt`X;oVdg zR#p(>1JpeQrU>`+m@+X;Hf3U%j&Kk2YEveLU8YP7CeU$R2k8BnuyPNpJ7Cq1utSAC zH-o`6Mus(_vP_`yL{TPwCMnQK$e_~_44EKTW^qD76U+q<>w-fO5^xjzAmL_UhHz(q z852XS852XI86sWn2eHkW7~IVv>FNq}Jv6#|A*M1g=wRH30<G_1;Rr3JnZygGG37HB zJYmY`VlbG)%CJvVk%^OwlS!FF=rafBFAk<oen=w<w59nE2h&duzF!<1-#IvbaB#`8 zYcMhKYqIMxG4Zpou<^0UF>%>)GI5)*nzP>L;Cja)`j?OMAKxWLCP_|7DJf7&YXmh< zC0Y2HiNE>_c|{bs+=Q6H#?R^os<}B>*&(?W*IrPNIOvuY$lZh@kd=%XOq`5NETD#% z5VHUy6BFpD3l7Ffpo6<aIXKx@Kth>;!5|P4KNrjq>pR|?GcgERFfqtmAmXRQf{9_L z1rx(R3y97R==l}}&~yb$PYTfWjvkoj(PyM47HI0h#`A&;a=?QH9~g7N72$fwnYp51 zjx3nm%>=F+75#X84>9_&`tD+3m?ft8Qh?`;0OMMIMka3Xn4SoW5EJtXepVJSW?>#? z7C|OXHZ>kT4z}5Xtg8f7m;{*&8JU?Jg~Zjxm^t{xM44CfvnsMGu-@Ze$H<h(1U|~U z6BKFPpxO=Gcw&L9M?jRU2tE(^#y7|;8b}^#nxGTZ1L+1;cd)xPIpMdmf$m^q1>gP& zy4sJ2ON0|dgD(Dr(EOaxYy1?Mco-R3c$ioib(leoP{tpOEUci}9_G!Ea8C$<#8-nQ zB0nv#WMWul$;7bB5)oe_R!j_5R!j^DRuFwTPay3coBI$N7GD>j<xvf;`~-_HSbmb9 zz;u$OpNX5nAli?^m(7pGx4@6pcOo-GgSbA2D4Y02L5@p;Y<C4&9tbin6hLXCOcP*b zV`Wk1WaVLfDaiUxP?^bzOM*k1Nr*{;o0o@`AGBJDRhW6c0P8dXF*bfyRyKP*3D5?! zJ)q{eF7$L7&`cLdC8%Qw5({EsWd_fA?*TQ`bvansT|v8=d04p>cv!hr`B-^%1z7om zU|W}>nK&5PSXe+eBr>ruYO{jcGL4{)OgDJ_&Vw*W_&%^gjDK)gGcgETGcib6Bf{6f znu)>Onu)>A8WO&Q#<weQ^-o~o>zU@4nwpZD0t;th2P=C<2Pu1Y1_PBa_D~mwGvcyL z?32aVr-&)@v9PkS@v$;-vNJFwGO>fsc}!$s2TgdYFtIbbfXc{#aEL!9SR?$g#+r#? zhcy$!9&31g#r)8ki9ytciGk-fBwfrwo8LyNA3#+#WCjXy)c~k_;v3JHFo!9gi@~6q znc<d%B9kx|D-#=NAvPDNp624@<>cW9F92e^#K;0(mNgX=nV`Ib+Im6cF@!j%WCWLp zQ$bOS(vE?ZjIb;QTg3^!l7kJjr~?!V3=9t<AmK2>1`!ThY?v4h*f231u|b5xeH$i* z|29kvXQ1=^K2RE#Pq2mq{Hm3Nz<9=lg^ZwZm;zdlqsXMd!Og_M#=-@<6@`nPO}LQ} za+W&y)&Pj_L3aqlFx;o$TLi#9<=|rH<l^Gw<l*9mTr>jlRTRWO8MX-jbl5U6OtEER zm|=_X&t_XDhRe2O`3HJFXF^CkW5NQkpQbS}Y>))`iI<6!g_#S~*5YDk6@>Yz84;r} z|A1(?pPJ#Zhr>^x`@W+g{)(_e_^Z^8iJ`)diJ{sKk?$a}%D^z&4wCP-5a^c*V75OI z>9_=P^eO{W1cN~Y!wpH+K(-(z&~7+Jj%c<tCJuHcZYDN1HYP?v0bxc42396EMo|_v za0j#j>Rt|eL^&*N&%~f-&&1$lk8tlSdnSgh_Dl>H>>=*exdhE;=O8pJeZl<oCLXo_ znV6DNT#%Za2_6k-U|a@Xmlgt=6_Nr?3OPXsN#`=^7;7u(7;76dY>-l95(eGGyHl8x ziRFYa<8fg&Ce9PWoGijjyevX&pw&-oOss5zTmpQcu_b0EJ|@PW!kkPZOnfXH--HDv znb`T581KSFZV2=1gQv!|m{^%jSXh}sg>DQBD{}w~D|0wx8VM}L#>xsRePg&-IRm&@ zIm02RRy#q5Ip;F67&9xe8MA_JcV}R5h=s(rhXW$~;vAS53LTgjS{xAJcg2B;;k^SB z1D7Kt{N7xLgxen|jUIkq(on+>QdA)aVGE8REYmU8R@O1rHfNY14GqDWBAiStD?}KV zi?A_qt`Om55oQqr?F3?CV`Amv<7VY!1BKmQ5op-$5E0?v1Ks@&<sT6dwnhm#P_+fx zMi|J#${dXvata)*>`q*)oPj*7+|iKxgkT|8#$wE@%x27L4oV{r;vnJH;E32?IMtDf zVT~gb!x2YBxEVMxF+@5sF*G?r!p#SIkCe}ANcf?L+Xl>ZmzJ5An3Gur+V>7RY7Mf6 zX(MFop%Z8&lfhp`9bRoV9e!<29VYES9S3be4Q=&2hHuiKp(-gR0VYl+dGL}o9ws?1 zP6;7VvDu)Tp=XJ*GBM5;Wn<!;Bg#2jlxdMD3o8#B7n>Oq<9tz2*om?5E)f-AV*D&6 z%EHgY{y|EBNywNTboc<1AQLk)=;%T&CeY?8E(QkBC>Lnd9JHnhGy)H*&p}}f8jtV+ zA7IDI90D5sf-7WWWliK@WjE(y<#gg<<u>GF<@FI@<u?~%6$C5%%gDsb$i~Fa$jQXS z7|7zlEXbzKng<Ge1_p+JL`e8EI3wogB%GNTRGgU@w4D**@9E6M5arCoknIc!{|nH5 zGOqE32Rzu)EpikDG=kRKaQbsI7=&nOtLrfwkWplk0JRM{1eh4RrNKefAuRyf>jdh> z>NBx|FQ_+X;b-Oo1%N&aD=TPKmpL0hD_9_eNt;m*>Mw>Qh`$avBg)^K&P)tXoS7J2 zI3wznKh8`H!Y+vUZ1jFVtez}REh#9<Ps_|nO)5>!P6hAXsstV6;?Koku$7VFnG7P0 za5AYt4w{8W5eq9bD6c>#so}zGtgN7Xf;3IdZ~*G=2`-3oWQhwC!$ub-hCMEb_;};O z#K7&!#GvI0i4WMg1+M<(3M}h#5T!^$FJnAo!d!6Wn4qDp9;>0PZpqLfs|Z?*&BMte z&LqIZcpVZYSEQu`jX?a<5dH~i0d7_qDOu>Pp`f%2O0ysgVZ$#-@nV5&%`*q3RyG)$ z3p82{ir@q$ZN^v@ZDvbQ6bht3;%|m4BL2>}GBLbzWny^eiU@}aHztM|ZcGe2+#ul) za2Qe^m_Yk;=;eY;9csGFODzW-)&|;ChBR!Go(vLjEK1Ew1RtpC2s$GNynx|6xU{VS zRam7UA{s>WF@ox@b8HNA<P^Es*?HxqmDm-zwKzd{!-)xSu-j_daq@6-um@@eaRw@6 zaWb;<a5HhS2r!8h3o>!XF@-WSiH5MJvj(xUfu=VEm_$ManYcYz?U|WG?N~jz*jepB z2hodI3WECT+RRL%TCApA?5sNc$~;UQjG#kNnVAH*nU%OSS#u=AjkvfN7#cv07|>yV z;C^5Q3oCOW3oA2tg*JGLJCZybD{BP@D|;aqD<?wUlu4SAor#-Ki$#-Jl1-D9lS6^s zokND5i9>>&9keltO@@_~O@ft`jh|JMMTVJ`MS_`?g`b%pv^krZl}VV18FX|Iqa+6} zBM5RsCS()RA@LgF4v8;NT$i~sF*La|F|@fO^5qhDCI%3O#UDBi%a?hn72r#yoia<n z(dAl@pA6cfqA(e>cA8V3i@{(YBf|!HQ10Ym;sdXp=40by;$s5M+i^*Af-XY<Wyow6 zer8Tku>x`t1A{^a#GMQth;mWNgNZ@agNZ@Y1L00@4<?2r4<?2c&~-4l)~DyCmV@2r zn^+l|2R-jIAu66RArsWde#*#jM_!RdP>7pJh=rGfor7OhfJqKg7V@xiGckkrdV((H z1r1Syn%khIuF{Yy7nH5w!W^vZP+^Ea1TrE1IN^aPPi}cIF+BBPVt9q*4-QWz1`zhZ z(f&k)cexX+08FTeXH3wF=VIt$Ji^Fuj7gD+lY^UyjY|V`5Can@6F)x}6X*m}a5o9G zLq81SV35lmK;2d0iOAo*o=glgJee34cp~!mCQl}Yot{h#2RtF^g#|ia2HQ^!OBWzB zLHGzOYW~*Hf|S>qdbyyxxeW}!3ntkax)^n{IdTjbelW>0@vyNxW#V|j#Lo&|&<(of zg`I&R0<xf6mx+VXfPo<(3lfeRUI_QvdNDD0crh^qcp==I<i*60>BYoQ;6<E!H{f({ z64<?#aQB*l-P^@1%OuLi@|}s}7tFnhOl*)IGk5{I8N|H@pzgilg_vh&@MdC=^JZeu z^+vdNqc;=7DQ_l*$KDY4+Cb-rYoPPR=;7<rfEvEVCE1VwMk+la0-AcoC7^o|HZcB$ zG_}@4np&CQQyyBtJIA^hS2AU@<Sb^)X32TU#4rc6B}GV_i5YaF5-Y0=7e6Nx7ZVo? z3lkTM91{;{N}fraNr6cyk&%fnhIJz|%NAyR8CF?VCRPzvVI@N@8#XTCVn!yu5}uRH zET@=3mxyyRfo@6S1|4!DAjHcC+Cag=&BQ6q$|MfTUz}o=dQ1Y0eEK3WT<YM23cmXU zblCST&;S~!gOCbIwwFKyVh{-qR(7xi7aKbl1H)7%&`LEH7Um8{Hhxwf4i@(1pnF%i zz>SeSNV;+GLBvCV4--SM4--SU4<yV%`vGyyN5b-5YGH9sCTJC@3qu#93quzRKbsV2 zDxHmu7ra0$0BX+$gqaK{e3%%{`!F$F_Cb_akA0XJKo}OU=rqi}+<>C|w0OVNiW29_ z<eXH{My*(R4u&qq3Wg0VvP=RT%1oeBl(_iWAy;&mLeG}C0Ck6jFWheoKE6y0;l4}^ z(Y^?G<oYr(fH1oKFg~g~z;{Z3++ZWmsK6%=%D$-#mq6}d;sjm!#ts@gg1SV6MTD6X zG_?RK4j3HrA>sc3$vqN&ObiNsObn`ih<xDg$HWlm$HWlr2XQy7e8F{I!y7Ktd;keA zusfk6UN9eMXyvBn27#ABf`byYK^-)68nA`YpPQkJ@fst;6Bb1#&_WYF4jCrK&)}`n zoJ^b_m{fQ<xtKVGK{NHB%jMd^OJ*HdSXm*gbS7m+AFwYO7!(R1{@me*D4)*yF)`fr zV`6yZ2M>1^CVwUdd4DDb*m?62X!G|QI#AbdLj2_mo-JlzQhLBTf%!fw;{#Ui`>ddH z{{%C`Dpn&VX(nSP0j6LkE+#%Ec_v;aQ6>SNa5e#1Zcz3pWMTy`eF1IU1Z|TA^%g;c zoYJ7$7DbYcl{Ju!l{E-diGd_R=lK>w{MF%)@YigACWgiSObjdh;r?RY=g-7&)}Qdc z3y6O}%jiM3$M!PXgQ8$PBf~XTPz!;JNtj8Fi5GO9Jrft`q(e~405m}B3z-rEbJ<u~ zecAXyk;1^hu%HOyK8*lGJHaJ@iNQaBi6JBa;l7*zCI%3OrEhc^mRf>SOTbsYfwEs_ zPHIqM2{`X*$}=kX$%8_CDkH-$R#_Gv2`(lsQEm|?Zeu29E>2D+L1_CMJao*!;7|;4 z*MR^;xLpolVz?K;#PA5oT^|CN7(f`^Jup7ZUEm$8sb#5oB@9f$4wm+e4r=zGY*@uG zhfS7=gH48&heHiCerwLe%51;_9>WDC%>z((Bm^SdQ5wj^P#MU?&>D!ye;~CWygU$+ z|6ul`(;zh<%)x=0|G@4mPRuRH0iFNX!N}0XsGV(<!;#IK!^g0Jjh%^)iH(JgmFqbR zFAonBXsZd!1r}x&)~hVM*I4)%7_^zJ7&(}D8TmklgZjlKknm#&LbRL2gP0i9gP0g} zf)MfQ6U4-j7sSMXtGs}PUvXktD%g$CFjQK=x|3->E8_xI_xa$Qw3eA+ADa;qXc3hp zlMxpyBPc%!GAS_$FbVM5uyKRdicJT#=|KYnpsXYaK2Z&H@{K5X2ul={V^CFqjywbJ zhCotL2JzR2AVhrp4q{?p4`yNz4o1X>Z7>r92)hRp7auZ0koYLB%uCjT`cd4W7qr1% z!yc4wouIMd#?Zp92s#3tkCTy^n{7Af+HFXDY-43+WZlZjyNy+ZNsX5Y)L+;S+E2?4 z@|Y7ee%zq(^8o6P8Np2OeY0DGnHY`)GclZmx*1fjt{~8!)zAX3)P|JLntEUk1C!DO zmWqBB#tAIu{VbpY;w~e@I(8!_1144`6E+KO(8{TDNH|J_AndmaVPfzKVPfzPfrJ@~ z{b=rhr3(!$-&Am!1j_8-N<tIvhy^TdjPqF-7qFPm2fN}mBSQ;^5tALl6$}g;Dj@DS z5P}##yBxyA@Hm8t;YA1{K665u7`j547*>Qr+|~oVcW})~NPiiY&!EA}kiia#PnbIq zu4G^mU%<GB1$?WBDCn%!F2>I+3<p4)k2qLaB!!p+c}-XqS@`+**@c*xI9ND1nYh?F zSOu9_xC~e|nK(FjxY^i0vVnF3ax!tgV^d+~(r33|V&dTC<YVUL<zwX*U}ECnVq)cG z5))<<5n|=$VC7<CW#;o__u*k;Wo2SBV&d>;4dCKpVH99eVq_9!R%F%V^aYQdaWRAL zDZC46xq$}HKm%r=O}yZ}$U011%%*Ittam|knu%PjoIYHvoN7F*++f+0j7-Xm?clRH zL1AD}4GC9?Fho944`X654r5}l4nu@%ei#!22-k)|!j;hY{R9WpaE0uT0M$j^puA!S z&MPI^EIGvti#S2UwmeLrogEx|*%+DlPqFdN=VV#H$qKsnnvs)<k6E0Fl?ilHJ?~jI zzD;ag3=E)=>o$=0)j_!?oJoL@mxYBnnu!mToj~&vH4uM&2t&+|vxYM<h=wyU$cH2R zRUXd7&>POgus9szFIam4*Znjn(Du6^S7PAhM2*bg^+d}3jG)qBA*k8EkdfgVC#c#L z0u6q$33G5UGf8oRE{c_8kyGMeRb^&jX69qzX9RWExtTcTvNJLXuVUx@&&krjrOLs| z$|}w(#mdYj07?k*j7*%oYuUMGuycT_LQqY;1QZUS70jR!eg{x^Ktw^Sm!X6EV9^F9 zCJ}HjwStxt2!W!X;X^GXoFpPJ!$~)S{BZh!7EZpUC8-tA%AR2l7g}iWfkT6rMM#N* zRT3>U9)m*T2|MpjE|y)8(BNj}LkW%N>|FOCp~1j#pdR9%6G;Af62ZjqE`o{Sa|9%g zLFE;$eHXBDAS45HBeQc+YGO$$%-KvV2ECw_kOB-{jEfi<zHxyDS2)3O%EiXXq{_(7 z!otkT#KR%Z&I1ly(0-!^h<hp`5#hTbl8NC!Boo8=NJRKrMlmr&L@_axM?u{9<}swa z(h-B?BUrh$0J=^?rV=6!bFX`TQGRJjW**$JxFqz_O7oHp8JOe`Fr8xE&%}6uDP}(t zD5)-D3}z3x#}v#Ka+rx>5w|`QpMWHr8Vf%&=l~&3CPrRXRdzK&9#(EK9xiTH9#$4s zes&2#7J05zHfJ_NLrzsTHFhIbLv9mRCSEQDCKrA#LslbJ0ah+nP^hqI%CZ`Y8G*(4 zSnc_Im^isa`9Sxv2QslT7qPH1?*OG@@VHzW3oH13Nl#Ex4rF0vE#hEh-vPQ5>^LJ2 zD|Z?nE3df#D?eCzE+Z4@d|N?ARwgk<F3@q)Oze!EOl+K>!2(uRHg47i(2YZGY+|6o zm6eN)k5vT}HwT&_@$w@IQLnK@GckxoGcia<BicRc(M${m(M${)IL2dO!3=8mq%$yy zA7Jcd1fNmIy&qfv>|$hS;ZbBtVq#-b2MzvKGO;p)$0aLS;Ae1x+|$qkanA&hT_6Dl z28MajObqLynHV-B+{1D;nu+0gG@|{#1iD`nmOc!i=jy`T1+D1CFEF};5Ar|62-=d_ z#kiV@VHS@z6C0@3;%2g7ViRX(*XCra73LCQ<(CAX-^9hh5YNQU44T<yRt7CF2SrCb z3p;BgC`92R5XWw4g}6T;2GNd8j$vXbiD6=>jDd$I%e)vShL15!3_aH%={p8`{u<2v z0==mHGVqPH&|aDN0mdbe0|2*z4*=|9{LRAfj0aSf@G)^S@v-e^WRYXyWOL=?2el@d zxVSl)%p}V|hb@2#M9>w-pdm#NMyyqU9L)>r`-re`F@rA3b6^o+mS*8+1|8v{&%(ou zsUCdaG}uT`%M*P`19WMFFnDPL7q=1*E4KrW2)8sJKQHKpV0|8TR>+bs*pX8Znjhp& z27?YrIL?Sg)W@r0nHV<5GBNCkMTFy}SSE(Qu}lmN(D=V`0ur9EaGZcG96@XBz@z)? znd(8!y^Bl?Gk9UaSIET2=E?>QInYViAP<7>2*mV1Xq5oyzAtb%fht_EQczfcml3kE zi*T^AbArQ#3rst3h_Fj@@w0O=Oz4F8uOJRm&Vf=-LmU%BM;sGFZyelz%**4L7!Jh| z?r(t8M|v`-VX+_5$$iMkumkQXWfndrWhSVnK<jbAE(P}}K%M|i*+_yS8`TqBte{hL zMc5=+r6K)c28M<%h`Tl75$-mRXJT-QXJT-VN4PsUo{0g3Vex=Y!}29)GoGF?=x$gA zeMSRseJ+L`#!g0tYizPC;!K8+gOEVWT)A05hpEArWH1PHL)@_=9?=g!8_&dWC7y}l z2ErXI&*GUFKE@-;i5}=V#4z^=pp_Frsfj7RskxvVIExvWgacyzIT(5vD;WN;$udc@ z=y0%t&Piur0Id~OXAuF7!-AP?BFyZdC}fxbb(cp1!d>wROblrWObl5Gi14XMU}6Aa zLiI1G_RUSrEiOqcDF*Gz5q9vm2e~MVVFJ4>6DyY*haeO9z*o@0Ns6H5!O)?w9!U7S zNI=BX{{$um?nEXA(L_XjTbanj0KzSa5OuI{L8rl<V_;wqKs#@~v>+w1Bo*dD1}1TX z*(~~u27KU%+|Lxv8q>}MKB)lIrjub3Wn||N6yajwWMX0xVd7-w<Pl)w<N<Zn<++*p z#Cf^d*qMZx7+)~5K4lc;;09m#3R-c_$IQ&c%)-PW1iE&IgO5p+jh!2GVm~{RAQP84 zUmzC)!x=^<F6P%vpu%}S6B8?AJJ>@3eUNat0relqAFmUc7(OL3F?>rz#0OUr6N7LP z;e3{yn4FOcjtx-iNCu~lI)+)CvP>FGEKID-tX!O&{9HVsV=cl#r}BVeBb<emRT{)# zU|_fabx%eTB7M{(F)?%}F){QfA>6Y(iHTtg5$;I?-M*U(b`@x#Cd8i6fzzJRfz6(s zp@*@aVF#xylMKjVjG&{B_?WoZxmdxo2i{De1#A4w0w8yJgHCN?fpS10#J~{H4+%Gc zWN<Ko1vQeH81$2w7>tt<?zB&4VgO<E^aA6<@;TU@i8(nCr-HJUfu%kuA%`$r<CF&- zZ^S4E%1xmDj2sg?!v?7R9m$ArTA0klur!&8VHLtn%!Kk!L1|HXDmZvjps_ZQk)eli zA|t~qP5~y+S?C;0;7XH;mx+l<iirn0&KEEN>i-l({iBk?#Gs$T#9)$w@V`?E6GLnY zqI`jk3!(czH@LDGRH%67B{MLwIGEcrI#k+&T(XUkVF4E(6Ca-{Q!Eo7XbjSk2~rm$ z>Slgsb{6pdEk_n;jR~t`*!Wr5+1OdR7z8Fl+<O4Yy;oA081APqF+4$X@23<d2HsTS z-RqJHcCRhmy?YoL4uRdP4RfzMZuh$5bngYIdkaz#@!Out#4st9iD6nQB7E1RGBIo> z!o3<=<w@Y9_li=BL8~={4IK0t4b=6y7*tvr8P+j^mj8n;pyUvfV&!IIVv+)FIV%NS zV#~l#%EAVXbq0onNsw?<NJGTCPZ|?LbQ%*wd>W$MY)xZgn2^TAFgp#BKVa);(d(Cj zK1e&R!x^=o0(LEU`T%Jn4J@dsmy%hWoLH2?z{C=e?avqx;LjMK<<H2V@`jP&9uuFK zlz_ODx*V6GFcYT`JFnPEM!q$STs*9jtn8dq8Tn>_s_;Z6R%XzZ#+eKZi7c$FQ07X| zl_av@YiJk(CPVzmk&Xy|nRF%wqjV+)?{tJekEJs)JWOX|_>+$6PxN#SE+7~f80Kup z<xfNKK+;xb@IaCmm{b4_C#hUu<YQ#y=4J-1k>Hi#W8r1tVdh@W#PEVykp)z1@G`P; zakB^-iGdac8nMbMFtLjA8?j0<aWHCvPSgD_!Q3Fp1wBodO@c|0--wl$m4%U)MS$fM zEAvZMCMI!hE+bZERu%;&W@8Q$Rt{c!b_bS9_9_V$5hk8|ekM*v2{wKvt|VSgj(qSO z#sbjDYb$66DL)H8cs4_cg`XLGx+Ibm8$T;(bXJLtpA{^1ff0I0Di`RYG$uAq86FmH z7CvU)<&eMw%^ysKgnLE?T!^7JgNb2E1{1@K3`DwLlEK6P!mx4#ozBcFNiE7t%+W8% z&&kn)ma_36PH<{bS!$6d_$UKm0cCy;hCW6+hE0sp;L4N}bl8+M=&&hJ!Oy_(0P3C} z8BFkd55zN>7?d-a7&I~w?g_|bV#v;9VzAf?X<xsAo+}D-7jF0X7o_GfFo`ET11&i+ zj^|?NW8BTe@Ptv3Ns38?Nt#WVjgtkmCzu1g6ACnO%Li&}$x5<<r)Oae)e`6>BB1?x z(1VS@Qf#cOB^<2m!W^vZNKy<6(;?yfA`_9mWwV$VG_#l(46_jF+dqqmAw7$Up*{-| zAFzCkD}9SFLee*G|2pS@PV<Ath+bZ52`EyM!GcWU1+zid6ZzzWLLrjLjIoMg29qKa zKbsgEKO4(oMj<AZBaBi^T<nsplB`moLa7Us5JjOkjDvb0P`^XO*)aCaKn!JIFqi@H z|Aj0>Irb`xiQ!`w6T{amM7*+QGcgEcBldft`y1vD4K0v2oI$N0O+85eNm#*A9+bFZ z7`8BhE)fz0ZG2_o0!<2mE)SA~%n*Z_u+z*K7$!j7)sT%yZ=ia8M>Z3~-fTp?z{-;+ z*^qd_RnNoJX%LK;AaKP1^A9XuKxh7?78Nn{ftm!zm{=b%ao=YGEz9EI;9_NC;^ky} z!X);biRlHCI1le<(5ew8&_Zf%Mr$T9MkXc|&<(sGHyF%>gm**^!e8Y%OblH)Obq=w z2!EZ=VPXK`n>ob!Ylk7B^cJ27-iPFnVbACg0X}Dq(ZSyyl)w%$F+5;WWMVzW#DAEH zlTU6NlfXtMRwn*!Okz8kn0A4Dbd-r>7ZcM;CSh6r6HH>KnOM(&M6WaPonc}+3u;P( z4q|)+o`+Ur;%8K65nvW!5o2azQDNp_VPaNd5@Td#QeotSpDffc3la_qxrlr>Gna{B zSuPX9>Rd!Pe9vWKkjrCYaLt2+L(Bt6xl#k&=L0KOKGZ_$&j|#>Av6y>-v+Nvp)s7E z59&gJP8%z@0m^$H8Nr$FH8aC87DXmWCVn>1b^sP8P9}CH1twJ{0S+z>J|-?U5hlho z0Va`L#vB1Ap-d)T0VX-nh1Q(BOkyIe{H)BN<}*7BGc%JYc!{(r6Du?5o+Qw+PtfqQ z4GTN79Q0^HI~G=EKggOAQx;ZM8|X4nT`pEm8y<FUIX-q?ZUI()I{{XHKggo<kBm%8 zjLa-b%$vcRdO+i+b0FcmBM*_j&gL;O+{|NQc$0?+SLJ*r27`Pi2HSi{xPF0-*Wp^% zaHbZLzR>aoEX)EHfEMkl`h${FJ7c&26LT0_I5!h7BWr{fLmR6i3onxb7b`0#lL8AD z6XP0BekR7_Ud;0tS(zA@d2)d`yvMv)*LpIsa<MUS^Rlsm26tSTSeZe0b*F(67?{Jx z$_nPRGcpM<F*CEVaWgTqbFs0qYJu_=2q(;i_<un@!vDMTnHUb`Gcg>4`jvr!fdxJv zgEs$G&;?0HX#NBzndJQ3Tu>fjQE-!IWawk`W;n*m$0W<d#32BhDh654umNhnMFGNo z-vTCv*a9Yoqyj|vFD_tW*iyj6aI^pt{;+k^=<AHZhB7cP^l)Phf3UkiwdP7tt(hCo zm;k9c-!L(}VpRk!YGPvNVrJsv;A9i!XXR%%U}92b<6`0hZPei|V$T-LVbW%{7vbSe z;K*ijV76xpU}xf2la!Z|2CZKL)hVDuGMS;333z+bO3*Z!2;>B<gN#hvjO<LH(PTwX z3CGCH#Kag3a<jsGNIWPMBErGEkcq*gkcq*!5aMUhyaJ(nb)dPk6q;$7SQIkk85M+} zn3bWAaRwv91~yRFjFX9lgM*2Uja8IMjES8^iie4VjgOU!SC~_nnHhGK&jF~rZWJQw zyU&G84F3z67}$#t@uOSB#9&v%#Nb~9aVu<nA+G$<gR$=osUA#(E)xcAonlO21-ET# z@|g=f87{Gdh7)-N)WPKn$3=GLE9@++oIIdXhLcHzm06YvRJ(&(Frb_On%|cM<p#I_ z8}$6P8YX5&PX-2t2@4?Mz5wcOP+V*&Vq!R4#Kdr-2vMIuEMj5+VOaTzPNSuN(C`~* z%+Mb?ZV+M5#V~>KG9!bDBIu-EHZdm9IUQV_Tr4bHR!mZ$kwZ|04r=uZvhXv54lw{V zN_fEy6wp?;f`t%wdK4qlM@%sjLvk?_Lq;*eou$Q040Xj!3<}WkI9%-xXgbLUHzI`# zvhukZCNOp|GDOHS2{CanaWUC~mK=e$my3YH5461;%wSjqamR~dM0)s9%*4Q7!o(n1 zf=CZpB}@z;+*blg59r|q<AcH*ge$P;R|Y1f2FZs^|0Nh3B&ES*7vp~kP*_f2ie!tL z$HY)$#Kg<T&mzOgD#OJj!o<PB2D*X+RMK&?FtJO3jz!^QVqp<i=2c<!<O*PV=fn2a zhsoLiG<XBLb%BMOiPb<hP)3E9H<<~Xjo*T@u_p^Fb1rxs7qO`q5gQB>n3&iY=P@xb zI4psL$Bz<3yr`ElF_@JyF*ubX!XvSii6N_$iJ`a@5*`^i;s+EKAZ&tmZg3iCezO>S zStBf|X=s72Z3JyT(9|nVE%7S`4=GGw^af85*Y-0qGE8EOWD;a#W|CucX7J(T;bPKb z;$q`qV$5S_;%aAP?f@N-$Hbp&35sGzCVu987Jdc>M;3n8d^Uat283P)27#pze_kj> z#QT#{CWg<YObkCt;pvZsy^M)Ls0=X<5(D)oEWSUqq1G2*e}OL7EG=MQVp+iG3GTr9 z%m+JuHX}m;9~0;xbuJzY4)C2tpk+~@lSxGx7{E+6R#s6^cx`~XFQW|6KB_HaVwhRR z#4xW6?mp%NWlRhp408`U4GY}#RM0+^<mCKP&`K?f3k{4j7|%B_GG1t4l!DUS=NlNg z7$z|`GBHeG^bq1_Qek4@5Mp5mRkVz}OiWx%Z2YVOg3@f<pgkQ$Osvce;07PaxeN!E zLBi9Z9O2&7awdkdawdlMazwh>S<b}prksgEz5<eNVCx6a>%9xmdN>DjUq*g$K~X;9 znxNv$bPzu`u{ayvrptx;Q+xyCCCDP<i{N#|lNht3Ib)ck*<*5|g=0=IGITL1G6{-s zg6<B}+Rn^6kA-tC3-de{_PH!ZOpM!@)i*K=Z7>sFYgWO;$)wC81v&!_G-d)iXc{y< z2HKkqD%U_a5rAl3a1H~_lz@ijK(i&FvW@{Xwqnb{%C619%B~8Y=3!;$fzrHS8Zzx8 z!U5m(V#B1x$jZXW%*?{hY|N(4D#Rhoeu9yKVZsVXIM1j+q}$yUObm}Jm>51(Ai~+D zl8GU+l8K?O5)#f6aGc8ojywhih67Hh?Tg~d;>4o#Vt9xq7NwWPXXd4(RxmIrEfD<1 zG+&T$fgnGa%m9<tU=kw2F<%grlH5(%&A5tLN~W+d{9!U;;$-7uVw%XrK7omqi;0c- zClkvbCUqWNCJrtuCN@T1E-oexMm{EWCMG5x4lX8c7AYnH(7|>F(oCvMJdErtOiThC zJWL8q0=@!V9I9Ll44^b<z{1LG2Ht1L%52Lb%Iv}dIReFjMU)wQB!3YLE2{w;E2|kB zD{D09ux~a|Ru|~yZVqgstYAg%pes~ZSeU1<zyf47B%EJVBIdh)R5CHJR53AdRw44A zWfc<x2;=H^!tx&|JWDIeKy~O7MtepF5qnSo&0=DB1sc7Q;*-<`HI|t`TP@jnnV8tv zwE37tSvlCbnRz(4nR%FmWTd$nIYDg!3no_P%kYe+um<AJ2~~)Ex1x%P;Zzk9!+9ik zey?I;0Acj<0mg^9Gd;D$qclAgblz-mW>qS9iOw}fa0$!@CWTN*kT3Q#F-&7oWD;Zo z?TKLFP+|hLyqK8Om^j%$TS@svg*k-<xP&=Ct`B2kWd`LM(EYifX{a(#Q4P8$dM(5s z5!I0N3W|pGY9@xfY9@xFYD9R~RWmVwFwEWPG|V6Q#gHb8u!5mH2g4*rABH0=pkvep zn83%V%YvshSeZp3qgxCN8=&^zs7BO#@2Z&?7;Bgq*lG~=>(nqYc-A2516=Kq^wg4| z#JrUJT$jX>MDQGP3}{E#QAQBSUk?hl%S;THSU^j;B$;HHxR@oF_!*fvbeXsqS(p@< zc$joRE@kB4<^r9H!_N$waR;qo1Fa(vWMO4i2k)r@NwV;>Vo0*_vx1L4Vh~sl3D*rZ znBlq)$zP{xm>579Jv?E2Sh(hbgLM|GEE6l6BxDc=<ap3j3@FMN5}@{3)FR5Ks9Gk5 zv|1*HhFV1Vw4#=Y0faZyLfi$j2b~5R3ToF*K`Wn9ONuko;l)#NW_ns?P7ZiTpQV9u zE;yKl7$z~QvT()=#IQz-#&Ab-#xO>+$LK{1#4s^@VdZ1uW#VLFT+GV(hE>3p)lSrs ziHXyK)0EMSU7M9jo{39C0CbkxWJV@_W+4U!RnWOW%&csptlS)&?2H`j?0Q@RuwDfN zgTe+#I7`$a@}W*06N6<P6N6nHBK-u^F)@HJx<6rjSU4Ah{Rh4SmVt?-fzb<;Zj9<d zO`s-5hDB^3*UN*p!?19H+{(ZJ8d$IdHFqE(wgKv%6?KU8ytj^t;aD9L!zm>9T&ZJX zxLe1>FlQTNTm@HpF0L#N&P-2CF3HT#V_;%Q2#;q>0EKTJ!#y@WCPN8X79j=(V<uK+ zeHL~G24fahR(&?mOt->DNVs^^BixZ!&%{t!&&1GLk4O*m>X{g})-y4jsfVNoT<v{` z?-&?PptY|H67w>X!S~o3!V^VCVsSuGYFcIm=m_~7f5w0?e=dd@j6sSlN_vW_O4bZ& zicE$xSXrjCvhZ*+DR!}{wzEobOM;difu<KhnF@4`wG?<n8C;R02!k(>2G0luF|jb} zF{v_IGcX8jg7{aV0g?Vq8kiXD8<-fJ8xZNhuYrjnw1IFrg7B9SXz_!wKBIx8K0Ct< z#&iZRSvF24MJ7qmKx!0d`4$616l8B2=zLqKJ60gMV{Zc!!?6Y?hSNyyxZc3T0K%~J zhfc%NV|so7D1;%mD}rtg>ILm>ox!+{kzop>A`2^{98&_Qe79#}Wd?0a7lw>uF&J!y zgoi;RB0OO3aBD<_2gnT|jBY=SkIfyS40DjJ1}BXNQ2Q2Ov2Q2&_637BSvlsU<}s{5 zwQ|B1i2nqdF#V_1M7ICn_JuNRK(WwaE5yDAEcVT$)V}1z0)`zZ)-im5+V=sAeO%2H z`Y$=LAe7+%iiHcdLHw7{j2WI~6x!#+a0bOLP=X2A4zce77W<x4YF{YB6$}e^K<sm9 z!Sr8L3uWPH3{IdAP^{blwQmC!`%Y8CzEFl2$QCB-g!oUP71MuKt(5u?lt4cqTgM=< z3u4~{EcPv@)IKMM7AB;!4U}FEK<#5_!}Onc8-@OJ2F>yz%2JSh1-l{sD`<n%iy*O< zHYSGdHYSFCB>QHzF)@HJth_|0VdW>dK1s<e%S-|7A2aX-*CojekC<ecB$?Dei_<{s z)D&32i+@1()j;j%Xh-;0r=5wxyq$@`r5#a!PiSXiSlZ6SunV%B3g>uTK?`KOWK9)n zdoCq4Ewu>LkB8UZU=c@9AC!qDpanF&Fols}2IF$ZN|q|6N|q`ghB?f9Oj2T8Y=TVO zY@qXMI9WJkSlPJDIi*0?-rr|rV`uun$T*jkWg9co14j1SjAGnSfnCf@f-LOJ92`u{ zjI7L((ozfzmf(pQerC{w40M7RD#*sqYQx6P3OdYHgpG}XVLBrdJ0k~Z<{oqjC@c87 z6Lud^#DMT#NPH=DAliZE9ZU=k9ZU=^9f<T1*TKY)-a)wj2ZsVET%Ze+Sq$PqJHHub zFxD|Nuz)r)^9pb?aWZj=f#=0pSlPIYr9e0DftNrsFod#zrheHN8ldjF(1A!tf}KnZ zN}Ws$ww;K0oYcw00Kz*uA?nc65sVM=DhSU(+xK0PT3iBlb8%)>Dq{EmER>v+Sd0)V z$uCLF0gHo_f;*ZIn82OOH;kaoATt;%jlE5jjO|UBjHOJNj2T^JsxC4b8=G)3`~r2G zSU|h0c{rFDnYFnMxb&F?xKFcyTJ)wLK<5fFv9j=fX0d<8!t|I$>In<eT^7c9EHVzP z@+=Dc`WzZu9IWiDA}x$elHXY*nWb*9a4|4U0bTzK8pS~@r~-}RurhOkN3B_zjX{0Y zFeYwBE+)`UbZ=HAHhWekHYw0~SxhqQpmqEFOdO0G8QF|MZAeh?Fnrh#Ne>2HknjWv z+IKNAcy%!`_#wntVBv~>FGm2{zFScDOV0$<6m$bGp9D1p^BE4Y$}+Jti7-hpaWV3N zR&FpP9Dvw+pbL?2FLp68-0xyyc+rK3Z-;Is2A^&whKO#6`(W!f)<D;r!`zjy29j?B z>{0V=UTQfw4jGtOE-)^JTx0YKlus8h$FnBnGTdPWrBU`)M(z$q&;>5sOsX>cOngj+ zOu}p&3hYdrOcG2&Od`xIOze!@@=h|KMdYlS%uMW{ocWZI^%x^7o2e5E3#&etC~Gex zM*}0P76&661H*67S(Z^OtjxwBHVZ3j6bCE2F$04f6E`FHxcnd{4n|>yhC`5WIMI#B zkGHy+7`}8fG5qaDgoAGn6GKuD6GM3qBpgJb<Gdlzei1Aj44~t^4Oq_2fd#||#@CQT zub)9EG4Ls$Dhx9ilj2zuRx>l4VdG;GX5(h#Vq<P);_d*=A|GX9J;9`I!N$bG!p<Se zV#LJ7SjNnf%$x$6J7%>u)#DK2w`b+&YG&eS0G*M`%F4pQ%nBhH7^Z;&V<Kon2xw#y zGz!TJ5@cazoe0`{fFx+i#LWo0&H4iq69=O)1H*zNknp(x^*1PeKJQ^-c-O<k@Tmt; zUj6D}VgO-SIH1$8`USM)0~`+EiUyQURlrq@9q1-G7VtS5hLFAr$R-Ac2T=P1dLiKs z5{22H(o2E;X?YAM*kvI$g7&u^h5EA>kq(~pGBJGbWny6IL!^U{J|>3bJ|>2uK8SlV z)<XKlchJrangFfG{$Q)eic(8Ti$LAv2F7XNk%$sd@13(AlrMIG>MzwwmMT+*ckH0N z!NSDJ!7swZ#KOk{nn-04X5wU0V&XDqF=A(CVdCUw{mstukDcv46XOFWR(4i-RwmY+ zOpH&MI2$=wnmB|wSeaS*Sp~U3(_2~4!?QtK9F-XuvOp(fbFi|5_B1LpFzf)`bj-%Y z$SA_b$jZsV!fwjIz~FEU8ZLd1a5>7z!0^0}iQ#)66T_cAMEGm<Gcow~Gchng&jD$H z(y(*@i~oY6)PkbSyb^Hq7c(%)Phi@~+|R@~fk_l}nCcA1pUezxocc^!TwHuCyqrwD zj2ujEEbbi4+^j4-%%(gX+^ih#EX>@j96TIi(qf=Riat!d%-$@l%vvl=;8Cy$7FK38 zDBF@n2d)IP41j|PyuvF2bSEp6ZONs>&c)zx0^-jd{SbeG(%G4QCWh<%ObmDW5&rzr z&%_`+fpEQy;z@CXT75=?Fn!SI!dFIyHJpk}LLACW(oEcJ{7hV|s@$AR8lV~hG(G~_ zH3PcRgPTQ;S%ig$nS}*3O#t2@gCfl)$11|c!-^ygax#O%Nr*pYOhA<DD<&{8?3}>F zaCibF9Sboqu=Y)4V)#6fi6LPUBpu&*3Q5N)Qjqc+7H<O3`sIKvL>v~6>G|Lo1V`X* zR&WG<Vd-ZA`EV9%B}>(AR)!Ot`b?Zmf_%LEtTKE;ynJFzQlN$iivSA~6DvQD01Fc* zBMToJ=%_Fj4kkhH4bDu=?2Ihp%o5Dv%o3m?o=Kcbi-n7YMSL!+-~v`<CQddHHV!6H zCP6OHZLpk7+)M%>BbYcCh1i&wc$8VanSD68m^oPmSuB~oKqjy*X9YL$xLAXD!KW4q z$nt>CaFR5aj8|roW8!C$6o=5tOwLF=Nnwa6_z0zSOib*|RxGT{pp&sc*=I7SFa;H) zpv5|%Mgb_^Kv)udGBPO6xR@nbB$+{Cpn+^*C>z8_RU-mhKCzC8g`L%kgO%M9nkgrP zw&(HkvGUsRvGR&QFej+Z!Nn}eCjt^-V&xYR;Nq9$k>nQP;o?>lVigqTlLX12szc#{ z4^aKb#3abr#RP7q?q+3Ja1N4gHcUdKo4Gp~7!FKgVmJa#BcSyduylb=!_!4-Nn%lY z0mBSNZiZdl+zcC_=5kC%jPFTJW@3<?%*3EL8Itxu<`OzT3bgzxIll~a2%{^*3`SRm zJKUU1EKH!WR$e9+CSh>m2NCBX{%Dwt@JIJ#CWc9qnHZ)`M&#pplbINnPR5;&!RHZ_ zWPmR<5H?T-*HN|%AGl?iBthE?3?Oxs0en2o0ctP96okEeQ<xaUrZ6!`Pl4OZqC16& z!D0%+UX1zT9!NV17M@Tiumm(P`h%*6Rz`+j+<a{IY@FbGz*w2UXODR>K@JKOW?=AO zVP#bS4LyPagMr}!)Lj#%Aj-9cQ<xamPGMr$gm4%0)hSF23{w&A!Zpqd+IL=-S_E1L zmyi_Cm~b9+_TLP~%S;U0cobQ9nAq9G1waeoK)nrKCN4=Xc2*8nriF}5QlKpf*-ZT4 z+Z#X`2D23nN!ie~MA<C-tg`U!3FzAuKzuG%=o#-IpBh|*#`{!wnqrtdm5E{2R3?Ub zQxW06YAO>02*c9vAGGydA6ikvKP|B&F$We2390d*ILKmn#ly$O$>tyh4*Vb{R%Uqm zTL5(j$23HEOHX5B(3r-=pgRrW4##Os41UuP;jII0Pr}@F0h>FZMJ~$(##xXlH&E+- z86(32UOqNXCRVmc1$I6G&<<44=}HCAyB>r=1MUSZtgJ$yQ~@4z2c1)L2@+l_kleX< z8WY2XX-o`P5$<FbpU%YKGM(^#rs9&sB1okU+Fd5@P+`yLP-YKWLCWZ0YY(ax<}oug z@qrG8<m2Pw;AP@s<6`0vVdY^J;$h<F<ziB1;$dMD2GRVIT;T1doJ^c7tW5mu+}f-x z$~>&xte`3qbpHor6D1d9GYfP^9dxV~FAEp5Jd_VU*pr}QaQfncoB|3;MGOodE<?iQ z#&pEIF5e6$2KgCG3{Ep3^(O-Z1MAuuObkLZnHb7vLed+qbqWwu85kZ|qt>4(koq$| z7e1a*oSB}Nn41dcr{{zBVkRXfgHA&`z<7)WybpQ?(|$%!aGEgjGWIiBo7(VMv)Qa> zwC1qc#c0iAW631V$O`I$GQ8kZWD;J@$Gd@#laY(Xn&TkjYS1~yEMm+oZ0u~ztW2x; zn3*`4nAY;KZQv6T<KXAy;AB!}(q`f05?~R#&d2eNPl#K9Nk|g3)=ZdNj1|-^GuX?= zGlP$X%XB@T^a?)K<$T;r__!DtLZDlBgju-2D>Cur?{a7ifzH<esj~)O701pj$->1f z20Ba;+<fC=767YbW#(YvXVwPwtV5uifJnB4gO%MHeCr%LyCeq}J6=m5!OCLF%*Vn8 zy6A_4c^4xK4>KZIp%Gee9g<EMW+Bpv(JUqg*I7&qezOqiBx@EEL-{NwhL%~7bV6ty zR0A(+Iw{V`FU?5_C@szi&P<2oe^}K3I(Wb{FD+jWa@!J<xI-{>**2d&ql1z?H^U6Z z8I0M?InoSW0*XvrO43|nZ2TPjg8Z!f+u50SurrDBgRU>}hhASI1qx(;7FJeEHda<J zX9gn^Gov)v#|Aeb{=G2^QIEf$#l-L%<geNAa*f$=HWP#MY(%++uO2B%amz0PPaA<& zs4ifNXG{=_=VF+{c!P-{NRf$^g^xv;jf+WvnHjWelM!?x1T&KjBP-~%UuGUAE*4g1 zRzA>1S~1WhIOsO*o1j*iDhofe7|1UO5jK8Sum}Uggqsk5ESL?650K|J&1PcQJDZ8& zAd)|B&t_tHGMjL@2X5y>&gFz`6_e3tXPCoS&d|mv%f!pX#w5VR!p6qL#mmY8O3+N4 z><kPkOsvf8poIk~EUc_x1_Oh@Er`1;<{;eVGlz*Gd=3*s^c+O^X3k+^0AW}?flk8` z2iRSuc~F-rn1UC?f?SrwFbnK50VaMXA$E39-US`H)d02s!W=~Wewf3=z%rMKfp0D% zJ)6yCVsM|!#1JtT;!fDQWL)Ptm!O?@mtJ0!S%N6!z&zKYA_gY$f~lZo^#S>e1xERd z1#J1CgwV{$q`){2wC_%l3ACGslSh|{aW$jDIz}!wO(r=eE=g7~(3lpJG?yG3=-L@~ zCRXMk7JlYT(D7BEGyoQ0<7ds}U}cv93BZg5MLcL9?QKZ7Z-Dv-Bz#~l6T|VjObn;z zBEtRZTqXt(Mh|ZoA0^z2!AEfF=yNd4VRUD>#t0fa=You#^MhKCpz($~5PLO1iz-2u zg4S3;?X{RkroDMN4EGS0flV!d+B0DuqCK)`9uvdbc}xr&k?h$!kBI?<Vg5m<QT&&b zpPvI7x-r#fG>`_T_iTn|j4Dh_Ov)_MpfqL0#0uKAz{t+<0BXO$e1!eV^O+bd<})$a z&PVw3;Cv<q5JtBb#z(O~Ehj&*1e9cYnDZG67J$-?Qa)pWRz5ew9LBk<4Br?PS;W}n z*x5K(*f@CEq=cAQSp=Abm{`4-xR^wlm{^&3nVH#mn0VOPctGtYb|zL9Rz_wHeI^km zW=2^iK_&$zu6QOERz@BtCUYhxW+oP90nil|%o2R8p!>?%AXjdI4zpkuWffyqW^$GU z?Y3g#WoBgtu|TWinVI;RCxI?C6=C6LmVq98&~P6T?+ObL{RBU2Mh4RbObk{F5aHpn zfQbQwVg5&_QNklRA9Q|Q1;ZT1UPgvCW?7~fCN5?!&`p7$CN*S1FX&8d5f%~9Xb31_ z2t0teW5ohQyzE-Q#Bgc>6T^8VcRXLf!~nwR_QUun?kLR!EgqGhz;u8SJR%?r8W5Pn z_?MBPk6E8dgGHK2lZlN>pGkm`nU{%=4|Fu$Y0x=b!k~-^auoxEz(a_;92O$n6}XUz zA!Z>HL&8Et_!KN;VgO;7JJ4wqcY&QHY@nvk2=2+vVN79I!7K~f^#G1EF3^a$HWO$@ zgcWkT7z0BC)Ey^~+;MXu6T{1eObqXk+#$4xi2;Ps?T7JE+>rrV9VtJ7=>#Nrq#?o6 z%fzsQS)WCNMTm=qof&lS4ig&}3lle}d&{KBB*4te%qPypz;GE9RN%ueLF=6!LBc6x z5h9%QelalAE@EP6T!aXxzz{};h!92wT<s1N_Z8%Ww<9vlVYFem!wgyu2QIZhF>?WG z--ktrbSt!&i9vcX6NAEHMEzj0n2Eu9F%yH+Vo3b}v$p}Qf1|Jf($A_vJMSM{Zxxpm zW#%CkZzWZhq=I((PhiXgT`D+-v4@f239~Gd>Tb}1{!CmuKnpoR>Ajc<JWf^&I_V#D zp{pFD4#@2wobVVDUK<u8>bJv-nHWwlW@5O2<o>&hnHc_n{Ivwt{pjtO3EdF)XJB<d zXf+6EQPDEcQLS?rPcSmPVOC@TU1TLJ3~Dcf7Gr_hzNmd*(7Ir-2XIP5jt^bU$i&Gg z0`e6DgTWJszY3Nh;-z^B6GQhBCWZ-15b0pn5+;VNO9=1J1c!fWS|aGkU~z*MMtx9` zx{Q(G2eTqmA*56RHLEZk4=!0Sq`)045oRui2T*q!EJcLB>ry5L|D{X}kxLQjXU0+{ zhTBV-803~g!hZ+!UN!XbWQgw>7&_3}7a6G)DW$mu;rT@=#o*(kR<i781o>eOQ$BOS z8YYHGEQ&I$Osq`oOgc;)pz%Z|Wi~z@1twl55hi{%i5V=+Q(4#;Sy{F-N{WLvh6sXI zqO$7oGqEypMRGAPfV>#Z!VVtS18upHXAxuuMI&g84}?J>16mmdqCx90L4`jL3lr#2 z9A+*SIZ*kF(+D<JRz>h~Z&r4kMsUf2M)Kz{F)=f)VPaq~cn*pG4rq9_FflM3T*kz3 zV;K{}(`Arw1zp(_x15P#>vARr9_WpCu=I>;zf!?O)P6{AVrCw^YYJ*r`UgXfbb||~ z<f8~PiGN`H#R8t$QUH_F!8g2`fzQeV&F1Z5Wq85}x&c~<hnt6;O<<oX?{ZVd6{c*y zoJ=f!jAxCxnv7Yt8nG{ClH?Fz65wKGGT{?oVP!F5;$-6E;A7+C-~-(Y$|k@f0J<8E zMT$p2h=+xpgE37YSAdC&hl_)Ujf-1OTfkmWRKQ3Qv=y3NQdN>u%9)FSA&v=j^9&cW zIg1c8q-JDg22B=%VhzLxVOADaW(^i5@Q?y%F~1^<II|#l<0_<91*t_~@cO?v7FO11 z7OESY48A*v4MehVF|x3*vo|nv32?4rVqlo?3KIVr(0B#q3wAaphPo9@42=jeW>|fM zPUjcvL92lHq|~(hqEy$4%n}AOMuuz5;4PyIpyppdn8grM%gAtl1rx)g6^QaBqn42Y zgwf51@u8OJf#;`98O+?kCAb9W9(9nD89qSm30Miq_aMQvl}rqIE14LIRzlJ-XxwGO zN+t#nUJq3VGasD>=?7sR^nFG7Maik@$r-6R1)#BH@qj;!{#*>8Ud<b3MJ8D$CJALx z0VYm1J|@N@om@^P4PikJDbQw92PRf#(7+QYW!S@SM&xIP-p$g@$fU!V#=s!(1`;0< zs}SP?>Z_O-j8`!+IITkXqiGcrL*FVUhFPFU1f5q6okxb{OPGH^egNSgNvQb|H2+cr z8W=LT1Uf>|QJ;&!Oi_(jl~IjHHC;_lHI?B2i{cI@P9~O(OpKeD*qGQhGO;r;?qOrw z$tK7q$;871Iw66FiE#z!!UZNi7LL_S0!&=|f}lA@X7FI`ZP3bQYsfmy+l(yytk#e# zH5EbWkco$pgN2Pbl1+~_7398vw-A3btVYyB0;`!A#8)#hNUesa6Bd=#OboiK5#s~s z<KG6*`6gI;0gd}7rc{CsNec4kU@*&N_`)K~WY5M0>SlmWMgm;|%K$nV3Cv(P0CmTV z)rfY{+SN=9yH_(YJX?(j|Kv4H3{7j87#4yGQBXhdAY}f_0(uV&dia;1-wTyoSejXs z8eE!^pAKHzaF+#q9^gtQ1~WczJn*Zst1+qaF|jf(2Mwc41QD|t)r?j7nV1=~nV1=O zFfuf;@_l3EWMX;B$oPzrjfwLqBl|N(rpJtYrK}YKjDl?HED}r{O!m!;%q@%@?98A= zDIBHD?DfnIj69$v5X_)a1z`?8CMhN%CUGWiHpWAYpo^pUSU8R|@-Jp&p1}y317qTh z?`34}W8`3CX02x9;F4tKVCH0C01aH91C5w~k0d+;Vu6o<1$CYU85n|CSXs}3HuE-u zj*2`3BHBP)s5Boq8Spc*GchrO_HQm{WMO8W2qI>K7P#=UFf(VfFf;D}7b6S-A0g?( zU@anET-P!&1g~Xc$Xbhtm)C2V7{u2xF*vV-mIM1C@v;X;yzIcZ=PV~Ru^1^{mO$d= zJ`;mkwi=5nqZ+>|I}@u4lj?mYHCxsDObq8(`IrRQxY)R%kduk8g^{I}v5t+2vzGlf zBkL_DrfLZ`CIM!CMHWanv$Bc0Gkb6dF$=P?GBdF{GuyGtFiSIWv9hxA2{6fnrWaXU zm>6RqQ6ZF?sm`v!&d$Rm$jB<l&CD$bu8deE!BC2U;W=n^2567cT2K^#XBH9l3UXb; z22#ty%4){J%Dxs96_Y`c0=haC6SFZeWHYfaGBWWqva_%<GBMs~Vl!ra2@O?-2VWrZ zA^(#BeqPIu{R|8%)-f@xhJ`%?14{_n{0J;x6hPOxnDH?jV&h|A_y9HU$2vs(g4Stp zu4iK4Sr5<u%%C+QAS{8yTv)zON=(U3PRz++FtcMg#U{%n1syjr_zH1%!g@@5iYTzh zh~W~FEes3=P<u|ShlLme!~OM44A0gxF}y^w=i_=N1`vjY3p$PDpQ6;XoYdqJu&<be z4b=778O$6RZn4QS$$~s*0-jPl0JYa)1H#_$4NMGi8<-dpHz2|>a|06t2&3Bv<0ILd zn_mPvX)ZZC-2ikHl(|16gP9G(D>gnB6;Quhmx&)#fPaI8>kcgTUZK=p@YF^Q!ylNH zpmils`!qHp{Asa~iNSUw6NAG>gg@OjGBJQK%wOm<l0TD6DoPlbSPWDc%rqD}*!h^G z7#Nh8*g&3RU?_mvw_zh9-5l7+#BgyV6T{Vwi1O#-MkWT9O-u~pn;`Cj^<Qz-%RA7{ zJ5S8XNl#1x-P19FaWZ6*t`odm(QF?R!xDByCe}yHY!8_^I0TsNnfO3eE^9tJ_=FzN z&WH%mTn7s)b1<aV1q-q9vw~Wm!H~*VjfssBG#$U;J0!jupzZ|ua>6Dih6S6L81`>M z_=j~f6NB_-CI&<3y~!|t)IiVkfQ6?4biLA>UetC*azQDm$p&72IS;b@(hjux(#%SY z-Im>sMO7C}bEs-LaM+hRDBH6-aN3JAoMKnx;pAarVq)ZZ%f=qb9>wyGje|pyiRU|* z$MK6zYb_JUCMFgpBOX2uUM5}^UM8;dOv+oBST{3qu3}>1;s))doebKV4!Xe*wBpta zbQ>Nh#ezymR=6nW6cmuC6%#uXJ0lCDE*psEVAbN{;4I}*<^-LjD9&K;6B1q<py2=t zuOFM47&x{tF=%Z;gx8WSObi#cFfshu0*Qavy?8#*dkbOV1=0_~YgVF$S8;MiY6>{S zU?~$mi-#hbTb!8=I^!7LeM-+y%_{?SSr;%afE<$V0Xo&p%qxpM(>aBSU4VmyT_{>M zhBeyVLDHVXfy17~fz5ss6T=b?MK(Szu8)kI-xwLcGO|BqWxvM`>fy42Mm$01yn`?c z=O0F<w=4qhSXkb&2z_A^XJKVxe96rEhM5&SILgJOyqZaJ4HL&|CXO{sEUTH=)-Z9f ziSU6Y|Ja#SnS>a{xwzPrSh(0MIYpH@nWS8~7#ISX_?dZG<d}6>SeeCHSeY4FM8MO3 zpw263-)$)57;13WD3FDpm6uJ9Rfmn06{}kCnbeSUZ^^>R%m}&*gwdIeiAjKwg-M8A zmW!3sokx<JgNK8gg@=tBn(hz$hQw>fR!Dq-;$+TNCWhr(nHW}YMa1jgtxOCcd<Ciw z7LVvONIwW0pxqOa3_9Q`7q)HOLEE0uA<LeN!E8Gt!zxZi7Pd9|e5>_^n0z27&4Okf zKvg)XvjAG~16|Xg&cw&q1ZfX7{DHXJVH=`6O5Mi9P_&JSp?({ZySFhhJl)2`z`Gsd zKSJeE0NTE{T+pF3`FW^mub?OuEWjk5un#orb`-RT!)zO4j8*hD#u%08Xog*!icFkr zyc_~dB5a_RCM$~slL!+J6BirfZ5DMV;X5pxOnhA89HLADY{E?Tf`ZRk1Q~^Qv6yp7 zfkt(mm{^(BSs-Tzf=)5Y0nhP*<^)(lO++r(iYLPAAf?_mMkXu9ZH!DRjM1RTVPIg` z@DCDx4cigpNgK8^F&x~^#Bg&vBK%BtFflamU}Ctm0}_5Y(DSi%?n2s?u=s}>%h0k7 zH9tcRFoNaf^2F@a0(h1M4K$^LP6I6k<=Y92t&s9@CNsEzbOyIJ*;HB7SXBAcSXIl_ zY*iOCGfd!8Wa8yu%;QeyT*}O{f|+qSGutX=mgOKeCo}6}X4Wmt5==Twk~c&pFN!j5 zW`;H*TO@^Ui?T2>$;%2cwlea)VB~trIFa!gBmZN@iHt3bQlJrH(0T_@u-CG%GmEou zF@rWzgOVX9bSfQ2bFuI<gKkv9B?nEeH7u;G>Kv@>wH)m1VB?@CaYN46X61&_Ts-{T z(%{p%S-CkOtJHX5G#BC`a(yOlMotzMW=0k^W)>C}@S!iUY?7>u9LDTiY(lImTzpJi zj1xgKCKDMs!KcbIFcdI4gQF&3CnWwr9MJe?`c5W>?45{qLi<i81`vkDCpry_LD2f+ zs??H<)bjkIoD>GLWsD5hK({AwFbVMR3$t)B@v(68@G!B0(mLn}0nkhqsQLx1En$bw z;egzrzyxs@!!AUBS7sLzgVru42EAQ~^jEZti9vBU6T_w55clgq?`!6P(lB>|%mm?* zrKssIIk7mcC_gvey*N2B51!T<7=1u1hVQZ0b1|5m;b2(DttbTQ1`4zBGqH0raWyeA zF>Yq!d%?($lt?x)NlJqxW}{2Yf-KI;g)ZWNr4kNkssSy)(1NmIaxj{3N&@9|&=v_u zKZZJHf-4lf&IOM<v$8_YOoyGF&dSck!Ot!Y9bx5!rDiD2#l_Dl0_wt{98izqU_5DD z8kX*%rol{ym<qn`?*k(f9}_<;fiuow<Ur!G!_qq&Bwab|L6mEedzctf_AoJI>_MdW ziaksWlR;w8c@<c@4wjx^={-5IxFkOvCGi+I>oXdZf(DFkF)}>jR%GGf;A2u?i-sJ_ z6b(%U$R3B52hq?4I2_Q><p3WW3Ett%5Wo&`H^W{;eh}Wv#GtsBi9vNQ+}$jxdzl!T z_TnD@nSgeVdU9ehyohDl!1x$)7i1?RgV{%BhAtk^xvqLV@=9C^tV}$NLX50T;_^ar z%(9|PVq#ouqD;ITtW5lxoLa2>LSn2;f}S=KoZ_q!tct9>(k4<246aP9;ER81!F3fE z_^eydFt9rd8y-o}Ca(ZasDJk%{L8nGi9voJ6NB<TME;+<kBNbCKNCahen|eWIS;9K zY%W7+SbTx<J_yIuqvn55%z^H~n83J$8NB&v6{L1)VP{yttH>iDA&|$ME0Dpun3-)K z6K4)<Ag2;1kBl5En<x_xBdBS|$|S-qBr4Cu$0ZTZn!(D(n#~#pItiW2o`*|D6)K{} z$HD2x!^9OT%)}?p%EhU~r_RpA#Lc*p1+Ai4!6K#2#lWx>)GildVP)oG;bI0&kbsUW z)L>y{_F!QJUn-`;!paO<hmWg<A)@xdZwDJIs|p({D`+9V2<UPIEZI&PCI-v4P#RQS ziSV$226ZEu*cf*(vmn(w%+P%JfCm!45B4M4XCL=7G5p=n#K3p}5x=?zm>3EUFfnXC z0Eyo((D|xAN3q8*4_f?!yXHkDpeRmIj%Q5hV~*!yFuTmmaEecniS;lu+XZHRHV!sX zQ6>RyZpMwQXz{Uu6+Ac{%*4(NYH(8!+`%mDtOj_BMtEpKGe0Mk=0X$#puVXUT3{aF zgM_2TK}0^WJ;=o1agd3@{~#h9lMga66dYt?s67Y?M?&pf6F#(jQj%W~l93t?uGN{u z1M>VC1B5{%`x<UyuBi+i{EAHMCwaxr@alp3+|1y+F+p28Em`=P!OIW895#MdFh_$) zj1fGn%}~G(asLabyFhvL-$5n@&O=NL0*4UpS2@JQ0K$fcAnu3dYjhf<AA}V!+@Dxf zg5&{*JbOk50Z<Ra$W6{QfMFWHB9j0c<6|DVXFNJgT#N#s<O@3G4|Mv38>s(l#3aWU zz#t$1aqkSMJ3w|FJH*6r{SXtweI)lL9A;vec$kUd&|!#sVf&aQpyRDDcZ2kUZ~^-H zPe|b%o>`I+oSK^mZx|P3rsSligN{!ZKfw5g8N6<F5%`K3vv(|V+_L5jxA;MqJ`1w5 z3J5UqF!3?5vWPRWvFI~_wpcQ=h%<3=Ff(!Rb8&Do@o{qTvGQ_rF>!LUFv+nqv2ik9 zvSeps1#SIcX1-|2#KX%Zz{t+QCdJDp#l#{dD$T*eB_PWxz$5^g7G&k)W|C!PS72h? z%*TC<k5z@QnGw9B19Wi#DC{PH##}+onQ9hR=5`PV)M*3BfHD(gMi<=P09|e%#m34y z0W|OmYFI!taItc7bFp$_(QuN9NsI9}BMUdPIRnE1AxOL!96{uR>LW}HJx7=rRvkg4 zBfX<c3~@)97$zJ=jh7XNu$LzS+tKp@QaZwj80aa8pw3@v3Ou^NBNXn=!6D%;`FWrt zoi;GeVFur}yc2vX8gycb--si;laYy)QI?6Fu}aNY)zn7Wx{BeGfZ|V1P9~OLoQyv? z*_b%@vU2R;XX6lHVPoQB;bIYD;$q_E5MU8uVPRtV&%@fl%gV&a#KFYJ#@Ncs-oY!x zCc?$%%O1eSC(F-#hEK9p64K$}ILF1mjZbJRA1fyl7wDKXR&K_>jLOrPSh-nkxtK)R znb=vmg_(p6q(GNmfo6h0aRnNQ2w-7n2A%yr4-}c8fg^bqF7PBTXyGulDFd1?Qe<Og z)!|@e58z_w<mF;#W@ViR+6)QW$1Tsn#m)_0F3-gZ)d89H;%DMu?gXil<zQz|<dS4E z=H}-T;uPUi=B)x{69xxSNIWwfgTx<5kpCDHgZMEf2I*svb`To_1GDupCI%3O<ui1; zs5B3B+Myok#+D+`u%<&hqdg;oSq~$_H$groP8J>}CJs&}RwhmsP9`A^J~kF6R%ISD z4p2#u$;8Uc&jKFRWw-!!&jcj*EI7u*u;v&O!v?5(K>Kg*9b;nnd5rLSSBQH+XYe~T zfX*pP0~JvnObk1O6qz_#c$ry6BtXMW96}rd9GswAZrBBw#5j4_SXr6a!K5_!G<JU` zer9bJesHu%vM_=Bf=ZCVAAc5pR<IQ4=u$Q&Rz5aXR;UyM1H%I`NcbikM}%+TaVCbE z<4g?o$06Yh@=yP9CI%2j4_6o;HGFdoK$k@8K`)6m3ukB%mgNuv?WAC5XJQ9k!eYz> zzB~goP!Eby1_yD7I~YzN+6Ve4m>3*RFfsU@K;-A$Czu$1onT_HJ_&IzteuW)-Nl>~ z)cl;A3Yw3Hxwben9de>COrSIma^Mz|c*0!JNRDhgV?r|%s0rbc!pN=}%^1TP{f3!g zoiJ$Q1t*Ju7UOdc#@VczZG4QI`B-=H32_O4PWEOJ_hb)ak`iF!;t^m16|Ms9jO;y( zOl(|yB1~M4++5;J?2J6A%xN5;8_VUHU76iD#F#}{d8Nc84MDp#z<QX(n82+=Q2GPq zJy{l3=0Ip9vqMLKK-V8sLAEr4BOfLXSt<iAXfs(@S;1Khe62G-D<~tfv$29{uy!_3 zretN}0*k<8kPL8PVr0@}1{v`NelO<&Nl1KcIEjd_gD067E}djzcykgFU#+K@7>=A` zVqiWEi7(i_fphL*FMsD$qsCWSevxZpaz+4n?a?+?aMia0QuRTm=h;+6)Hsz{8F#U$ z*{Yh!amyAmyb@9T$jiyZ@`;!6BQM(*UXhQyoHv<RF9--Q@v`wTNwO(3u`&s=u?mPX zNiedq@G-Hmva>O9@PfwPI6!qKA2TzH2s0}isMh4<7ZPCN2j9}e!ph9V2|8Y#gM~?$ zT?<r0$}tIW2{4H;2{1AK=YtfqLSOg<B*8W0Lt*ZB!mNd2HInR-mEcM;i3xHltQ`vz zcsx&#g^3w7mIsPiP@S2_!U|p<;|Coc0G;dvsy3lzW)cf4Yc3lrs~rauyE+>ys~{H> zXATc5w=5qkcz`I6i<MKJi<Q$4+ERBC0BvUkVK&gl1W1v~#wY^XBFemrh0U1NfrFd9 z5R?HGWFhgH0gWe+Sp8`xhVIi$4E?7O<-p3*Obj3l%lGIsEF%?_<^?CFr3QdjK)QkM znNVnElxI|smgi<LJIKheNKBDQghPT&fJuOfpNW+XbWETSHy3E%K{OK+I3>%2Q#w0n zNg`-H2h@EEXAu2({WDAqHfNX^0?#1Q+x0U{42)-)7_`qq(%YZ2kaSja2|~l%3-TWb zXQ1sP0v{cn3t9_(1GE-;6X;ZWGky5bBa`Z9CN(|P(~JzS#1xtMKJv4C;b;8J&-RU< z<ugCi7k)k-J|+PcZZ>9C(7n3sOhSz8%*<@OtgLLTToOz?+^jrIEFw$-Y@$q(pyNh4 zc$w5Vgjl55Sau3<GVz0!jcgO(XJ=($WnpD!V&!A!;$UWHWie!7W@hDQXJlsL0v*%9 zDWb~f!RpQmTDX?Z#0pM9pn4h9&;kutf>I2)<z>vm$_%=!61;gUorRS(2Xq?;H0!HF z6G=O0Q>-x;D`y%TD`e-EK6sv+jgf_kiSaWNiyrf7Mur6nko2+OEF!-jI?Kdx<}4G# zy|ak$mp{kE;B=0OA@v+2{9)zu6X<#zSojA(&zqey2{pgxrKYAJ_HaQqT%=Z%6eTh+ zu@vlM%4aNaVlYdN5#V5F7m8*Cm&xZC8Tusnn8f6Sh4`7+nAoQ<^EWdyeissW$HM-W zMd+6h<6T}*8Qmc)2s%@ciJys^jg^U&O$F3*iPvNjWaMCA0G*x<8mR#7q5_>RYYg4{ z2D&4F9dySXR0$g^s~Q_CE2!!<X5(T7ZI44z63oQU$jBzZ$j&6h%E-aUq{(>>GCjdy zpacoO2heZ<rM*w*m>B+^V`5-Dj}(6AnHc2HGco9$hlC$2ywK<OCqTn*0owdTUTQfw zxN<=&e4P9l19U;1wq8bt9!Xiy5@<#?7B(hUMoBI{CdOS%Lfb(rH$kU|gHAaGl|r&C z?4Tu;sZ2tQVjwpjfVz7I)IA`vwda`_cAjTqIEmzL=?hE@CKs3(d@n%U?EpQ;;ml6d zeD?wBZidOI@t&WT>X%yX3W{l8aP9*|wP8LNgV}q~Wx${#fSj3l7^4~1Nh<P)F^T;Y z68Iy;&ICFI9egh{XmK|SCzk}1G?N$;8x!MWQLbmAj59^q=ZH#kfo`+oV`AJX%Ckq5 zjfwFBqvTIUK}KOF4n|g8E=a_Js=Is^R`5m_Q2t2<HT*z{LXeG>HJ^i(JrmkL2TS-e z2{2A#WZ`0FWMgM_=HOvx=i=vd1%*w43M8ISK*Iqf_UZx?!<P$84BQtH@$7h!i6Q19 z6GQn$NO<AOCmW#i$T?e4!z-mIzW|X>K(n7ksl_GvMWF7+1jcTrenxHvvl4Lr0JT{_ zqgOg9jO>QdTnu}p6gh<0<e3C`1eq8g@`JKQgDB%#QPxeOe4wD4DaJETjF*iuOC*(R zq5xwvtKkC?E(Qi)CRS#5@T4Rw`07yw7SPFq;PutMEUc{VY>-w43mas=v=d}-zXaZP z5ar-u=i?IL<l<puQs;#(w`N$N3JI?V7ZLrqPZyaOeqUr__<s>m@91A*VgO<E^Lk+U z16J>V*35!40BGexnLeX|GlSVaMuut9e4y4nCzAvVFFO+(7c1z_0x?+*0cln_CU$;N z7Cu2HCUyZPCP4wvebx*N$xKYlpbn5a3;03+h6FW;I~QC+xO43#CWgJ2m>5o8Ld5Iu zOH2%6mzfw0FGJ#0;5a1xi{MyqRe^cF52*GDXapU=746R$U=PZ__DnpC4WK-b!!Sbz zw5*cxvpCN;aXuzpBTgnROIA715=&tw#_!_nzr;B?1emzk*_njYd1S!Xse$h41hpGM z8|Exn_?d-R*qK2mj&U(Cn6R+226FJT3vjV=T5#~Q3vsZsD?sj=fY`~x&YS~E!wb}* z{=bYU|JPk+V%T??iQ(8~g#SNXW?~S!!o*;71>%3$ezqCV`>9~@wE<cVEWqM_P;aPU z8E6-hc|K!-6sQmgWnyJKz{qezMv;k^Nsx(;jpL~V>kA3)#bN@>#8^25n5003Ig0>f z35YznPXyW?0KOuO8{CqBOqzh$(AHEdBMU35D0m|)KRc-I1PwxPbMUi6FWUgw$;~3b zycAS~AJBmMAL=hqnC!X2#Blry6T`hL2>***Wnz%K%EX{?72<zbzQ)x*nUR5-ufhAi zLsD}KauQ2Yp)10f#2t$586BeSxnXNkxm2ap^i;XjSXHIeY*o1!dSn$Ri*YisED&Ry zFUAI<C7Bqfi$R+dlf<|di?OZ{lVoC?F9vO-{sR^IOF+ZUcA%0DbVWNWm<4GhaxqG= z=rM!ttCnIjW))#zIG_pjKh$3!|DU<a#Bk**6T{=H2>;7pV`6Z-#>9|&jX3`cWTN`N z1l8-XY@G|*3RO^2q*qV^+S_RGmJu}H62ZjEXwAgRs3pfOYr}9v7Ie1<8xt#Nq>7Jy zrX=eeNp2=iRxTa^VIC&NiIS{SB)ORcnAio_nHb+nbAON)U=olQU}6HDqyQTFV*~f8 zxWH4nqTt2qtl%kMP}<;z)Qc#}Kz9d#l(Dh0f@X%1lz|-vIzoqyo7DysR16oiAmR4_ z8ZIEg-`AKJ*sn7&h+Id6pU-tBhWzVH43n-C7k*bT(ho|#P*4im*XQsKG_>FY8d_)u zl_K_X+_D{v4F6<7V+?|9d~Ce@tP%oDoKv*;m>8crv%hd=V`81ICBP)j#5rGvdy$L? zlL!-+Fld1#IA^6n4;2Ub7<9IdG7Bp+r~wM9IH8#<jfIs}7247iV`F7iW@BYVQ&Pgj z4?2X5(S(hgwF8vLKw)B_0|}=WQ2&F(iY_uR{JPG>@E0M5bNxL$!4wx{=H-EQJ(-Cz ztdkRAf?5y&H9zA9q8x9z!Nf4*1{1@Q8;E#dyUE00c$0}C<|ZT_VC5JGS~&)C9|-?J zn}-1BW26ciGI|?u6jZE-`7;J&`ZETkf{Jz@CRWCDCLYF<ObiF)Kna-7gH@aHi6;Ah zXVxYcRwh0sw&$7xPc%6mYBCA&vhi87JMnO^J(S{kCdJRh#Vf|a#m*$C&CaFBxJVl` zYQW7Tz|6c*n~h0;lbwf&O^ky}3lwjX%HV}0p!5qmu|EWQFn|>c=mbX4N&b-eWTY4b zD`jJ44dLKt2Te{`aqzR-fX?%WPHKRriJ&E=D`<>^QIb)BiG$IMjfb_6LyLVKB)}8& zAn|$QCZgVWd6S8O?-mn-(k(=IPq@XzaOoBkgUD@2coUqjNJcF;GC+sFr==BuZaQ|+ zXEgW=TE#yNL@4Pq8W`v^8pMHjD>52bfJ%-`4K67yNe*5vK3VmdEKdB6Vhpq76}jY? zgqgV5cxAb!OKQ!K<d`eRwM34MO<ahB$CyKaiIa&3(OKov<KyH5#g{M>=-Olf(6~9b z07$<exJ#?RD$dF(!p<tm$0W$i%E`yX!z{g3l7ELJJ0mM-Ne}2~YdIEv=53&n9TRX3 z#m=0}!ph7Cz1kgAQ-P)eL3}<ICT2|*c4h(arI-B77N9a4YyulUE5rmg(7+BmE7$}! zepVK6-s5Klo504;3O50CHzpfDE7*igCN3r|Mh+G(W?9CWETC=v3<ZXe`09Yh11N3J zxy{6|@-`F0rrU`4`gWU%!S@am!;(AD_=2|g=inGu-!m08zKToo3&3r)6i{=g-~y<& z-@**4>L)VFam#kGFw9W^l};+mtXzCtJS@^ooZIENcgb;Y6lL2Y%E<*Tkz`q!xCE4$ z80U+lEzOuGE-4ExsWO;YnL#BK=tMp_=t)xKue|`}5%7>&1`8`Is8q9MV`Y_N6JZr$ z<6_l<<_p+L1sDxlu>ha!gO2Nf#(F?m4Yb??L_-VBiJ<GfxLMek^VpD<GO*e*Ffb^X zK;lK=E+QY9-eqENxXZ-geHRfgg?E`4TJAD2Ouq|>7g)K4e%}iyUP0IcV;(B8s00x) z4kh-C4#uF0!;OiRQH+U)F^1s^IAVC1*x0z{%CIhz;Q<}%e^iF&gp2@aQy;%1WDYfm ziHlhV9OUp|3u577mEjO!M=CnN#<K7*$ABCI8s~xf^8?iVVBvdA3_SOk7zFMi`U7V7 zm>2@@5guPpPX?tlP#SYs2C4*IL4N6FV)z601TPye6FZw22Wa6iEN`<iaj^^WOY(x# z59sn4Py}hSh%j@5cPYX>1D0ZAWyK-|a<myFzIK4z4dOE}Fr2u@#Bk*v6T=N8e~8^@ zVlcSR#DMF(8(4joT9KMuS_10bIXnXSBN60}r%Vh76cw5HSU}f&vNQ2<v-7dB$ukLZ zurRVQad2?539zxU2{CbT3-NOBuyQkTvWPN^fd`ry1(_v4%^uLg%3e?j1iG6F<UwW@ zR%Ull=>obU8Y061Y8|sP!)3s}DKLllZ^L~=J!<}diJ|ZT6T_|ti1hgG5fj7e$4m?v zPax@0<SnE>G~peDhV=))hB7cPgvdbl0mJ;AmYJ7QRGOEUn3RJ!<w8TN2vpqXy5^PU zYU<^sR+PZ!-cY4dK=W@fqfn&^@{2RUmn)!4`xm987HR5%D{uxT`3+3>7}ql~ZeTjd z1*ZC0z*Hkd@FWwMHw(g(19RRou4m$6Fq_UK?k%Cn#LPIIk%@!RPYP7k+-7uw)jAIt zBRIm>fuv%XI2c!fjt5PQ;0T`vVl**22|9Lw<QB3pG%4vb3G%T1(&Kuq%iGP!F@=$t ziTQ>u$3tB<CQc5%SGp`Ob;TH2m_#1vvM@>B*HxI#$UK3O6?D8KH`hf)ULIaHc4kh{ z6@5%BQ~cRj_!v2^>oVWaWxD9Ye94D}iHnhmiT|c9>n&ZD>$;Nj7&-ko;#q|xz(+<g zE>mSc>dJA#6+At>QdMA?D)(YlHYwh>%8;7z2rCDZ025=2D(GZcaMPn%Re+0?5!4am zbZ7TsV-jW(U}JsF#Qchp*;e+bEONEFh=s$BRS+}?tjNL3CBnwZB%r{<$jQXS#Lgte z$|l6Rl8NIH6YExGj#J9|Oj2yTY{E>!i!|BhYceUZu4Lv|!_2BEz{Ge;1<8$PRRm6{ za35D;5)lvtT{ET0`rM1-l@}`$&u&dVCIu!=HVtMuRUUb6Cf2u%?C;drJ5|NRIc3=u zz%JblzQK`|nS+h#t1QPaS$;M#E@3ViF5X5(jzx-kQfy3uLdtA{9Q>V(96Oj;eWjTM zm{hq19x`$~VPrMsWnw=m&v8ax0%8lN^if&CW3oKz>`bEU968L{tc+5e9EBXO7@5mi z6@_nTGhf$cV`BWH%?NYiUv0P(xp`y-n3S17;iw=e&nd*g!OqIblf@Cv!O6-h%FbHA z5zZmb`h`i7RWediTe3x$^`S27IbAMBwvT#T3=Eq<J-b7od$K{Ria<v~fmRRsL+@&O z2+Hw#EUe(;Jwc85O&|f#`9Gk#5_Bs$NQW2;J9wZ6v<?ZhzQ~P*of%}BJ_{@O)+f*; z#YvDxP+tkOS_X7<BS_I4kf1pWE4U*C5&-S<1eKy7g`h!J&^eD<EIi<~?I3Xo=3?ez z;RX#pf?UITh>3%hU5Ja7(;BoaiHnufpNExOh=-N?AtN6vuO1&OFX(D%ZVp!VO`uI% zTwJW2VqC185>OptT<n~nvyC+bSox&|Soz%q*!e-G>2tAix^S^_`mwRHLL4u|DrmsP z%4q{tGzT=#Wsamv5<2iFD#9up#m36Y3p)3djfWMqSsmhVP?Z38yektIqcjT#vn(46 zs~(dqqa}wolME*_7ifdIJSQs`3ugu&I}-<^0<QocH?Ob=7o)78q?n%Q6h<j%Q%w8; zqa259jRKpzsuEbGqJR>&qKq0V69=Q9>H<bFcF`gp4(>!P4$WyyIvm<fj8cM<wR+6D z>;|ABLs0(>GZQN#D;Ic4i-R+yoQ`;kXg6d&Wn!p#%EZv}6j4sEddkEA!n>bB%4t}+ zj84PKX$c3^_8jQ;&G3Bi4qAsCdqxKndqxK#@bOvP3}!(Q9O2!J3};jonRwYmm;|P) zaLiMY=HcfQzN5i>TZ4&-k4cb~iJ70BA9U)38xy2I0!oL5psFZ{iG$IWg_+r#fq}um z1>zr#XApOS+++8QiNW(36NB$Fgnx3MF)@H}EmR%4KVW>2eh~hGcJ4$G=vHcYACgJj zAXA^wz#G(cxW>rvP8Br$%ge^jB*etRBlw$DhE3=PD+?nNrz9u%*5N)zCU)@RM`*(i zG@Q=GB*f^<Am9pd|BGjc_z-x`#31vWi9!E4!u>OzGcjy@&ctx)ImDk2wnOSmT>EA; z&Y;FeW?oima!GnlW-+2}OwY+rDk{w@gbWHKTm?;VbH;NsnAI_{Ga50mGFmWkF|sjn zF%~mSQ&VJOU8KRbP=k#Pv}Hwzk!O|$>r4$+4j~q%wMy)dT-Y`%aq_S>X>j*yFflQ1 z(qII&{a$;q?og6oVqBxd_=N?u5{c=$C)Wc{R%XWAV0yVaNKlATjE#qhOBH;k4(PNr z&_F9_ZwqKg5~!>&1I0S%<TS7Z2P->@1ZY_t=y*a&E_P-%Rz4PPW@a7%CRScaHby2n z4lZ^!E-p@1_B>FmGB7M~gM@d)3rIMEL^EG7F;u-^VrYMX2=BI+ObqW{GBJd`f~eDg z^=D2&!W})m=%C+^1=^=nngeQ}O<;Tt*|_kH4ZLxo9dypL3KJLOURH(^YM@SyATuiu zAD_?~MouQy*^JEWyc|+upriD8csNs7qB%vlc{oE@cv;QGI3(0Km}EJa|FTQ}W9Q@J zW#Ve*5c<K+%E!8pk*kl9iH(OH)Xrm)6<`wOVtk?s3SFk_o`Rp0K?kL=)-u<zK2wE+ zJ=14Z0R>i0RteUHjI3RZtg3<rFkT8PE2|ld6UWNSXT@sC%B{>K9m6EfYR$^WD$2xf z#3aC^%_QK*s>>?L%E~Iro5GT)mBbz|F38Fv!X(bj!N$rdD8Q=BYQk#F3feBk!pzJn z$;u?h#mdQ=A{j0z3T{t>j%ou{6Q@AC5<t}e==@($ZwR!|6*Pzjnu7wxIw%={<}yn` z2amC^g2!=PSy-7t)e5K*0u4EVDlCv0Ahn<~)*+=axPz7gz0VtB5A+CN(D<$gXt0ih zm0gyDl^t|82&i-iO{JHzv9ij52K2aCIbGRUS<Ruf4QSj7bm29q)CZ|Wv*$1qlQbh} zH&+OY91|B4JG(LqBO4bh6Z39XP)cK9*x(IGe+OP6=B=;3g6w;}^9s?QdG?Bl;r%PZ z_wE)X7G*<bMdOn}Yi!iyIT&_nfQ}a82OWr}23o2FItovXg_V^b#PEUGAMqMd-b{bZ z#IWQw6T^nri1h#OH4}sE8zu&uH<0v?t3Lwq6$3*G+WZ~JT}X#-f~Kbs2M!gdmiYSR zhdaSr>BXrf?qC5X@qm8NkXSbpXe=xbwBeA6i*Y9t!!Zp|zlN7XR+W>5TZQqjG9xeB zD`l3sDvaWscT_|rSedxQrI@%GIhZ7vq?no6n3y=(WSJybC0Rj>H<%dNMVZAwTlHD_ zRY23^paV7SS@@YHSeTfz!GkPZ;As#y7A{bW19TLoJqJI#1RE18Sek>29W+bg#s)5< zBAG-O8Ce*a*qB+^7@0UZcQP>?@P&lyhBt_CJ@ST$;o=)6hNo{3;p+01iJ|{36T_{y zkZ>imo;l+SYB`>s58eUknOl$pI@IwbV?1NRNAQBAG-zNMFbOiMF>x_|U}E^8p~%Gg zQI_qCEH8&dC?^Yd2;*86q=4&E6<}h#B9ADW1s}PvU6uze?BqJ30xc)*t1#Y`M_U$s z2Qs7L16|(l#3BM8?*(OEP{xDNpdk%VYY|xtSq@qw!i{1RVKpLV<OJFZ1C2zAfEFsC zE|XSe5n*OzgU1lJARi;>I723ORyJOF0dSV&XOuu%mo5%nm#z>1iN}g}h<Kd;j)`H_ zJ0^y$?-22L@f{Pxop($O&)-4fk<j>L0@^uB(0D}3B9K+0`N5!ygMmpnK`Wjy!4b65 z%+7|_n$gD4nulSArY!jA6t4XeoJ=e`BpA0#@G&Xxl3)TQX?-SsW-G|7ggy&DE0k#m zK1ITikq4SlH$eRt@gCEE&F{(gpAUxr3W`!wa|=o^eHZ|dU^oEt&25lxu1GLm2KnY1 z#5WFska%GD0101^^OZj^G3b3@Vle%HaHr=7CI%1=fvSVm%jh&nKM1Q}xD&Jh5OHTA zG!|U*Qb2K_6VI5S77sc{z((1cli`A<ENBpgiHnu-zl3s&Boi+?1A`$GKeIe&Gc5yy zBa<>CC&(5Ch7C~nANYU>=L;X0818;xVt9q*{*aGM3^gB_7?ym5__N^{q<v8XohOF* z17a!zLrp(=c>r?10ceTD3D5$i%isk{t<2EEU@;TJGfhy*DGQnrWsw1&N+ZI=&Lqmj z!KB2*&LqLY&LYYo3OcWbi~TDjCkyu%M#i(MNQJ~UH6dG8H&Itk4<<R#VX)GCOrd<B zMdi$_%wo&}oB|FkOr}gyfm{p>pwT1HQ6-N+9Zk@9HK@y&4jtnJZF7TWcF<T7XxZr_ zP@j&Qi=PwJJ4=VIKjHxI6NBvNVnQoup@-fvFfbH^K+*@tC&YM@=qE@$D)9+Xk7|8l zVgO-SIHJ?A(H`(#aVAHGFIu1lznn~<^VQioI6yU~6^J+hwQmBFeak;FF>Lz8#IXAl zB0chcW@51Y%*2rU84{nc`Q93|^8f^(=g{xK=x3znm82FGR~AFgv0zDf32K&~Vq`Ge zz{JGG_>Ym{jy4|?9|so?2NOG+1QX~A6VMet>O8yxd|dK8pvdKC;%4GtVwtYNHA{mZ zbP5|67ZVq!0Fwl0nXoj3X5|%Nk`)x-kQL`=U;v$O4;ltHVPR!H0_v>`Lq`TcG^m#j zTG|a77y!{A^(?HcT5PPWCTy&%M?f89VK&(L=d7%v(1qQgb)~{=T&(;I3^1oNFffEe z;_U!5d_d{?>Src~JD-^t?teza+w;#%3?PgiUobu_-q1qJKwF=Y!OVc+kq#dd_+n{A z$mtsl22gt~zChvyWN*k9CWiPgObkh15cZaQVPXJbm_6t;HhbfXD~oeM*Rl#L*vd01 z$jY-bn3XcT(gBUaa)B3La5D*k&Qk)d9})#kt3ZkikQ+8Y-Sq;=T`XUj7zDmDF-Uwx zq${VdObpRqnHWmGLfj8aSGd*@tU%jej~q_%>B;4ZnV^YagDIdHF-uT4)|82raVsOk zA01Fvmiw*->mv;TCJpdL5fQK-gg7~wK$)9~g`JZLv^xg0xi1(xQ3V=W0oCdPpq`p5 z3o9#Jf{m3GR7Zm)pmu=#y&wXT4lY3b0}^}nm5Jf|S0;wvU*Yk=qWz7D!R;GjTwmls zC`c&-EM6AOLmeMR_9tX1HxtVN#wN%f8EFQyMa&FKbooF#Cz#loIG8}Wg^x>ui5HYh zSXfv*xn!C6n5=jN*d$o^nYbi*g!vg53Yj3wCP4!b&fqlxkdZPI28KcwR#wnDNl?ym z22ZiEvV!u03D}Vg3=9EL5PzQd28lP2IOBIF2A=Os48q?L@vHuwi2;PozC+Z({D)41 z^n>soJn;+mcRX|k6yC;$)@;F*#m=B}f0%?5)Z!TvoIx!NYa3;2O@?o}vP_&DTufZ- zjJIW!@5#u6?gLO`5@7}{YLtMqEUcN788t!nKY;pc0o1)9u`S=37>;~rVmO23FM}UU z3~@i07<zs{`~_PF_+}SsJc9ItFbCSbUZC0yQ5XiyWAbMV*aPYzOk-p)^JZdYT*ksM zPY<+VgNuou6|@_PyH|^Kf)+QEh#P2mH#-w6qa2?o8yA}Zi?|2}6CV>h6PGfxB$KN+ z8z++}lK_V>iv;Mp1SU=v4lZ^Uel9Ln#*114H?){Ih1ms^MN%X)CHbWo7~;WqD?^T0 z0Ch~8LB%9!b!Z55SQKe(HUmRE_yT5DRyht<c2y2m_GZwgCN&OLcC4yF{+P(jBEZ}V znIs3@#~uqwHy?f=>Nk#`ObpULnHc1MBJzj*PbLQMpNRdwFVO0l0<?QBkis)9u^6=R zr64Vzu^=>`u|Nib8O(k&G91w7W8!2J;L;Z0QsY-<WwMrHXX4ie?S~O$=V9k(U|0qk zP?lohV%7n%Sy)-6*tl4A7#I@bAnsoA6B6H`I6eK7iQ&pmCWaeG?tb%=iQ)54M7~C^ zA1B~<cYIN5BIJa)6wsMVp8kvh#tdeSj0`gjKoz1G6Q`JjBrA_PA1f~t14AhjE2uME z3OWuR<RH+zBGjD;zYy)Sx?fBTeZQC(rv5_Y%j3V881DRHV)*zA;vYigt^w}!3kvUe z@YX%hqJ$n$$;+qDXrKrxeKVO@84DQp7=RWKFs_h<FWguz$va<%b%72Ghrj|!j=7Rd zpwk3d`1!e+M3|XC`4hA%!w1}fWo0%2r9@Bx3>IW#Wd#-2CT#4iEFeK6CM`zL=!ggt z2V)4sg?LCjCHzMCzw|c~L(^|2hH1YM{^$I|#9;J?i6Qn6#Q(7S$3EcL$N1q9YWox^ zy@4930Ue+WycT>WWFoYUx}1qgkdcRpi&2>2kpZ}^x|4&Gg?k4F<3t^#cIZ1D0TxLn z#%~hP!1*r0$HK)Sz|FzO#39VZ3L0+YWMvZN=42A(=4KKA-HXG@_)HwNb9+~u^@a?j zW6Sbf2E6bB)Mzz@9yl4s!pbbmBFW4L3Q2INvV)fkM1cYj)M_<_R%c<LAtNpkR#s*{ z(8K~Ltl8LE#W+~m!AgD5+O1kV>fGBIk@}#l;65k|KV%ab=)9FgNPIl_gUIi1|1dH9 z_`}5T=MN&k3;ktcQ2xur&;vb};0)S2`U`01JLV?lfc8EYnC3GU6o8gf9A{)WW26Xb z{|oR7va;|nIk5>dae?N3L8%6`uiOz72p|uG1=v_w9obk}WkCX<d(D#|?(F!BaOaG_ zObiSDGBGUvi*V=Kzf25U{vyV&(C^<#n2%a-=cSex6y+x~FtIc+7S}T}m{l-*F#?rA z;cQ$C44@rPAc}<*l;*)%I2qy&g?~&88ejnihJt@g42}Po7&iSwq~96;nHXOFXJW8o zfNWLT^Bhv|{CNqXVf8E22!=ao<K|FzAv%cZ`QVvgQ0qJmabho$D7?ZgE=f$z&P^;x zNi0bOZ&!K`*{<}L8Fa&pnFV+g35Ti{6D#8)#vJZ!#yn0&h3pn)H4D|`9F}b69FA<} z9M<d&jGQc7HyIgvj6p-ieA9JU7U(d}*I{E~TBO6p!z0Zqz#_ukug^LORAh5;Z`WWu zpux$`D!|RcBfz632CDH+>k6FHWoKf4Ys|vM!o>W<m~pK#2M6O`0nmbZ7AC<5#{5kB zO#DouOwuf(Oad%COcE@j9D<<EB8xB+I|nbDFpJtz5y>+moELQ=i%5l9B!v!%urM;Q zi?VPrvuX>niaj)D=`v>SH0F3<%zWRNb%U`qpPCq#ur!kd6T1?tAQLwulk!$ImThW0 zGOX&X)?!Q&;PxadpCr4asH8X_6L_nA1rsYX=mI!!{R-Nw0y?;{kcE}Gml3+&0<@$F zG-eEHjybTfGlQ1ugNBJg?N7vTEGsi~Q)2}SD{CUS3I^?I;bG-A<6-44<YVRSW#nV$ z72#p!PUc_*uVj<pU}bmUU}py{@s|}~<>wS&<(Cs;6%>JDB_TFYKCu9Ahv8rZb(oac z*jQsY1laj_xVag*IGGf<A2IPs@^T93@pJJB@dom+a5M98a5M9;a&KT1ViCLvtKbDP zAnEr612cmFH1XYIU}pHrz|8QIftdkX+A_N{GBac`GBfOfo@<eF8a3U*`XiwJt7Bd= zc+Gz?1C!DOe$#$_#tHm)S-?~)V?RF^gV|9wh9@RQEL==7j6xg&Ox!F&9Ng^8OiXO@ zOq}d&Y#dCXEb>eaOj1mujG&c<@=Ov;;!OOk7CfR%icAuW{Ji?i98BUYyv*E^tODH9 zTnr3*K<xm~mbC!rR<#qLc2N-v7c*$bwg#xw*aKQu4cciGz`@Ec&%w%m0yIHe#Kpxa z#ly-CR>HuLkPQis4~&TL_{Yf1z{SMOAj!lG&Akk)B}~i=9Zbv&bC@9c`3v;iI9R(9 zJwMAFK&_9`(;%~52JKAxj0O&%x;KT1ow0(6ol%I1oiUAJnW-WZ>wGP?1zMaOyezx| zj17{)OpM39*iRUOS{9(?!fgDY?lt2Ca5c?0QId^`@q+{(SkXO0CT7Mr2o8&sIv)!+ z6R$A%2*O@aVAVnA*>xb>aY1WpSnD_-Cz9(xmfC}6v{YC`nAll8IHW*(NtoEVnfdsc z*!k0-NrmA;4kWx3m=WQn$IQ%N$IQ&&#f%8A&CJXUN12%!t}{cziv`*~L~n0hXor-? zC8yED3%XLZ0aS4Z`7;J^fkx)-nAjOFgZ8Q?F}yKVWMY?MV`AI|^>&{Tn<ys><1=tY z&G%e_iHY$Mg#B28NkD*!b%g}iNeQM?5*#Nb*uW$^lK=~s0^=zO(4-;XX$dAaHYPS1 z{=-JBYmC&{MEE5%SwR!QGeN-znjiv|0N|Nmga{ieD_8_njIlEdut~8pb4am^b8&Gp z@o;dn@p14f35f8^3b6_%frBt04-$R}EX)iXU=ap}0v2Y53KnLDW)?*F9b{o<IK#rs zaGeDbei_hu7uF6$Pj4<O(8I5wv?v`k4X7}m5wzK{8k*A77><~MT6^pQY>&jbK8iCj zF;0W{f4T%4Xxq&faY&N7WyHkH_yNIT5ddBL$G`xpoy#GcYQRj$-34|`T#TU2s=T0+ zs@R#i_?g(b)j;b7^CA8XV1@Vt<mFUWW`;agW`;^ugnwtVGBbei5~w<u|IlfWeh~f> zhw5LS{N!x-(e+F$28sHN209F8?o3>aplMGXhGph_Ok6yiOk7NS)|^Z%PK*bk2hj^Y zGh*lBV3K8ENMmAWmIs{>WC<F)W&xkb&T0m-je+3+)E^RT2!E8?F)?_sF*A6xA^ZVR z&A<>3Rfq0=7$2st2JM{DQ1I1GaG$`6*_3?9WE)(<EP`Qz1t(|&iYX_PI2$(;w;mHK zBLjmxlNci-lRP8Ll?nxr@V@|c56Ir<Y|IQF*q9l<Ah}zBotZ(LotZ(79ikJK&Tx(M zyg)nW1kHI&EC!zXj0Uz0X5EYoi!4AT7%v-_Cnu8v$f-_DoUEYJ{h65<80sN?q<Tmn zNsmd4k&8*5Q4Zuz5Z(ZFe+ATCATika!;{z%^%dysVGxFeFFFki$fU#+h*R^6OEN%* z05WW`K%7F%!0-WT-vf3;{(R5Q%<zewnc*wK4FuQyr$djF^ky*gX4q#T$|MQe<;TO! z#si8a1_p;hNW27aK*Ag3uLKTe@OWf82f|<39Lx+LjP4&8ALg(4;L75XRM2iq0a1Pq zhF2B_3=GmteDHG(3ZV8Kz+&Gua_n=hC`m2KOU!Z3Pf2BX1F{a}1%?Gsdo(yP{pG|- zhQECBi%U@KdIz@a0@R)fSnQcYjy>+q3?D!y7eV6X1r~F;xyW>Xu%~-KkiVNtDd_qe z$CCWq%w#hYhEE{t450Q!aAAg58aeibxdi(&d;u8^j*kSW`4_mD;r*2dT+9sLxtJM# zb0NyD$=u8g+qsz;ZgWH2(E{z?!O8(xdIKBEz`(EueV<8YdO=Zs8UvHk1<vg(=Q$ZK za6X4nO6NJb7|h;rGW@eJV&XLt?qD=zlV-8u(`EuKMH6NNT@{kU!okSQ$15bo#;U@} zWX~eZB%msB-bCP%2{RWflh9ogfd?iWd|Yo$1fH01@e5uy5x8N(Di|xlA<nABY|ARo z$|lams?1u%5y~OWEX69xuENT}%@pp!q0QmStjB7s&c?y2QNiKNVa#g6Ys|WxQD6fT zmoFD5-)+#W`#R9+MD;AJ%%By8&p_=+Ll#zM@R9jhEUe6NpvELb4I3*f9yOm>m;@N5 zSOl1b*aTRmIRx0hGBX5}L(-E44<uYc;pfZ4%n-)I%n-$cNKXYk%nTq53ukm1md}eJ z;p~^6=bjH5fy(t~3=n59>ttlOV$H|I%>oKjDK=F$ZYDuqCeQ)y5lpP0v9bqHciiAX zD%W_J8Gi6EGyLX3qyuhVW(E)z;Dxvs-5oGK$R8kl0sUS}B=-e4gEq^9hW8>E%qkha zS@SUoZ#5OzVJgJJ!J@~&Pz0LbQe+Zf^k85}sDOl11uvpq+0M(%FpZa)VJ<JiecO4N z89?{|k?zYucVB8rac}?wldyx0J)?sJcx505gP8#*GnWs;JR4ai;g!bBYmAw=Ks&nm z8M)Z_x%okNJAxLp_=4PPz{Jex!@%$W>RtgpM0jfPF*BI)F*8{4A>uWMkC~y9kC_3M zkI?H=c)X^TBo~x|vQTDDYEdG1g}uQ(&{&-+sISn<#IVmsQGkz$i;G8`O^HX5Ne;BN zMw*R-g9X$uV-jHD;NWNCV&@X(VB+Fr=VAgKvkki21hoFa0=jTXg$1&F9()#>1N78K z3(#sKHqfzq?5xb7DKt=WXZTPFiH{F_i1=XQXJ+8zXJ!!MNBGZ(pP9jlpPAr2WExrp zn&3-fKx2@{LH<eu`RhCrLzk^06E_n(lQ9zylRJw5lNb{RlO!7(i-@?OfD~wV=n+u! z8?<r81k!Us@F9l?gOVYGLp8*`JNOat@rR$8fm48)L015gejW)hGjItqGZ+a%(zgh- zU5y?uU_%)g7<T+aZD)f0k&;?mQj}i_A8|oi(3p{$h&X^tLn}S7G_^EUQ?H=3I0Lk9 zrk5q2G2td-JQssm0uvV_HxoOf0+e14S}p6yB*@6l#0OsesLF84R*{8$2{+qfZax+c zCT<qS8QhE)SooM24|{SQ^W<V;JnqT<#f51qHxCojBy3V_6S=uL1jKkbB-t#O*qE3Z z`I*$%6j%k=q}iD{I6xPVu<$bRf-YX-0-X=UrO58c?8E_DG6x#Y1l_~hX~p`<610$< z@eLR1kmhqP#-Ema4OXmo_#p$EOz-(6mAM!geu3f(G;#tOMF9<xaI&y6+q1BOZ~j$c zVP!6c%<14)$HvN9%*GDterYhVF)A@JGVy}~iiMq(iII;B!DHiG$t=Vws3ZbkXd}!h z!zaxu#t7PxD5?yN$bdRX`nVy8NYBhd%nTwz%nbTMi1cA3%*@au%*^mi7?M78?nA~s zY#u>q^zv^HTE7c4m{^oroLPm~B~nt9n4IdKpPN_#k}L-A<#@sd-lEaZ3f`j8#LUFZ z_=kx}i18$&jh1zU4YTzECL4R}ScYA8`b=z0;*2aDyj+}20-$9RY+~|EPE0)F+<YM{ z!Q4zzj4YsIbl8NL6j?=CSeTi31erwMTQPsI;uc|I;^h-&;b-D!l41g#PQl5<%fmgL zk!c1a8xso?Co?x6J1+;5G!H+Ypa`ol2a^;N3nL2?4>JoBD+fF1Si&P5ph0gwCbp9t zj9aZa6*(VSvrDsxF!OUTv+{vfQZVs}um~{8>Vi(20-c4zD$6C#cv_F0N%@SPi~@@^ zhYY(st28^4>}EaYb$YD&pxZiy1q3*_*;%>u*&LZXd05n$Sy?$5_v_oA&}Uu5$j!~l z&mqcg%)!Ya$*Kxo#2m}S${f$a$_yGL2b}}A8dO7Tu&^?NHj9I0K)VG%WgJMBhlPt7 zG(847i{6NZ3%s=ev?%~|T)8I;D>L|9Kwn5n0yi0SVjK%A^J>s+nFa?d`x4MdQ9KVT zw-_HQuLTDyJLo()9u6*c&{Uc@=<pg2F7Q=uaFYdC`H@Wq$LMV)76oQTHclo^_LGcU zTAUGF%$y6Dc<i}j85jy$An7qc1W{fkiZC<eh%htciy+F^S`lW39udOjD|A*0bV03x zjXa|QJ7_UL9K#|9Sr$GXA<$^5C_4iKs21Y|j{~uTdIn%FsK{qy2hCG~vdRUhyBI_f z?&1?=W{?nNW>67D<dZ;AW`=T6W`?<<kbFXDd}4z$YB^e-S(IA9z{HYJ5zm-#hmpZ7 z3fxm?VSLNTaK?d;iIYWvO+<j3iRGXT*AW|*g*GfpY}lEq`)nAlmEnORsSGBSZD zNEd;M8&E9=DrQh=$jzT3pf3F)&|n~F!5(N)04mMT3gU}^PX7fvf`x_oEo``y!JrKi zPXc0y@K6+EW-t<CX0Q@Ngh!?rGs9XjW`>VqknmV@6;fXOxdx%p%Z)P_>u<pK8-ex> zFJN5CIG>Sm0poQh@J$h*P5cL$8NNAy#^LpD+OR&bVPulx;A8`3Y*4o5S7a6AgdEkx z-o?Zu$q8QKd<+yWpz&$cFad26fgC}BT^t@}pqBA5P^f{HD1&xN!Zwn^j)#ZSup_de z3$8d>P|ScjAC$O2>k>L3;pric2+ufiW`<00W`-PbM7pmNXJ!ClSh%6nuy#{sUNY!V zQpiqG&_ZNKSmIA+Sl|d=3B$w!KGusJwh~5?MGTbqAr3kKb<YQJNW6hWnIxDQR3(@h z%p?%;`(J{Yp+u6I;iV)*oda}T{t4)Q2$=f<njzzvB53oG;Cm)gK#c<?@dJ!KEZ_s= zB*CN`nB)YL-ym}|6PTF<8IzdU8Ks%H7$2}O>~K`%kP_e(;um6@$;mg1Q<RB=je|#o ziA#W)pILyFUzCZHNtB0`lZl0~+nl@4oQ;i#k&}^`iG`6#M1YBpi<60y5wt#@aRD2+ z5*J_st<Hbs!Zx3caRoc5jOPYz*I&-g#>V&ubmA9iyFWAYZ&o%g#?^N0>+A%WxSF^j z)jaD{ZZ1(?CO#HMM)qDc{ysHk0cJ*4MJ|4>K1NAyNhxtANqx!X<}9<!1(@=gBu{g) z&f?_Z+QP^r<<7;xFdLLA9avbIL1i&$o*1;qekEuXzBUUhvp+Nmf!Ltr3EDLdYC(Y5 zu<Q+5*bCyr#6io$KztYtIyDQz2PH%BDtw5gY^<zsOF3BCaa#&H(GKbm3M`Ff;$!S& zWZ`DcV`F4e0ximCWn*RJ)8b_pQ08YBVi%O+VC3QAW@XnE;}@O9$il)r12nMx1XA<_ z^g{AUhZH2efzsu4DQ1R+Qp^m;r4Z?Ig)}n*2>+FasDq_LbQ+`|ghf`Pmcy_mWa1kb z-?Oi0<YF-U4B8NCt--F&q`|Mg9ONWJ4GDEt4K;Ok4OaCHOmaf9Aq>BqKx4?DGfu>~ zm^fHwFmlXg6y{=M6JcU!XXB9KW@%#NYGh>b5$51w;#=*+vCc_Gg_Dhgm9>$P^PvIz z6GNuQhWsB4g?kxU`xsdSv{(cdGP2KO1YOO^#Vf$X#l*?PzJQAxG`GRJ!hw%TU?wB` zEJk*2*7t_IV%)b3SuY#1Gs!<PWPfPLy1<Z`MTklG6c_VxE+#oHUeLM2e9GeX0!-rk zP<OZqxUw`cvRbnmgYJZ5m*5uXl>n`f<7Q&K=qPl{k=0a;S%RIFnS~K_UI8oU&JEDm zHsl}{R%XzwA86kqXjlL=P5^3OfR-$Rk{sx?V9*g%pp?Q6KA{$JC@n}Hv~dJ9vkg+0 z0qRzL25pS9W?^S$V&P|=&B(^X#L8~SBEihcqQ=b5!pf|{#mw2t#3RHV0*WgTPM8Qu z2NPrv?bi7+%nZwAm>E{fAku-EEHgu@EHlFtSx7p-)!zh}3Bnv`{o<n3lEiG#bV0#> zmV9movxh7U7hDuM1UUGTSQAB<SeRIOm{~Ykn3;H)n3>pQS@k$&S(I3qc$rw3SeTg1 zS)E)}nPpj%SfyFn1h{yZ#F;p`n3*`4c{zBP1UOj}S(BKZS!G#cKqJ<ytV}Gd94xA= za?Hj|yaH1|dxo1CS(#b;7}=S)I5>DX)-$p&vT}1vvAPH_2{(f(B2a8}gW7$OEUe&= z0tGE3mqWI9f`We{NCCKS3sKI-${NYW$_hG36h%37Ed@gP4Q3_@MlL2rMmL5FlOf^F zAcv@*gyonS#N?P6B;^p{4GKdLHkE^fH+p`9@j+@pSOTrShptiwuZSyQFe_nr;i|yI zrp3Y}Cd<UnWWvtGqswH*&co}-#K8(`w}G1Z!i=DG$?PEG7y_n1+_?hDomb_U8Q#b- zGyFwz=Q??220le*hCC&RJ7N3D&fqwQGAA9ad;o0=0QX?vy<N~6&Y;x9;`}_l;1bY? zCIgfF0p<z9`<WRJFw29<9;W@wTnuI_gqT2AH>fbaW@6%F<YL(8rq9F&Dhb&Hm^?r7 zihbg}%EZehz{aC3%^{=2#KOeG#G}Zh!p6eM#Ln`DiItU)pNR{!orXzSl~s+EUBi;e zhmA`_;Vz3jXaOZV6FUnl6B8Tg2x3lFRwiLa9(HziCK(|nR*C<N;xgd0$qq6Mq(qoi zg6p&m*BKj*{gy%pELrzkva!kSvgBvwWM>ruo&PD|FTf;V`;k$QLx^>&1>X`2E(QjD z=m|rh{s$<zfkyv8G$==dXjo+fs;H3pFmX_C<TNN*f+Rs{6htE=u{Xv*)gnZl2s01p z&T|&fX)KUiEJRp9JA6U<_?Z{N42Bs9a}_R&KpWH`27?Shv;q-#g22T2nQK{CnL(S| zkkx}Wx`Fth{uYRa*#m0vfqlu%BFYTHR?zAb<}gf}A9SxKwZa!|PY&o%Oi+^^Mx!_R zK^<3!ya+1~8$T;(UmYJC7b|Entq2<zm@mS{&$<xQ9R#&}d7vAEK)bv_d`>7ICJv(| zp<4-fpfs$-%mL-YXihGEPH^}_Z?52h(p*rQdf~gAkx7j4rU2;RP&Oe}RyGyZ*Gz1D ztXvEZ^C9KO3nfH3XRgf5;H=Ed;H``(=O!vMGl1|4Wk~q}E7#CzaG?a+7mcm_a0MTm z5n7yD1TRF8hRl)@OOi9-5~yQlPKn9cjyX9DOyUmvAjgexGniciUAddd@X8(31`*?6 z<7VOm&0KRaF*Y&sy<%Z~&&tvP8bNDj1hpiD7-c(Mn16V3Gx0F7bFlwrVg13v`Ic2k z5_CEt7i2ygv{wW)U=YW`&dd#&+fD=BUcd|*(f4FyW>jTlW#VKuV_=xD01|E-Dv0`6 zR)v{CLxq_^TLsa7F;`(`a8M!KZwK$HgM<%g=Z}L0Y(zSVVVZ|56DN}z3qPpi0y;ZU z2{ir;Iw=v%fQI-&h<i4uFvIVAJ)*+Qa7Bfg;jRiI9t~8P8EjOU89Y=W@ffiW(l5nz z9!~@Mz0}Y>+mKZm32mUwA(HWo32dOD%r&4^;&euaK2OkO4j(%UhaeLZ4>KzpD;paJ z8|cme#%nC>Ow5m2n4YolF|jgnPxoTo<I2p$#3I4W&cVvg!Nhoh1=I}zN%CG~;TLBY z7G?uq<#H0#VaS4v*PH}(N3$SnRhk&VCx*x|u`(O6>9ATtgCk%OBs>nNBGUCmRc3}e zs>}?JRT1IwQ<a&4MU9z(Ukwr-gvKve(CcqduM@naN7x`hpV2@HG@9+q#Lj5PaK=-X zNt8{1iE*tf`vzA5CN56!A{@|Qi!a0<U?ybn8lr`P;Q`d$5o(BZm8HhakgLYbPylr! z==}Q$1n$=b?W+fEf2(9*GG#C`WoYwa1zi#jT7AwW3|fz|7!p1Y)DY=~L!FsHMxB{K zT^$iV$?D7ux$4Xe<?4{|`2t<PgKL~T!V$II0CEQ?cwqf>4Xt$O(TSRR$%&wQ^$O;L z&f1d8XDr~%XDr|ctr7KM;$ut%o!!Q;%S(fcjfIDanURNy`LG+`5jQ3_E)GUMR#qm^ ztszWoOgvn&OkA8S&)qm)xp6XaiF5I>vau?%f;NaO1SJ_yP<tCxL$ZQdV53<$Kv@`^ zQWTaz!r_HFGlK$Hgn{9YIx~Zi1~Y@Y1|l5#G?*FYYcMlx(13))2k5-$122ewVdWsy z2nG|hdqbh&P@I!r&cLMfLEtabdjZA|0$yO!?Y#gOgV_hrQHUm>qcWIS8Pk}U8D}su zG<X{^sjvw!F){J5@i1{SvNOrEh_NY&Ffp-ib!Xq=&cdXm$)d-~#Jby^b)P%yUU$|b z?gC7Vhncuuv9La85o6*w1R5<q?~YvXG5uiSyvrmZB_hhh$-^oII*R=$=!$C4(x%0X zkfeE(iG`Kbl8u#hF(V{_LR<%$3IKJBIY38o%CT$kfQm#WCQi-_sK*nQLBi8Q6Opbw zG?^K~HJKTbG!fwmRnE|%2?@^?IMOprodK3~1ulbF6g=b^6`bT56~yHk8O)TK1Q?l^ zSQzseu6gsZu!0W)WVz}taL=9TwL8l@cM&d76~V&B%_PDk!Y;wU0M@|5!kiDWNnkm| z{XaAj>48&=nL$#EnL$$v;r?PRW(E+h(}K8v3nBNT_p?g#3e$@clR(p8eV}PDbA3jG zG<|Lcvmz!TM$j4_P9|2yE=Gn4K8j4jpku_Dn3*`4IJuemm{<gv*yef&9rIvf<6{yM z=h^DP`pARxp$BW12dfPepAZW-6FaCo#wftV!_3Yh70w#PAIrtSuojdbCNqLE1ezrb z3<)bB{=T7w@b@b%W`=)S%nVH0i26)Ho0&mJo0(w=bpH%&{0o-;Vfh@C-iu386G2sW z!UWJRalUxQ1fh7w1SwEW@s5$<pN}FFKa(~S3x^aFD;paVKM3>ka|#PdNpe9huWyGY zM$k?5?Tp~^K42xpoinr%<@$yr3=Dg<nHdgfBjN`Vn+yzRv?1{Wb00blQ)eIq$;ZW& zdC7WEPsD@fX#z44tE^#sGi8Q7{+vuKENo0%5^M}ivW(DMs2La<pzgEKf!GT&A9h}7 zq7J6}vZ3nG-38-=^n<VqF877z6`;8gB61GoKnX^1b_UQQHYPSk$avBMsQYf{V20lp zEbb#V{34Ltr=JL3+JX^`@GvuAW4HiyM}jV9IMwNrA5J+0+<_E028{ab3?HEGxS)%u zZ=dQy)@6LrMWlNcJ!S?FhQ$jyjgoGX6Z3*WvBJb+psdem5U9^+z^Bj1&=bJN!_CCc zD9@(C#?Q<T+0&&8id_bVhE<Ss9ifK^j~qQ_hGIQthB7^bJL>e989*4_ei$Fc9Vz)x zXDG;n%0?B2bpfEA(_9P;qR?%r3>%>KUeH7M|E(S~!*@MqhTll`3h6U5fH0wU2kcNg zf5@qIMWADg7?{KtFn(m54>|&VG84nC0MJA~FB7QO2pS>kVPqBtZL5f4Vr2%Mx)T5@ zpf0S2ghzrt!d(}>FfiokGc)At!^4Of=5KTwnuYb!lT#SXWEo}!f`%uVKx-u+;r#(> z{sVnPK6tOs%<x~InStE^k^T$~m>C=lm>K*HAa)XJA2y)vi%iM)%mZ(A4VVtvHOT4D z7$5~&z}&(p&LR=Yuq#lJiGz)cnT-oH)WOQc$tuRh&cwsQvOkdJKp+#V2$!HN7mF0A zH50_d%52EO#Ow^Ipy6Cd_1wb9#KIWLz;Iy=B-~~gAnM;82Fwfx4VW2@Lj6EY`%yy+ zv<AhgG)+?vG$80-mRghsZo9B3B*-%=urMrR%w#wO-u=zO#3aJP#3IZr!7R?g#KI-b zBPj^oKES}>uomJT4MRjcN=;^B@HJ#+2sDI*DT(gM%u6mx%}vcKfjep$qbtKZCRQdE z4i*tsHc?SdCSfM13noDA-(ZNS*A5#pGh8-gX1HO9$X858%nVXS%nY#oi|FlJSbEA! zEe|NlPjf0wV_*`W!1xAovXUL>V5Mb@XP6llF)K0&3UKqY35av>vvGkgTH%ml;$(7X za%S>o;%DS$<Ywd(2cLc10jiEc)6t-vn{F(u%-N8bg^07UvO2M`vbwRcvO>kd2{&Oq zBs^yrA>x<On3;j!n3+Mu7?Ez>jF}mHjF}mNj3Hr6DBVt345|NM{zQuZ<ix!2qRf)i zpw#62BIHFapcDdG#i*&5laI9C1tj5_my%kcSCW|SoL`!UIP(j<95%Q#iGhhlpo@{8 zQGl19QGktM8RKF`hI`CBOspRnnZGbHer9C(#mI7#kxzvC7$YYa%Xvob3yh!!5HAxi z8yhDJpP)D&4;ur+Tt+4^37Xm!WMXCnO`ou^f$l%$=H%t!=7w}`85kG@HbBDdf-xc; zd^2WdU@>84;50#mo4W}!gRcoQL$C>P;l{IsV7P^p=R<<7806_QAWsWHJ^hN2VG;`u z6YEkY<`qng%b8f#F|qVA@riK%V&vswX=dVXVPa+zVd7)rW#eY!W@2UoZ7F7B6Xa&* zWRm3*U}Io-0uF#DjMxG|kcXRl2Bg|&VAu%tzX>yg2RJ1$FnF6XGh~`FGvt{f{BLN+ z%#dit%rMam;{P7#JrO&OK!Orh&O(h~2-$+u|K*@WgEXE4N)_M&B_pvIo^@gA4I~Lk zGKncE;3QM*n3v+5lbTrMmXnxXjAjlj*+IIH4NMzZ{xdQ*FwJEIQ*BIOD)T=h7sE2f zha3!#SQMEA*@QMTvF%|J*v-Urfk}8eGxHi|#?{O$7nlXsGqZ1CW?|xGWMUEEkz`X~ z5@h1!Fkq5m5@&H^;ba7zJHW%l!pOrU#>3dp!a0eB=Q6XfE_VwH6Nea+kT#bBtGEmc zD<g*(t0*fQ2Qw=(J3DKz7?U6q7pL5GW{zLX9Eq&lLQE{ZV75Q2BxobE-)Sb+JxnUi zV6lzNtb3SPxw(26IapblC78Jw*%?_mS=m{+S(#Y_!R7xI7AAJ^iWboRNYHRFXyOwz zYg*0%+O7b(Dh4!h20i5D3JVK6D`=gHBNr<tXm}GtJsT^icw!ZS)F3WQY>Wa-OpL-T z%*>2zEUchqJRg|2I5~NEgqgT`uQ0N3Fe|fgFoU)VtFUk|b1<<oHo;OCL%~)^`jRk5 z)Th0kObmwR%nYXHh;r7|oS7lOobY%Ua`}^!U!2OYj4_pA4x20!4;$zvD=u~^&`K3k zCdk|_I|G9$3uyfY8%PwCHXlIUa{$Rb_sy9ZUYRp9yfa6ncMc0?27U`>1~CgrdM8v) zR#@Rq?}^!|r3J2_D}xxAgu%CP3+b~nEMqKS*u*Bw#LC2YoQ3lg3&&0t?ma9_Y+_78 z{Ok-2pxJ#8#R6V>qs+v~$ic$RYzXoL2nTF~glmTdBH!+@U}m^&!OZZ~0^tuvD`o~| zD`o~)D~LZjpyM>K`9Ji0`{X}Pe}H#G!15&|9$?uGcB4<gQfBZ~PK*JsL9LoOARg#) zm}8)g)yo(^GX@F-&E;fx1YXq5!pGRn%G}4w$;8aW@{xsGgy|j&=Uo=2dn{acS-2mt zFoBi<GIB6!FoI4EVg{WuGo6*~8w;xzdzd5><6BmN53F2*%<oxQR<SaEW#Rk5!ugnm z?HLQ33Offo7e^}dTNYjw=1r_jTx@I{<;-tc1fH?79b{!b!pg*|C#|O_#KgkF-^$8t z%>03s*_4${5PUx#6B8#R4-+4Y5R<QkNIN5Q2ct2le=Wq!F2=#h#QB$%TZHcmD<_+% z7_+DWNXCg(pNWH+iJ4Q9iBEuKI-C3qHWoQ2eGXYxIc|N>Mr>x#6{Axb_5LslXiGA4 z2y!s<GD~uEbFy=CF!P$TGIBF>GxIWXX>%~M$#O9;yaiSNe?e0>4WRjD&~8%DTncDm zq!pwgfUJUz6*?`!%BsZ1%4!A4vnEW;i~=m6U9?Q>oE%K-TpZl&!CY*dYCHnmynHOY zi~@Z8oI-4Z@*=#<%>2S)0-|gJ%>2!a0?dreyeF6>SeV$E_@rku%E`;VhcuWz?1rSb z2UduD{N0L~f!&&!fyWw=kKL`A89*48uFz>%`YFjw&Mq!UEh<h;&Ig@oC7uu$&zP_U zG#~&PGG4*Bn~A|dk%=92+5>2?MgeqIvxqF{-gnUaJu3?*BL^D`I|~OND-)X%3kxGN zn;;uAH>)(i6q`I31A`3{E3*uAmI>6M08Md#=qgAMG8pWI_-BJPqP=v~nwjCMH8aC4 zB>&vAW@dO}&CD<Z$2wr>86faJZE9W_Xz=m?;|xadf*o7Xp6V5h-x(QlzB4i~G=m}+ zbS{Djs51lFOUw%AfwDD&!9Iw)JZv!C#VQTo$IeX1UHQduCnXl87c&^_hnTy-1`&U2 zZI~Ih*f2BfutCJ%0UKrp5JrzT7$4?e*9uVYg+)O@o{?b%qbfrKBOenl$WLOB9%KU4 zJ^@=q`(D<TnL)#rnL*nYVV{*PGlR1&GlK#&e9_A#Sb70_f=SrGUZ2rGSf8CCCYPZ~ zmWhi=6l5#2FsOKUVFF$0&CbB!!UDR^8!``m0qTwowvh4<6v)?XnHiqhGBf<LWrpwH zRj^}b(6eJ^u(5-vgSi8JKk5hQe$+ka^B?(O7s8wi+IJ6{`p(Nw&M&A0cL_QnT>@E9 zmmp>;qb<7~hm@+Ms1&QDiWIY?9YY(VB1Z(1s5E;ddlbhZHr2&!qRZG=m$IoWV`E;* zCeAF#!o$tQz~BO1?K%-WUI*r}v9dyVpyPa**cm}<CqRc?sjxA#+JQU_8rMGviT@o? z|AB<h*fBHQuw!O;VuuI^E_-GM5Ei$Ggaa(z(P@x=5Vom7tuNB^K{+74G%vG)fl0ie z4|F{q=w_@KD_eHEI$L(T7Dk3yjLKY0OiYYC`XIlu7_e~!FbOj8Sc7=XHnGftES#Wq z{h&KB5?EN7^FYbUn28;9EC*vTs1eV|%-RTTVH6yK_^SfyUXYu5?U@;7*)ucDvqz+} zEB4F`AdK!07#|jKC8@<FkXp^m1T+S_2DBafH|Q3pn3F6FCm2CP6x>X5Y<z6oOrmTu zY=UgOOj2z6Y&>j2Yzm-L&RM~?*s#M$@KVbgpiy*CA&wt|)}kFa0`ZTB10?)G{t0tn zW=M5lX2^6v_~)1dGXn_2+>cJf`~zNB$iO7tz}Uo6&)C3tpQ)aUA*Pv~VG@%f4+p45 zW8)}s<ltc9V3K3w=HOtGgp&eHyi7VA0!$oCIvkurQfwR?973XetSn+2qD+$Pl7f<w zlB|-FlH8KqlB|-PP|Phg5wspBnTeITi5a>U2ed2zw9E;=?;%SIP}P7!1QY@c3;`z~ z;Zos<2$z0GW`_BW%nUmm5$RXViJ8IHiJ2kA38D_Rp5+aW^N~NG-Ah@JSX7*vlA2VS zUY1w{T8lq{X*pXz6XOIX>3$|IhM1SkQX-OqQp%DXQXG;jQcRM9QX-NKObjbn^;x)> zxLBN6G?;j~xcIpQbeTBWjJfo<#HCp|xI`qGSQ%xQRKi5U`I(r)l!G}!ID%P1m;%|E zxxz%kMcAd7*rmAa`IT5j4Op1@nR!@E44HVC1hjd%`9OIAbk8~HQbW)J#k-)k0f+(G zZr%XmfKJ0R2jvp5GSE6k4p#QNpf&2AT&$eQJgnTP%3d-vi7*N>DKl~~aWH~zPZeYm zVQc_b^aoBu!uf;~qTGJ$#LV#CiJ9TE6C(XtIx{nPI1_BI>w|+*FF3U%1hmT`DKR-4 zw9Ummo-tuR=ycea70e8OSQT0L*;p0$n3&l>OMAF@xus<}*_e13nWPk%1f)5+m^eW@ z4wyKZgyaNS+2lBsd0E-Gd6)zZKs^;9RzdJCeDEc4S3tob0}2Yz;(t~Ix8N+qUo)H$ z{rnZq%nYlYnHknOL(&Rpyy*;%`5IV$D#^@EP01`{V2WpmiDx*$CdCBmezUT1FmW-l zv2e0*F)^`#j#Rz?wNJx^nZW>(gc+<{m>IlWm>Ggx5b5=R3p2wF7iNYJE)X}^K-XQ> zT)^J1_F;vVx2b74smUdJC6xuKIhlFcprpEi`7_&kX2uQ7>g$=g7-G!yMGStiF)U)& zXJY@z$jQe2hEa&gmPL+PfXSE1j)j?pnH|(3;$hNc@?d6W;b3NFkzy2LGG~_H;9v%I z7(vT^gcz9_nVC2knOT?_S=m^bS(!ma1qY)!qXY|R?G^_kFPj4=7oz|pD-#E3HxB5c zU(m*$r=YVo!B;-*1J_ibYkku=SlOR4aj|l4W8`7whKQRni7@_RV_0wz5<d>Eh;~o7 zD>Fl!D>Fl)D<a)xx-v5qxiT{-K-X2n>S<W{R|E~0qSWI2oU&BE#N1T>v^3CuK4W_h zh8RDFJ?yefTA=madQ7a$BA^u$dZ3MNAO<L!K0w{^0L>lWU6~pFxgz2X<_;b=NW9^4 z56Daqwm^$FsQW@H3&8G+QQ{Tj6Jt2RF3ZGvky-2#Ge5|QN=#yq+ie*b94<k^C&3Lf ze9GO(4xf<JiV{%xT!Dqk1gJeHu-Nm41bZ?;r-kMv=IBEsBqy^ZRS)WESfo6HS<1k` z@BnIWggdJLK;d5FPJXy=VT9xteC~lo!aGpte`gl^0SSFjv@sZ5hJ^bEcSLz;;la${ z>cPwq=z(xos|Pc~G7n~k;~tRoKxn++f;q%p(BRQaOi2lXBwbK+z)BmatbbZsu}^+- zHhi!PNgQ$@1gNdm1X?fg9CXfZj0P_!pF6JrUo#WKFLp&H_SLMM>sa}?jF`a7@;9;y zY+)7R1}&ntVA5e@Vr6CI;g@8RWa4M!V+1Y!0-xalTFMAIwg|KsAGBB*#0J&Q_Mp;L z2X^Wp8!IbV0UIkTiUJKLPDXbY0p@0Kt-`=?;0h!h6+98;uc;?9gQF)igR3VZ{pNcz zGk`Fm`J~i}lGMDEAn^4{pc-WpsOKmW&&6Qzf|213H)!^Rla)z~8B}mFv9NM8vG5D= zfR6l>W@TbiV3K9xVCDf`ZwI>R9<+QLe3b(O1H*=^5O?mDL!`q4p3DqqJ((FUctYY9 zR8Qc#-<OHSz)+vjz(}9bfSbXhgkcgN9}_2&JR>U`WCfDJHHi5RUWk5Jq!%+oiWf6O zffph@wR<r$Oz>i6nCS(v6PBKOp#3CRdH10avY%uD8#FzY=7FjxJq@j*^4!vr)Cx_# zBGBd+Pzh*f&*-3O&&9BcQP!8&kI|RIFNncKb_*LP6U%Bg#x-ngOiZiU<XJR9he?$% zv4Tci7#K=eSXr4sQ7#LfFXmtj0_TeZP=9cEBmAN4&CH<Z&CFotjqpdbH#0-NH#0-C zH>y9-%Wbfs3=9lg@c09AFbAkrUZ>A!5UdaKNxHATUo1l%=-@aJ4gnF~SFE7h>4pBY za)Ng~a)UROGVy~q3eEy`zCp+3gVw=HLk0pMVr=ZJU@^$(Njj4%V>;A92d+cn>xMU? z9)ID@%<#pVnc=55BHfDkFf+*dFf%wn$JcPp6BVcCK%zrDpo7t$F@VRPi(w7pHb#aR zMHY2%Ulw%bJ1;vw6BiRJ3lA#~Xa*E?&?`8Bf{t|sGZ-3fK-^W}gK$@q4>Lob4>QAL zAB4L$_%JhounmEBO>t@tJa`>uf|gQ>*@Ikmk&&TDQAmkNjER+*gG-W)mzR~1m5HB^ zhn1CG5R`%1L4j%wN+946OSlPf7l$t*|H%0=GidrUGwAsu+~wuV%n<6!%y0pEP8Rw) zLs$t|oSFl=vLQJ?Hy1SEAZ(DR&uGA}4{}-`Bf}g<SsoD)7GVx1ZbnuCUVc^qCJt86 z9J(qO14AeiE3-0a43U8$l!cX5nS-5`i{S&*eFuCI=Us64F*8W|F*Bt5A<}J65HrKy zAZCWVU`V=65rO0{4qpfj%YOmT^Rg9QLBx^V4Z7DRA6{f@Xk{d4B<5-A73UX~WTzrF zhihno7R(oF>ZPO>f;NK(m!{;qGcYL~V7bG&pM~)NOOxDw7Lb2$GW9Zg^)a&aGV=8? zu46Cf5M}9OoWKa)BGbeS;m%<#H?OcPXQ^N=x2<3<SE*nw=da)^cc@@4cZTy93oyK3 zG!l?!;$kubol?of$;i&c&7{i8$|lOn8^apOVZ<TA;mFD*u!EI#I%qcr6Duo6CNB>k zXu~y=I1_smizhQLGarW!=n@NF9wr{vX{^jMS*>P)NLCguHeo?dHW^lC4pu%+8P*Rh z%>P+<m^j%&ey}iqWKm(_<Ka^W9eFFr#0#2FxWU4@o<&KDm5(`sm6;=$6Lh&5GiX_& z3=<D%O%sPW6DOMx8=Daa3u`zB3kM@d7&9|-FxM4kD;9A*(CTc^ZE2uGUzv2}qgj}Q z&a-gbWMo;z#>pnc1X?4-!NSaBRl>{0TF$zcjdcwh=%hGShn<WrylkxYtP7dh<=A<c zS(trUy}+af51TL(C!3%M2Men&>mp{U-(d5ufv$C9Vq*fE=Eudx8pvw5laY^$jg^~q zH8ZCGClend2NOT&1~d*~77->MR#p}+B@Ri@y^~T*ENse5piTk@izJH_=#V2O=6P(O z)9zV$ctINxcCxX!aAfncF&A>IVdSvqy~oCKo{jke8wV2)Xn2`Z5TuBi?I;`bF*bIv z7%MBwem3R<Z0rKe{H!xU(||LO4P@p-($5Oj&xuR_ayBkz7GY))UOr|{W@c7S7EWd^ z4kl(sR(1g<W<3slRs$_2O%^K_A<)_UBBD$zOoB`tjBNSL1?)`RETGtukmpb_W0GLv zVdG?C<z^LNoyWxblaT||Ph;ZLW)<Mz<zN)x;?Uyw#mM@fk(HH&iIX2>3UeDX=qwFR zW)?P97G5S+7WV&4%)c0!TbWqfm|1=@G5=x$EzRa);@Hg0YQp@BiHQ#s797lM?aa&_ z%*?x)nfEY*LWFBSGqVYE2Qw=dD~k{l3lj%3*HmWaY0S(gnVC;PRGbB=n8wU10BZYi zFtReTEM;b1!OVP{nfVSgI}_(!ko*c})}73t(F@So+Xz>&a$<1{E7UEVv~$aHX4Z*J z$~`Qgz*OT^Q&QzQ2U_yBnvu1YQACy_oH>GBgjtxCmnU3n12Y#J>w0F^PA1Up>AZ{_ zOzcw3I;^4`f*c|o;;bK-xO2HVCD$-(G09A1;b!D;=HTXF6kt{0=V0Vu<zVGt7GM+* z6p-bX<!0svH3I&C%JvRc7B1!%P``Qthyb0bsK~;_4BAf*+H3{7o)5GQm>G1?Vk!$O zGe{78*y1{n^2wk%4A3#QhnZMdnKywpvDHF{<w2w0;CstKE9;MdJBfc7Sy)*+SlPH( zTR?j&CV+-8a(Gy|75TV$Ed*HkBSBZ{39$+u1Kq6$I<_$tDtH1Uu#QoLRd_O+7^|qM z7^~=ECJ9#YP3%&vlC?6d(xCku&7fYVDi15SI1ei~#KN0QEMCkkOrY}>I2cvgm|3mZ zm|0^vnAtNqnA!ibaB(oPa8CefYhvc(U}E8)!zyGhXeq=Z$Sh<l$SkBH$SlM!$S2|; z%q-$8%q*fJ%q+q$Jd;(-T$Ec3bcv~^D2JG;D6<%|D6^QXD4UoO5?d3>77ztFnOVX? zoLNFsoI^re9JFGFSwdEvO~MF?tqEldh_gwsiq8g(GB7YOyo1!Y6(NXr*d_x;hM6JE z40A#d_3hFSW`;E(xW{YY{Ugu?dGM)&;M82`!2t|4!Jq+mRVFUbP`fP?xPNNP0=~=% zRPiT3-N6uwsP`p8nHl6mnHiKq5$@0lWo9rA#qAE1b!x$>xkahqeea;=tBE}aLybQ} z3!^NPBE%V%5NB8-oWZaG>W&U1cPtHMW>^!-%&;EG9Xmsr84i%(4rnm=f|}F}Ou_-S z{u~T75e$8dvP@bK$2dV8;{<Vxz<Wr%XoMl+#WReVAs~#IAvg@t&WI0VW=IQTX2=bL zv@>Asd|d5g2K4?cdVC=Tm_8`LyclLM$}+JoU{qWP8d5NUxDs@cH#-A^5|cQiASn76 z5}@uq5QgaIUJGMpco@da@FWZof8WBG8UBaijz3uY2Q*n+8J<~^5t5mk%D^OSV5-k( zAg<5OP?OHEfDtrZFUG{hD#XIf%mhkMR!kzyLZC4iD;9o6RtOX9t_x6i6@(-DjZ?yz z8CHigGwcXQgrijiGec1XGsCI~NI1T^2N^%(c?_Xp?KQBW3=9k_(AJF?!&AB;yvbIa znp>2g54w-y0OK9VO$|FCvwBjXS-qM*W>*<E9#<~6NlXmK7!{c~nFP5cMfjK)moaiI zWCV?qvCm^<S<cA3f|2VaBl9Unnc0le90E+7Y%E%=Ns_IM3M@)2l6>0dm}E{f@tk4e zI>{u#!O6zS#Kj7l?PcNw-5koq&&R|k#wyGz&N+dRwVRQZk5!PBlM~c(n!?Ch%PPsL z$OXB~5i}PB>cyM_mAjxF@}TX=pmk)bEd0!%gB}E+TlPTX@t|pC=!!vvKF}@E9IWhy zY^<!hT&$d`JpA0Ue5||zT&$eRe5|}&0<8QJ0<8SbJm8%-$xIxK;!G@z%uHO2%uF(j zwoH<YZHz23%%Dw;%RpOxKu1VhKtg=OCrEy<h(weRK9S4}8IjBkIgyBX+!V>oa4nLV z;a4Oy9xp=TujV9#Mvq4qwDt3#ID-@!hT!F;o0-5zWki8VPB1A2IyIx_3Nyn2CPgMz zKW1-EA5BL&CsuPICVn#kO?4(#CO#HERt^p(CKe`EHZDtc2M#877DZNJCRRo!PI=H? z2T?vIE<r9GDQhkUhHg+T2?}S>VK1P&|3Jg>pe^9SEd0!JkZvYuZ!)Vc8!M{;2P?ZP z7b~YQ4=Xol5sokyKc^fQ8|b1eH6~_8P9{x8IVM&{(5YujK|?1KzCgk)APSK$)1sIe zGNYIoa-tw%1R9SZG+vUNn1@K>3{2__HR=pAm|2;az^7lZvBDOrAAs8TAPSM+enl}e z@I*5+h(;sgNjZj@!6b&6!8Hcrh7M>y4t?D}B=#8?PGI!wLCy)v&o9x`D+G0WK;8Nl zW_?D3$t?Pe292N@x0=tav0ici7#TJ&D>4bNurRT(3NUf9u(NS8@su%_GBdH2F_&{P zar$vEv9YkFvWu~?fKI96U=jsGRwh9fP9{M%K_*cq(CQ9QhU5_AvSg8Cmu8V;1z~O$ z(2gNyNlRuk@TpdeEXK?x;ASr?A1j|avkF*LmRW{bky(j@i4D|Zlw_7-mS<Mr05uwz zStXf8IJlTOSq(VZcv*OvI2c(uS-4n1-BD(4W~q%#h-<Ccm{_hcv4Qr-v+?sW^9q9Q zrDqf1l;UP-Wn^w+1a)DV>Y16jnOK;aS(urbS-6;(S((|`nK*=)*_nly6<Mb-%1#GO zuJyAsu`_eBurs$p_d)ctv#_&rvaz$af?9@L3=E%HnY=((GBGeP{Dh>R88L|X+!Djg za4?3M;b;t^T+fbWW~hs0W>^4?M~&U6`3+XCYiJ?j4ccJ^jXj<P&GSxV$>(OMX=G=( z#{w!0S(sQsm$5T3t1zpAdVk<p5@cmzmEmRMRbl1gkz<u)W@gr7)#U_V0w%=D#K<GS z%f!VbC?Lqh!2(*XDZ<HJ$Wj98opOSrlZlf>jZM{EfQbzr!=g;AOuQ`Ypv&Yzhe9y2 zs<NoDgN`%d<YrOe6lCUO7G&mQ<YN|M=L}$#WHn@EVP$9KlmTrexy=X-$K#-Df-FH} z7`GXrA$gnybQvc|2$F&){DFkygIGj9{2$BAz!S&JAP|QL$F4YLhDmYE46uD*==C_Z za4gC%Ni0eANlgP)H3sKEyMCrK>2opEw6ie0VO3<~WCZQn;a~xEdsvu2;UI`0*+5+; z@DX54Tr6B{{H!c&T)ZmGESk((9Bj<2tgL*XqF(`=keT^FcV<aI*r47Xn8nS)!U{Sv ziI0Pog@c2GnVVHd%AAXV;X5-Ec>eV}GYcCt7bF-M7W{*R!wZnVp<*2I%nYLO%nXw8 zh;ZnPXJ(ifPfj=lWu|9<17a^IAR52{ai5uC3!5SfEBO2|7A6ihNFacM1;S!w0!_rT zvJf2*!Yn+j{4C7OVjTP|LL4m2pu;`*nFYWBq5<~)d8qf#BYU5rfx!jr>lg8eb{%U1 zGlM_^GlNJ1!v6{h%nTq5tFO>$ly+`jeo1CprB7yYiE~COc!tzKPM^`B43q}8F*5Ap z1PyP-Fo6d#%|O#u&}%_J!|_Ph*MS`7zzA_)M*_lqdcPPL<|QyQEJSjj!!HH~5Jq<w zjF0NRM34(}QyFS(7*27@GD(11k|5g{7#g7V2_z!ylS*c0P)TNHs7XfD7ypx)8UCd( zGyF}3)E9)>xhx)#acx+BNh!@OC`v_H?^~Rio?KE<qN!JuSOIUdX=ovYaVby2t~?pL za0(9LOssYmV;4>;z^)p*umx7dmRLm%4X_I%nz6`1VrYb2wJ~;K6YRpKScNmOdnOHS znKnFrl93m0!$s4uil&3+w;wR|@!n_RW?09l&m_TUhJr;I&6q$~g3%Hzj$m8D#X({) z4AU>oXvqY@!3+xeTs&+%yk;Cc96Y>cY&={%yk;ysJUqN+Ogwy?yiNj~yk?9%LOi^d z%se7Iyk@LCVw}8Y>^u@Yyq26iQarqt+&nUzyk@*Say-12{5%Sryk>$tN}RlA!aORR zyk??2Iy}N=;yeaCqGpmjM*O0d(mW>oqL#8eX8fX-Oaj`_t13a+8gyg^sEtmD4Jy!K zYLMA5y*w<S%Y-3oYd~faQUg+tOAWF(E;h_wkX^`Z*j2kA^Rct#K}{RbrAILDAZy3P zCgco|b;x>=)x*S*)qvQz)F6xFV#CZN+WXL>NqE@gK~=0i3lAd?qZtPeBM++?4-X>` zr<ni`BM+~c2oEEtpqT^@Bd4gD3=boZq@@B6Baf_^3J)WvqL~H{Baf=34i6)brlkQ7 zBd4yJ2@fNWp``^6Bd4jE4G$xyrI`Z{Bd4vI3lAfYqnQT}Baf?@4-X^1r)2;SBfqa@ z2oEEFU@!v%g98Vo{&<jv=%<;bGc!1)Gc&}cBg*ssbY_Mb>C6lZ(;?;gALzb!^!5&< zmSA9*vln%K1gTIeC`v6zEJ_VX%*#y1R<IPOmc$noV=Y|3QkkGb5esI4X203<xfs?l zDl&;PvNG|27H%^#GO<^3R<TsFSBWw5FeE7|vkJ3_@CY+SF)}f-FdOrj$n$VAap!Ut zFzU14VC9_7#xjGA{U)oREo%uAsB;&?#L652Y6ixzu(E;~icG95EX+JCOsuRdJj}*i zoScjt>@1utEX?do?BG5!4+8^(04F3IH8K$G?&lfI46>Qb4AU|Z;dnQTnISQonc-<R zBphY#LfYLiHz72vKAr%bXPRM-S}zu7rh_h2%t1Oj1u1BYGt&zYVVYU&n3P{s65xzA zlrz(lK}YrH7wZ>irspN*=s~FX%=EncqEzJlUGTEHI5Qo4yrd<T<{<WVp*korC8dag zN&ExjN4EEjj2{@cvVbW?Fewfuv%sV}m~3VQQ;P2yxfnJv9%E!=t>miWsbsI=VrCR& zlwe`fX9P|4YBHKv3RUGZaxw8RGct)XU0@7V3}X%D3KOX2t4RuF2@_;w1tkU$W{R$6 zt5FSQ37Z1yaI=FYSePI*JCjBwM^z#-=*$I1CUz!!CN4%%1{Y9&Mu|m$l~q8Qi<3!$ zMVgJxk#z<e*L_x2ZuU2<?ES1<v5Xx8j6eBVB$zpwxOgpCgxQ#cZ8<$SUoj~&vAt&E z;Naun;t*zH*~KKZm5Dc<xqyu+fQxe@BdY~#6;C@OBNGn~XH^R$lc@j)JO4~ZP9`=M z7ADZyWK7c-nVFcTGqN$W>|kVK;=5qRch-zcj+KjtiAz`+bmQ@LMwSJPf`=JdwLng0 zlw{w;sKR7EjgxIECm#nV2j50UmQ9STtei}O>>^AStUN5NY+RhIg33&yJRJP20v!CT z?6%yjnOrrjOdP_jyj<)Y!R%3>3pcpDIlWlfxtRF{*)%zI*fm)}i;H#GQ^6M5u(OCW zaXn+=n9eN7%F52gsLI8j#G1{+4!V4vorzJBT|kqGtBH~45EIu%W^T~lhUuV%u;)PI zQ=qXC(6j)gu!q%8Fq)qkG_(vlQjeWQgjs}z3w)*<==eO)7#3(XN-PU2GcSu6Gw5I~ zeHM0R(10089ca}G$V_e)NoGwJR^~p?(UEQ}Ow6Gy{LG4=j65B5g2g$|^nx%OKP%|s zJkZ<#`WaB1Z2YXYZ2YVdT&$ezTq2wzT%c3aL2PzT0q70Gv0SX2ygXvu{Cupu`aJC1 zN_?!mB79uD`p`n1n@y5clZ}<NkCBU?(~XUZHI$2=6YPd#jBKndTuiL&>}>3uTuh8? zj4UkN`h1+coC2Es=0ZY(`4X&5tdcw;j7&T%oRSw9WfY}ZWw@jT<oINhWLTsHRaikO z6m-p*dbAdsrm75!^b}?tPHlDrP%3B9XEy^YFxC*_5KOcHrwS%^TYCX6eo;t?93TKG zpEl$`${mpC?i^-@LpjV0$8r$;nDaTz3?K|EhtTQ#Vo*6zkXZm4<W*3X=U|w{Xvbgz zK3Ekru&V(XZ)TVPwND}!VIMmi6N7p#GlMoljNrcQ%)HDJJu`+`jEoFTprZ>GK+T<y zi|Btm%4KHwn#;_<m4_&23-Xv5K)5LnVn4b+V0=(Gfbbo(b?qQ~i!;;VC1-kS2{N}h zGu<<<xFj(r$35R8F)szVJO;~UmUu$TQ6`oJj2oE2Bax8tNK=Mcj9Kiu93E0il7`6w zDRUX6xFoq57BGr3vGMWpGYN2T@G|i+i7>M;u{N>tGD$PBerMq~;xS|~;n!zj<7Z)G zVqC){%f!sYbe@S*g_WH{np=j6kM9ACz+DzzZEhV_6>)1;4>5Os579&}Mv#fDoILkH z!_C|b485SyOYrhwV-{BCrHl*=SxmZ&{46}oEG+!YQfx}Bh8zOyvMl1vtl;d%&1}NP z#mWr|BM@F71W88%`H1jW%4cS<%V%cr&qsuRb3QWz2+zqUF8qI>kI!eOr-Dxj1Mj79 z?`LG3z<8DsOmTuqZqUApS&WAm*)=%$*;zT5lLb<&8CEbUGVyY8a_})pF|jf!f!4Um zGRX;jW9DU&{>se%gPHX^GxINIf$z*h!d#qO0^Fb_E%8jC+cqI(5orIlJ_{GKJgDe6 z#K@$<$j`*e$jl<ZYz^{0!v|rg{|gZQ*DGLVuqj|>@GL<1zr28%0fajWi1Ysn^mEcu zO9G1WlT(X}odZHaJIz7YK!DfvTY#4JpJ%M+VwlAkz;4LFl`N3b$H;JtS&@l{MfnaB zFOxhI>s=<U2TTHYnS^gM@;zf@VUlH%y~`+gi;?ptBlj^-!@Hb`m06pGo!N<ni&+)q z*8nC%MlKcsW??oyRy#I9R!%l<&{8A?QHXyT3K9ObEM#VID`aNyFGTn^w~(0ugewY( z^KS&kIDSb8`2Hni@4^NHG_*i#+{zMjH1$9&z|!K>WN=W#fr26!91@clSvlA&8JbuW znLu}F%ky!9PL|{rX5?JT#IcHrk4X_U!5zTF%A5;XA~cDSiG$ISfk6!7zXwovgChAu zAv44OLS_c;B82~xi<lWe*r13w|LsBdUuHVA&@|A{XEYFnZflyws4T@I$t1-pX~!^+ zMV5&Tw4$7wm4}6ii-m`Yi(MEr^5V?I52+796K2YwL!6md8KE0}3ZU*^P=si|GZZs3 z$QCm*=oTZ~|EidoLA`{TA*BT3{yS$N?adRPAT+Eze6R-6-kh@vwf$b4nO;(qm|KvJ zSm9KhS`rLyITnL17!3}9mzBk-CGL>MWH3kuE?xw_6*3h?j*0yO(*>6EOpF(pnjn-C zn8SabiIHIz<1I#ZR*u_jBB1*{eHae0h%=e6fe){i5d*CY0*(8!hzY1Lah+pioy5k; z!ts!u@e#Wq69|g1aWJwnF*AuX2{ZA0WEVGJ6=4w;WD(}k107efk6my#JL5ifLC~H~ zCYj6Z{9LS@tokBMQcQw8pv6kOpev4<Sog4VvT*EXXWWBiDrhc19CU*M=yrtD>@rNO z{F2-d^<0`f9<0eqOgz6q74{!yP9_01VI~1l0R{$ZCRXNGpj8o|^{1ef_$e%`%%DOT z)P@0#NOQ4pF+Tw{mLME{W^WczW<C~CX1HcHR#qDhR(8-ik|}Jgtj0X7-1<DM+$=n- z+*~|d+)qF&7a$ydZf`D8PChPCP5}mnTcG<41(`uh$(TDC*#ub`+4NagFmed8GjdE| zWM9F^#mL0Y?E^~t4APMN@Bx}mKw>_n%nTu=%nVaXA?b;efq~VijF|z1=aoUylLYkq zb95S{AB0`bVWg)5ln!8WVjijhn3tQH3r{{^f&4P)0VJ@p0W6x9lb;A0Lk@Vx;SVYo zwHPIsY#1$>7#S^@WT7;OZ31OyGIBGqGO8uAC4p!jCJjalrf5bPrf5b!CKZMctcpyW zPdHh)L|$`paIi6PGKq8WGBN&Ul4Mf&#Kia+L{DSpWMT(RLoqS#WtL=8IKa%f8APvQ zW;_m}*D!N3$%1tCgLrMsjO`%0g;`RKlf!)pC-+BA)=!+OY>eO?%-laYS$}cz{N!Zy z<6@TF&CJKk$IGY1H;LJaNpdo?%s(b4CdvOye9s^w!jnKXIH)Zh$im7DqCq$V$_8Cf z1sbdX9YYODW5^hG>MCfk0@P-P$zc<R9v+~@B*AFIV#&<NX2~kcVad+OWy#6NW65p8 zXUWSbV9B2en)zZ<6JX=#26w?VxcC_PSooOuc%udQxcQi)+4xvhK=D689+IvM$`SR5 zTRG@HEM|t#azy*Hw49j%gwfLxj1P<Ul+0AOqWoM)0mQ(>V$cnmJ>X`T#W<Uh;Sw7k z6Az0d6KJzAXnCzD6AugMVs2J89wshrZqTtupxweE;KjnA9tS%MKQlMTnG6OB5O;nk zN6h=MS1>b3S1>cES3uG~0|NtVWCb%rQ3W$YcLgN<<J!*(Hk5&ZA;SSR{TC%>7N;g9 zra;O`1}1Tb)u2VVoc4?k2KI~&-1eYkoG->BDw`~jlFiV^t_ZrTMUIt`gGo$6_&poX z2R2p?9xk4Ri~^e&1(~=Mxfr?iMI@NTS$Vnmz^xibCRS$9Q4gS%0ib1AGA#VeZlIbT zF2M#l3tNVbpA{^T&&0zh%Ob#>&A`AApa=<v2T=ck`~f<L>Rkmh!>0;Fe1XoO0%2JE zpwqDUN-jz)&S03usKW4vollGh<PMOL3=L3wJSrjK2oj90WM)XOWM;^zMA*|-$;<%4 z=;p)tFndz-ic5=9gEP~8L1B6v6sBhOpk%RtiD3?hA`>5*5tAO19+QL}mpvaNAEO{M z7ib{PnTeGdG^G#fLTa$^GlO^6f!wk{2@(!3DiP`SUnMgGYZWsCR~5p2B2~-`APjR4 zIt_DQUOptr27_xxCSikIeNaqyF*1DOkY(~@0v`=33!U=;ZO8(3a-o?K<Q@ZMh<hrk zFx}HfhI@)L)BW;G{PQ60Y2t*r;Q-X02UzU+NuE7<i8+uP58d*MvKgkqtpa(AAwUJ< zuYhVqzDufRX2`B)X2`EbgmY~*GeciB;r-)CS&Id{7D<v}7Gp5O3Qo}W3=u9?CN5S< z1_mL}DtGX%Reok67Iq{7HhxwiHg*Pv4N!M6)F8(71Z$WX%xah!9BL5dK|>8Q!_*pP zhE+9?bUcT^_~;bO_F-CiN_sx%4!<;i#sCL@#sGbP#(;c(#sD3E#sEHl#sFC;4NA`6 z7{xe64>B^G;{+WFB*DbTCd$Ib#Lp<q#RS@0&BV{9$RsGv#LTP0IiHhr6DJ=JCzB!< z8wWqD949|7KO;Xszac*hHv>Zts5SwW51?{l8t88GFpwZ<Gzhdjh>ewX8Y3G!XgAw8 zMkY?igRp(rYLNJns70hh+gfG@w_0X~pjt$@q}4JrfN*XtBwWz*1&j|)1Plxe7HIbZ zmF6XZ_D`Voy%SSXic?Eq*9C#XLxS0ug^}Hmg`1&^8x+Ni$GMD8aWQUYHJl3Syyh{1 z3wQ}8V@5_6b!ILmNNLZ&z@QHC#|fzWK<>C(%gpekmYLy2Eh620sbyvWVVHZ-X;}Ww z1q~cPvUo8AldymkKWK!+lwlUPG!v)_;$&q9UBn7**MsbGfZCr>2MHgLSY;hELu(x~ zLsuOl9Cy?)GaRd9X1D@X2U~X|gSKvCLnoyDo`SZ|8OdFctj;7}&<I+@p_LCRf6g*7 zY~fa9;$sqI5@+J$;GD(C$s*1KIt5=C+KLtdwW8NDin2>E34zWf0G&H`71UT20+r=K zEUc_oL1S!SULMmlMn+GNR~RN}K*HCd9^t?GdS-_1dS-^1^$7nRsApyX;Zsm`=-~_F zgAHY1V7QTjTAw2M&o{9cv2zZbdJ>azKve>~C0U%Ao|2jaPC?=c7eG77q~k%Mkil%g zBF}Ef!pkDTaF1J&Nt%h<O^S)lnQ=O|!4z&LKOr`@Ko$<hem28iR^D6Oe4bnk3^y5> zpl8>+Gbu1KvKTNkF-b78vZ=FjvG6j2ZaDxud4VP*96TBj;Sk@z%#hK*%#hoF2#3}N zW(E-MhN^@4+XHPs&xKw{JpaMCcNr-pKnIN_M8q>D7%|LZ>}O>7!Oh1c*2TzD%2>hA z1X>;?+RrG-B+0<g!3gSFsxYxIGBRl~+JMe&*MhkBM*|{%^E5Iu2sbh_NH-$ftKG=V zV9?0SVA=@r7i^wC25sNk2Izdo3e0jZFEIz)g9K&gka$q9&zPN+Ly=(`FCUW<6Z2U% z)(dRnphV^cI%>p=g`a`J7_?*#9Ig|f?(Aqp)EhGznHe@TGBa##MC9Mkjm!)n3=2<m z8kT>HGt-Mx^HM;o(+-1Llri9Ctl)Dy4OH~G7`8C>GBb2ADzZp%2(YQ~@iK8TvT(7A zGfVIau(7c)Nvd!%@k_EY$%!&42>7xGFbT6Ob29M@ax(Gr^IJ%2a|?nN5Q0X<L7Vxx zLB0ZegF!(D65bh2i14m!VrJ-SVrH1qgb43rP0S1+e5DBz-st{-@gb%%Fw`(X@(IQ? zPf==e8K{ZmVbADrkkKCGv$>4%+zHK$41X9wb6y-wa!hPYe0*SUaY-^UYH~X<F)}ep zGIDA%3A5_4GRaA^vPg<@gVr)m2h}2_EUe66FU@6S;%00H*AxM|5Pvx|Bm5Q7%*>G8 z%*;^IjPTc-W@ZKuUfN8YzcO(73qERS5U0;*z^Tt@AOqT)368oBkO$=%T9_4?IHfo_ zBtb){EPP;+19W7#Fgq9HbVlx3j9h%&pqnexnfRHFKylOo@{l|zOa=5H{t;+Fv<Jdk zm>CjVm>JSq5dLXxVP=@p!pyL^1>&Cv(ENaFzVwD2B%WaDFgGzfwKyj;8F6hSxT6af z&Phc&ju_ODhRY-;g62m9GW;0>3jMhlwlV%@WN-tW9jz**&dUZWo47&uOE3vAD$1xa z%F42FF))BO@h7pcGD|>KuY&gOCxK6z0xtyH&d4Og$jBlKYA6fnL;c^%%-{eD5C#SY z^HydC|5j#(6|D&WpKoJk_}IqGAlwe|{~YK!2QfI-^`=}$^?!O%emScDGm8WA%TtT| z(?ZJg89<k&ZHFw;e!~tvc<DPMXpQzZ#zl;Q{z2)}898S#9%T%)2x1N73E~K3bmg1D zC>H1)WEf}>#1zOA#0nA82=ooo53~wm3giW;kO}k(GJ~psYAOoc#~7r}FpE)9NRmU4 zkA;boO_)cVk(Z0jnK_Q@CL`#+RMUHm0;$YT7@0K%rC0@6<+=G-Sy@>Xk1}zdVG<Kj zXE79%U=n6z<&Xd!1bUXq;szt@MMj=Wj2x#K8RxO^T?DN<W@CTN2pU2%eZ?ri%Fe{X zCMeE&p3&k7Bhy_*o_ma}*TG7UGO^aMm$GrNaDYxxV{2ssX)$jBon+?1EY2LudY;kh zA0yLuMjkeh$*Y-II~kd$F*0*A^Dr~BGqLo8c9JofO=4o6$;dp5k&9W_k6E0Vjai7b zoe^YR4--=>6Hglx$A7SObD3EAnVFbfSzVZ!n8R7am>F3kS-JJ*Fv_fF0?pG&GE1^R zaSx*`Xe|9CsB8lr{sNkyvu9yt1}&1_18QGhg)Uw_37U^n;b3J~;$r2r2Q882V&&Y! z&cn)ml@YSgd=Vp)KVv!zC-YH8CJXSe0tXW#3m>Z(hc~++hXp$m2M;?d2O|?7Co2y- zw+x>zuRfm@FB2ax6Cb~fke8sDkcA+V5RV{-5F-<xaFLJ(vk|Kis}Z9*0|UbaQ%L%~ z08N*mB>bqInc-<WGsDMrMEV7ZgD`IgB>lq5RdgDp280!yP}48CMgz448JMCOmN0S` zOO%KfOO$XktYc#0U}9pQCC1OhIaiE_jfshU3Wz;jjGKXhn@NIEltqG>o58>g;?9T; zM7?%+2LnS^2Qxz+)J>pu;OOpw@nPi%*d00f=|&7o7^NA`FoBW{Gcy|pZ2flt)Sd@O z_V9KxGstu@Gw5|9!lR~>nPF}xGsC`4NO-{7pA36Y$B#i_0m2FySi++qKR+iCv>zJY z5=&189cEHcQlwW<0$L@|z&Mi$G^pPPn%`K$xQnrvrG(j(+04e2+3Xl2!#yTNCS}l7 zwJcgpoNU}|yc{x2Oc$70&N4H<W@LWH$PQXEF3zeX%)<Gdk@*)RD;qoL?l=y9VO9aq zDt#tyNzfritdiW^ph=KICRXMe7FK3o7FK51A|=qk7zl$aGSL3(8V*)=oC<b<j#~yT z-?m|6W<AEpz@T6Oi8q5TM7+6mF*5{pF*D?MA;NQW7c;}jE@p=NU6AmE)eGqR$U$KV z!ZEnQGp#5UbWK~pFVODtJbx~RC5(#2oF$CK4kcX0tR=jr%x3EuO<B#%i@8g<7*;VW zc5rgGax%7XGM;4=zrd)`%*oZl$@-UrcP<n2GA7Uwg4|5}3ffG(EZX|&3z=A#F|jhU zYH?|Du}d~_a<_v{puP&K>_H<Xp!;>rVU<3pGr$TKWn*Q9iz+g4GBPqTGKn*4Fmf@m zGV-!9v#w_ZsbB&}!3Il6cqMcr!mF~InW43tnW3*65nj8xnHfO%bT=ftaP5Bpg%t?@ zKyPP)##@pTlQU9_QqwYXK-Uoj8~_CwFF43NO_|LWGcx>ORumFpVqy~d4%&vw!NSGL z%qGeXieK>gI6MMO%HTcwyo%6^f<RYug|e_RyMtN@o=nW3<BLJoGc2%z_{*XP;jf?` zW`=|wW`@ijgukZtFf)MgvL536#e>$~2j_37zo0wk#2XmjF@iD?cReUIl$kP{En;L? z!lKBb!^F!Zz{JYO%F8sHnRy;F2a^zs92+YyFCXZ%MRAbV#KFzER3=ttP$BNX!paO@ zCtt?I%(w{DpgUj<@s~g^qCKbC%gkWf%gkWgi||)&FEc}3FEc}bFT`K4egQ0<!^$ae zU@<T-Ji!@X@yVdWe?ez{tONDb_~JpHN;YLSt6(_Aq6pqz#lgf2IvI+Mm6P=#GxH&4 z&{7#5es(5qM$m?1CIJB^E@3`Ur&WiEl^JyDSq2L~Gao3*-~w#?tYCp;CT7M81_p)& zHV}XQ=taz{arZGZDD^QjIQJp^dA5(4fwP~P!L=XiPuM)j3GC(Kmnm4vMM#;*Bz}SM zEaQ1b#tV!qnZZ=ec}9?D|1p{}o3WZQn@waa<||PyW+`zlW-U=_WfW{<Jk7}Pi$#%x zlZls&pO06H$DGBE2?Urq<XD8+nfSQ`n8cX`L|EPALM8XJFdt%JJ;=g*iiMjAbi6N< zJgW$354I2+3oDZVCzCLn0F&?pVZMIQYI$Y>7A`*)YgS%uCQc5nZ$hm7!ptmu9IWiD z@$8<0K8j4NZ1QQ6!d&varjo*vtWu!xodzlyK%<tRDF{%>s>;I33}S<(C_u}2K;;AI zdKp_5(DXB8${jkoiK>^4l@+d+gOweumy4AXhu(jn8^Bmun3*RsvhlGhbFi>Gv$3)& zaS3vs1|?bs28ILnkoY{&kI0X=`k5I%^)oYwPe8=y+zHGKk0vlPs82+V&pG?C$7jS; zEb$2~lRq$CgDhs7%?w`Cwwe*NsBH=31SV5vvtUyWGbV6BZBb%b%vzG^sqQ6N%vs`D z%vIvWu#XM20*xDd;x{iJuNbd3s}VR_nK{K+xVf45nFN@mn50;gzOpj^VdZAxU=j^v zwPEF9W#Z*vW#;<L%JGAhX$dRmR940*tc+(Fg)T6vPG;re;N(ziVP*Xa9^27j5=~}Y z$)diAg)54ymX(h)z<^0|0xQ>2R$g04R`6NVTS4&&ia*dk!#oyN<}OASE@se;;UGcK zC7RqUT+GVQHOHJRT+Fb!CD3RhG-6Q=VPj>57{bBIF3Q2mj$#NGD<>xx7bg}&CNMEE zGX^tpFfy@lGBdI;G6^xNGJ{e>CWkt^Bo`;ACkq#|7Xt%>GbEljOhlab@o*wD!>5VN z4C0dz@mw*9nPI^sW`+}!An~ko3=+Q|aKv*?C2Bk;reu{CmlWiK_ff-_u<L`ld5Jmt z#g)Z+&LveA3{2u180RsqXJp*K_?i)Pq3#mKm_pVf;d15*uR_)$2@wHdwpK=#Hb$*N zmLh>xM%FgQ4~z^iI24&UKqo*j@$v{U@vLWO-M|jYtDN%8O0s-hn#@K_ERw3Mdm-Y? ztQ<^?OziVH1Qu~HYcikZVEM(t%E+R@ZOzZazMGw84?7DJ&qof{7ETeS9gIv;mRt-B z4?qodP(ufVL2V-t4Vn>z(2(=A!RkQAr9m$^6o#&J15H4SfFp~aQv|Y`F@}ki5mcsl zF|jgAunDlTaj>v!F|jZTaIms}U}P|Gg~W@;WJGydG?|%U!enNKS(6d*Vl{=Cp=b&- z!>TEec)9Zo5-&?6A>j|JHy+G|jDKk)K*V9~T}Zs76(!~(dd?6rXk0Nc$xmQ@$kflw zIDz>KGnf(wlUo@3nL(v(D@&nb(J~f>Q=IxN!W`@zT<ij3scif_vP`@xjNciVIfR+G zzA^F%u``LVfOcoGurM>R@p3pw*z?M;un37TbFyhNYq2qjYcuQcFtHwFV&>px;@ZN* z#Kp<PI7L|Tq%bcF2QzCo6GsmdD-SC-hl)58iz--5i(@Mj$1WyTc2+}m9xg_XP9}~9 zCSFrkPIe|AFD_43ehFa-17)UiCh$JgdL~xpxu7#QK?lKuE+nmDVPyt&xj<7!QqV&e zu*7IR3oGkfW)4<%(1GyrJgnSRe5}0o0<8QZ0$lu3Lac%!Lac(EaGGCGM2HQvc&3So zNr7=cBZ~wx51S&Z6blElKMM!5GYbdvBPNChPe}Y~OhuHh)>D}o?4~j^I88;wFRZ?g znF@(tLic4kSYwM{&^{mrCNG91j9v^K+^n~mSU3cjxUVpAiZOm>V&ULs;<?7e$iTqL zB+tmjBE`(az;FTTz7t69yE~Pc;ptRnhF3`L)0)Q2U^I=H!D<@BeYp1Z!TfQc2b=p+ zQqxjFr-_O?oMW`-W>^Bs(#yCNIanK+!7ly9#LvXo$_#QT_dh1ab_lD1nXwbXYGP*W zhOmAyF^Nbqae<DwzRt+R59+mC2Q6{s0$l<u&nUnm#mvYi#mdMb#m>kj#R)rllHq_C zBtCXbL&V3~Y0L~4rZF>InT8o3PoVxK6dx1VvH7>SG$kLjWygwP38NLm9c~#W#*Gm7 zFJoqAV31{!VuS=I1A{ljT?W$;<7ejI7#JL<Gc&kOhbdxU#?`)u<@2=6yp-bfj7)|l zjQ$MYxaC-wnOT|mnS_{`K-YmVFa$vDS%75E&gskyN2fD0oSKdp7v`J6%%D7jnIQz) zUTZ-s|6%rl_QyfW5tv`a3+{ooAo%8k;`baALm!VKleh?|*y8{%OXBBY<!5DK;t}Q% zR$%27;b38higU5@va&F7@yqfsv9s_naxig8u!^&q3WJ8%K*!U8vM%Uw70~&wvY<w4 z5(_IUSdfF2-JOe-6C}962NI4mW+2Mb{WF*uF3ezNxH<z7ziKm?8Nz2WGjz;^ge{?V z_ztxEmYiQu3GQRSyX=rq0FOo%GcYMVV0*-JpN;VW+YHA0Y@qUUIUB<l9wR1UHqfqI zUJgz+6(J=iStf320e&tMHYPa*4K6t*IVQ$-CdS3gJRBlS{7YDQnS?n6nLx+>@Uw;U zNHYmIYjBuyFtf8dO0t7z#LJjinWuwhn?P3(gIe$)d<!H1K6V8<&ID>*LK_`8G_bL< zim{2Zf-ckr4NQSnYeTQS_`}4+$fVEw8?*@@G*09X3Evwt5&37s5eA0mGnpA)L&K7o z@t30f(!3PV;EOUt2OnssxiA~#AeR7$`4O`q@d7GOGG{R}RLx>$=$(a#znIy~4BzH3 zGfbEVQ5OK~U*tmaA*?<G=?7t!G>AAX-R7m1L!ysKX#(3^wthCo32aA&`@u2goXwo` zh`XG*;sawYSKcdbhBbUfOq?tnJGq#5aq%&8a7hU9Y8iko;RazYVHqayu3KIX5jGY! zP8LosPIvwgHYQOvaSjP4F(!3BQ9jTfZV46zCNU;n4h1Gb4t^$PCSFDk0SOLe4h1Gr zCIN3=CKg7}po~Z$2O9?uCzCpp9P=h-4qkRvMZ-|AWh~<NS(zWPGI5D<vNCe8NHED< zV)S8>^p<3myvo9Lf@K9GQ!$gItYoMVlQ@$)lR9fJ3zsmH1(T#TFUMC#COZ(58+;D; z98epl9h6EyM`M8c9H3Di(7BZ$8no;QbTKuIFTf%SJ~pEWt?C4+gEWdD{S`!&ik*+H z9>V8ho(yt`H47{F@)nSRphh*Qt_HDT7?d(WY=|brmE52+JV0vETWX*UY#{X@Tn=?O z%nWpg!pwxx=<<a45Pe+Cpf%DU`#>WEAR49*BG1Z<N%J!oLfr<<o^wEh!0n(3V?z#B zc3Tcsc4-b)b~z{wx<A_ri4Tq$4p#Oe=)Kvn<|q2S+AwikG`f1wJctMn7x!e)X;Rh# zto)!;JU~9e8Z*!~FvxKtphcKaJ{OqgV#gaZ(AJcg2&=G&2&*tB8qEXc!)SDQLVO<R zP84{|h_Q-_h_Q-tLTMfeR&fytR&gFFR!I>lR!JVv30X3%(mZnfvS=~m%*4$2h?|9( z`2!;x7waq7IthVTNO{FEA2IGDGoP8kW<E26=X^wYRX?AZVbXkNhNbf%<rSg&<^uY< z|BNEg>DHjpI?(LoJkSCz8GA+t(84%mY-|szYt(Yt^32MaD=srK{1Q-PV*Sj@_KlT` zjf;bqO>{N~^E?g?9w8<+HclaN9(E=!5n<3eIYBOdE^aP%NmlUo`Uoag=5!W*X3&~k zXxan|vGKFofIB<j889^_HbyfRX6DP#(F6vDfH+8aFf4%h6IAw!FJNX+T)@nrwgAz- z$XdY6(7S+{0d_8Z&pAkc23Bsv%4yJ$0eE3Xa6w`o<VKO-O#2x@ZHu|g3{M0VnS|Jw zSU?Mp*_m0HSXua(cv%$rdAL|vS$UZF`I*F-I3)zwgqWC^SeZDO_*m39L8o_fG4eCX zf`-5Bq2raH8WMzqK%=%StjwThg(3?pvp3|DbI@=Ys7}=6U}c9Z;bP@f<YDE8DESZ% z@wdQ2W`+Qerx_R+R2DKb*eqmbh+K$B2l9)U8NMxIW;nYT5<XMDK>S_s6+*-OzX5u` z#+l`)^;UXn2{<@>L9?0i6PRy9x@yoK+e}VKU#**|oQI90s0!SH-pdH)c$70&+~Q_f zBjm=!!^FuY&ce5jk##O;wu`5ekwbu4o=t#NjEhOagoRs}i<^^!M}&*<B`eQwZjMtz zJpQ6gyx?<yn4FkhS=@NUHJCt4$QfS?v%C|Q5OA~9U=n8J;1c0tXJTB*2GPVX&dJNB z$>+(*B;3ix+{4Aj#m~XQCd|q%#=+0Z$|@`%AjrtfB+Vtj$?3?-EXc^oBrU=r#=*o< z#=4M^Rn%?=<76f#N$v-XOp>M`7XN)lCP`T{CaFj+28KhR5t#j;nxhXybb<)bV3<D( zE3+R9D>LYDJ<t#eqSQjv8L0ID7c)o=sNDpr3_)yEd5}I(uOBi(N~)O}(DEH-CTQg; z$PUO*4#aK<8+^vdA<)5p`$6S@A0rnlXD4Xkx(ORAt3MwruOAO9cdY;`zdE$g<c89) zE-7ju$^|Y^CB;}p4Wa5#<w1olKSYfLt2n7<YM_}Z2rZ~NML=dk?1r$}pes8-r*~Gd zv9RuC<ltfA;Pl{O=Dx+vz%U^Ll5Y%_K=K19>sV}LVsKu<%;3HRQC_%hWMTkeSpGn# zVdX_`K4>>ZVsdse!xBafhFv0jOi~~-4?ykNu!I?Y55a>a%nW~*Ff%YNMdZ(hrOXVI zmNGLeSqgEF0kr>f;W%pk{Ll;;XK_Kh&ontdFFCQKxCC_R4Se`Z55jjzEJ=i|C}omg zz_g8NJ`>{trrC^Ost!yh%xB_aD7($dV4%+-$<D*U#lp$VA;HO~!KSXwt;fX3#RR&> z5p+%?3;4J(Rwhnn78WsPRt^PLR`5&`E0Y8hXjTSvz>g3M7b7dX8KYVoBP$ax6Hg~2 zNGE3(BU3XY7b`2bG(RT?vlKHkvoNa=zbdN{Hzx-pha~v+&6S`G2EI4q2oq%W!%9%v zyaGy_N0=aETQgXg<QVyxm>8$P%l`%0koe?S#>@ac@{B=l88d_GGG+#iW$^f9(Fe&d zV`gx{vHqg~8osc2MGjXZ1}5Qxz<dsdvK)pIStbwAULYqXR%SWSVl5{YR#q?r6zdEO z0yz-(%vgrFA8y?;W`?cHm>G5;xo7_}W`+~Xm>F(tgTyoXe9Qzq?lA_rCj{i4e1;lX zCU2N~6k+ZGGa&A1fVxLxIWt29M2Nv|IWvRna%KjP<%sYJSkBB4xty6H2b%uS-LnCY zdrUy?2?x2S2z*MUAIw22Fb9Dd5ZiMh;j?2oGXw07dYF68VR26d4)<KZ;~rCn9!6Ov zuqzlEp!R93K;%QG70e8QE0`HVS0Mafx`LUZX$3Qbz&=QPz~<Fq`F_CyNdAMR5Ad3p zq{@=il6**JV_*^wDDY<tZ~`w5=4L2+!pJa#Q4=)nEyu<u09xtHBn!HLQc#GAlZi{3 zA2d%>$pl*O%*t#H8eXVm;b#@%U}Xn$3i2S~bYle~UB6wy%<uzraSOuT%zP`E8N^pI zGekhoKSz%@n0t#7%OUP8$ptM-D)7r^EHKOGVkle2$ncI4bj}eImmaewI};N(X#67! zv<@HSs4Ny%R$V4828Dcxdoorc!m(*3GeiGMW`@Zt;o-=<aV0au{*}xO8}>rN5$E~h z;1a7OA0Ce2jf9Dy>C(u0E{3u@j0`)N6j>yhxL7#^M3^KQm6-S$nV3Q6e6X`Kft(1Q zrvgoXfQDfhrhy6zQ4UsiFpq(Op#b7uiB$;q8m(exa9YL8;I<0k-j-F&4AWLIGbBLQ zPY~taqS8FjT>k>b{g6`*tw1Lmmd$2nxWELO2xVrJ5n>gTU}9zD;R1EY+4$rbnV49Z zn3=_x_!(uHxY$_PL38j-95Vb899-<ITpYaIpxcH(w>N?A&tdjrVP!T11wTZDjg{34 zbUq{#7sG-=h`&A{`HO2cGlSG>W(K*{2!92vW@boU&CHMk_17C{e8AE(EM0)ZALJiI zI+mZnw3iut^^!Q~>ZP)O%nS|8`XW3mLQE=*985xtylg%!s(h@>dYqhGe4Hv=oGe_t zpz{GZSy<V)SqzwYw7D1<a+p}bjrmqkOKAuAlwq(C8!PCvJXUsy5W|9Eh<{G3MvOz= zTFuPxYBe*%JA{8&#MUq~sH|aTXn^_yJ%1K-pytoaJZPl|Iwfy9Xn-&=pRs@ql;RgM zF<fERWD?`!Vv*qEV`XC#XX0gN;t=6BU}0rr<75@)2W3zhHqgq$CQz*e8lj42;$mQE z0wprgNs?e5xIDX10&#!G8bmsqwT78t;TmR!rEB2v!LoG?GsC_$%nSiI?$1d;D_`LG z6?EurhCeq$SsNom8;da$=rlGi7Je2k&{TjIESq{kvnfMDDa1V*YZ2jTyOx>3Yb`TF z`dUQ0W9eFEhAnHE84j<7v^!=%-Bp0Tj=dWat`2D9Sw)HEpwbVVd_Y0G4HU%dL6@ME z83_gp`GvDYC<*Edu?q4CaR@TH@<y-<Y6@{N%wsY7&n(2m%VhG8nUzU~iJya?iHn=H zorR@`MUai3mFYjT{x4?M&&)hum^t1uGtOh-)o1<5EW#}M2bAaMfT9C*J@-^_f|>)0 z4<`;*b_myqDVWiZg@sv(NguvijhB^`Nt2O_;X@fDTpZRR!X<PaGegQcW`@Rfh;TW& zj+x=wI%bCF>+pxm1!%a`V1^4QH^IWCf$=tY3CIc1I;yf@K|i7JaLx!>w2;vdQeoJ} zV#FlD#yOt_w2;wc4htl7BtW6Fl!bE@iy#{pE7M#S{TVE*6IpmBv2b*=fI>%*bvo#z zju|ZA;n^La@BxikFNQAi+W`t8(1G1BfnX*-#&8x+W?8f_(qIH{4`et{0STvo^@#E8 z#P!S!<?ERls@KEQGxOB-%nZxcGc&M2>mBs<Qn2zR9Z@|lVC-cCAHN_7CON=`=1E3| zA1t6%v8;@&9I{NHCZ#Ns9J>%32dIA3Vq#?mjpu+y>nuPy5H7&R$_f^kPziCb#0JDX zv)Tq`2Gb4943--Z@fy2<nE`~;H$dXG1#KNatXu?VYS4W&T&VrvOwje|pv5nF@r((9 z@r(&V@!Sk$Ul|$Zv4S?U$uY@Gu#2-W@iVhZ$%=C^a!D~svNADo2=juc6J~<q#TVp( z942-~2_{)a5hf-^a|Q;62~`mH@7RDymq#}+Go0MO%y1U!R?zr1p?R3ZlKe~tre#bF zWy_ctZm{xk@v%tiuqd+{F&nZnu`uzl@pEu7aWJv6@N@IC^UJZY3G%S9iLf)W^Ro*x z@vCxhv$FGp{C=Ps;+}|&i1GH!jm!*{8<`nuH^SqeW$H#|hPfLN=TX-{(*Z0T1==9x z1}q(b=85u3LF>I(7BDV>qywS(jEoFrdl(sxu<<eRnTfD4@$oV7v9q!&vdA%s+Y5sV zTqag#QxMI<%4!O7UqcPVeIGVL;t?bkzJZB>eG@YS*Cx38m@_vpF@P}4J?J#dU7-DG zmBl5gxscI$IU9y5J{A>FOo2>hU^oD^FJTiRKg3otG8AlLW++CoudtGlp`wzJ0oOcb z5#*97z1-B?-2Ads2Dt!+9gMO}N{}<m85jg=A^uu%hJk?tVl_j64-><IP0S33H^Kdi z^PCnr7lu=eygWQ?Y|QLTpmSQGh9p4Ewb;zekN`C|bu%+V&1PnXhRullVYr2vA#V#a z!|E*%yI2$;>EA;WLc_ujY6L?>EJPd@jv88Spt=5HO+CNV3gjcG+)DG3HT7KcN{T8O zn3NW<Oy{1@!nlBCIWw5jn$N<;pfio1VG3gilQD+~8#Aa}Vi7*a&U~7kjfs!rIwR=J zUwLK)URh?j;%{smEG+NYSVaZYRGE}nIXO8*SeQBZ*|a#AKoj@OEK=;C?KGgfGZi>% z{5b;hL^)V&nOH<Pn1n&6;xe*wunPN|@<xG<caUaM72#myxWmSLlZ}ayML>d|mCKw- zf}4|vNtU;erG$-1n#qjGm{E#JfRTw!n#o^SLWGN*%aBW*2Q&?Q4V0&~SXh}SfLb`c zAOdta5@>}LXjGjUv?#L?BnleXJq}_#0uhkg_pX7;Wi2*V)(N2gZ7*o-C6<Sk+mw%$ zcMg*PE5D8qt6(Fe2&-@*4=eX^Mln{=N1(-;5Y10PtE~PovG6djWMgAy{RNJ(R!I60 z*or7eG`2D`SZ-xzu-yvJ7cAvlnHgqoWoFR14{4uofW|W{emAtD=G)YYg2cQO=k$C? z^fEBXH?aI?{?E+Vz;clBKQlLj&TCeNZA|)1dQ5yQLLy8ee3Ei(s!S3rN}K{LQcR$o zYXaPHBK#tpOnfZnx;ji8jNYKrntAzI)IlYD8uZK;P(XqX%xwi7%n-uD$_!fa2ySMj zv9Pkra<H;%fo5EJSh-u7_*i*EI9S;o1X%gODnM!SLp#L(9NWO50TN=6*~ZMEvyGX- zU>n^3%thOn8G1ot(D^$NXuAjIe^`3X%}*)KNiBw~92eifxRwRHbjSd-a!BVt3&SH; zMJ7ciA<zsnhbfaVlRJ|;D=RxQpD_3|I%cjS1#u=$CLSggE>R{fYi0u;E@n<9eP%t- z)FTrUk0Ep%7(AB>YS7fPurkjBEhsPml|7*G-|3(TcVc5@t!HCpg-aE5LHzjv$)B9t znHdDOGcyQpNBC2IJ2QjEc4h`xe-Kyw4Dn}5Vo73IYEfodCFsfkYkdv|oiK)bY$~98 zz!{}M-FbZ`R%U5XcV3@`l@-hY2QkA1sCyc=BjzJ!ZD(d!y`7n1J(7Ebb}%zc-oeaJ z^A3{kuE;{l5A5NNaE~E4#r@;}r?@FB|CzZMbhh&{ykpbn;$~!KG3Vi9;$zWZk^t2k zEc`4iETU}FnV3YF3K`{@bQxKhT=+RSBv=HPS*667co^BZbXYi;<Cqi~d6}db)mXMO zGD&hvGPAQwa>_A_fd-=(Irx_{GD(3N*9$?jmZ0@KknvM4X4sf2Xnma+3qLdHyby#O zKQm}6nH>u&^Hfk8&P5xD1!({&24T>wX8}~61H4!dv|ta0L4&{G!P$kN$+>v&v=%En zCkGe17<eXxm6el?ixp&^K71aIixb2LJAj9kdnzc4<bqccvGR+-hfYC5rI2|qX%SZ8 z0_YGbhX|K2Ckh6sV*?GNg4!n&A?dARC!#&jwUe1)*-mDLRXY*&$Gx4*41abqGf3@% z<i9(xeMZoG1Yq$DNi_@%A?%R&hvhd=HQ|<D6yOXR2Vlzn&&b%obb<*?nSx2~|BPG= zI@4JguCXgJNzY?sp3TU{$i*S6BCgEJ<;>#4<jf_&%E1P@-$<HCnu(J|h*f}5KvGPI zTbzr5K^J_?5-W2I3oElV3oA2d=pHoxvKZWt0L!wmvc`Z$UqFY<aj|l0^00D4WNnz3 z8E-&*#lWy(G9)}Yb|KmW+jcQC9NoptaB>$SJnrsdW_Y!Wnc+J$JYel)Sbjtgj}p+% zZUzSU>JAMpP>5*if$r?Y5KJr0OZLo518u(wF!yH+F!5&$5cKC}&{@F9(8Hm~#KpnE zBJ-Vv^AC#-uMnu;6wCygRbXWX7cO89Xul7rr159sWb^=?Gcg6?FNfWTc#hc3%n-es znIUdB%=Zk;xb9zs#UrSlhbU7D7?{i$bj%oTaY!-ofDYbgm;kls#BN0R-Q3O0@NzdZ z!^ho-@KfKz%mBisdmwgu5NNOeKpPhaxdRkTpbex?K^sW*^cf8T^|=^yqIp^PRxmNN zaDsXroLu}&>}(wWIavO1aI*>X@G!BkNO3VS%CNBrnXt=R3%Llhv$IRGbFgy=@_`Z( zr~|SZl)vRbp%=}>!ngvwQbl1JB>WcaL5$a(*u%{5c@HxK%U*=PrtW2C_`H{yA#fkW zUq0s{<slC=zo4g!J$0!0q#(I0F{c!<rV`3`2JNWuPOW5Ml0U#SnQ=c8;{m2-W-v8{ z6-?=aNp&#U$he=0i$P}+GcPY6Bd<Q6FfS9|X?BJ`occ`sB7#hevZ4xXJj~o|Jc3NZ zOw#Kaxpy(L38;g1Dl?0*F|kB4v9a;nbNjJP<>Z~g$=J;aZ*|V%;LhV{<z(vMWM-1% zU||*Jljh-VXXNT&WU~}<n#jbW!X&`N!7Rqc$|A&(&Yr}?Au8R;$YsXOAuYjS&nhUr zf>BbO+n<%&4zf7*GN=e%4hnA2<S3}<M3ljhMIW#=4hk&%%*D_m6}AonG|vUPuX{15 z-31ys1Q`GlgibI+O=n|eRfHC@hys?C6?8f!546Bl;Ns^jhO!YSR`RoguK8XJnlqE& zVdWO#VdduJ;pZ0NVFT^X31AXs^kd;>zQV}H3p(hDiJP5+jfwR%JGcnEFdLFyF6=|J z*FNuKX85;{nSpgbBE2Z@XJ*jZ&&*)DACg{vK<6*e`&%2J{jCX!sObfi2l5h;7U3qt zMVQ1B;^P?;<m0&*bS%BJJZ%~FaVs*ho@8R)!^F+U+R38I#M;3k!Y<Ci#LfoVoodU( z${Yep_O>jntYC&33o|1(BP*L0s|b@gBNOD_0<ixI=0N<nVLzf@er7*2!|nad43Cif z_isNl1N#AH27v<*|4o3-hoJlK0@QyBw%Gj#I)n&RR_22)ofc0hjAu*`jAu+>19{Hd zOUu)Y;TX3f3+rVj<||B0Yzi|$o}0xY!Yaf9jx2vBR%USj+@FP&70dwL3ZulL#cT%l z4uis6h<_RmAoBO51I!HT4=^)qKY)m@hX<G$z8qj?U_S`)j|vX|Oo005hYhBGax(K$ zLAU5!V7$u+-ha{!q4dEVG0<idolQ($TArm0FF>C9%EbJQiH*&T$(0E-{3^~N$;raS zc^u@$6D+EN1_ENB!DUcO8?-K^0hAkh!1W$Nh>evMA_VewCliYnb1B5%4D%uWPB@4d z-z+@H%usodnW5$&qP^L2keQ+PATt9j{?O|=c>PeC2ij@~I<>TcF|3}0L8p+RjYpQr zmyw-;!JdhgSq;?4v}a*u1v3~Lp!PpFh)73Y4l*;a9AakRIE1iY_7F3J+aYEKkE4+C zbjJ}04J%KP?Jq7!NzExqWB_gSNd|}M14eM5K836vbz)*?6J%#$xy;DI!psUfeKCp& zazY%amjWt8grSW#9w^Pt!Vfxqn1LaRg_YHqjg?gkTA&Dnc2RI~aq>WEZZ3XKPEZ#5 zumBRS7Y-r9^~WJ*2G+yO3_OPs=}GA@GlR}yW(Kpvko2?xn*Y(m7aCg(C+tzvQ$aGg zEsa)ZfW(SR5{p1*wKXs*gJxY~>bV(oe0Vwd{1}$;fHpk{F><l9xAJhb@i6f@fzB3a z1a)}9=Zb*3wX9&4D-%0tF9;*#%sK`Jh6M{D{#tPukx%v>W@b2bn3>@slE0oGW@h+! zn3>@>k^cIC+h3rp0`)`!%o9NjTVS3Lg?d894djVdxF<jp6R4gD0JVb_LEN8l1W_(m z9${waIKs>@`v@XlP9I@rxO;?|;r$Uvy!_Y&NpBa>#?N8#asf*|2gL#dlemMbJ)?tz zJ)?t<Jr{$HKQA{QFE0z9FfS{gH7_flAj2UZ&>kdVMm{!{^*r30c-WZO*cf;6u<YXz z-pj+fjmM0G6-+a6Fmp43YMy40A3@0!RM!cDQXA;XM{tG4$-&PK7V&4|X5?jIVHRd$ zWdt>dA-B;nFc>U`_&?w%VqH<}QD%m`qs$CNM-lmL-BD(SJx7@ta-iiKdU}NAx8jmi z(0pUUb<m!{eh?uEDv}p5Gd$o?WD{dzVdvmr<KSl#Vp3({W#MMyWa3~1jrcON$O!Ut zNwe@Xv+^r|w(GMi%dm2?GqVbEfHGtcs9^x=e+7fQ*8}P(!Fk{yf3O7N4}oKdcvL>d z%%F3OnL+;;B+iH#S1n3SOesq&%FF|ua%2z=I?94UCzW9mFCU8#A2T}>GY=CB6CV>B zmoPsk$l`#d5PMe~L-dCq9b;zrd5oEX`8Xo|gdS&Rs65WhF!wmbEwFWIe{i$|mt;fo zbxCG!s$OtTYH9&euK*-iq^Xx!3_8WmsWdq|6)^&rl$Zj#3J|oZdjjK0Ch(cP3m^yh z>VS^!?O<HX6lfB3osnS`qaqU@2Op@<&!NU7#U#O`7$_3L7|g^Z6(Yo>%)vN|*<?Ah zFrz556srK}*mfoXHdaPv&~8swc1C6n(0yD?yj%)=OpGU3W#59LshEkCxd&9=fTGlp zg`e4ig^L-qs1tO=xCCUGM==Yi?%`l%7v^H+1gim^bIilajilxnBa;Xt==2LS7A@vo zjBK(X!$HB#Fkv|)yctd)`V-<Om>HB#Ff*u~K%`fr6U+>@CkUTcmY!dfUs{rxml~9s zm;xFNaj>>$bda`ZXXs!oWN2WLWzt~cf((f`F!3{svao?FE(aEVR#DK<D}%rah<jF? zK*ayf6U+=pPcSo_I)RA)Hz$}G{-0oG5IPBo{|4y3Hw!2YtGB?OWME*BK-&+Hn34jH zb<o_~RM6a;Ts{{=2jf*nh7Kmh$&4b?7#XKBGBL?dV-#XiX5wRHXW?ODY+;q{2ZdY; zbXo;eMrcD~A%%sN6?E+(Xg8TQWVMYVILs9p!yzY*KwR<x>c4`Mi140%l9^%cNoIyk zClTTO0>nPW%&-DF|BOCg3o9QWM+z4eLsG4#9?V}p`N`R-pyPigFkXeE)+}(+Erg`m z%ghYRm=xJ~nD|(DL4&kxJS?IdY#eM{{Ol}D@|-M8pnd`qCkqp3k0cW}KXV!*3+R>z z28M;8!ZDeJ9lRYDlq^9zwVXkv2t<aBofWi<02CH*8PGn2RgidXIECmRPdvrUu;3Ik z!_rfTcs+QEnc>PQW`^gdP~)`*Z9NwxG#MCj(E6>QmPuxADk!@qRL3(W@WnGG$i;)A z_7o$-6VQ;O7$=i1I6|4&ghly<7^kqvZf4<PU@(V9svHX|GxUZ@b7-W>aj|kbLn3uC zBa<v>^U{LV5dT=5M#N+MX=aAv)65JNrxEeE<1{nFvD3^9U!eEX5gU&%f579hff0OQ z_A>Bv=nBwuXb0m;W`;gy@TO@dRwmFn>q2a-OkzxuEc#4>oXmXe0>bL7QkrbW9GvRR zLhS6!9IS%OJfIO&Rt{E1Ze~tUvle`{fe{NUvkxc^!Iumeaj>$3d7%1yE!3Z95c$#T z3^PN>8D@s0Gl+P5cZQik;Vd&l&{;^lF+lepaU6iu>*)E>ClgX0!_qN0GZqx(gAT-g zz_^j^J|ig3uCWBF26-BDn6xo6d|(ER7_%m*Gx3FTaWQj>aWI1x2Qjnq@CtzTFN!kB zK>W?a&c(sQ!p;dAU1s8B5)#(bWVK=C<Z@&-VOM5WVKrvf<B(;RW964%($!&Q<&t7$ zVPRtAQ!NLbx5vlA6~oWR!o>cPiQ^p;CnG0|Og5_^Uj*YhCYk3<9E{w|+@M{jIZRB< zpl)(AsBaBghg!+P$_yI21POvLXiKj+3p+C?WWhrn2pw#!tf0*Tl^m?>Saoo*a)NbS zV_{Nd^km^+c4CuZ)qp1W4I3cwvEeKtJ`SE`W;lD6nc>n|M7sNMmYIR^95cfVXnPme z_%$fpvr55hngV8k&XExE=Vs_&JPVmt7T{oKl3?Ux16@xk!N|$M%Ff2YFAAQL^=4va z2AvuK+TCsjN;+@>Hda=!0NBM18zJtEIEQd={yAob;&aRl73ZL7g@J)t0>}6ia{2(d z6P6!lF*0;8&SGSk!OFtM!^F;{%*M<l#LdLR%*`Ys#KgtM&cw{b#>T?L1uCx@7#I{b zLEQ1+98y2%95VyUd1eOA^9XlLAmEPT%(Rly0$7W{;1FnN40Pw6ggz*}&SqlhVN+xh zW)o&%;$h+DV3J@GW|M$kNzcRqTDZZ&!VkK8lZly;Nt$1Zm7SH9gB^U0Dmb_p95zGT z*>N7>&UxpV8P=U=X4p)kJ5%z@^WZMs!lch=;Hb}NU<Y>THD-n#Y>F&kmzuB`^09ET z@iVD$vIuc9@iVD%uyV1onZex4%Eiyj#>@{omw=UvnT=VRm4{h?S(;Ur--&}=K%9e- z12po_4;~0m*a~rfzy(CP7<qx2A>jfuL&^n2`pmt+%mBi$asizNrAxiM{BqFp9Z!D_ zhFy#)3<|PLVoX|~+c`i7%Ys&BtAaYa;DDO|wfDgVME&yl0y6{iMP>$xi->Y+!$oF> zUl*AfTrNT4JmxB-eDb*tp<(U-8wxtdGaj{^3I_H1LW&ZTQyG}#FEGvI1fTLAe4dG$ zp{S2hlvj*VlwT}b)L6{Pn#*PqJHtCBeKtux0TwAKK_>2N%$!Ut7nvC^F|#poUSw8h zGG=1D!3?_do{xp&Au}tR4HM%PcCL%;oP4ZYtQ?%I>`cyrth_=Bpq<msoUFV;Dje)A z%&dakOwuf&Oz9xLAPY0A3@Z~WmjIIh3nv#hlPu^^3?_CqX(mA?7Df@!bqH)cECMX7 zOw#g#g#t{hOnS0h3=E)?f<Y}w&^(GB3oG+8P%|@;g_Svy1+wrA#0DK93{oV|!paQV zb_P1B8+0N9=(GfL7A|HzP{xOw#Ky|1$HvO~3^XO1$i>PT$;HZP!^O%8Vr%g5b93{s za?A6ua)V9cW97v#sgIF~ml3o(IGV+n*@=ydbrNK-544_S7bIOBfW{jrei$z^GYDR0 zW{|p!h~IUWnHfO%{bfk}!r~L12I&Xk2V2nNH@^T9z3`F;6xnX2IXQvg>wZy$ol4UJ zN?>O`C3LdJGbY%^GbW_Qb1@W6V&;<NoX-q8u!c>NO@M`W9S<iH%VHkJB|Lmg%5!<- zm^hhOKQl6aV`Tiq1lmc+&cXhhiT4K+&qq+%(a6Ny!o<$R_=t&}iI0h$gZ(iR6Avpl zIF|W1nFLr^IT%ke%U)v^V3K1J<ltkIVUxYe#QcDXi%E`^hf9PBw2Xm^RiKNBe<Cv| z*15_gStVH|*`><47#K{MSi$`W(B9njjL=Sn1`8MXR2fi=gO-Ld8?o>+Yk`(WK?jCG z?Oo{DZ#X!n3>kIUm{}QF<e7O{c$mdGnAthFlsWx*WVzcI`Ivbtx%fHzpi9m7LE^9B z3Zh(CeTA9f&J|{ccUKVcxB4nG!|$ui4Bpou@rSGa0>vE&+w4P)KTx*=NBp^@!Y*uX zV7kl-o)nwJ2&T%x<VpzVDujZF>40X<iuSW{$#LFcvShbnwAQiN&ctwuRgq7CNtT6A zgl8c$^Act*CUz!nHeNn)9svPfCUzDlCJ81178~%juUwo$Tt-Z6Y^<yxRf5c{Y`jdy z>^vNxd)UmGco@Zb1egp(h1rEwKm~z-0OM8`xg9Ku!U9qvOae^GOp2@m^I4crF*ELA z<YHoF;y%d8dYqZLkCpu(BRlB4yz|VgmzZst1^79qGqKNNVqD3nvxZSr5G=>!$t)lZ zH-=l#gF75LaSm>{fa-40_NaUoR%X!Q3m^=dNC)kv3Sa?kcHv@f0hJ3~AOe)>K^qG} z$s+?Q3m)nP&9-AV4>bD@-JL~(c^OR1j8|A$<d|17axk+qvPrSBaxpWrvug9Oa)<G; z^D+wP@NWmt8Zj^!9D$^(8`lu+i#OMp8U9~mW?;XLNLTvTnHe0eGcyEUCoWwHIHRVk z5>P1sN?hQsg@Kwrqd}BDqk%W{OwOXsjFx;>mJDs|icDNg!i+L(0!%zi0!+fp5*&<7 zj0>4r**NDh3owcCvaqv)`VXL!sl-`W!Fy2UL8T~MfQ^+EEU+1L*##%FB`B3MFc=(# z`18PZgg>ucXJ&YKotfb&l0Ug`Ff&NpU}jK-&bSiVxA?*di$4R3@{`>l=dl^o>4R>0 z;bth>#>lXY9dxuZH|QiaE`ggY9Cuh4LHiLwrIV-t6PEywBo}Cm5HuNJ%)-ws2J#nZ zLco}fpB2mrVc}qAWRho;V&Y*G0ekEN)L#uZ5bd4GH<%d~-(Y4~egn~7IC+Da;V#H; z1jft2@#I>T3L0+`-@v$z5xnd~ZapJ6L(zLih9B&TOhOX8TwF|?p#9q%EZQtOUQ9ep zT;ibHcDO(lqaqV4=z?-qX3%8{0+9WL5HavQ1N^K4Z2X`(VX*TV9vp+jOT<mYewC#+ znHjd-WM<fP6OsP(ZZR`N-eP8GxdlmoG3OxdjTq?tHL&^#Vk!f}n+7cL0`?cQ1q`c) zG_=58hSWrwpnHXo2ev?|)~Pg2Q!lBq1hnM-0%ITKNUs0j5&NR`tPJZo6q&eL_-?Z@ z-(!_wl4N3h$jZ#b{eqPhe2gaxBQu*I6E_Dp8w-=r8z$bjOswxfC;Gf(;!$Ch6krl& z5@r-t<knzgVPX?x;$!1t5#SGCmeFTb5Mokf;bLZG;}B=&WMyS$WVMr&<bA}%Hysps z8PEgFz{|csXCd^1N*G}lE@mMxhO9J@1|=Y{QZ`oBUQn|_nS+(RAGF#FG%+B=#l<NE zT6Vz0$_-X(#l#HS-pa(y8V}lt&%wh!lbM4Llq4A@oP@;Fi(82Kg1@(z8F+3pGYH&9 zq%)h_%nW|FnHjD?*U6)==Y|D4IM9PZ+j~7-Kuazdr-D1??Vyf%(LN@IK2Fe}vmgs6 z3p*Dm4B1(k*tnROS<IOD1<gP=^st%;u=2Btae|h@O$CjqfJRF|y+#R;r>BC}x7l;B zvRiVnvV%k}oPxOjz->hRdHXgq!?WAW3}0^}(wXQTW(K)C%na&xpy>=p{|}bVV)(G6 zGson@(#)b%r_!`ENO9+{&uCzy&&^Pz2yWr>i0O#3ia9at;8ffX%18&8822-=F|i$B z;$c!a$i#Y#Nq|WMv^ouRJC_E?Kjkc}tYC&BxZS|RsKdm{=)}Ol&~O^!uNij`<=W;u z%nbYPFf$yzgYehQJIo9q`~d1NSbjmLVg6c!&0j&O;G+d${t{O3lV?;Al4oZqG8WYr zlVmu<Da*vk#(SEHpNWq}=n#_-sIQUB#10ywG-gs|RAyjcI0JF7$6Z7`MBim*$hynS zkarj1-WzwB8GeA&+=IjeY<wTR9}aVG&uT33;FeQboB>W<OTnj!P6wy1d&~@XI2D=L znbbflLi*X6C$TfGgtZbEF$qj%XP(8*#mBmwoplvEBbel11D&tSxPwt}HzVsFMh+&H z?Tn&K5{B$%Ol%^|LW*)stQ;KMnfT{02{5yQa_uul-qVaypyF^BC~dm1K-Q6Ku&{%7 z-|B#G=>adY+679fE^Mr<!Yr)JSmfhan3)-wlo<usm|6KbSlL0DSDr_Zo0W%$yB*Zl zSK;C1R$+K>780%t?jiE$mV3+$7w$1LT)Bq`SHt_v4AJ+Q8Cvf{!u0@je;cmxL4|2p z!ZjGYc?x{^@<JBysmtkLQVC2dfDT|TddJGJgiDc0kVAmaO-PKzflGjoV<HFhG!9lq z9wu=nF($^D9L!7{Z#V?~SpztjSp0>#I5}9@B{_td1UT8ayjU2wa&YY6kY`od$iX~^ zgTs=QLx4p^UO7d`if<vP1przN0P1*!gU_pG1)q2YYJh>3R?P;LhM=v>>7dMmRV8Sd z6&ow-Y*4AF&c(_JR{0IIvell2nTdl*ke!u-hkY$07YC;^7c*xWv>d!}0TRw1?jz<G zSspMmh&*6ska&P72TdL@Gl1|M0^=dza?r7$0CLv+1jap(!DctmFmuroCWcwuicDfm zoQ&*j%&e?ztgM_&{wzF9GK`4H#7q`eW+jj}Az9%P#C;tP5dHYY511L&JYZ(n@Bk9$ z#LPP(szpsb#Qal+KBIv&Ls17K!y54I8thDbpgC%09wu%!K_(U^(0CCqD<5dx9@HHM z4-xH>?1#(@y$_igCO$-@$FmQa89qH^W)Ob_aoZK>`~$A^D1o+a59&^EO%ejS-$7Ha zpcFCtge<M82U%m!B))*L8FCS)GI%ElFPMbv3gH9o3Mu-|%+SFD+E<~)V#^`G<irIU ziQ;79X5wUGH0CwqWnyLI;A9m5brJcP_?X0$L340I+<cruynI|-3=E)sQD!Wx%%B!3 zXmk^F2sWsb02<i@)z%K6_`<3P6vZ5@?8;oMoM1)En3#AO*Dx}{E|8dT1scDP5czz? zBW8wekC+*DJwk*lBrz~B+<=BFq4T_02!|_ZNgL>ftp?DTognDqLWZJMj0~rE_}JK) z`B?;6c$xTEIhgo^xx<*a1lfdmIl{R?N6K?E>LRXLakvU`zrkZf`5*F_nIZZyGeg{C zME*&8%*>Ggn3+KVx;`3K{=@1kSUUnaf}!!Bms$=^4h&4f4$k%*3`KDaZ+K*xtU$Gp z9TO|F2x!pD4s@C!6Fb8KsC#ZaMug|9$IJ}BA2TzEKS6|N`V(e`rYFn{bDu!csn01$ z`_tqDgoe2b7Vb;X!ZR@^2WbWST*wOcdyJs<#6`)jC2l>;u3T=+t{iS`uB>j%uDou{ zuB>ic4BvS4L4#bMxm}r58M%IOb1;LB4VPmyVG3eoVqs&_U^ZuDXNqKGW#anI%>vr( z{*IgZEjPyxZe~X2uiUKfxS8K`^Zw#y{>jby0o0-Z-Fyi;`(XyCguD+TJXlznqd@5q zEXBskIs;TB-Urn)9z3kvV5wxL62=~8@CJMiMm8o^M$l<a%%I&;F#iVJfW)i9Q$#z& z;VCmi$Wvy9h^L5f<bB4>5crIlVd^tTIQBr#brjHo)HCSe*s=s7jwilTz{Bqr4c9rI zas9u>!Psz}!|?w#4lahGTigtjcs-cpm_(Vl7+IO5`S?L6r?7Icaj<f*39#C+q%yfP z=`-mwDR6W1@N)}_a4-to=VE@q#lj^1kc;^h7vpb6fnSUq&$&3>ad0rP{9)u{iqYg| z=CI??=HM0N<KVPf$SAppQDPw@%MwOICVM7v(2<S`2ApCX984UXtjx^di?yYh7@1i4 zI8+3_a&iCQV(bT>M$XQ`-o_@tBFZGhBruVU=N~KYe?~3~zA2!(4RmT5Xp07OItwc^ zXweT0&jQ8RJ`fSc!piK*!paO<U<g{A7{|iO3@UO!8)3nV5+G)<v9hLvHZLIIS)i!h z2U;~4#>dL*D!|I`Da0zM$j8cyVa6m@CT2!yPz!`jfR%%VgNcXr0V9Vby95Ud`wk`^ z(CO*&obFsaoV;vYOyG`!!97Si5O~ha&;U&w8qb*-ES@tn*gQw%@2Ka@4C&9A8D2p5 zyW`5=;QA4qb6~5pCor}^RuKq;>&Tr<4DWaqIY8H>O0npJ54PrHl44P1GH2xA<_4`I z2W|UJWq~do1asJ+%Lf@47z*w~+<W6WqC66N!OWoaf|)_<1tQ%>ykKU?c)`q2@dA== zag|F@FEg~nVNJJ=pi}QP^&kg5qorxEoD=AltALUsNKceWJYXScQYp-zi=jv@m^b7Z zBf|+kMJCptoV<THxtVy`<d|65I3$^67^RuzI9LRkco;cY_@zZ8__-z7r9eG5(51#b zpacUQc!co5Ye}I;8u2nZgJYPPbq*uLga?rDc<};}kC|UGGjP3RX5f8^2oL#}%nTr` z@e&dqxaNIe;qieDUwEVx<rjd8Yj;p_oy72gPnHRE3?H*GvzZ*LIH=$TEzJ`L72L{9 z%;1ZO9zxt%0kt1wPyb71hG{RE8D_pjq_f2@nHfMBR<5Aaus8sxGtjsVc!C0SphR*$ z2SZUELl3_!QvhU_Tn6+`bC6vPQ2Pa5A;L-R6*GhWD`p0#SBP-Rd&SI9{)(BQ;T0sD zVB<-+`Y}h)=XF6N5D<5Pf=Il9aUEklydx<n#%sxAWh!bR#>Fs&UvV}EClkv84#xQ$ zY)sq>ICz*CzjE>X;8JE1WMZ7l0h*cOV-afO;9_6^bu7J@KnxaERxkt7u@qzk4Mdx= z>9InFmly&bLBja~)cqj8|9ZvD!1|h*f#WqIoF!f}Gk`I4UlZPNc6SagPfaa=1+{^* zKBIw@K08BE1;aIdSvC=HYm$pqij$pzA)5)j!sP<g9StCRL5^TxV3_%unPJImW`@<T z5#fFKH8aDR*USu8UqiwhR<7U*?-p$RT$uX`67xVQ!6TosKtG?0p(w_Z)yjn76Td8z z2opCG7n|5^Zq^&zpavZiD67>ou`;uR0u^+!E|>v24A}(i+JeWBaCCSBaTiE1@(nXX z#v5ja{5J^qcfVm~nEr;DVKG!4A@>*HalcDG_?U<ZjLzVtD<$CaGTM^Ws*<5iKoR5z zHqb;b*H=*60Cb2H6XYy3@KHF@kaaoWLvWz%XeL(1N|3`r>l&Uw{PW`tqMyO{mYG5P zEi;4kTSU6he#^{Y^A@pB7v>*W`asEl?)lC|sfpl$wg$$l;B@|-kztL1B9j1<G>bQr z4-*%t4HM493SJlB&BDse1qyGt0C<vs3*^Lrrx5pTc#8<{qi>lRuDxYuxc3$j-hbaR zGk`GvJBT`%yU=NnnIJp^Yk22Fld|{$#$$}&PVi<%a4*>1lG*Aa6T==za5J&b=V4yN zBgE9i$i&Iy%EHbR%*hQ}F?k*|NC27~1kEN0fV||+#LRe+iGkt6Gl)MD-XX%d<Q+3Z z{X1rc=68s2p8AfN0ff=r4dbJQb3kHIHUpDzf^$4$f);4Dt(%eIhXCkk5H%()R&a_2 z4KsQ$@iT+g^MDgG$omWp&mr#lfaD&w_sk4p@0l5--Xq+j^PZW(>OIl%9-3DGc9Hl5 z#s)|aI0Bqne=#z&34)R;4-+So9}7EEATJjKLnkP>qFGp(nLt4WItz_8nvIne#A|o~ zao?+N&<PGuU-UgQ!}Rye46~5jx8yxD0|>*y2c2dTPB4q-U?>V?m?J35qztJ5tPvH! z1E@Ve-XqF?{twIyk{_5EWIrInLH`3Y0|=v=596c7OK@eeYegn#S@Z<Pt&IJkQTOYN z3`+zRnM5T(Q<6eV381qJ85s6~hJLsh7!JII_;<z!ggapM(1s6)bWUnL)Pb!Ya?cO0 zEC#nw7)}W)UgY9rV!6h}c$JHdiTfHC4-?~RUY>Ql;M(Rg7qqt71L_Wg;@}m;of;n@ z`3#hpY(6qGxPN43@cxJhukeq|3?K{(A9NZeyg*yM-9bC{3c~Xl3tT}(^gc$07lMjR zTr4guuArQiz{JW7>fwOW2FT8U*ARD{_=srlegDYJ!1sxnLFp4Byox_DGfe)(%&_?r z#JyXf>-ue8p!W9)dLjFjZ5mPAH{kX}Qetv8^aya6yVFxk9E;LHo9EmTi%S@o<R37d zW4zDA2&ba%GjTC2VC-WmW-bw6=i#ViXRKstVk~1w(`V9P5@%#);$vcAVrAiEVg<Fw z6}XtRm;?-2g*cdmzp*oaW@qEzTE@b&n1$m3JKJq`kvr^cH`&>k95q?BSeLLc&ShmO z5@L5?k^&8zUu9%sVg?=9R|)M)faa$`Yqp_H#jA`gOspCltn8I+tgNo^y`J!+{`)|8 zF$l2mFmtf6v5K&<u?Dg*vaqn1fx@}rEhL-`J|oWkD*4RJF!?hx!<o;Ba2Ef;%#i() znPER<7dgWTUr0C}Xob+Q_@4khAF^gYL>v*GdGMfSfC-l57v!fw276#asX3V`so_P5 z1qG=^&WSlWnR)4;;{dp~bI#{xT);h95=<@T0aNo?=W~N1q1su@h2NRY<q=~sbIC{6 zV&;--jKv%!r$NLsfntu5Wvs;<CC5SB++yaE3tY}jF85d%jxicBDRHScGqSO;Fo6c{ znC3AubMP!>WLw4vTEfJpz_X8uc`uU^Xh$0tACm;5zy>CcmrN`!tXzCdJRH(%*g!k$ zMFd#nIP8zHNH9U>LV1{YBssRS2*)r-v-L4C_cC$v2{7^Sv;JXW_2&p@eay%q$NH9$ z-$vvWx7bn+fy2y_OhPFFY&=}TOgt}`1g<g(G6~FJ5ueN=GKGa{A`6?G023#ZD3h=@ zvlrW2ChlKMY#$goUb1jrU}o!JWMSb2-AZtjiTN1lNG>t1D~!x%8QEqrax*iBGezj~ zNF;JBXJn1iTEfWD&&KhJg@apQ8zWmI6Wa<#4p)vVj2yQ?cf{#(Fmf>QPiJJF!N_LC zYN)8j%ELN`k#{yDE4Q>0-+CsF6HFY89NZj?90F{27&&?wnG-pfS<OwE{Fyx2yqScU zm;^NWRhifrIk;GvltKHb*_8#9nX;K=*z}opFtYG7@w{f{c*D%f&d(~qWG}$M58A8G z%IgBMhT|#=$2w+KRSq^*1=baetS1?nWH^{5S-9DlRxmOjXJkIX$g!M}Mc$T)gOP<p z`T!%xMn)0tNG5+KJEj6A9u^i}4lY*CB0d&gUJ0<fK|a>zVC3&$WNT++g^=mIZ2YFo zW^4i+tcp6U9IQ;ND?sM(9bxA`%+AKb%EZFO%EYpWk%O0GA`|NbCXP7PSdM&-J4_sR z8JUkVaV%qG<>Y0OWMW>%$h?q|bqOQKYDT72VD=Vvq4(?@Y#eGZV{bAt$#F2VvT!r8 z2rx4-uV7@p&d7WN<Ox<r4t5q*8zv4m-AC+V580Vmc$rufIOJKGM44onn5CFkF*5IG zWM$<5nXrnHV?QJ7C3dmH?5rFdPzSRbv+yzVvNB2tYP@ISUc$t20CWWj2RGE!o@^@^ zSz|fQGji-<<~YmD$}Y>z<H+p9>d36YDl5jx#-+iDPsdCa_6aPkd)e5RF!9CmGx0F- zsd30l|6pPM%EB~>iM0)s1|Y$+mWksDBgnEAMvi_Kjx$VbyIDA5IMP`EGqT@fH0BkM z5#iuw-N49X&dlA%Ebx<sbrvJX5>}40pzFJtIQW^IIYK!2S;bioaC0$1;b(5<1|F&Z z+^nW5Ol(YCVr*<YOp-U41uioCvhf6S#BiJfJ7F#pt1Q&=`78oQm<0|qv#RniDYM8k zN!oHOV+J`BNzG*z)>W(=ES&pUS@(eGtE{ZDf=r%F#?0ItY-}>R><XM5OzJ$!EKDpM zoIK1-T+FO29A_DsZZUGCvVLO|U}0sP!^m`*kx8<biHn<;k=LA8mWz{XF{9*ZM#)S` zW$=kgKbe?VnL9!ECW20wW8MVn$%76nuVrC{o(I6i3>sYq?F6#`FEio-uPhf~0d3<4 zVaPeIprRe5rW&jU+u7Wb%n<#cQEM({&=DAGK$d~muYha>O{aiXwL?rsHkF?lw3mq) zH0R1Z4RjI7G0<Uihd{cIf{2$O0yKgJas+7b5k!LyEC(&_y#`tV+znE35k$bGKr=g_ zz6W@^47SM@LGv?%mefHMqi)0n4Y5PSA)W^<#{=;p7(C_nlZl0uwUeELm3<m$C~Omm zumT-6%g4$q20pcbi(d(RbQqVQ1^Dy=E<sVSI6uFL00^`4OLDNXgHHeE;oxGghO=Q} zFj|t`0xBoY!NnfJ#mcz`bf`)Th_Di46;+pD6&C}a#mz4XI*(ftdL{yo2)}SENYPY~ z+omy#unHdoE!I8+;vQv`VU>Q#D90+RrNAmLqQELIDaR@+BF8EVI>y^bj#c&=lM<_9 zH=_!x@<m29R#g!-R#hG~epNjUR`r#PTCAEPTCAEp7&O18ybi0jhz_eZ4;sx0=ktT* zBcXhdS`i&KO)dt8Y9=v8ekL}?M~p1Y%#WDZ#8{Uza)`5wa4@kiW#nS!yat*`I}IXw z1$g+my|_3ygL#CwZ!_|V@z(Q+@$Lpm9S0Ghcu5ps<~QfyV4uy*!NG38X2i<OCdK-m zm5GV*9t)^-!N72U-4)EJ_>Gu{>;BEmF!MJv!@S>!_T-J<%nZMOGc$aF?#G-1ZO6gd z6|nXJqFn}_FSZ74Nqoyx4{8ORVrFRKRAk}??P2i|@nvO`WfE}XaaH8vQQ_ra0WId> zVRL7)<C5lNV&-50?Gn)DVlpsDhuqEs+T#k^;09`A!4Ap?rA1+I1_h@_xDqy2R?vm0 zpgk}kEX={rF2cbEUV%M<6XM^3KZtpXo<GbCbN(<hEc^raFU!Y2%nba0nHkPN_q}-R zL~XAcK-;S@f5RJ3&guEi`FSO&6(tNzN)24CEdMzf8@NpWbAp24ISa!*P9r91CS4|V z7Ec9c9u93GCeUUHL188dCSFz*R$(DFWhMc3UJ*`d4pt6ERt08F4lP!BRv|M+P5};H zCLvZ13058^K^6{XCRskv4Y8$6tjzB~Ssrw6A}B;aEl*HW9kg770V2!B${GqDssx=m z!q4gfUCaTBc^*i3O!$lF_bmU*%&_e*GsFJBi1y{pzswA;{xUQC{tIcx7eM!spr1zx zG7^MCcu?Dy1)!~W$>33ml%z@qCh-Z3f#A`UNKpTD0i$a%b4d#$!z?aE7C|QAm5j`5 z7!{eMn7NoVnYb9iqe5m(pv8gg%%I~wz*`@|0&MK8pu^R{0<KKVj4jY*o)@703iyZU zpJe=FW~lhb%uxFe9?#5k|1mS%_{Yp31Krny>%JOP_k*^oUSPb*1m1M)4BB(NfN>u) z!x}C{rW_VwHa=$1QX3tnBqm`_CINmXE_PNXJJ1li32533T62IBE@(~@G%f&&Hqg2S z5Dhw&MwCU88FUsih%dsz1DeVJYlX%kTr0FtMA6D6$;k@sV~B9^fX356@iBo963+?$ z5&I~M{xdUF{%2;W{f`Kb_W#Tbeg8pcEkV*d-f<>SzYH|`;StZluz)d{;RKf~lPRQ& z?+fYT`?BzZ)~+xxFz`d{e}H8FxBtuxj0`LcYz!<6&`}0vF$NX}MFtiI17g<Kf$T3S z0-b0to)8_+m|z*t&9H!RJ0rsbE=49$7GowZ76T?O&`3uL6F;*yWDQ*k3qPwieCgZ) zsJkXGurO$V0*ZlwVKD;>!!8CEhSLlz43N~$z{<(U!l1**!r;#cDL7&76F@sJ4{8L% z0c_)2Aom(FFex2iJHou5jqv~*KbV}uw4V)BI+SIyW&LHz<j7*p<jCS@_{3$z;v&Sx z$IAj*5WvF`!6eSc#U{ui!4}P=&8WmIz^TES$SlDRT86L6B*etS!UsM$heMDRH0Jag zG`It58O#I~4j=}o#Q_=^s{<{C1&#P1DPdz}#j2!?iH-3u3+SXTCJsh^28IVhka#@6 zhzPIyj4TZA8Ce*9G9toDo{5Dah>3-vl?f7FHJ2gjY0g;)4XZa`;iZGEyl~7*PAx9U zF9I*51_d5?smvuN@W@~lXkc&w<0()Fe<35o8E!?Ua3(t@Std;;bv7Oj>9<VGADCpB zWSO~`<iTZ#1}F#WL31FadBepFI<pIu3sqRSz=sk$u&{%hM4(+AB9H`wp#{2eN`;M! z6?7Vj0~b3dXgdLtmQ$b}{X$StbU_3XUN@Ln7$l&c{m;b0Ai&JRAj*tL_o2)z46~S7 z7;^4F!bj%@gocF^teydvLm1%#I%5bl7Q(<J{($i{^L<9f2aIc&Kzr8~Fz#ey_`$6R zI@wJ?gwc&DjLnrPiAj_VS}L(}u(GltV=h+EHCwh!EKF`3T%4>-+}zMTiJ*PipovmY z>VXtEkcJ_I4LX+y)M7>{x0q{KSeZd>UQJMH18r>72aSNRv9i{2K=uiXaDhfaSUFJ) z;gRG9UE~Kkhed>khr5Q4l^1S^fCMBw4OkHA&w+)7!Hb23!Jh>lo-A=JEDRYeEDQ?J zb%#r!>tSHwS<nO-Z-Rwua$-&n<chfijJp^?7lkZfyu-+_h)0o)lZlh5gON=fbe7mQ zP^J<G`DPnvy&0GVj@1uPcO771f!`Bwk%fifAqxw`GlaXC-?6YTd}m={SORqyuJSb< z*+C7Ev2YGZieJUZu!BdDsUB1-)G)C!gI8(Sut1h;FOY<Uj|VFve4<!c7_wMd81h-+ z;lo_d%EHje%EG`vpqwqv$S;T7{>UUA&<L7C<@09@;P(fWR|gpxj)2cJ&}4IC=4S-2 zMg|`)0Y35?e7FRd1qz@7DTup%u(B{HfCNB$n%GzvLfKdtO4tzP##uHNhWl(R3?JDb z<pvA1oq@jo6>0>7$|}@$2H3r&dC8feW3}Lso1a&bn3;#TS0S_5wW1)us3bKds5B3> z#S7e6-2-0S=mTo9@_`5?5W!aus#CO_#a!5&+4MO|x*0V!wb(RywOBM+v}`mDwPF}< zfC8bL2R=&G#>33S+|I+ch=bz;GaCn&ji@ynhb*6tHoFcRFV|jn%`5C|r`dVWu(O<I zXSv0$%qzgh&dYR~-S7-M6Dz+czm<q1KRZ9D=I#Y0w`>+xW>9xC0hH`|LCG$ggB9Fa z0*h!di7~P<u`zKl3$Tf@rg3PpvvKiqvT(65DRT?(uyZqU8FDJHiLgRyw*(nTJT$Pg zz|SY0!Op_4oSlW?7&{^!6gXHI!Z}zNCUHRG0oD$Vfu4^6%SW(yc!74F4}AZ9MrvXT zc=2un<1=vho`LiR)H2z!0@K+tnA3R}S+dSEr?X@*r^{z(rE_J7G92MmWMbXR#CC*< zjYE)0fk(=S&5+rMr<<AO4HFlu7#}Npo+gJ58yhzpKbtZW7pp3(KdT120S_}fD>Ex2 zpBjrHvk@zslsPjK2P3l(8>=8Us|A-cH>d(Q4hm9Gy9!bRfYy_8fd_9zSR|Q6SOmcx zWr&;zvj~d_^J?%BJD`I<At!IZ+hAPGpfOz$4oP+q4jyJm?-`+ypNW+fq7`)6Q6LK& zGcyYhBMaksW)>D^W)^v7Efy|jQ3eNjNPGxzBH}}XlZC;8lZ7FS6A>RLI9V9}ak4O& za6#hZ&Mip&kaG<}qsIqB7HYmI0nLAds?hwB3|Kk09J1y#3R0|SIkUM4ISaT5I<vWK zW6WgBdc&B>o>k+_>cYj)$EV1|d61Xw1TP;G%TZqFXzU(db`EwX=A*o9$9Va81ena2 z_!+sFMA-P5__!oCGqY`B<^!EsAkM|a#mFV2!OkQo4_aWw$jZga&dkWlq`HuqeGxOS zf&dejF)Ir{6CaZv7wc7C)?J_$8~DWbWYBp$EUe%&SVh2R^nmvNv4d|+E(4t@0+k2V z-ryO`CQ$ZB=HO=s?Ge@iH;=j4LD!era<Oyra<H<O@$hrA^6~R>^0D%QDsZqaEhaWb zAtnJvK_)iFZHz2z%x@Uk*ja0k*JXWBgv8SdE=2itkc)-kA{Pt8H7<C$%EHaf!eGnI z!XN=HS7V^%JS=_!aP=EKQY#<}u*5eoZej-S77+s-Qo4Zg6*I#%K1B{r78y{VfRB}( zpMzCtKO>W&GB*o9ACC}+GB+0&9}ka&JQp(uFEbl6=%PnZQqM$b>ws!#<U|VNgD=v9 zZjvEh2W%(J17%3KTmbnQS{S_IW?^9DVPO#DL8M=69u@{49u|gJ9!UCyt=~Ye|DZ-N zB-o**-^}7*@bS*>uyZ{?_jE-2GX{wJGX`k+GX@w#@9S8==upgDlBmg|#iyyNrNMBI zUy()rH5>CgHa0e1HdaA)2_`mv76}%~!(1#!xcClpsjlJTX9btGhD@x?u%%n7pu*OW zg_Si9w2d5edpbzKfr*(hk%fhsk42RkQl5TLf%yLb4<i5G;$dNU#lynzo(GXG1bJB) zw0K!?jwb{_&!LA^S>Se_b9z2<)As@697b@{uJAr1s8ZU%#IQ+Nk%>=4nu(7?hFyw@ zm5Y;!kBLiJn8OUr1K%x>&&0|MI*tX=#NuZLx5x5XKnDQuBVDuyl>o(of*QnMD|iv< z{U|RB!!=$Oh8Mhucva$KVTk8rVVJ`QiC3M&kn-pN^xOqlx_>Yq(%y1#K#f<hKmGGk z(Y$(r@hqfseHOewXaQrfris>JMold(K}{~LUPgu|!ip?HOsq1j(!xxfOj6r<w3xW| z@u+hsGYPVpF>x>na|j8tp5)Ow!y|Z-hwBtiFuR5XvpV<?un;Cz@MJt_+pjQqS1A`W zr0ovM68zxRf1vB@5CI0N82FhvKq&yMnT?fI8`OZsrkRbE6|~nFtL9=R6UM`gELzNh zEL_aJpzZ-ff(9g<fAAsFj}Si#gDgJ_gCajX{V?nEvoKijvoPSwH?VXAYBoBj=Lc8j zCgta3R)K0>Vep|;^7iZu3mB^yW{JqM2!K{72+D(c<{nHu%<`c1U>+<ytY8Kx_8Ax+ zK;1Qi9})lS_*obZ@Ut)+MYxOQDL)ItdypDvIrjpsKl}l`oeduz3vdQq%h$kI2Wn+$ zfCvuoFyTc;hHWB>One*yd|b@D;Hd{zE?FinX<0rdVJ;C4(CM9Bj7&U`i&eT9S$J6C ztxN`n1DcTVOb}p!_qQqpSQy#`SQxqm5b1V-01E>MuN8o#+Z8zW7eGRTfng5Dxvudg zl?ADwweX-TyBL_n9g6H39fa)}9k}he82mOfGQ=n{ahzfjI>{sqs@YgXn7KhCkf1^a z)JjMNr6x@#A;t=@6%AStcmEJzVGsa|Ffa%SvM`7VvM@*qLfi=2Z-C34u=v-|g1W0T zFF8LqH$RVoX%!=b-zr9iJRvqgBUVFZJ0?9YWiD<mMOH2@8?Ja(FIFxtYc4)kWmYaO z6)t1&sviah18s<VRtO@(@t`0J!xcdmh8u#2aQq_3!oVxU!k{JuaU-GgaXWB@V?lO$ zL1GDLmytt~J)?u5J)?t`J)?uYJvW12BNON}Bqj+q9u8JkRu*k8A!coUra6q_vl-Pv zLmi;QTtV~ipote<7Emq*UFyZfzyOv2T`|GN%Bl<662Jz!<co`eVJ9P#IHMs01A~JO z#Gey{5dK^)#KLeyh=t*V5W=6#!YmBQ!YmAjg(3dD0WIHFK==K?$~kD%F+4yk=ZaE` z^K;5lK}TEqgO9d6z?cdi#+bqkri8%cVeo1vzfY_Tub32>ctGbOF-b77F>!M6^Rr4Z zS_{}2GBGmpXbNbtv#>E$F>x`KGKzDF7z*o2s&cWlF*1R#VCw@laY6GspncV#gOfnb zUC?f65DiLupr#aP7!|<>g&99HXmAK}F)n0RKDs<~JwqR;ktGkR6FFGfd7<rLZYT{o zR+WoY6FLS7YJ7nP;W@eZIfK|(S-GI<P}M`mrA64lOKF=KnFJW^SOl0SvM@O4L*mCk z1Q9>(A}kEqA}kE~B8d3eAi}~RBFe&0Aqt5f8R&S^8|b;b=<&nhh*}Rp<0quDAQcin z8yGi2_U!V3+hXmICYdCdbC?m-GV?pm#;}eV98D}jOhQ~-Qo3wnOadJIy!_g%LX71C zRfbHA=>l1N(1?=a5>#Ym7dByKVr6P$WRet?0!{dU+qp?Btl&{6(4-#dFdI<76BA*+ z(6MAxwUEdJnE{P3aH}|pgOy#LgOy#9i<OfP+%jfm=Z4at*0Kl(7rQ3>_*=v=xV}&| zsA@q6nS*9kKr?jUnU#B>NHby)V4e<+fC)yBczYp=h&M(t76wT%76w@{M7*Vmu`nDH zV_{Ge2Ww*>l&?H2P~#0=-hpOZAThUqaT(-Zk{OWAN#__rdy)LsvoZVw#TGcOSoJ`s z5pXcEG6^to3-NM**2eJ$vkEaDWE42UXvoC4kx^g^BTCGLu`=~DGD%uXf$o!f0Lx;e z#u(_NB~Z)|h_MH-EC!k%<-?j~xUgn1JFIG9*$Z?UGB}G}g~e6}B-j}am_p)fhB%`B zxh&4Ya7~<r;jTC$zF_6sM`(NzTCZ*~2{pbzXBg|1B&PeM=E0X4fyF=sh9Kl*O-}|t zC4B({afTjtUM4P1?w4!=KiC+V*yNcM_!t<(nYdXvSU5P@Sr}Ou*+F~eKyDN;gZL*y z0+IfzBv=?~C0H0*BryH6KmrmFxawDdE=c=TBo&K)KyGJXvS;wq(q}OcWSGUy!NkSJ zD9f$D%EV^Kc$1A~5<4i<GYYaXFbFeoGjg*qGO@6NkLnD7x>G<B;Z8+K76u(j76xNU zgggBuSr{TDSr`%}A?~~aZEvCXpA4Yx?7`;FlEie#y%3BBy87G<eu?@V267DB*i}Kd zsxS$$Fj@=nGu~w5SOs=27pM;j8vF*YYuAG<a1US-U}9wAV3h*}Gs6R@`!685|EVMk z!&gZbhQCPeSCwL6Fq2|oaF>F(pHMp?0Gs;@veN?~ZG-?_f5w2xpy5bXe=Y{UCPsZu zgII=hpi>o?gnT(eID>hDSeUq&I9NC`8E>+29%C0|5@S+lW@ZPUtQy3`$_!d245|#3 zK@Bmm0JMPs7H|cfxyHo9D96Ic#K{`VaKRjso_0Xp5AysuDHet&QY;K_r4aE{B+bIG zQksR~fi%RQ7SMJ4GHsA_1FMG?Y=WexE9mn?l?ACepc}g(H<l<ha3AIQ&&AllEd?gI z|8sFM`0Zd+lvX;*&G3%hh>4G_;wb2-Pd4r&Oq{G7Y|Km=5&}%D!khve+#H-j8XSu9 zECNhiT&7I?TniYvS$TW}l|Tdj5?t!cY|N~DY#gjSY|2_%OioPvOiC;UOp#1xT+xDj zOdO1|OhQa9d{Vru${eCXY|LJ)vaFo^JgkhYj2ujLrmXA&T->0MnsuPE@GoeRDUXGf z8FY>l=wK!AQ7xZ9qM&Q{oLE?yL5J5eF9V5Gfr1>Wjg6HxkAs!HfQ^;amW!3M3$&l= z6X^bU0|8ckCm~kBX3+YLWuU8bz}j{&GD$NYg<Yf0P+$j%mjD?=KP6d)g+Wu6g~3@C z5ie`ySQskgSs0uZAn{@Yy)UOEA2nV!K*wivZa~Cg?P^eCzu2{~G%<&PN$CR15vKDj zj2Bq0F@ve25S}=gV-F^C!DJ&Vn1V=Pl0jhEDlj>f5lkh3Nk1?t116gx5))a#JWNB7 zMO49R6v5<Hh(2U#2zx%`c@{1PzauRAECx#YEC%BG0tV+9^_dO)^qCD7i8K7*Fk%Vi z3uKXG<75(G<lwMnl3<i&lVcKNk`fVS6B6TO(`RG+%)-yadX<Ic77On?7G&NF7OsPw z0;f1_n3$ika6DsykZhoPgc(_xl$a#g7~it+@q?}m=XlG){Emg^84Kf678!PAG3OU7 z224CqJ#tJEY_dODL<IRiut>;pviXADqspeh#LURd!psa>d@0Dt!3esj9kd-$l8u*1 zmMM!Zi_Mrxn@NvJf>VN3LQFza!l;+Al$Dj4kBu#sy?~puj+M=y!@rY}l}VzDk&{i1 z%@u60HHxvkjG*Pg`E0IiC2Sf@60Be-A)zTTnURlGLX??_ok@a~gNvz^O{$Gefr+b~ zO{#+pbh4*}v;@0^pu{sqRz_BTRz_A?j+ZRL_gL6JvWQJ!vt*L$1?2(I_94(Uf1r6a z&}IS9rBk4h15m5tF=#>8Q4lc!lxIN8#z4~G#blrvOOP6n9!2Q>Xc!xGCIV>H7l^+R zq|=Xul{pQ3Py#D6X!Q)}Qql|-R%V!q;3Kv`x<Dp@u4__&j<|yEaRS|413qCAWF@G$ z1PN{j84GH~fEJ%Y+n6X`VPj<lxfSdc4p#QZOkAv-M?uGiLA(N$UIWVJh61eol0vM4 z$|9`7ibAY{;$p0#$`Y*Nic+kSIx?)%dUC9?2(Kux%BLx?%9|>&Dpo46%6loW%7eWE zHE|ATt(J}&tE!?3tFnU%tFnR$t1^f$tHP?hkV%78ol}EV-B62FlT(XT6XF%<+Akgj zet8iEHd!tPh7BxCB1|ldyo`)&{LHLuER4LYT%aZfyFC{NCo>NRcLWa~Co7i_6Eh<Z zHzSV>BOf~}hY%YxD-RPdqZa2fMlLSUd5_Gjj6Vg1qy)7@q=Yvya&a?paI>@VFmo}+ zg3h7!g_K(_6cF=tzZF;*#1&Z>WE3G~6sW(Ct9=D4mr_!b^HWlbz!%xF7)$|eDHqdc zG?)fr90d`Y`iuqw40{-lvoKWgu?cgCvB@wpu}Lv;v#=US>9R1hun4j<@$m_>Nf<B* zv#2tGMHpFF+4%TW*|cJqq*){&V(fgzQaoI&Y@nKtfk7bv;{Fwi2>0(+WMR0V$ii@y z1ox-rA-mrSdNyQ;KBGadKBIve!yd+0ObnM8`Pe|YT$qW2iJu8{t*bB-F9(PPIx1C| zpHGlUf`f&LnTd}ZbYuz>Sd^2Cn~R@|6?%W(gg}UUBa{&B+X^KXhCU@0hRI5Z@ZN%B z90(TPWhuq^o_U!ipnEEUD~mzXjIJ3epksawzB21G8i2zbe8#1VJ{QA2#%ml57Z^du z@bj?n@^FI|xiPUZv9PnUFmZ^pu`zM-GqEs<fDU>Et?^)C6=mXJ;bUU;V)oQx;bdat zV&i0DVq@ZUV0LC=VP|GxV`Ad9VRmNXWftRLW@cfsVRmNa<5Xf6VRmK};$-4AV0LEZ zWme+gXBJ>$;$-600rS;3gqcN{I6%9SxH&jkKs(=An4Fl{8F`sNcj_@Safx#?a&s{= zu`pSIMS~c*WmP%3b-6%AA^1!{E*@q<R&K5o0Y-jqE=D1HPFLtS(T7k-xL#03v|nE+ zvoQQqW?>LeLDau4Dl7~^Dl7~MDv+?=0G&t7fYPvb(FbV#n}Bxi87SP{GV@ZxQj3Z+ zL8DsY6Bwhx3&_O4<V;4;CZBzb*7F&e7ceHdt9tObvwB1@d|^^#;^SapVi#ll&BXkI zi5rwkxY&7wgvD7|Sp+w*sIF(>*~G%Sk%gU$mD2!n&C(K3iw1OTIr#ir&`r*)5ge@S zU>>M?NMccC2CbouU|>)Phxq@13L;(IRAFKGrozJTTLnIT%Tlh&!qBVA!T>vum<Kw3 z1oO8+AEZ5qoX!Fgi;7brBe})k3xS?9g0KC#!~&-H!K4!C3ZQ+A)7TjnG3zr4a#(9{ zNtrPTFfxPVPK1e#*@lOSk%g0)kC~B!o0&(9Nr;DCl7okn%~X(;i-n1Un^j1JgH@81 zkClg2l9h>rkxPVCQ<;f{kqfj4jfsoNj8TaZbe)JW6A#o>ZboG$E*=4Ufe;Q(E>Qt< zE(V6R%uGzo&ly>mn8Al3a6xuGu4QInVtvlY!Nd+e2Z0N6b~UI3IS>U2w;!sAaN|^C zVUSc~VUSZpgqwjH3xlH?3;h1O325OKfF;}#ODoD4nB*5Q&0(C+#JGToe?Aj8C@V5D z%wW-H;bY?EkmnF)a^MvJZEOP7TcF#=K{Egf;5wOwl~t6Dl@%@kaxTMyXo!1fs3F|D zT8)Kaof-?nCN)T!29?*a_(Z2+@tT<z<`V25keHszz?8(Wk1>g14~sl#?*<PWyC9Pc zgFp<#9u9T5nGDkEEDTEOEDWmZ@Ni+)Q)gi?S4V^k`o3J4eYv@b1$v;Xf($`BaHI1% z7}Uxb9ITkMA<OhYcZh+vg9o#)vVs^Bp!Rnl**{mEg<*+03&V0G`&X&6Fn}<+KVf{h z{iS)xE-=v4=U`BCVTf{JV9<js5d;S>!vm<j3>pZ3gVrZXXs|FyYaslss=>mbr$N}? z#hK}e$t9Wjc~H-5Br~)y8ZjwAyzRuq$}9?+^>6}3Hi!`r3kmlIB>NX@urRFFU}0E~ zWd9Bg76uT8`4gRn`8zp1-#H^SIXk>4vn16iv8X6BwFv4ICUH>Dm5V`d3nN309#ax% znJWW>F~(#qXn7TQT{9?z1mYm>Q_w_&kE13FgNG&ygSRFkd_px@7(f`^T`)en`#=Mp zDNdln5@4<q4zTv;V9*O=Sj42uBmoI82Y6H!K;5wd$sJc;F){4dWMMdj<c?#SEDRtF zvlpF)yCWkpuQ)X?1!}vABSQ<bBLjmr%)$du`y{jw{)XA7uZ8e8$Sx2@w+F^Ywy!8P zxeRLGK6Xb?=rS+}#6!Y&29iBnwOAPLYq2mq*Fw}|{n{)HJGEID9&1C~69Mht>)eLS zd&2C4n99HqfpITDQfWG9;~Y43KwSY^wU+>%*=>VN@D_nN-4Ko}m~$O`6upC|Ftf-; zE`~5;Cb4-O%(FR|KuMaBkC}@{iA97-UxrIsm63%>Kt})~&Lhpi!Y0AW&cVUR$;8ag z#G}B(#mL9X!o<VN#-s~6|4&dumxYNHv_p}Rg-MN(jY*D?g-L{wg-M%{g^3A@`53i9 z`j}W51(_BzGFmX{GO{p9fv^snE+<?WNVzPdDHAIr9}_DhD`@=4iiwpuiiMSV3aBH~ z59&97x*cypjPD=<Tw7>?%3UiKR@NvER`w~N&O$$E7(ktamHjQKkMJEt+y@b0m7Yw@ zj2oftj|nM|c(c$!%%6tnurS2yurMU)Aks&k4huu24&nLJlvHT^gcl(doDQ<~j1C(1 z><kVG4A&Sz#}-I139zy=Fo3)#%mO}`59EGfHg?dYDgy(<2dKL)=s?m5C_RAAAAhdH z!te^oU0-xq82;)IF3)noN1dc6LsLvx3d1A;BPK;i_H<-oWd;@A435xJoPi-B6%sB1 zx(NG0<!PQS3qzqUB3!C<Sr}S$3EN))YIhZvq~?`?9RhPkGQ%%nc&X|DbA|)l84MSo z?zn;Ej!(KQ3_o>Q82%!;gIAA*K}L_TJHWQ*CMPCmq(a@mB<zrC&%uz_z{s$HQH@D} zNtTI+Nq~t9bm#?WvK2JauEN3(I{XB*o&z-R1m%L_Bp?kEP80MH;j~hZg<+!}3&RdQ zM83bI$HMRuq(&d24mR$JUhjg;1YreE)bXRVqSRDWXNw#3GU{_P<Ryq|i>WZ2Vbo&c zWa8r!VB%w9R1{_5XJVHVVB(VG0@ahC2_49c9H<9w4qcYa0vgq4Wd(T%H0cHvPGHhz zRAFFXNQd|<0P0?lo3iy;7>e{+7)tdK@n5gc!T`dsatob?m7Bq-C2pB{iQpv#@PJoP zk>_A2v1b5XqRb0Piki@Z+W~6-1tj}l>$5PNS;@%o4at560~Q7lMz<Hn$7#P2s67*$ z&sZRp&&6<r&5+3qQjZ2Qu`;VdTAv_4Ux2zJ0%Q+JfPsOb*nowh+JJ?j-T)B}(+yY{ zmKh+@AFlhPi&IP7odZ&fl2h|aVBsL{Fptrmn<4H1Bf~CcV<rJ6(5eMC77ivQ79mhd z1YK_eIxr2i7myngd7xv{khlyC6EYz2#b5|YUm&L@a4<878L}`)8Y0}8&B4q7!m#i` zr(xlho(w)p1M0*$1BNLg(oC#OoY3Nl;Q-XW0wntk&M`2w8L}{RBH1_HkcDA^AtGGR z(>pf%j2Pnb7}`YDm}Hm)LF+fz*%=rNm{^&OKn*Pe7FJd;1LQ*n27^q9zke7a%I_0C zObo(CEDT~s2!E>?u`qxzq4ALPWZ%T1Y|x1<5U=YQGQ?FdtPzuC<C7C-;bRhD64YjA zU{GUXWfo##W!48d3FMLuP<J#KA;N#A5evftBNm1wNbcBT#KLgch?wv|bq52JutOmz zk@Pb%%oCGklH`(L67Z2_;)>>CVDMyu<ZcN_;_zgF<Z37v<U9t4EJ!$67$e*lXw1S8 zYs|usX^beRT8&v4`ixl^rbE@i%Bc$IdPG=y0UOG|z|ewLPN9XL5d)KW!9vizRNVPo z3~`N&Qc98%Qk;@p3_TKBOsZ_Gtg=j;Ozbm-lx7LBFp10-;+!JH#Q@%Q2A(x4Wq}@x z)X2!B#3;eU30kxcvRfb<;-3#tcY?$?O;{KNOjsC%O%U-eZ^FU=!Z3HE(}?tk65ht3 zc`ki@4u&`{hD8#xOrX;xxj+>*=mc$9CU%AdsQm>di13_X!oo1!goR-ilKo3eSQtPU z-Ch_U)&7vwqTI~9M5KNPldyt<JO@LZHNzxHMJ7%GE<tt%232Sw3A$$y>J9-@ggXpO zSr}|gSr{Bl5%HR1%EHiW%EB-Usty*f==l*8jv%}SYrLYn4-~x)+4hVM&5ZV33~>{f z7*<Gvj#lTCV_YiA4cd~p1azSKQc+GujtQcmIZQ<+Atq38f@`J{CVuczHqdZ0XeSIO zB>lpL*;rX6K$B_^VM`_hMkyvi#u||OHsnC#AL<{Fn1C4zgSZ(BgOnK}{^iVA7(f^n z-sm*`@CPRY8Bj7XXSgM0&cL7u3;7RF`wPqv<<2BC7KWK-EDUpy>|bWa!m!qiaJvzb zZ_vZnL=V*MR!{|{00)K!X;~&ANHwViafcRsdz?WoBs>_*5$Rxe4l{#-ISYfbIU+pH z=P)yXFnTz^`1r%agdxt3;h&T&lK>kRs8nWPD1h2K!5lGux7M76VS_mf!+vu_`UAxe z2tS6p57#&;NDT;|Nknb`q$fM2gK}tieo;1}C!E6&$HAz_#I4Gv#;z)$#>enOhU23s z<j5P2KcbvWj7*GACD`6cu=h&}Ops*aVFQgnb1>;Ka<i~8v$F|+&&UPY_W<gT2n$5` zm0GYcG+MAQ%&<WC<AntagRmtFgPkQrod&f37K7uy#(+M8{s3j7g7r-Kj0I7kGI9Z< zDmx>ahNQX__?%BJMkb9-j10GAjRho_K*QK_OrW*$Os!Jvk6hSpY6&y3vN2xJV*J9w z&BVjRblsEd0jPg-8%&?p7vK=*k!9lIW@BMvk}zd5k}zaqkpeX++?ZIIK{Kn8EbQO| z3_#~sf@(Ag7SNu4c4lGl5!vAJ12+~{Rs+z=9}aeQF%DLCPcBwYAs$w4uu>j&ZebpF zZs;t|0!B7=W;RAiCPpSn7A|IHHZE2s=1q(Y3={Gp>2n7(+(2P|-japkmL&_rV@pK% z3R<x+C|R*E7+XQY7k1Cw2I%>-uyhL255gkcsNtKNSd?9qpO0u;6=dWy79`|zF*Go` zd9r!UV`P}dtjNX7$-%|W#QBV!?I}BGZjp_NNrF$3U5<xIUYkomf{90jOBhs(axpMu zFtIXgv#>LRW_!R}r7~DpS-}FJ1yP`$ryCO+<2>+cTn2`Q0*F65pza5`5j_57#lo<{ z3Xu;%V_zT)^A9?W$cK)_#hJw=;6o`PO%jF%Mi+*2Y^qFruuKS=4}#juV2#L!64opX z^42U2%GL;bb*)(#%&b`$aIK$!*;|~Pm<K+&2Wlsiu!Ds?2SWp6Fhd8oDw6~gcweps z6Du=6s0n1j!paI}7!*SNZw-k*kpGuhvoNf-W?@*5<c_`8EDR^C3AclalM~BQ^U_nn zSDnJ$Vi2Uy!O+H-%h13A>amG|TFIdD2-IIwMD*35OpqHEK;5Nag9sl```FTkg~8Sa z5k8={F$kl_2aFF3pQLn{%b<>GV>Dnm#{zF7Ux3=T!Uhoz2W?mwZrHFe+_gcZcVSx= z1|3@#23K2%x(sM}l5iCJc+eMi)bx(#4p3RLfU%7ce3JG72o(WZzShPFx|EyMhDm^p zkByOu<0&)WGiGik0VWR6aLH3<)@RJ1gNQVl_&K=P1bC#Fn3+6<wYY`#xfmGSnOK=Y zM<=MUurh;YH9(WV;QbBmEUc{79IWhWT&$cCT&$dxT&$d6iDV`|Ml&W>#;MS4*$fN| ziXrJ@0o0$MIN5H?!m!Vlh2fwrBK%L=vM^k+C7dqOQ%h2F3rZ@Xq0c0o;1|!qP?5>- zflZCc2vSLcia7AF2B-i7GZ+{IN+9mgu!Dp*$Q>?rEDS+*EDT|G2zON2u`smSu`s~O zp8&LZCRjQE^~;0vb5p~UO4EvAjuCHQJP5u<gQcE}q2f6s_-+zOMqU<nCRPqnCN*v` zW>)ZqE?y>fCQ)W4&>G}qCRS$91iC+{L`i00WmV*0We0OWPGn#xg}D2N9U}c2+Osg& z*|RXX+auD`UV9b>CI=P<cL#|7z8r+4BNiwPOUDpH85piyMNLl{TDcjii3OT^$@z&n zsl~~#Q4*Mc;qt|)CBfixImHW(gX*q5%=ugl2CJAfOx2GuGjy<Pa%uB1GBFx6GI7hZ zC@^zyaWcxXsB!CY3JEd^FmZ0<;@r%|vx$qbn_Z}nU1&2G%N8!-=Ul8VBA~O~``M&e zxR?Zgv2twS;#|wcxCW|DaWNOGAgem-JuZ>?+^h<$X0c3s;hYh%OmR%$WtFo*r}Ti& z<^ioP01b9PXnybs@DMg^4-;s29;Dj@66Zi112P+QEX^j+;oG2tWgtsiA<I?8G1erD zaDvt*b3?^Bz`K#zKsztPn0P=-06+`%7`a)Exi~p_xEPs)7=<`lxP&>C`Lub31UUH_ z1wdko{QLr3tX!<1l~LIuoWl1R85j&IAo2ae0TJIEjw}okjw}o^j)?e<b7W!2ab#hr za)iWp1@xQ|4(Pov=<$80oS66q6(a!$nEV+7)chF(r2V-V43;o6++tVd6XazTlLH@N zE5ISdB+kV0f|HMtv7246k6rjNC(9E~nTMQ=4>%zrO1C*Bn7Bk`MY-Czq^E*TAOvlH z`M}7+&+G(B<{>PstREOT_}Rg{b&O2>OoEKUj4VvTES#*2Y>Z5Tj7qGYY`n~{Q}-Dd z9#lfZ1?pc=I%aiZVc>CMVc>T{<X=fA76v6J!sQ4&J%a}ZVHsFB!9SjZ!61vFOVA8< z=p?8V1Q#NpQV+}k*&R>?anA%NL_c7=6AQyZCl-dIPKbB{sRdyhXGlEZ8ixR>0pWn> zIN}NHPEgK++@Az<F^j=`(DDH-27`0V47Y@&n3%eGIIjq@fmaN$fYuB^SS+A*0vs$X z4?$!2`COo=6Y66ZE@Cc}DPfw-!!nN>k|XuXn5IH`9DVGn73>`BENrbjj7%J$+{`4v zBFMztz{AQU!otSR+|0xDk4v6`L57Kw$%R>fjhjh`QJ9sPO_+s~lZl6gk+S(S~G zAGAc2k%fa<m0v^*bZ?cqsFD~HD;FylGkiUsLJcH5Pe8*76c#U>Ss1=KvoQQ}M#Qg@ z3k!pp3kw6RzWjqWuZvM$feY$_MA&>DlXwH;3vd}NRL{j=Fo%iZk%$%x6Ei215F<AW zCzBi#Ka(UAKR3G+6AL>FCx<*}V;sAXDi;Go7!xaV2WajAbWjdA3p;2?B8-KVwF6XS zfW^4j*`d=53=Xvr|7>tUq>rmEEDZNuSQs9=V5Sc@SK`vgoNGAJ2dr5VT#%CqZw0d$ z+yq^lD$ii>mx<w?nA|Z&aKbpw$bO0uOX9f8$oY|lb3QjbH3)rSVgAe_^NodRssLP2 zZ$3B63;~{>ER5ak9DVGHzgRdxt%<)Z@(c`eOhSz8Oh$~HETDJ>#lH|UGm9`AN_t>o z5#$j7r3XcRDR2SE&A@P=4ia7`py2?De^C25*oTSXu`43}L2YLchLvyVG%Wse1B&v~ z;(fun2AWq+v8sY16Ec!1P!F-s!VMDcAp64ISQwJrSQygW5cU<hu`qxzx;-#H%s%-3 zQjoowIgsiTG}av8?#~#&;m^*{#hAr#g<F?Ng^7!i12n7P!vxwJ2)!Y+0qUL;Nbb4g z#=`K%jfLSOl6xfGSs1k45$%%}Xt|H>9`FquP>(Z-A7GpWIs8Nev}e1E@eUKiI?(+p z94wr=T<lC%+^l?@OxpbHLQHG|O#Do8ph-J+0d_9X86K-Zi&{WUz;qUN$Z^lBK${HI zz&9JPvx7H6GcY`8fP`m<J0d(m^UMp~Ss0eOBf=9j#|*+S_oCCV@C5HWM~=NN#xRBk zUQH$$NE^?g5n|sDEcOX_kZB*Ntbm5u3WQalq`|NNYF~y2!hfJ~zh(~>hIS<TKx2L& zjP5TOALhTH)Wj6u)ZE~b#1dHZv4_!`p@|)I?HU&(je;zG0JZl4lD$7cY)=*jW>17a zxjk7JKp18ZIt{b8Jjtmv4SX*b)T2zo3I_5V3@Y9X^O$8N)Wz9Bm#czjy+GD87&Jk` zIl&X*?<!9gh9*xIhIS<TCwj6ltnegU@1~WOq*jzCW~Y|ImzRhKG%@;fGfZM!!pP9X zXv`GL#06>?V=iov1eLhPpo?R`gM+XJ9a#7Q)SVn&2zNg3VPa7BVqsACLWI+=9wr75 zMh_nt9~Mr<mBqnmOF<?v+A;iLlVy?uWnP75NVrrW+1KyI!Z6>9g<%Pjeb>BL7+!iI z@~g>iNV^=}zP!|O@JYq6SP_4~IENWLfzJmzj%Nnr8D@rete{CE4;E1-4kmMMW*!dE zS$FLG9Et3#9D?jD%*-61o5Y!!SvdrlxOn+Mfe4yDx(lka8bFONK2YNeG=+2*RAnKA zK=aNm5dS22Bf_uTn}wmpn}uPPH=@3}=*_}#$D4)WIaD2?@#GWe`!SIH32JU8EMtsk zOqjqJ&&4o<(Z<-r#LZaGgvnUYm8Xi&Skr`yVG5h(N=Cj_jI3W-d6+nuOun%iFmW;R zd}P)8z{>QJRe+6g9t+PgM%JZ_EXx>K-?NG^i+%!an4JkKw>E$e;Q*av1v;{ni-AFt ziI0($#e><6MUR;YbYBN6D-#PND~l#5v=|r|0@@(q5a5FdhYTMUh7unZhDskqI@<5U z!f@6Hk=}95`+<rS)QC;k$OOJ-8RXvy%nV=HbXl0Bm?gDYq?m+2`)SxDgqcM^D=}Gl znE6@xnYq|mnc0{)SrtIt1OX-$CQc>+CN5S1CN4%ML2hOc<YHj>3-YZ63qP|hD2_q9 zDp=t>P)2^x4)K?UFT!80zAOv@zAOwOz6gKC`LZy8Ff4td)3E$eP?QSZf?trBmzfMJ z!I*>{H0>E3r0m%lW-!Jvba2WtDKJTa3Nz5WnHmfDCJB&h7#JKnAnw_L<eoFWEDV=@ zSr~30x#y8D3&TfWL^z|*Tfp2?l2Mdj4$C~k0jd5R3^N!T7#SLP^qCwWRfaxvrIav> zBq#^#LkkiJ_W;yA9)5`MN%Ui3$n#@iDE32yPqQBj!z4e#?nz55Nz6fYR0CrMXtz3h zJt*dT85zFuSTM1&X!7weaj~<5HpGBN)j*?-d@N$1k`6SshQx)0TR<ly+&&<=lhdDt zLDHXvLCzoHPCb7X23vnbJNXQbb~4zV;7Ei89_V&9$N-WwXaH#j;{is7b-aE|q7r&c zT-*XI+@QlF{g_ypb-{Ndft$g8EUc`$9IWhG@D{K@7sQ=2{1M@~(VvB3hd&F$9wc`j z^JifIVf1tc<HO<?&7Gi@m4duHD3Yxh?(l+^Zh$LI70CPu1497Reu)4?``b8xg~2L- zg~2`m5l)c-EDRtFvk#qy*`EP9W+gnoC<T$)6H4Q`8RjrfW@MPcA`4n$&cnh9I-rJ^ ziIatsLxG74G~iyv#LvjZ@B!+c6#<CwI53xqVRrxv!#*VUT%F6r0K(|*fbn7O$xY0J zb!p7p8G4vxnIyrf+@Tv1?gD`be=7&FFvM+OV$cgj_}e0og~2|M@cb0q9#Du2NbxfY zu=BGs>|v5-5@rIgS<-`CFRI4^<}oxt?Vk{c@aM8X7KXKfEDRfv?B5m0!T`cBf1%Sb zf2QXbr6#5zhWi}!>^T_BJQ=>RfHoh3<J=e)=b#*N0cyWM5W;@VAQlG0AQlGGAcX(z zgIE|q7~NhNA7+1YVsUzLNn%MUJl4enCNlbSF_>*)Wa#5DWQv6>9|4U(gT|AP$DW{b zBOqrnOz45c%L*iS9SmY&I2pvka2Cm3H-cCgKp5r@bQ*`dz%}V@P$g>ihmm0kk15k) zMu@{ei>pu_25UEgXf9@`BPaAi+~*OD2shBYYDzE*LwYbG+(2`xAdK!V7$4@o;*!Lo zl8}tl@cg12*dk3cbA}oG=HTF9xB#_p2a<hPgIO4)e=;%LMY8WjFbe|+!^}sgVfGcJ zrskET78O?(gHAGmmt_gJKw;9Nqsp`i8XlmFtcVDY3w@CAhzLQ1M@0w=Lt_XFLu&{k zJSK#&Fn}<+yI_2n`;c9iguHB4yn%5N=wvgq%}fkubRC$^L){5lj|6ci7c(dH2rCF5 z6mO7~T_WIH?7?$&0uvza<p{-euSO`f+-t;eOIMHSA=HVWm<*T*v9|%qUQqvFUMLI0 zA|!jagt9Ok4Mntr4WQ>EV}w&hW(hPB(-Mne0~uyZ7#W`F+b{_+aWnC7urf+=h=Xb& z8zxp}6&A?&Ik+Ec!vZ<M88n~{7GPkQ1aY527$RIe!&n#s!dMuB!w}&TAI8D}!Z7!s z)9`pibzgi@Y9i#aX;>m>5>~K~=U^}kW>{vR$Rff5+IbFL$HW1dp#lXPLju%YJCNM9 zG?baagN>QtG?KfnhOsc*3nSd#$W6>iho<@~Mw*}=JgC{F!^Fz00Gg-MVPRzjGY&xQ zH3&z9hg&!cgKszsLqIqpJfgx`7(f_39AJD{c;uy)7Zl~gmX|UKC%DFQFqkDU{4p{B z9iIwvgcox8tS}i89~+SDcRa<wa3q|C;W(207sFW?ZiN%}cY0cSDm;}$GAuDuV^RWF zgbcbczw5&M4hr}NsQn%h2>+)?urL%wurSm`Ao@A;BUl)=M6fWNgsOwh2l?zk?Z1J{ z1mOi%=;Lxl<+-4~uBKiQN_KZ}v}be(w&!A4#aLd*QY0TL6vo96q6JFWEUcj8r<k~z z7#}dR+y(DXWt_k$v>MbH1g(P;Wr0lHgRYnq1~v0RYvRCy9IWgDpo67Ag5^vsjPfi3 z%se1xF*r<tgttN@!oTK`EDUy$EDTPOi1>DkWMKedSp1^Xu=p-c%}GrzNzaF-H74N# z-+T^+HH;YyZR{Bg3_-BC-T<|C1{QnQQ);gf!yb@jAP*``h4@b*3gW*zObiT$Q7jCO zQ7jC}QHc1w6UD*+!VJ+6buj;Npsg>s&;r>{^<yh)e5U3VmlmZ4XQunYd@jF$`2y>F zX2u1~HuIUe7-lifU}QF7k!M%uU}jh6ILydw%;LhV&*II@%fiJh&LY9EibJ1`l}U?> zkBR#gvlJ8Cb7scR>?R-BnLaTKv9Wz+X5nCb&7}T;iAjX9nOVJ!SxQucNtr{9Q;ms} ziGzu;nZvk&gO!7iiQ@vZ1QW+aW)3FC$sGC<I9P8n^Id0Vy1~rJ#(9^SPgU$SJMSZI zuAAKAx48NKg8H?fo{uaGFY|gv7ItRPrdUv-0i6iS{E3l8jM)Z~Y!nz7SxlIjm?Rik z+0<E?In>z?GjbVoO7kdibMoo)vhwh8Gw}#<tMKtMaxrqT@-l*EXA)*W!oL9;uAn%X z7R|!2ESiO3bu=P<?T%()I1)`bKZ9!T)FMP<>jGmFBlxs*4#;WgYZw{U@R&03GO{vx zf(KH$7#QkchuPLa53^-pU=WxIahE_0V&7H7Ze|Ak7#0TO7)Tl*X5STf91?W?CG?y{ zXzVXwG-H^;&%wgY0=i;`jfIV!jTyYdH2`YwiWtOsz8_;)7=&Y47-VA+=`lQ(g&{ST zg`qeW;+6(zI^;l`Zx(>=Q>#EbmlL$F5qX~<)LpP_8t>}k79SiE9}*eh3O_FeCg|kp z=K?+vI}m($R39UlDg%>(U=n;{@IJ;(jP6<<+Zh?wFoUiDVrJrJVq;=l$;7^niHAvO zBNNL8CPhw8CN4g~U(BjZtiPGLn1mSRIW#!rn1t9x`B_2R<iH0XgSM(yf)d#_(8zHB z=te6L&xMJdQI3U$*_BO;bvtw&&4*c#c)I`%7f^b-8_UA*GM0tmYb+u?iN&!nfG{i{ zqtmc}fE<S*?$FL;&*%_j&&A;3#L~=|5EUQ9%*7z$bcjWo2?E6@vS=_FO<>_;6okNo zEL;o>pq#S;G=2ukH5p7?3<Yx_?hA;6#2d(c#c?bQ<#8+wHArGG`-`(P3j%Ud6N^(r zi&H`ScT$Ur!Pn^)GcYwUF&toQU}6YrU}7kk3o&;E#0>D&y+8IdFl>%vVb~5416joo zUsPfcpO~9sX2NiYk&i)vg^87km4Shw0jmE6L@ikV_c#^?=6Dtcj(CV$K~oJdcY%ya z&u3s-%Ot=gz{bzWFU)X=@gx(&7SL8r@J;fpOspL2kjX<PW+oOkRwfVtUHQkx1ln8- z!R$=z93UAs(21^0Of2kt>`b6(1a>B7@Z=-tj%?8C2hfTKkUo%^oS;j&SXfvXnOIps zyRSj(L&2Dhor#f&iHQ}oe2<Nlg_VhsgPjSIFfPo4gwF}6UqFGu&c?)WBc6rf4w4wm ze~HDp;HW>u$jI=DnUUcER6j=oqF*eUz``Jvz``Jx00|#{1_pRuNzVs`4vRrB!y(3S zhDD&w)u2mtSU5pp0S+Hf*nlR!SeQVIT^!~^+?Ro5epvzwLu~>JLnG9DkS#Fx<$?-2 z2Bu&EPJS+iLyTz*=U7<TIKc+9f=L!IkC};?iHV7w3A8Jlg^7^~!e<1FfCFX&)ZPbB zJCMWWH&hJN5`fuT3_cANyuvHKxID8YIfG#uD<cEL0jN0|iHPvANn~MgNMvDfO$51| zfq@q(e2~mxU|P(`aENg+Bf~sa(7kvpEG$e+EMS+iuro1nu|xdB1ojaN3lk`5FtdT> zLHFf?4xwQJUE{(CI{uo8i38+YP>_PW!cedP60QfJZU6~hN@QWUmdL_z8!84$|1kgM z<`<=ceaFBw738X^j11eLA;}3&TC8j=Oi&i+VlXCFCKk|KB^zjd9F&>BG#fJ$C@w&W z5**453<3)w?(j$ghXpu)B_^>jq$II0WI)6~PJ^c(xI5zW@{>zIm%4zWnTufp=!hf+ z1_lMFc?*&d=g(|OVqw^k#KN!_VIHGFE~GsI^IuVF8mIvVNj<lj84fYtW@gyL&H_H) zK!}Mn$PP}rV0SYthWO7S8SFo>m_srPgL^UygEvA99xoZ$5O;wb5|moPz{C=u?GFlY z1BPWBd@P`l1ce?esGJ8GW&kyRK{CR>>yud+b|kYf>`8`{>mm%0>pTu5voIv&K+K2P z1M@$~K4Z{WR6vM7M*u&=A;vm}9UOHG43<p#jA9H78=&@Zq#*1OPl4E@oPuf313dPa znJ_R3Phbq`XXKc`$j`6~WR1ZRNH}F6nO~8@!qAe!!qACkeqRa;!vSbGVe^Nfkp%;j z^aQ5TekSe-OhOEY7}qi~?BQ6;$iNW5<j5!k3bzMP`)(lF_acRb;Y$h&!%sB({-v-m z7~t`bk*OI2liUQB(tZ~12`nJ{HZU^m<JiE+zz__xZ^BZD|2$F=;TMq#u`eYRHT*#K zZNOunsi6_bK916U4(<sYLJSAMR)KuQz~Hb9V$TL7dk#YFIg7=f3HZX%z{mt-kw9s` z0QUp|A%;T;i#|Z@kw`=MM>7rLAJa5U{|MmmkCB0i1;`$S(tZW*2?|0CM-cWbSPt<| z1Cl)x(^wehrm-+ALbGRi8Vkb&JocCvSeh{~nM`mf?RVgw-~fuKV~h;PIF2zgFl0g_ zs$d1gz7I(DF{VT86G%t(7sx&VXgPu{9a<P#8iDL<U@Yx#VC0_Az$nCU0&E)tgTqRQ zJrU`M@XLbQQ;Ef%5BTEA%-F&NY!Opwe*+Wuga#%dhEouW1Xe-pIe=u(C8#|QvDj09 zC;W_!%*`yo_OO)pH?VL|XkZayI0Lcg0n{Fi41|BIG9cmRnSmK@AMn^?W@>5-j;IEX z(*6bx?g<SXLJa31_H0-U@y`S#dlp0O*@VTO3;4p#*wDZn5<>!|{S5-#6B-1B7%qS< zYFGoY=LeEK+?f#nNM&OB#{rLjjLeJ-O&}4ZP}<+1z&)WsL5SfJ*q(s35PK3b5#d%0 zwWkS-JqPgEV`^k#WC4jFhtmEA2kr?C4nho9!1gGtgV=Kd$(}n<d){HOX92!&Gcd6* zHHX9yIBkOyHz;*q1KR~Ei9SH>v&cgD%O?vGesNit;irJdUq%L&=7y$_h-v^Oac~+3 zCGs0^`wpy!_-_T0eS4txox@_^0zCGa7+RVb8$cqg0hH9iX&sc<Z^7-GumNJ9L^i^I zI@u8a*=1w#AHH(J*uuih01|QFBo9vWphSNMZePMih<zPM_RWRbw;qdq40!x!Xl8D1 zZe$3JKv2>Lr+rZ3zX!9>U=zf?A4vA`=0N->pM&YY20Zo|8=IP%n1EUrCKDPOKv@8s z2SAzN0n9#z%@F%CauDHJ3$?Ewi+v1u!qd#$(7?h1)XD(a2g(ZIya37!k6`v)fZBHh z$-Z|``<Qbv{r3Q0elRvMGBh^_wK_l+Lb3!TPk=JT6Of$?wm|&nk&EzOTrMO$i?P@j zfG0eS%q&cdO-(?p4zPXTECI<ApiJ=$WM9Enh<!Vd>^ldw?=cqpF5t1x)Y#0z+`tml z>HymZ&JvJ30m>9FK=wIogV?8$hwz_$9>jkkd6?n30bh6;m>Zj$7+Qc@A7BeX)j$Iz zQ-E^CE0BetLIyM*wH;z_M;@YmJ#Rk)!>l|OhIvS0uy!tJP`xrUH7AAP5F-!62M$o5 z3e**Zc1IW#pyoY5(hKVk|3-*07C_w(H@7q?Co>tm(-eBT3_}|yBLjm0)I5!R#CX|- zFANNp`78{!`4BgQ?zDrMla*TlUe>~Jh*6ed1}CVk4{7r=L;4f}Q1dDvdcpmrEH!3^ z`g|6KW{4O#WYY7C6U$N=4l$ZCtm6drxj?3XdV`=|B*??8kO6=RQ1dS2voMH&buutK z&SznGp3lPY25KG$0|Vm+XnupaGqE@~IXxfLYhYm71?r#dVq~}iaxM!q56C(uP_Lc| z)T@WEnAn&>+ufO1xIi62P*)tphjdm!JXVle78WK(DUduT6Dzb!4x(9@7#RX~K;pTe z0MU;Y31wucEns12EP#X~$oVk$6{nV@GaO>%XXxN%mEeT<6(pYkHD?Dz4><f_{mp|A zF_1C_kpB4e<Yb05ykOl0P<<be)ch-8VPGs|VPGx9v_CN^zX;TOV>raf!mx#xi3Qrj zYk-<#fuzSpgo(kskcGh?Neu3flEmcfWYEb)42Kxy84mG60v8l4-~k=5V?q0tq2^9N zGGk#O3&WB^7KRl_VlZ=)3rb5ele3E%m=qWeF)A?J-~|m2FoOmdK%)#ypx6QBi33n` zUlbzpweckeh98A241chgo0wXhkyxC;aEMWa;Ri1$`9j9g9ze}8C_?PNxO|a;!MTWq z!MzAe_(9AmE-7L-#3;tl#s^9yAV+})B|x6u2}utPNP2sUSQsW0u`o<U5`(%YDL<u> zf$1n{tl%gY!yG;~(C{93bObb11{#24VFD#oHYRo^HYQd!P(XkZA`=HFq}jm(Y7oz` zFfsD5F@eq*Wn%)#vM@2SfsXLwU;>SRuz|)q*dQvwB#6(%$N@47G^hnS;hYs}8XFVn zhBA;03kz5+6KH4-%!2d5vP>*c6JR`uNiY(u1MDAGCRR39CeY<$Ab+qku`{tFy9K0% z4I&FCS>P;)K6F01eiB>)wjbmM2u3%FjhTss1I>0eP$>oS4@?}y24N_l1r+jZ=zf5> z95)FIO_2Y=7&H*D50ZWklpxaMFEd7lOC>A}*Gh1vM`I&~LyX1@YlI=e%*h0TY)p&{ z6QJe^lp@UAzm0)Gsg#95trVwu;NfNyhC^WU*ukc8f+hnPE<nx8C}m*)<wH=Cht(UE z2=f>j@*v|m@O%v_Djb7-85W3u!XGqP3@+(EK+W3#(F-p3xOXuy94KXBI06v^Spgqs zD@rXXEy^=sIK;@t@Jj@21X!M7KP23KAn9Q*V`1PbV`1PcgZL9v)WO`7o}XHgS;D}? z&Txp4onekBXlxqf9|5R24iG(H_k@?RFr<{RFl0i+!1lq-Nk%@oje&`!fhpxbBVz+o z7pU_2%*b#|ln<1`pd+8`;PFs)78X8cCKeVZPzqpSX5x~Ar7w6+1E&X8HdZ!JIRHv& zSTO^GzyXNAKOnh{rJRL<ubhQJxE$hdL8Nh9__<YKh73&X2bdaJ_cMW9+R4hWNnBh2 zt1V2dOdM?BT+PPH#=!(}D>D-lBv*ij?3q~2Si$ouvY?w(p;(Fu3|T<q!JJIsp?*Gg zCPpr>Nf7^Gk}S|mZ5AAY`0EDL51>GQQqIEgww#6GBSH)mr64H=*f<B=f1qYPZ-5Ty z5=w?cjGhepBt1b2KS0ejsDOkGNX(`JVy*{547a(U<~#2MMjh~JqWla8AjUE{9EP~J z17QZk)Cv}cg%vCeOA%tY&4mpPTJ$q<Kn4eM84gM2g4_qf8=&UDK$ykwwSt9#v66*> zwG!?Z+~&gu11<VlI3R<81q??d3qWRru)-0D`#lh5F@#n^%uhs!;Wi&O_-E12!2ufl zI|ea(0@U0E2s0SgLCxKT5W{V*A#AYEqF;amG}w0nV(?Ljdw(FzU|_3)xL2r(nDBrN z?pgFJaDWE)PJzuWfSMbCFoPkkiiIJoiiIH`A%@$%kik5I2@V$h4jhodye3A5Gm=f9 z(uIM6;TXi-8xUqO?1P$r79ob)e8}LP!Gs1zi~a^i4)EaJIk@Qz6QJe`RKvr7LB1N| zem#U3Zu1QxgLVcJ8kj8l8<;r2gLW6-7APEtxW52l7DGMM{62&jZu6mobp{g}SS<P* zSUA9gb(i4gZ-APA0bv%yeW>~G5MsE^hYr#iOlaV+=x^ZQ01wh#ft&Ae0^)v!8brUx zs)hwzzdF_+!VFfv!^@KZ(8BlZ)D#9L76UzfMuuCGd@P{0AE?9xm2J?CfDKUd8<5P0 z^#>+nF(14X+cPgEwSwW7B*-jiJ8}ZloD*2gxr5i7P=+Qcgh30S<_Odx{0noBdM&1Z z!R`sp$xKdl%t_5-=z<xw0cuVJ7IO*+niI+}32M**s5uL;n6n+fImw9y46~rdFkFC| z^8$-G40U+@m7G`*%CHD(&;zJB26dR><AT>5Cx%r}GeG6%2dFs}Sj_3gZ%!z~CM1JS zLel2}Eau$6YmPBE!gfK85`db+QIF{_#d>_<12!j=;Skgykmo@2mr(N}u$Wgsz&udI zoq`%?05xX;7IU`ZH^+(L7Ss^XeQZ#3USKijFMe~J8D1gG34oeo(0~XN#|9P#*9H~_ zFC;N|c~F{`R+U<m&%m^iiQx$2MkWR!X4rf;%iv^zE1n6bAn8h?5#dkGMivI$MivGm zBr#-vCg&GaGB7o=GaO-TWM{a+2=X4N**Z#tR<W>vqkANU2Ph#aoP(sJgl0s!QrpbJ z(Adnv(AJDdTd;JLo0^-PQ{<QLk(yW#ke>-&v(LcP$jWexv5}QQ4pdPwbAft;knQPg zOduLUf<_e}EYR2o6mvj&A0T}Y4CzfENKo$*G-Sle#sXSd0$-T`6NmQLnV7-jBcP-V zicDs7bznC^)Phuk#$Xs(7+F9gJ)pCWL8B|oOfWvk&5U3ZKsq2Skn2D^5JrfAL_ruN zii|-)3odClT!4h{ffhu6{Xz>1!<7~mhMO%|!#6j-ER}(2H!s5p#@)OOZXn}XrI3RZ z5{M8I<Wvxb1}6(U6B`#Z6B7?R6B`>lWQi9OD-&o`l!=Lv0~S22;H5gCwP2u;QAY3; z5TF5D7A9uU$tU13Rc0nuHc&8uFes=%gIAyc0_gw+6-XR}8No||Kr)~qELJ8^;6U64 zVnN0)K#>dz9*79YM<5;ugJh8T2o)ev5QaoFf`sV+$$>CJ2Et+lcThnx2n-rMLB{B2 zAj^T+5R=%Lz~RKg%mNBaHVaVbfXoDS`awf!Y@kI_pn)uq3MMu-Pzk~e=|qFam0`Ak zLJYE?3KBvfGeCZaU`8HL{Gp10k}xQ{fOLW|3llpFI}<w>%tTNZ9HfI4Jmdw6U92Xv zL0kh$<e(S<r6CXvvX_~KnFXYW8MMTUi5)a>0q(rBgGrFtpfCUpXn@iTh!4^Q!HjHR z*C43`$%8Oh4|q%$i!N|}D7X&E4;R|OX&*cu^Lrly!^3tKhNloQusXy#$@rYiywVDW zQ;e((LaYo8Q2hcOEDT^17#J8p^Dwd<EDVaUOAQ$i>j1%{LT4Cx7&1U%#{?gsp8z!{ zq60C`e|QH2Lv{xXLp~03LNY*m*%;0+N;8zPvOtD*nLy*Y3=9k#pysXUK+JD!?O<Wp z(ZRy72a9?61*v%qOgao_7<Cx>7(rnNavyjlCU}_}Xf6U25D%c{GIX*qfYt$m#6aV7 z0-Y=jBAu`hK=?N~C%-r~ErsC>qb$P;(CO|>kU{{|wE-7OHz463(8<C8UZBLlz>pTj z%n;Yf!jOc;ymHVz1`KBy)fx7{U5RX>0o1$&NalS##K5q&lZ9a;7W1GXsK#&w>^P98 zk&R<WfSUIKq8FS#{&%u4uywI8aCKq&x3oAXHMIcLiF49tWH`g<&hQF!2t9aE3sgFR zLKHO24hmr=CN72zQ1b)2Fw;*w7W30nOG+|R82UhkC}?~f6#gK50BX(*h#s&z9~@v{ zSk}eDunHmuQid4kOw24!WjMpA#4rnN6ev%EN>oTbL8QwMP;);(%mABPbdiC9shfp? ztsB$-MIduQlZ^~#7)2SjfX#;a8x-FHHzD!u(2b~nK=UC%-7E}YSj;O<Ey*m&%uOv~ zIKwE$a10!dkgx-p#=u|zHE%*UBK^Sf%>pdu<!0t3=NF|iT!WVuAlET61VGKX(Tzyg zFS}V7-gdJve8OT*d16iu$XyIfn?TXLiIL$46EkRGC>v-&Dx`b_m9Z?Ki4brN0GhS} zWlm5MV`kz8EffXUO^`AQRJwu|vO>if1a3jXDWeBb9#r<QFx2+2Ff{dGhI?LOUOvP< z`#|p5$H?%2nI!kHfozA=mIxPt+{q4;0jHk}P<Ju(BEmc6G9!anFAIZIFQ&VSN{Tbn zLFpkku{fLI45J>y1#m+K6o;U^09wP%4Jrk1L;M@j%fbLUHXdXdXdW-OmxZAatGV&; zt5q1zFe)&-fL9qHV`0S=g96mt4G=TH>GxzW3&Vw87KSSjF_2a8d;yx-bxzNRpVa}% z$(G=pY{&2iylNZda!^=-;u4fwAOkZAP<tf$5c7rVeJtSor`LxWF2$MYY2_&lOd<lj z{EQ6KK&M84QzU3fHpFB`P^d6WfSOwXF$3)W#y%E?u09rqK8P5K`-?NvONtV6K_$=v z#<cm2pu~{Pu#Ocpm;`b;7;}I~P&x(Gryw>2gMD%b5)Tg`W`phf(#OK^qmPB*FGLK* zzGQG%L9N^kvTZjb!wXhW0D)FsgPL?mli^ID=mxDn2hGHT8Wk`$SRAy}AG8vkjTO{n z1kGxINA+32%|}q8L|R{b0P4<;esI`=-8pwB1H-I-7KV8cF%)+eXQo4@b{Nhuax=8B zgPQQ5LB0!6b1p#ifX#W(&%*GypM~K$L=4rO%3|N#!~zDU0ERP+0StYh<=3!6i3ODM zKt5q+0<HH3WhT({KDeM#xC;qaiwOw#`b=P92%f;g5DpPTu?LzCA$GAC_<)mOAj2MZ zK5#Mt6&IjL1BEk)4JyRIYoi;W_AG#y3HA@HKHUTnL$Rm0ve*}VH4?);uvb9g0`dYl zPlDPJQ1d=aK=jAhCbBT_PGn&aoCx*{ig_@1L(F7iF)#(Y+=}4~)aA%7=3-#@05v}V zViwrF2@_cuk|(k-q(j6|%+F0sDFfA9Ofn2-7-blG5J?$Q8w%Wmg!2N3Ua)!VCbBSW zoXEnk6(WXW9{Btq1||)LGmIJxi#R~d5>NpR3R_TY!pji{sJTBNW`NCIX2Hn7Hi?CS zdlHs#$jQ$G)vt}971$sX*})rIKy%=r?hh!7gZp@(Ido8)4ZIzOg$=Z|1+<@lm4g|a z{+S@FUl<rJK<%%9*afy97Oy=JF%*A+3dfuh$j*gTp!F%Bj0Mhq(3rgs38xbfy<qdM zPhw%XH;IMe5kw5tywsACoMO<jd|Q7|E_Y(s$H~V9>S2H~CZx&4&BVnJ05w-(GB`}Y z<{C_9VKAP|!e9;&LoqkM7_usfiG$$`BL~9+PEe>bGB6}S&B=i10h<G>*P9?>DCQI= zXQZaYr>B-Arlo;4>Qpkk0{a>ik)X^0&V-<SO;B?WK+FJ}du1{U!}ZB547VX-DCVXX z<mcoh7o{eaq%trGFq~l&VED%gichGa6QJe^OhNb;W}YfU43~L^41M4Z6x1ZJGKK|E z^AaF>!R~{#uL~h!V1=;p4~Y9pav0`;7Z`zD1`0uVx;+3jZv#Xx*t|niSQw5@VPQB4 z5yLdEJP|Z?t;%qQQI%mE*o`1_L0vJpTR%X}<(P`__q2Ts3^G$$7!)94DCUCu0r`2U z6{*P#XBedz&T)b2ABd5lxDj{&Nskp%5$!_IKJFP)Sr}$dg|DMy4m!oa5Pgb)A!7?< z-!$yJDA;~I(EZ4IdZ48^daxiW%}dVD&CSmPNilFmGO$E4FfbHA?R$Y_A85Zk+cXvi z&S?nyc&4#1h)!c+_yE1P0=s>wg*a@BU|@*=?ImGl76xsbw`5^u1v3~fK<&?%hVXCG zG!}-=X)FxANcK;h#=@{@8t!vdK%PSLZ#;O8g@H*tpx&RGfomZn1It221_oOuR`BVy z3M{P5BA{(FZ~-<}R<Hml!Wtez;(=j0!d(*6Ss0Y3voNSnM}&*^bQT7S=_G^;!d1@x zi~)ZBTnt>B85vkMGeU0Z0PP?a1-S}zQwN;Gz~Jx*;+_de?s=Wa#IR~Q3&UC@_iUKX z!mxKbY2gG}aL851zyjXy!^#W^8g@v8DS(3ow8s$?E(f6QkeGo87lRor4AwJP80=>t z!o_(83q!yRQo;q|3MSzIM}Niu15mj1GcvIBGeTSeIxm|Y6fTya!?W2~S-~6zhJeSA za9M%mo?|mu7|zXLVYr0ko@+B$7@p4{DO`#xi$S?1GdUZShB`oJ>2a-MWMEkZO+=tj z0%35P0VN_32NGIb3=B^o?y{JP2q(}!>5!Q$4B<1uWd&%xB{Qyj8zAW!DL)~(hRckB z#S9b~p!pf7Ju8sxp;CEKT#%ZanV18yXaUq7fmsOuP^Fwev4w$w;VC5CDv<1<QaT4+ zW#E)qQVjM`1Js@yNcMc1#lrA)77N3VS!Bcy+;k>=1}=RD7JaZa3>%>Kc+5tG8<pY* za(x-Z8U}%9kZ{|8WDiy12Wm?J)O>+CRP#r1Nl|HXi9)pktb$7S$t*6hhI8{2GV@A| zjTCA@Uc3OczXQqsz#|L{yXUYl?3+VEd=YOemkI+5tP9S-@Ej7p0&@}mr&2kSo(#H# z1>{LkKLct{1(H1z=CUx%p3A~8e=eeYT|AeCVZ&S!%2%i@OyU92{)_>7pz?J!BLmB7 zXkq5f0=jvE3sOCLvw?1(0C$5EUO@cKF%RKyDy3iWk*QE`GaP{0Q-EX-Rnjli7KN7( zf4o34pLIS91K)fW2HE+L^CLtU7+6i_voKiBXJN3L4@pzF?)8AVTL<m_2n{VzqcJEo zu{b|ZQ!lurC^Ijefr;0^S)b9sfq_d`Pe4~hPhOWvk4smSfkk%$pFlsK$OJxCHmRw6 zOw;(drt*n0Fw`)C2o_NW23;lrMiC}?MkXdMMp2NR2cZ6#F&_~hR7!`%r78L8AUi;~ z;1wji7#1Mx5nsT<ptOL6L2Usd9cnFLVK84nLOO)l!XzGG<<A%p1xkk77#Uc$F)}dZ zKyPdSH-B<KH#V?<ZUqJ>Lk5G_5cf<#au1d2g^c8k#5|CnL3jhyo*zi|P^EnXwZ-8L z#2*n05#hReF9XA-y$lRn_d+&mi!d-S@7T-00K&M=wTHA1!0r2Jv)E!tIs=s-Q1f>n znSXjA3&Zt=EDX07BI51-LKX%PCfNQ!n9d}g&<HwHmM@;0fol^Z1Is2x$Qd!9D@qF9 zLi}a0h<g6AMDo`IsQD9+%%{v>$fh$eEO-ZT{|6-Vsg%BwGE2%*lS}f8K<P{2J;a`X z#fWess~&?@nkc3-Fa$u&-+^R4mHd%el9<GB0cyU)5`_Epmas5bE@5G?S%OGk_Dfh8 zK$u|qg82h%I+Jifh(BWhi$6O9S1|)iF{JIU$HdMM@BtET6OinslE30X*Rg}*281s_ z?fHRZ5C2jY2C1bi46;iR{!>`W!T`cV`wwCZlW;&V%!5S?EJY9x>Vo>jAln%j0zN|g zS+NumzSEYnFw9-b!mtp@{-sM<7`81XsXvpOpHiBW3Mw)fn1ln$Kz-sVj0`MOK)ck? z`^2DQ(ZpF;nc>};4^VdrEJL_UXBi8F`7#y;>t%>=v0ui*0K!Cv3$m-k1ETyH1A;-7 z!g)ppmh+4Z3@xDZmqCXFfqPjD3<o|z!e<7OJE)WnN{Uhw3p`6w!THqTGsK=BNcK>a zPf<)~U?_l^pRgPeo>XdAr6&iMr=}J-m8OC2F@xH30?8h#w5w2TVPG)$0tqjJ6$t-q z31(ynT*1N+vI3Dl!dI{`fG{!jno%sQa6qz*E1!WSpMe2%#kLX?JHrB~y(^IHJ-&j4 z;nE5ghHFUn-de%J0Kx>*Wi(b>nS{a3W<F4+>0o4F>0o4F0NuR<s`|hg*WfGE|0@yx zr&791EK1Ew^vKLB0a>&GYR?2DdnoF+qL|LW@BnK54<z%c<d0m?9nD410fmHbknl=a zg$S>zRV)mxt5_I1Rw2?$_bL{KDXU0mU&3u+5)Lr&XAIB+)g_e-ER_rlNuX<eK}Bs6 z=qf@GgMr}z)EzI7+|j?AfnnNi28Nlt5$&-#yBQc(?j~xy6{Cj<a}vB~3ll)}bqf+x z6rwF+OEdFIEWjP!gzu2>X;_U2pEIjj7_P5oVYsy#5k7ZUvoL@#!E{XpS1}1Yc-u2N zu-LORaAh&DWI=9`2G!0C3>Tp8HCTgiZ}S=!hORX%483a*?wzoPg#nB=L&mLP<JB-4 zxm{~$YyxTlq7D+k!jAaBWD*Vt_2*#VDqvtKU|;~9+pGeKdr*FI_yGy$7f9~5T+71X zvX+IxV=cnnK5JPRK$u`SM^n$$G5(AJ;1Z{kk%6U?k%1wUiIo{z;#`2bd%;>ncvF~e zVTCPpL@o_>y*wzG7y^Dm!bxBq!ak#QEDW~mSQs4FA;QUZ9SeisIui0LhHXs30d}wv ziY`V5mM&=5kRLSq3+fhv84sZDS%Bmo3d<>Y4hhbzN>u=5MPnmt1;|xL#bCafiM4`T zQEDoTr%=m~@Cy<y3hNQ!0$K+Wx1NO|aXn-V0o0!(m~P0jolB2_MGqW56QJ(cfaDHJ z>t8cNMD`;kOu!EM4G9;E4Tx|t|Hi;jwSk49b^{6F5>2ivxcnJd{22nE?l^(ujwc&f z7~X7PVfe5Ck#4_iU}50gNK$(mcFhoIc3=s3cHkHz0}JRdDbQqq6bmc(NLUaJZo8rg zg6C8~BO3~TAmNm;5fM&J8(A3oHnK2G+K6!9w2dqbi#L*xuaI5W$Oy|&7a18?E<%U= za#=vLanK>ZTsF{*9O%Lmh<kn@xrf4h1uIve2RDOL2SdYOh&>6L5dNmhI17p`3=9JQ zAoiR<vWH6kDNar-PKEe}fnfsF9)ryY|F~~vVF=pH!VtO{5swj@Sr|Zg3*Pz<dEN(X z3zKj_9IW41%fM0#={H&+>M?=;5Pxn!vY%T1%uLVBOUsA&bOO{Ki7g0!Ql<Z2jBE=7 zg8+jY*un-Rdng?zGXfQ7pz&DH`Uj}_50K2KY&;3sbOr_oMu__zwj#obQuiApxqk!H z`~^tnQ|5kT(-{~Fm>}+F*hW3~gIjaZ@P7a`KLN>n%G{4^Is?N3W{CR_Aem2T_?sey zzW@uwe1+|Z@S)88$fh$eT!5P2upP1Pb-{KPhUME?7*=gZlqc)9voLJkj=SE16}}o; zpaHg!#H5_mkjjEoO})gF6y3C31}0$xEqx9KA6JG=Os=4FnZZ}o>9g>IZWsXVM`VTg zlVb<MpNczJ7&LdVFzD_;xWjM<3xnGZ-0nbX*Tb9yKCT&5dCmq`o_iS?SoT7zCeY9m z2!m$S;gu&y0EFRVQlJ9j0n~jfkleR_2MfdL9V`szk=%EA2Mfc~9VFDBaM$JfGX^w+ zZl>Xy#KgcdiHU(>K4?rz6k5M4ftscBLFaFyh%m52!p&hPBHUtjVvZvw?_^;BVbaD! zppFv`2>0h;U~pk#Wd@CffWo5zYVQstdoS%|VYs`Kh2h~&M0`En$-)4_1nVKB@esJJ zpsEPmG3jPxVCiOLU<ikIOawR}{<heK@He)8N!%_LhU{G=r58}Tbu2DUEh@>(&vPv* z0u88xR)c`jgb1i61arVGD|UtnP<I?aa>tWhEDY~=u`qnzg$Rf5yI2@lc9W1Uu(*Or zJRsJeF+dJ9ZaJ5cfn_c<6+5!9GK1Cvf|ndQvazy)IiOf#U@+i>gj2$9L^x4t{4XiL zG%uwX8bA}E_MAYnhbrTLD7G*#e1O_xum|BE-#wV?f}-}YFr@BbVZb%73M(8mw2&;& z)PwkjNjM=so-u(fo;`sdbe1nGvm6T>=yc)$7FJd{Ha2E4h6XN3xb8r52bJ1E$pxhW zMfqu&Ip9VgH^d%=y$JtOW&8@&7KR3>Jsn8)V9QS=j8DOWI3+1PED=1|4N8(c5dVBY zvWH_I<~XYGJ{ATLCRlEu*}^0o;N;I3pyAKOz>vYj$}9*fHW?TgCP3|N*oO$mx%*fc z*6w3r*s>2XFTZym3&V+hEDV?TLHdrk(htZhAbf)r^_~$8tyEBn0hy3Tq^AbPT+rQt zZ1r3XjQk8-=2m8w#tbaxGZ@XLGa556#4$mVm?Q&395jhZGBB7knSmrh)-V|GLc&dB zKf?V~N^fbIdEgtZ!1io_+A{&k9;)=0P;6mfaNvXZ=LeEKR4SL!@{5vF)008BzNIoO zfZCIA01<9fDVI=eVPIh3hxq3Nl08&vzksF<GxMP9;|@UWF*u0ukLN)ahLD3S3=szr z^-at{76uR|I{(0IVG<80^Jff51XVIW85vl9GBPkM05wEFlVjjU2soV_5P<l12a-GP z9%Ny7dXR<TC6YVdg4l;hs(;gyo%8cbit=-EQj0+ME2o0mZj-=mw=IkeEL)(p9;iBo zVG&R+2Mv6{1jNDBGZzCxgCNA635O8j)qIGBq5lvI!{kGV@S1*zg#m<#4lk^(Yz7U0 zuz-SYB_jjNN~lX?nYb7XgdpyCf#eP<rT6sYkfO}=bjW<-1gJd$hY|jzN_t1Jg@NG% z)Sewk_E4!j%E*Myje-(dfiNW86pkSLLzVFks4X9$<~JajPoY2HBdoBhsTg^j7bcjO z3%(s4KHdu#2i-Uf6;-HZC=h}8U*agj|CEjU!Yrc1RSXOZpzhj%<SvTGfz3f3U({(B z%3R67ARr0}zldXq@FR14m<Fz6U`T+vkKs7NeNx9+7?h5)FsL0zluug6Ss1*JlT?o8 zf@;8GP~kb52{fO)m5G66D-&epC1|u5RG_2N@PZUw0?daMs-SaV#USCj1IgVNj<Yb_ zJ<h`L5Xs$7kFzlRK2Acv2jS|Spu%)Jq({umz%q#$;`DWlEUe7hP;3k<_}4MAv9h9w zf=Ukt1_ud<I}=VI!nfuG3xncb1_l+Rb#SdGSQxra5Yt~M$VtqEbPM6lJ6-`3entUN z1_me4f=VaQg31L@dv74wOYL%{v^cd$At^sU2Qj}}RGOCu8he4yTBZk<rk1866re12 z293K&Lc*uwBqDq+oMd6Re3FIX+DS+on6!B%SouPk>zEuExEvT*93ZjCz>olSr^YFS zJ1ML`G0JJsJ&*8!%LQHjhzK|E&OW##`U>*=V9@c&IHi#mm>+=p;{%dED5{?a#1{+< z3Q~}Cv*R??;scg&ic@nFgYxsi%TO2^p!O)7LD)l4cwx1Lf#Ctvo(?2?u=Rh*7*8tB zOb<y+3W22L1ZhaPeL%8@^DGO4$XON!@w15XUg|6hgYsDt%1xLpOu_-y;6WmG2CfnY zmJ$YrSlDuuSj2J^h6hl0RGdYGD~0(99<rdk0NS$+^09*q#J>-a?4eHlA=|>humEb0 z$2o+5C@gQ`{sFCWOGzwA1o=ln7UG``NcNmQhq<2U+Bp^m5GJ}mQ4F_*Nf^955wtj! z0W{QT0vdB%0JT@+Jk|XNz9JJ`fPv}*If(xzAlb9#JPX72^DGRz&Lh(2-t#OBAWXFX zkZfTR4v2tFGL|#2ltU&NjX>UHSOB$O-~tW(37(z+#U=xTf;_~(4M_GayuiY+<^l`D zh6@P)Zn?n1u=fH9`4Qn?h;2;b0Y3hW0Y;$C;Q~emmIcs7?cj@mK^LEb84L%Y?h&|% z@ISV4h>USK(3PXXmAOgzIo_$25Z^N}I4D5<k7VEci!2PQF0wGJyNK}rri&~L`!AB< ze^lF;gaa)783P<azMsL!z%qjoGUx@G%K#72frh={90mr42T*rOT%t)hgryc0XXfW2 z0wO^X5)K_m_N}<Y!m#-g3&ZwHh;Z0_iG=}#iB9*Ra6qz+NjSg(IzGwO#>l|Z#>l{s zhBQw30qPEc%Lso{+OIM+gD-G}kHsb^LHt{RWY3h#nCq<PUuIzdVS@gR#<Yb=ydXKB zvA{E*n}K06Xb4Fc)LMt6JOgEj{XdZGr!bvkw1kTC^Go1uGFYnu(WC>fg)}ihS_}!= z*8+9dge!>fzj+07o!*lxEDUe25Ro4!brq9vfGu=Y4MR2)bn{#`3vA<@g9;=Z9j+qW zOX+$@BU7XWS+H7=#K7DDb=M6fcTu+9ks7XIU~o`{gjc~eM0im;UvGporM>}b{skoS zDVwiHHl2Z?Kn>!4gX>guKfHJV6;`NK!~>{(3y|zPbe)Ca+;tX)OV<(Q;<f863?NLn zoItF5N41Sfyr7v8T3m3wXJlY`&&a^A8CGmuP>1+i;|9Xt_BU7<+-|Tic-}y`!{-JI z0|*l{&uwfRo0gNGSOU5NKsX=(w01X}fh8L<%mAI}cF=&>I|IpH&^<_NZ?G_IxIsey zI2y?|E>i{;Q%E`305zZCCc<BomiK0+@Cb$V3K%{>?a4s0r}ZWa!-ShG3{!3*!f(b+ z76uR|9FJIRVG>X9if2rS25sCs!N|aJf{}q?A}9tm;06ByO-OitKynAA`OgA0YzSTN zW1s~wKj0Q3JSoe6$fh$ee1Mw20m*y{`%M@%L~><v4q{<2tN>2SDJ{-OO#u)1fS2xr z6HtIQ#J?7|5&qq9gn^;_HVZ@5Z4%Nad9L76W?)eUIivyVjuS}kps@di;i6RV&=w>F z(5i7`NG*;K%}Y&9fd&>rFgZOR9DE3o#GK6Zyj)0No0))uRR<ED6Ye0wlcIHp1LF&Z z2B<$G?jro*@Q8)M;}Hvk&m%;B4|v4FQ2L0dab*mjq?P96z}r_jY2YC;M9`+@7L-)t zmM%z4F#wH=r55ES=IAFEl<GMrCTFDjWagy?B&HyYDNia*3ob~^D^|d;AK!Q#coqeg zb#g%lpdR{=R9cL199&+Z)><JRJoy4zk`L3KR9TW*?3-AWotgrc11-;o$$^gJ05y&L zQY%WplE@lil3w{qkfr>{l6jz08Zoqhju0y<0R;@QEqS2L{upZWQu6a4Nf*UjH&8(V z4ouJ}S~18hL~!Q0B$j|j*U-hCf`hRs2ZbC~anSG!7K!4L#G;bm)Z9c2*Mb7WB|k3} zt7K9-D13ub6H^eel9ykCG;j@30Wtv7<ouLWctT50_RUX0(gzxbb_1;lKrc5+@=FrI zM~Z?12syAp$C+g&=73H(_sJ}S1TeCEVsUY1aS3=_owPJrh;SrCcP%1bz{5Y`iJ+-Z z5>t9kW-+3d10I+LrD9n61lJ{)iLbb%9F+XPi2ynA<R(^N6)ypA^$bZZ%0&;Y5>Uip z6~wCAH7^BSaY15fajIW1R^eb!azdBMNKGs-0H*@vXaR{DVih&QD(aq}m;;qis0GcE z9S6^ny<}owc?q2*1Fa?iEe!?Dl%ZqzOc_o^NV8=O3?FnM^~#G!i1PT{a~6i%&siAm zKSz|ukDs$J{C!SNzjCxRPSpdIF{7n1QmF#UK3EG}P)LCaQ>>DZvUapI9xaXGH5Ry# zre|q95j6Whl?gOpe1e&Q<peVW!wFDVQk{jB*^-5o*@T6aS&xO4SsK)}JONr4k5%S? zKBU}Dc!4N)sogKZ*A7ir(1KOP>4<z;0BKC3&fSBK6E8w22Xhfx(o^#gwG{({g8{@} z7B3P0qG(+dNv&&I`HF$z0MuUsuMqyCXME+Qmgm9~eJ*HoZb3;AA{IdH@XWk)v|eO+ zVm6`yirQ?=NGwYYNzE<DNi0c)+#dmsMc8q33=W2ncw~5u@b9A6EDUR3voLIUjc6Ba ze$B!F!UV@<NQy^#`j$yJ0JPB@G_A>1&A?I(ne{UR&-yVi9Ds%c#~VaA&@<jalL4UE zMs5t3AvX{~gB+l9FpVJbwF1eV)LD;*uM{Edx&o-XJl-P0kJ{r>pp*^I8z2rMUx02K zP>6<%!XO7>d178kY6>=)%=EncBJ5H{smW#7w1C#LLAqneR-~lnWR|6Z&cB1^EQG5v z^Gb_T!Fd%lvICC63(#;Xc!vlliq<1w<Tp^j4Bb#NFovY>8SfF{v-v#>!=d*q49DL? z$|D8_29{6nSs3&`urM4s4mn>VV=aWvc>$pVCP40sF0RZ=)=w<S&&^EM)6fb_%qdL; z9ajjt5`;-SAl#oZpw^!;z|x<K;T+=zCWaVACLS)(y+o`EoP6AD;!IrHtV~)=to*D( zOl&et5=^X2Tp}{ovdmlz42n!Vpqq@Dn3>pE`9Zg$b4oHxvWPM9fbSGz;pGCI+|S6u zD9Oam$il?V$OWaj8Nr&BnE07wnOT`7SuELD8KYT2yW|-d5=<ceulN8SI|ZNL4Z5Fb z)&~}bc@QyBI${)n-iM9sKj-}1g2bX!$Gnu_^2CDB%)F9<k|Kr+jI0a}&|5$m7z&`~ z-+-8fV*Uq+7}$J=T+HwbF3B%S1sQ8>#4w8yVq^o<JdKZ-?sbHSfz1oRXCBCzYaj+r zfSR}BBO?Bveqv$J`OLx)`5CfLhJk^B_4{WQhHGC~7<|8i?PX|@fb4@=p#h=qyo9EM zI*32ET!M&$Z;S(t_!Xt5<)kK;<Ybnl>Vbl*Ahn1At0Xwh_@`l)Of61MEJ!WJE?Jsa zkeHn9nwFTHid}(*RuO2wsHUEGW?l+*C7B@Io_Q&m_*{oae_Bprx?VO;<H4TO1MT(0 zZav(EpmQ-8nB+e&zhr*T%=m%%1S6Q54WV{IC@60$3a=KdP!3E!VSLZb#c+wyoGF@# zlaYgonURmtmr0P(l!b#?l!cx72q%*pqa70mqZ^YUBP)|Eqc4MuJ`=|ZHckP?CPrQ+ z<$8hLEF4UnyI48bvvTzCFt_uturo3-zGGti%FJHR#VElg!NkeJb%#~(G^+^{<1}`T z$?U9bY)o7e*xB3Jxr8|!#8~z)>Z&r8bE-0x^Re);v2h8r@UbzeGL^HaGL`dlv2rnK zFjaxrOIY1*v&u8emvGd&?O<bVV3e%qsN>$r&iam3_9d&LjFKW3Xd|&2vkaR6Qz#<~ zqXiQ?BNH13D<=~d8#}85BPSCpBL^EhD<=~_t0)^Mt0Id8vl|=8*Mf|kT<n~jOp2_c zEE3F;Y_g0TD13c3W>yXYYE;2$c)<EppypsRo1Kx9hnHWIjh#_~iJeh_3Bp%qV`AcD zmt^B-;^g1}6-?Y*JUn2UkBOa;m5H4ZTukXRLA+<n#K9EG7{wySq|PrY#3`sKA|dR? z!OiC_Dk>r&EXg4&U?~n}amg`?2sufD*&MPWmeODrmk6VXn1?Kw&A~0<Ee~PIvTJg% z^GI-muqwMU2RFMUM+K-LG-u*sGGG*75d@_Y7G`EPHby3PUS9!0ep4Y1K~WKQ;Uk;^ zZv1w99K3E!tW1)O98BEItOBz9zM#SegdJ=k<>-g6h<i*fi?c9fe`8_D|Ar_>n!m9y zO!&sa@KGF6j$qs~um@6(fXoD8mM%QyNM2%Ys$NNAx=(5z_Ur^!03z_K05A5#S{Nm! zq%d4#^kkUE%FD#X$=$~)@SlZ|iA|nKfscWKmx+s6nvIc(nOT^DftQ7gRhms4<Yo|_ z01Xd@?}&0$QIds0?mG*E@^?gd*nekX2>Q;#kSGZWkA__k|2shIS03oS2p6E`>X&dL z!h_)&V>=_m9#&N*VJ224Ar?k+0e;4LtQ;4?cQ|ngaxpN-GI21mGIBC=u?R6sv50`G zNLdy!RxS=9b}0@KP?`Ywf`LK7780)~ko>{<gM~rz2MdGT4}?Gbey}hU{$OEf`vLLC zo&ykn7#xDoZ>~b<4N!mVDIw&Kg6#Bw#F7jG5zD|NUZ9)LSg;Yalz=s#i{Tn$8xzA7 zRz)TuCLvGG08W1%KNcoFCJq*kRK|I%oEupLnZ%el+*x@znRu<_n3=hmI6=(=79mC< zCQe2R$erNKJj{YjLL4mYtc*e|tjv<2-~pS+=*VKgq`}C_D8k4J5_DzaWaMPxVN_ya zWa4Di=a6RCg@l`d9VFZsej@UN`cD=H?Vl_R20szu7W<Qhq3I_J!}6byaC5;C{}59d z7%CX?gd4o}fL+PKz_gi>;Tq#+MusbFLT!xf^*mZ^nk;5adR)p}++2!mTwFF>;cQN9 zTwK;%d~DKeOk65l+FT4Qri^S%EKtk^r3FxE0R|RRCN@SEDCUCF0w}Zq1A`C~JL4=y z28IvN@DTWg$PdxKSQxT@u`m?;LWIYJUn~r(f3Yy^`2`7&HPH5E#1TmP(K!jB4WQ|$ z!HAgffNamjo|NE$1}Z1~)6y82#1nc!=Mf9XGbV7ygHqHvMuubTicB2Mj6yAp91EGi ziDwEE7ZV?oK8px5Hz>O(vT!i+G6^xUFtRcVf$kP!RAgpmGzKLSMJ6dwnqd)PvSkFT z)npQ4<Y19zE?{6dU=K+rhdv?F$&dXE3<AGd7({-<+uzI*zgZY$e`C(u!pethjC>5& z*!dV(SQ$APSojz&K+RP^GB@Kl3q$sA7KVHzbBlkoFjU|%mr01>8lw=yEp{Q0(Yy>S zLXedB0c!q<-w1cl-O0f4_BRW|M<nyV{$^qL^_ztOSGvYp>cXQ0a<L4<HAZfRN9^1n z7qBs~urjfMI_8jYOZbDh5AN_E7KTfISQxJVL8Paje^?j<{<1L0|AnL{ba(jdfTSmg zuNW9s5S5-%D+=<9N>WpNQ%f@PQ*bm#HMC&a5Y*n&)I-<AByQlY&uCzv&uGA{4@zcB z85y3jD}u`G^NhUL7$wg$GXG&_{>{vJfsvhA`ZOc=F>q=EMKO~wBk0mzNhW?Kc4jq* zuqu-zqZpGkBR8nCWXZ(KD9Oak#LURasKf+rTY$Z|!2uF(GyWpt?ZaOdhTnf#82<l7 zgq!d`76zGrEDXy3AmN5yPs7q3*iZ%r1`eXa&95{U5@fitA~-pKn&6NiL#SsG4$$*w z43P2XV7SJZ#_)|@mWfrCU5;%H6U%ZYeim6yb_NDn79mChMliz(bj*wr6FVd5l3rub zI(J8izbE`dlt0`4u`nF_$HH*>AHv^n|FJM|{bym2{15TB%~44DxUdgG-+=N3pyf{l zQT`5q)@4lM2`!+aMKGQ*K`@@1;Tq#9Mus*HMJ7HrJ|<Q+ri+Z?ml!$TLkgHjOmfUZ z>_VW}RAk{}<YeMvWMW}u=4BFMVPR%v<YnSwv;fCElQNSyxPY->=K`JDA`UKGe85rj z0qXC9{|J9i{?Ed&=syd?^8bkPW&eK`hBN=k&8L2exu9L!4h8m%4ubZK4xIL&^t_gl zVGh`D!k`=2K%EaZeO@6xPEh*;;v*YIeXtK$9GEOYjS&Wh1x}E7vS46kkO2FDfuWp% zm0=PCE5mdKRt9E>80$?2R)${;tPG-%ZY;xs-H`Y}Z#O}dGcbIaOH4iCnv<G~J!zKY zgHj{|lemGaKBIxLKBIv?5>^K1-*Ze1M>rIjShLx4*qGQ@SZ=ZM++>wvW#M3BVY$M} zbD32NBzlgO=PZPEf|chugms9O=OC*Ti>xxZDWc0L$fV53&!mWidBH;(;C!seqQNA> zsK6w`D1(HRLFq(|iJeh^g@;*#jfYi*gNI#(i-%K%hlksd;e#_ITqiKHG6+BeWfLPS zIN$GOM1<>kMpkgXe*g_vLiyf?sP+h``OPG3pr_AhAgj;L(8npu#JZJ{eH$YihcK%w zD6fL@t}v)S1nvp3GcYJIu`}8-FeJD@-0i`H>F#_c^4%Rkl)L>(bKNpib5a=Qfn0o) zk^LCL#gIU}0CnFBEbilBCf|K2#JbNjk6|6iaaS4HuOT_k!4(p24a|seJH^b(aFv;r z;TDp+elW8#@UpNnh_FD$m(b%oW-laPL43==U_exP=U1BRUs{4aCuwMbj-CMJCQZHk z(h|@~Vdnab2KL}AG=-62AILXP8QGsPve~gPahmg+v2h65vf8magGT{nS!5Xnnb;U* zSlF3aSlF4tSwfa27nJ-#X@-r3mzkeMf|-?tm06vE;Q=%pI#^g46ri41#lp(4m4%gI z7YibOUa_z;aI>;9h_OP#;RUo^04q=C>_-iUGsVP|C!j!J5;w@xXEdk)`>%tE;T)$T z6YEz-_HT?_8oW%bEG$gi>U`%|c+Rp&sj+dei7|^R>GCSD%5&?n8-snU$YRXM#KOZQ z$|}gl!fe9C%E-ki#Kz9b!p6=D_PruYAd?7V1t<hS1ppU|A~PQw533Xl4+}H15;HI8 zxF{BOP-rkPd~k!Liy5ql@BrOoy@i#PVFxQBJPxt4GMr>(Ww-zhkDQ$l|HHxqR{s}3 z>%ks;=@k^&{%H)?7?l{-ak2hj=K0Dj$iO1T$i~FRz#_=R&B(^Uz{<qKD9FH|;0|%0 z0vp18o@}fPA#AJ+k!-9CpgUz57#Nr{*;pB7u(2`-pv4m`y@DGO=;My?cBN--K~8FJ zYF-IwySzh|J)=VuxW;<M$gqtIR2z%4#WKsVLV}-3h>4YvkA(-Eq*z#3nH5>snORxb znYo}z5Jp2HgUO7Elc@&OB>*Ki0S}0OJ|Ou=nw^zFjh&T2n;qdFYj#$KG<H^oEok9f z1FhHa`^T}kI5Qo*S~et~fl1sU(4NsD7VM)7j0~r^6q&f#c$fki6`7$v0u7CWG6)M3 zE29bvD>KMfpz%OtUqO9i$|TIh$ru7kTp<5EfcobEJEB~15n*C@$<E5~7Rf&!*;yIB zv9n^_BMD14`27PJSqE)wXSl{F&v1uJo`HprQILT}9^?iv_Jo9M0tcd<@PmVuL7$VA z!Gsf$j<<2KGTi58Wk}_L<m)w_kbJvi2ZU~rh0qK3K*p`_h(Tzq?#o0Z8?5r69;9Ao zu`9H9fUEq24nl*5qCx#ltVW@C9&({Q4JM@rEa#c;voJnjnZW|4&Omr=5S{^8OdN?c zzs~|{j5P`}JmE58;^<~(?_uWR;GWFPzlE8PO$^jGU=n0xW#VOGzRbdVjYZ%p3+pu& zzN;)8*H}21n6I-4-D2VX&dSQe!zA#EmE$`rFNigPk>eJN)pRztS!_&FQcMz(!c3e@ z;{PGaKCue$aIs5+MB3TF8h^10uy9H9%CRyk%W}vu$#TfCu`;o-@v`#rGO}_BUStuy z#3Fc+Md=cY01wAvHjWu=3Q(FuO@fV&iE}@z;0abPPF5ayHdbb47FJe1sH0h?vI@;$ z6`sz@F@sfviE$>Y)Erjsoe*2suyO2UgE@B&tJO_5wmZnqJqS^@g$?3dRyK$eRhijW zvr4UD<vt0K-@_)#!VGb0C#&QXR>8@vN>f+`csQQ2aohqq6ijodNqlBy?qXr?WZ^u- z%Ec-XE5R;N!p+Qml$EQ4m7AHzkc)xAh((1-o|%)4gNcoqgOQ6vnVp%DmxqIigGrcC zfRUM-mq(P1g;jx#mx+Z@f}ev)KuAnjhLMAVgI$|Pnn#3*g^@#CKtfcUm4}0yPl8XB zgH1$)MVNzKf=!53NRCyUg;hm@TacBFnTJDMT!KTKg^7bv2u!nrP2uI?;1*yKU}IsI zVG>|e<dJ1#XXO&%6X%p-WfTzSlTwysVdv$M;O1fCWn^LFV8!JgA$BzhK5h=Uiv+~E zcsRH@c_f*I*jO1^xMg@)xtW+a7$N#OxFvXac{uomSU9-FaJoi<hgpb^n?tZ3)Fm)t zYGPz^VB%nsWzu0XWRhUwV3J@G5|k2=VANnSVdiCHWintgVHA?Iml9y-78DX-<x^u4 zWRhi+;1ysrV382kRbgccWin$jN7m0TB`Be!!DPb7!NSF)C2B6F$YjFE%gVto#3#oC z*3BuvXdo{kEGbei%EiE7#l+4i%EHea&&JCtz{bkT$Hu|R%^}3j&85i6!K2B{!EVLJ z#+xpn$R8@CC^(%_L{wN%gqKNFRDzF#SA&m(*IYtSyg*7wQdo*ZQdCAtnp;j$mP0|4 znM2x2iA^zGMNzqlQB6vfTSHM@UrSO`P>YvIR9ixcLs3JCLs3D3S&UhfQ#?b0OI#6L z=rJ%%2!xb-5xj_UuYs49p`VwPVG1vz+*`xT%5apImEjsMq};o*7q$N0a|*(T8q072 zU%M_Pvj{YGSBa=*K<j5g=k9^9x<9BRd!CVD8jm82FpoGB|5+A^Gc2rJYMhds{Gf_Z z5j5`1$jPY2#KR)U#KNw`#LB1)ie*J6Ehc^@9!7IUNhW?!6%)n8!^FcJ%fOHj1o5W^ zA1i|a)RF#ttPI(FtPBNwh<dY$kCkC3A1i~;O^AQyK*yQ)K>1h;SI{CI&y>`>lFa19 z98lwgfk}J<qhUWI;{?VDj9@ApOs4cRg38VX%naLjG?<u}oY~@-_}L=ZQ@~@!Ogc=g zjI2zojG)7E*qB%uCD>S59XMFoL2N!2R%Q^b1sXr*V&#<JU}cwv_*sHUfRTlTi&2bG zlFgV^h>4$(lSP1qkBOUIjWGqJ1mxcki2oh<5$&LV{HzR91Xvkn3LxV7haf9Ms4y$T zd0|LApOJvnX9b!NdPN?DR@exs*J=zPG~sXw%}Yrw%BjrEO9zJ#Rt<;-6^;oQ<i>s` zPBqX95p-Xk3$BS*xK*G%+)VNlm=7}dGc!(LUdIHc#KELFn1rw+!R&TMFtrFmHM4<v z5Cu~pVh|1{*$9!=M$(ZDW-o+DAnQ2F*v||~C=bOM&hh9oNpdj$Wf5p#<>XP};$q@r z<6>u#V`GzJ6W-4%bd8aVgXIx3=XPd3CRP^SeBO1e0;kzHr!jIB@iVcq2;_4vVqsm# z!m^H)r;|~iNp>j<(-KA&UEWfGEY3_;2$MgH{SdS8Nmk}FtQ@CV*`G0T*|9M3E@9?l z%3+dVlUUEp#vy9UdX8D5MB+3n>me3_rL62RTpTRPVDb!0HzT_QWA;*3fn}_$&zV{7 zF!wVubH(tnak25S@o(n<*VwB#c;GA-HfttkMrIafW=<wiCP60t!w@ywIC$VJHAYas zgq2N>&3_>y*9t}sHYFwrRtd&nRt`2LW(iga2?=EhRtfGVMrk%SJ}wD%iH$5Q^H>Gu zvVzFi1C0Aw_#QAza7%DXOk-qaWWCSK?kwZUQN~*>!^Tm@Wi3-_IgJH00nEa|G@TJt zg)%cUIkE6ExiK@b2{MT=aq(O7v9pM<axkhfaxil-vM_QAaPV3)N{FxuvkGwV%ZRax z1~Mry8nCD_N;4{nv5N8waPaeqfHEqVus2i{j4#c^!NkkR1y{!@Cd|Uh%q6P8Vjuyo zhFJtS1$kJwIYb4-IC$iES%jqo1Vwn1`P4v_IU6ev2aBSh3X3$a99XrifSe$x@|G2l z<6x3w;$q@bWD%AZVP$63U=?QN;8tW6W0kj1V3lWOV`X&~VP$6F7Zza^=3-)%W06-E zVHKXq2z4;bAx120GVJo^G9ru;oLu~7VjQBJP!%vQC`fTKaY{#uv5T^Zv5U$>X&ETZ zg+_y$`}$0?n3*IPPcX7*GOIBeFe)$^Fmkh*F-x-WG4V60u)8x#uxK)CvB|?l^chWf z445pLIQSPc3V|7-d=gwteT<A;;=)V@5^78ujQZjgQ2maKY)p2H!AziKJ0SD)8HJd7 znLq`<ClfmpCvzJk2Qv!?Gdm+6E2n@0BQJ{pD<>lt7e6yAivTAlCo2~V509u17ZV=~ zGcTtA7bhz#4+|$RBR>;6zpxy$EQcIBBNvOH{3=ETX=YYw10_~P0X23;J~nnnKJ`8( zEe>W5RaPAq?Q@KJin=@oiu%VGjT8-eOcafev6`_k2{5rR30NOswBlrDH4Rc=XO<D< z;Ns<!Vc}rn;*?R6VFr)SfeL2^h7a+O^7(`aq8|Pz!piVVgq7jH2%>&s7iDEo5M{-f z|JVba$HGypg4c<F4=08nIwrh;v1C3YH^UXiNsJ6fm}Hr<K~;q;3uyHeXe9w3E2vi| z%*4eAoy`qrLYl{&kN|OS@+ZW6iAX3T!vs-QhAE<m`UE64Pn4Bmp(vz2`2d}NHh|7Y zOF(HzD1eR%h14%s82K5dv9f+;X8Fd<#=yc4>d><=vN0@x+RGt^sK*M$SQ&c6SQ%!C zA?$r5#>${6&dOjfj@@34!;tzNZf_^VUaa8<4m~~4pd%jXf_$(ffleA!6?mMW(w@;F z#h%f@%bw9e&z{ji+@8@P+@6c!3S%@AE8}WLCRWCqj0`JS6`5E&S$KL_xR|&YSvZ(} zGV?ECV_nR~#>U3P@r7AM#7$g+jqNY8=nrNUCN?%M7711fF1cP7%Qv7bp~$4o#Lp<m z#K9=b#K*|V!ppA7#tLdELB-e^1(;YFg+Z8wlZl;?g&C9pu&jLZX7Xg!WRqZ2W71(1 zU}|Rs8Lq~}!zjhV&&0|o!rZ~g0cNl_F>=U2h6orYBtg>4(T^+)8qh#LAkNBgMx2%5 zhBzYLxFuK_)FfCLY$YJ^b^|)!Z*mY4Ut6H-IVM2IiE^Ox{!HQqO^o`C1~&Sj6rB!Q zcf!QXn8t8~O_7ODfU${@lS!tYb2keM6D#`SqfIP|4UEF|taVKNjM5;#C^E@2i?VSt zDKoM%iZL-Uv9JrXu`@y&TTm&`niY0o4t6GK&>V>YlPV)83n$1{7G`EPW<@4pMph;! zMpcG}WQe~PNFc^H?yqNJI4r@+a9jeBuTM#^GF+EnWq{=e7>##)1G?@_4>SO3$Z(0# zkwM21G;IZ0ugDFax?++AQ4ANL?$D4#xWikLl_5-$l_5$J;f`cUR)!Ku3fy4?8h}-Y z4$NO-jAt;AWs+lpjL9>JFmth?I8KC_iv{dJgA_<Ooj`KmYe`myZ<4GGzmeScUy_wU zL<-Y=I!__#1DprZ$LWy6$r$9m0DVRS&>YJp#)*s!eiIoP7-ZR4nD`i3SooM&m{|A? zSopw&A(IX>lz9Q_z6>cu_;pLMGE9?VWtc662*3GKtPDG_xNiq^T!^6iOh9u&rTUBp zs`{Xnc6%5Z!uBvSFetLIFo`p<FbOg7F|i2pim(dnLENaw<P08>g>omPLc&o%8sSc3 zX;uasX;uaYX@onSrCAw#q%p%W0J_eGpgT<&m{<(#^%)KL7%njeF(d^sFz~UlFsZQ0 zFfi~jxj?fx!w0B)79hFjurw>f8EICA3rOy{EX~SrhZ6Ugf!t#ab&nrIh9AT|imcKg z_rTAAbV!4QkAVzk_yo&PF?`G!@`6C;oI>qefMnlc8CHffGOP?2knFoG!^&_+hJyI8 z0Quh*>i+<Sk^qSRl_CCjg2xBcJsz?M|98l;GR%-=WmqALD2Fe}vNEvBu`(#gv4XV< zK<jCl*N}X+<`IMjOENGp$Uw_sg6YN*dj*+TRFqihSi-<0zJT#6<9tTO1&p%u89_Oq zh>3-XlUbIDkBOH#mZ6E!kV%1&pM!&mk%@By8_PW=&R$L~CgF8#eD|1myE(a-l$f}9 zA;Z#a983<3EG(Q%oSa-7tn4g2tlYv(tXv%2U>*l6J1Yk(y9ft6I|~OpJGi~7$Ycs$ z5-!dp!6?DV0a{(j#Kmg{Dhr^_VB=%rWshZG5XgX}qY61hdkx!uiKTL^4C~}@k6VKV zZT0j(mmTY24Lal{AE2V06}BS5l$jkA_61OPFvug^fo(s6wmd6?g*+>R26Wv4`n(Io z9jS%Hx`Ks?l~D~;v&gb=GHJ7d-2!sY1*m&g$RpC%X?a$LEAp%icjY1JON4=e^`$&3 z!v}d*hOhFF^o2f82&?x%W`ghoLp<#>_{IS6I&3Ch0YmU{x?DP^7+Fs;Dl@R?Ok!pH z%FHsGQJH~3j)jX+2Sk}MFz7HbGO;j&4j?hegv6(Z0>a%{3akvPrn4}toeo*o06NFE zT!EFLLjf~Bb?!j&1J+1{yARZaWD*YW^JffT^Jfp>XJAlc;bLN8Vg}VZoSL93LeyBC zn8g?vHbC8TLje)5*v>a&R%B%mP{ec(Y#kCLUU8={aMKptF@U5l2^LOfZE(CY$ugNh z(ikZG4YDBNlc9)k54L+ZCMvQrtWjiTKp!8>xeo~+h<k9QGc?yQu`{tUaWb*7voK2X zuyBhov2w6;gBP1hF)1@ju&^?+gW78#_Z@(`k3$LJK5XF?uf)obqeN7A!FT3hjngFX zz94JR*#eLwNRT%qR6vA53y&14YeAcbWLa1l6<Ii$l^|iO#-t4iTZe2&xE?@qx2Q5J z#=X7@%B&2Q%B&1u(B>y~UZ905HC@dl9AE=I3zk6^v~C}iOqp1i*ti9FSeRIOMZun7 z;b8KF7XSyK{+XbR=%3A2W@T8W%*wDz8BxD(RAyy3rp(G<gVrB}^~=DwpcL!*rIv%* zYr*=A20Z%g3^y377|LXs!XWmtGjg)<u?upsGqN)CGxLCU0?IPUF$=Ouvw}IGbm5Q# z310;jM7pq1VP&vaVP$YuLAb|Vg_Xfa1+!lbD~F)&!G1l9IKvG_afU8NaRwG1Ms5Zc z9wu&(-5{I*wSR#Mq?`kZtx;iR*sj9Lum{QhgDR{Hmq2cW*0ZqoKP(?Z?FXGL!oVaP zkm%1CAn4D<aEoyQBf~Bb!63`R&M3~p$;{2d!>$e5)GW(n#|&nG?EC<Ahl46&{Ck-y zE5lw@R)&|Vka8KcFDF@zmEoHjD}%8*#JwLrLDJWpj}W>?3{nm&tc8sG`b>xLLHn5W zG_-sZ3pDk7@)J`SKmr&Wx8O2*8d|A&B}J8*dO0w~Al;xdvqAckv%&fiLZNw}?Trjf z;vX3AF}`PH{J^LSCQmZIXXIkI!)VMa#`lDgNsf_&SB$TSNt%%pG}^|*%Gk@u%g4vc z#L3UW$H&XZ$Ioz%QISb#A|nfv&;&+xCJ!bi1r|PLCJ|0W0d{^aW?5Bs7A9Lc503dD z74sk}_!!$5g_$^NSy@@S7<ro+Sy@@T7+L2tva>KTMRN#pu(Gl8a`LiHWn=-(OH5#7 zwd73Yn8C=&%GwSQY66XAGB7Bz2r+RoF*9+ovvRS5)+MnpGl?>BFoI^uS@>B6Soyh_ zOc*7_K#TIY7-g9_8JW0LSUH)P*|@k_nOND_S(QaNgjqoYZQyAFE+%miF;-4~UM2}f zUXVFV92}tTItwQ&7b_<hXhKtpLy`})&X0+mjfIt!QJ9ODlSzP`nT3&+lMB?UQDov` z(q|N7(PCC$lV(z66l9WRSLf2_1dH&nv5IksvGcQXvhea~f>f|_adUDBbILObgUVfF zCNaiR7IE<U1p_uYRt^p^_98B6PEIafPA)E1&R#|iK6X|%P9_d^J|;d!esDU>hvdf- z>a6f_00j+J26GKoh8PV*I=rR9%J5o~l_5n7nhupA>1<B`gyxZh&<$H4>F~~B2p^Q} zK*<J_4#E4~U<nDD+)DFOD+)^U(o=o%le1GH$rO<oi$Oc&kY(~x%Uwa~lz~b90Ml!h z{Y;DpnD(%OsnGpQpp;j|E5^4LmhxURGMO=cWq~I-YhFG+ZH7rq8B9V~K?&*#Xe64+ ziz%{Ru8Wc5AxP)}V>nYth-x4c7h{+NuP~Dc8-H*iTQonr7#mM`y><g*NIg#jqadpw zlba@wmXaG&AY+iQEvG8$bx7K}#K>CBnac5ik(E_|QIV1LG(`9qXiotPGc!K}gFXv8 zBPWvpt0V_J`7uiJfD)h(w*ZqU9|tdo06RYmG)W0F@`$MLb22dtaEVGXvGTF=atkoC zaftJaNU?FSa)4UP!mM(vvRq73j4UGT!qQBvjG)FKzle~y0E-M02O|d)hY&a!3bTTS zoaNXR1zA{`d02QwID|QPICwy<Z5DA>3083~CSgV?unv$5S(#V_n3;Gb1=-jcIpw$% z1=+BfqsayuzhY$+U;+&!a4>R#ZR6tP<mP8$2D?O1f}Nd(mz7aigjZYuG~mN1#=|bg zBFM_i#VP|T81<PHm?hb`n3S1RnS_`G7&%yUnZ4MYS;d(6896vunRFSISU@d9P9_m1 zA$b7>ekNWf9ZoSxK`B;A9x+QseP&)U1tviz4oyKVQ6^SxK|L8JF?~TfR#{CEK{*j+ z1x5z}b|w))PBvjyF>V1KHD+OUu*FqOVvK7US>%{G*u+>@Gjgc1GjmBWiE|6^@N;iq z;?w30;p5?*#wftV&nO_w&&S5c%F4pY#KCIK#K))&$~_DW3rZpR=YtlaK4aHrWf0P4 zWf0Rw)MskitPIxLtPJSmt!vIf%5i8uf#!;mqDn|+VG<7T2H)n+!ElGMl;H{!sM_aY zlV{>*<!1-4gl1)825m57VFCA^^uaYTlQEMVq&dT&PzG_|3T;*fSf~4dHY>w*ZB_<W z9Yp@_)?sB>rpwA8s|U&77nC6RcZolQ&VkN5DnRr1mo*S^sJr3yjDHc-;qnidT3PQi zF+N~=%nqjH?}PKFBd-|WGFbjx$HXMgXvzc{;b&r;zy{B!y^OqqeEbX(nDtqNu7XBc zt}q2Mh5l!ho4~~J9K?Ud6wVZ7sA|H-7Gx>R#1df|$Q#5T$fQ@!mdMR6!p0NV%%t7I z<i;h<%*x8s%*4~eBnHZ{x;%PHywOa8Qv9Hq>$^<g3gQM6Ya<h9D#tS>R_-7sHYRO$ z*3)1aj$=%$pmJ3oUQbAJf%f(Zaq=@sfHEHsJ2xnsf)c$jBcg7Q1lJARJj_fS{QO+9 z931SR-H0rltYRFZ;B3mp&Z)`73LfU==aT0V;^g3zm*5i@;^N^H0B1i$y}`lBCB`l( z2+ptqTpXMnQXHHtAba^ib6%hfD+|^E%CMrWlAx?A#w97phG7DmC<iyM9%g3cU}56o z<CNrQ;s(1%giDB1P=JG<lSzb;Nr0UlT1&BWGYWEXaPo8Maw>AMgEql%@`CCseI_m@ zH%1{Aer66f16F4aXLd0Vn~RmnfKipjfQg$)jLD2ih)JDEftiO*fJui}Qdm%gRhUP> zhS7*wKuVQKKvhyrl!;YcP)mkMQd>}rgGoYMk55oRL{)*=K}d#4Q9@r_M3|F9m_1KI zU7V9i3=|`dOk#}7U>SEKDC0(OiF2BA@pAHWF>xMd;?d?V;^E<5!UWH<y^KtPpc?N% z4J1D-&_lGl_Uf@RT-9S`xTS~4uPpkk43_$=412CX@+S{8pV~nA&~%T;ub`$BJn@Ss zoMMb;OpuOeOi+(!OmG6Txf$*-_A@g)U{++}U=m>C<g;L6V$xvaVB%q8Wm098Vb@?4 zWMO7vWo6}7WtD-gs{rM0Ax2R2^0JDt@vyP7@-hlCL2^A83kxd;qdgNVqa?E&2M@C; zC`uHW?3l&aJeb&-teChM<v8Uy*qN+AgW})<tDp|z{}uYI3>;t)28R9mtPJP%SsAYA z!~M_lNuQNL+JKee40L>-1-eeL<}M^W43N$PfYtB0nZ?D>%9=?WvSUv!pRs^FA5<^@ zgv^`>FiEn7Gl?;AFmZ8na<DToGcvLAFoLt0B8vbMFQXI-3oEE;#lptQ$^~9N%LN)Q zVisc&VwPtE_b(NhG?|nbO_{|wgxKX-*g>9VD5!_{Yk~p7Un>k)8Fm=3G8{EPlye^q zSQ(-WSs6MFA?4hGuMqz<K-UfKfsR`ztcA2=b_imYb19icC6zFb%1>b43|Xn**3S%z zVlQ4XzUj=oe0=f@dsy|EggH3cSy`Bb+E`VXJeca41ehxLdANibS^u(tOP?PstgHf{ zG_B7fjnW#G;RHp!I1?+Yq!0(MIH-E%Vg<J(c|bcmnfRDknOGQk**G|*z{^w+Ii8i1 zi;rCpT4pedv9LpPEElr?q`DPm(r4sjF=3bF(t@tR;$l)`5)&2VVddtL5D*vRU}t7$ z5@rP}_hJ%boX*U|#|U1Vxu6je&JPR`<1+sZSsA#DSQ+?@;OU21*@%_F$_TSxzvm(( zyfN~RD@rqv33Np<V}PhXC`7v%8E&wGHncK<ic%(3CVmbve$cQ3Xl|69iH((onURB; zmxG-hJTfTD#KFwOV#=fn3RQz9h<hg(A=1TiBUXlOMyw3Gk=&bZ%*rs;7}LEIpz~c& z_xeJ5M4--3a87<X1Cw|I<69=sRiG=F>bV&1F>YsNSjDKw#m~ef!R){)$;!$i&nhUZ zz|P2~z%I_h$S%dg%_Phu!p_9Z!pg?Q#%#!J#^=VK$*#u6#mUIb#mdOR%FHIL&aTA` znlRU8S6~ffjbUM8;o)GC<YyP-<6&iy66fTVXXRvMWn*P#6=z|R)MYheWo8j%Wn-0M z<z!`NWoOn0HJdn@*j0E~Sru5VS$Tz-1Q~hR`Gi=_SVh6=3|Zw_A^UXpGBU9+>o6%Y z3b3)W>VR60%v>zCps7tZc2;pFbw&{;J4OvAIZ$XSGF@b10!`DeU;^hl28ItUkno+T ziU{8i#;gp#jaeD~8za&Kw+SnQgb8N&%4~(C2PR<y&?FVVK0Cub#z2M_OtO3eOnhwo zqM$|&6Dt!xD;Ep+ig8&8hYfnsct9(}{sI$3dh9e|Wtd~a%COJ`VgF$hR)$+x?1!x< zM~&Z7aQ7A(!w&i29Z!r7+V+eNO7@^we#ywt#;nLB$i~LPuD~QHz`@4E%_Pml#myzB zV=4*Sz6A*_aV9M$7G_1zdR%a?3_52i&g9R^#iqlk1Pw&ccE>h|KLSi4{^(&~U`R1# zWoR&EWteD+D8IItvNAk2Wo7tg3Ms#~K+~rPbpHIz14wv6i*|-HsO6V`UUI6YUP?a1 zYw{bI8W`6zF>YXr0+XU(Qf@sH7sCTaLq<{1iWa8hjEtO2;tVzVOiU7@;w%yzqS{Qn z9Ad0yO#F;u%wmijYMja(kPfvz6BCmtlL)9i$_yII=jGsK7Xu%Mz{)Pb!OG6d!_95L z!okeR!V9Y2^qKsac$vf)&6up21X;oD2}34PM$nqp<BUw4jG)c-4eiiyF+;Sk6U|r| za?Ds63e6DlJK2ntVT&0nLl4^i>Iu;Oe9-s}$j{Hw)C&M@OA&YQvuAXOu;*rY#CVdC zVH=|&6Q7tc6B`FV8$YuoyBre}BMUP#3l|47JCisUBtH4TC9ePz7c)N#3lk?R4-*Hg z5F2PlS^-qDDl+jg$uSu*a<B?<u(5-M0y-e>7cfV-U(uYELEoH}!NeTl{uFaohRNov z40ldL;xFa`gyunQZ$RAdo(h_nX<)1d6+DfM^;`^(80RrD{9;t(kYHkGl3`+1;NoTF z<6@I!<zo_1XXO)TVijZKWnxv~XJKS!VdrAzWCe}eDuTC~axw9-i*m4nx?rsQY^+Q? z>}+i8%%FYm{A?Vote|5qSj8aTQ)3ooVP%qJVr3Fx;$s$I_GJc3FfcF_bVB`Uff#2r zwP0n4uwZ3~wLqlb^%krQA1qiI_$?vn*9Pj}J5Yaafc86J=~pKkrT&HZKRvYsoPfcR znwgi9TH%wQoR|ZitXc$_tV#q=Sxtm+#K9av(CV5;jE|TZwlFEO@G)_*aEq`CgSJU< zF{!>`WO)hNbH~TR1e!KwyuiqQmQjpJib;f#lb4l)orhb9Rgjg16_n7KWR5Vh90aN6 zVPg?e6#|`G0y2<?nG>|~i%E}(gB{d<=4TS(;9=rq;$`9x77}9><Y(sO<KyIH;^N@v zQWfN2gs5R+)BqI&icEq`B}^jBCTtv>LL4IOoGh#y1<WE$Y>X<Pywb$T#KP#!!p=O8 zk&T5lgCU_C65b7#h;%j4l9geOB`d>1OGJ2Yw`65FW68>J8yeo|`=i%ff}}H8ct1cb zF9N{%r64npfl1iG(Vo#k4V+)v7#TX4Wtlj+K*MLOQy94>GK#RMgH}|_GI26-GI21m zGcmKva&WOj)+#Y^GD$OuFbYFfESWQLF}gx6`~dZbhZV#hg^Uagu~w`MRaUGF?N$hX zoV8+QP_t%baI=P_n>Cjq?zVx_E8au+P-7Vy+_0pZg3LT{x?z&v!1R;}T-betlz)(t zPjx*LC@c9e%d#wCVHRMKXIR4Q&c-^KNp=R4B@-tbHzNyZQx+d5hd7fI6CWc7lNgf- zlN+x+KeGS_A2V+#A1kkP4p*TXw^%2WKnD}65@<ylXi+1RJQEM2ICNhT2Ma5+6cY!F z5Hlwus9nd$BF)0V%)-pi!p$tn#lgzVti~k7CC<bms4BwF#3CrkBE~Mj!OX|O%FEBk z$Ii;kY{SCNEC)(8`YiVtnUtASm<(BUSykDrSOwT5SowI|SVh?cKx>$Nm}D96F|%+n z+b{`$8*m%?An9fSG#o+Uwb7cDVXrkS!y#)#dBkMH%Ajt8Isg6V1|*!pS+5{JKgT&I zH8HQWfPqO|U^Qr*M2;U6gs+(xt}x3B2(fT5^Ds#=f?Bf7OiWxt931RCOae?COhT;8 zZ0w*F)XXf5pk3_DOdOyEsEkYkflQo?a=Kg$4Dw9uOwvqj>}o9ROwueYOw8Q8TpXNs zEbLq|yaFs-%+{bXNuEiAS&c=LnU{rw*^Wh-8784H0piaN8%TNq`Ez#;Gs6@cR)!fi z2!AfJVP#m2#h<Ww2CzRtqx^aX438M?7#6X}GJ(o}P_u-EolzJv-XaB}Kvpm$K<$;V zMU;a9wyX>(wyX@9wutmR(Uz5Ai!Cd|QCo=n(CaN9wE27vA(ZqC3VD~*LeO2HntBDL z#Tg!{&@p~J4J{N&$e~%FMJx+J>#-z2D-!e>4cPR#7@jbGWMo*x2<nb9GI7hZC~$BH zv#~R=@^P>kgI0|3bFp#p@(c2_u<?TTEekLyGqE%BvamCAFoB9q7B&ukJ~l2!5oRtH z6;NtaWQk@HWas0MW9Q-kms+MwEQ~uCK>?F65fUE;c8G9EwPR%{wPR(dvO|Q+5<6Cg zgLbS8SL`6+vH;regw2n~T!W-PkeMKS0X3hac)cLM0B5j(P7(tJ%0^J2=)wb}or&QQ zBWODVGZSMXdkTjD6BA=G6AxDw4~q;JCzBwT8j~cWxTd%W8>G+=W&(}daxrl*v2aWA zv2uzq3v#eA%R&O9lvRpDl3kFEjY*u54b*_p0!=b7F)?v5?*|78sNS3e2^SB0M7X5b zvoe&}vociLBf@2^JuAaGdsc?$_K<MVf$r~sm49>4(#sG0;S!RV392p=E`x?CRO1;F z*y2IyVIdR43MQ!cmou`jV&q_E6=7b@$i9w|hed&hlSxp9i=Bgsg;9t}h)tQD-&kCT zO&**!!XW{{$i>FN#KJ4Y0@@%X!pw;Xj9ex$b|DTSCN3sPZca8fHgQ&XkO;7_Gv9;; z$b!j`@Q84L)CZtA?s8ydSm?mYu*?Aw9v>W78Jrzi88RFp;j!iwBwgq{htP8#LuinG z5MF^99?ltwnR)2B2R!2ds`bFKt~sEF)&j;gOyCxT6_^CIFhGH|nuXyMcr1rgNS}v~ zM}V7yiH(PoQGv;siGzvLk<Ud*kPCDQ5hD{L3llRV7ZWoht0)(*6q6??xybUVaB+g# z2F%P%(6$(pFf$(;E2}7|k;%r+s=&g<F2(|yj1p$zV&ml&<>6#x0gZ_;f|{Ws%+{d6 zK2X=6g`HWNiIqc?S5$z7orRf;1++I06e~=EOqooq>|z{d?4ms4Ou|f({MIa@e2#*m zLPES;%;2MzyO@~x7_Tw1@G<Ly!s)_PNci7yM5IR!CsqbwCsqatCq($`Ik7T0I<YbY zI6=by540b$1A2}RY@QTkCJ28)ZC8NuDL8~R^-yvtX!a2`^o0}R;u#af;<*`~FivJ< zXk!5n1n@8ha=P&{$uLRqhzo;<0=O6%SvVPa89A9G7_~t8PnLy?iIGu|Ns&n(H2S5% z#L1Y%z)&y^;=dhE2>)GjVr6*h#LDmr$$tXQtPG~ktPF0>Q2#;KOT2;huXxU&rmqat zb}Fj>pt-GqaXTZZ#V!sa#Ogsl>R@76z@o^+8q1Qv$;c_eQ_5K-!@(t@!o|6qQD_Y# zle9RugrqpA0SL;Kf=q&poXng|vY=i%8!Ibl2$_|Ig;kr072;<_7BMDJi_?V3g2@e3 zXsa=?Fv_uTG6%B>v4WPrF*Hnv_<sS^U!b^|V8X(%#+j92gEOLD-09590K%|&Z*&?_ zFB&pDW3*-{kY(Xz;sPx@kYy5QWM?=4wTHt6F)pCs!pflS!pflUg0RQJg_Xh81^2iB z*d7h7pm6BOOAu`4S=c~BpV0s`Uhsl3h@noFN1lbBA5<$du`&rD4;Bcpa=``*K<C8F zfcoDB($5C@e~$|*!*Lf@hBHX+y5qvi@E(i1VCfp&T}2RgL7JDs;3ed0_FN1v82cC* z=78poStQxyS!8)R!AX+|w4Pg>MSz`)jRi6aAj_l<ayFYRBUlB)2B<qdTp{TX<jx>h zR)$ztR)$1ZMEIq;vN9C8Vz$#T+ApAG8y4WopPk_jV<JNzV<KpU5olnUl~n_>Rzs8- z%mCS?FcT6^CqQO{1Q-|?u&v)AVZ9SL$Uqqb+*$L?D*><5fttMlYM+H0qTG*gV`WHo zV`WHpgZLM;-YeUUm7&KCb3GFewA_cUXTo6{lQ8(&Rvpke^wSs_Sf+v28bc0+W8z_A zV`gCi4JXQim(%bguWvGBL|NbDFbfhsFOb|z)$oCa%K@l80q%%!$#7?7c(Z|p;ll>R zI=EtYR)$6HnBlVH9i*JX5iX#8o<aVM0YUzt`T{i^m|0l3IR&^_m{>Sj7^S#aI9d2u zm{|GYp#nPgEEY9X7#JqZhJ*`)2P=aG)DQd~tPHXqtPDyXkaz^0@1W<w$`J0s%5Vlc zuc856XY&R+?va_V7haTEk_tW94K&W$0xmqO>bV(SF)n0cIKl#29qPtX4JzFgS=br* zKpVKg<43HFVk{!e;!LcJvfwQcEX>jz{OtUotOwE~#H7F^!Yag}&aB5I0@BUEz;Iv= z#N9hQSQ$K^?mq6p%5cwvmEkdxyZJp?8N57M8SX&WdBMirV&oz1^UQp`pwz?^#}c2^ z#9~n6VFP0m(|Sh64UBUc!4%tiMlOa|j3<~G9<eAgiLoiN*fDXis)J88;bUgzV&hU` z6=4!!;b7Hd<7Z_PG~r+aHxHPUL1!g{3Vs$5CT30%9z!Npb`?fG4rYGPwk9EdAs!KK zb^$?VK5kYac0oxVZZ;)B35b`^F)}f;GO_V6NiiC-=rOA@NkQ793=9kt=0W_u!4nZ* zCp}pi?t8K_Jn=-t*B?(-25B!=29Fbv^aMK}x&~SgX6EZ@Xa%Pxmt^MWfdU}4ND~|o z;GMTKAbW1j!Fz7mKznXqG5%m=Sj7$s0A40O7E2~hCK+ZfPCYg@P_I>y#e|6id}xIj z6F&<pGasW66Bi>phbTMLLk>(@Ongk@tXwSOptdzD7sG`45Pwv7A?Ed7cC#={^kQY0 z=7sRbCNEZoqh72G2cYv>uzm%syu{{@;MCM?hF6T67#S|Gb1@0>urVpIurP@VvM~v9 zGx4!83Gp+rC^0Z`F&Tr8Py~&1urV1hvVny3n7A1Qm^hi)m_!)an6%*w(E=7g+^gY@ zn3s3<W@U)?W@Sk6M!2`ho0VauH!H&%=so~gxW9pp+hB7qDEu8kX>S6f3pmIpLBc-= z%;AKD|9d8eH|(J0Muv<MY|Ko&Ongk1Oss73%mN&-yqu6EC&(nhD8%9ji3v7ER!KHa zMrIZcb`}m+b_FJJ*cKcvCeUz*E3*lc6r%u(95{V(g8Dn4e6<kbPXQl9eXHri%An)J z%3$Dw@TZ9nD}$vEW;+0Hyku6TGB61<ykZn)=;IJ(U;$N~EW+U2`v7WhhYzCuoZ!RC zFxQ8bVL6h$r$FxV#jHPJ^?YW&9;o*ZDkpz4`!fbiXY>bU-}B5&p`cZMR`G0he0Cgm zTy~Gx?3k?D*+V<n1sO#ivWaktu#51DfL8m1$|fNuK~@nq(1Ji7c4BD(sQQhJpn(oW zCM{+W7VKDnMT;4tiebSLNO(7Z`~(gOitFvnd_9zm4K`I_Da4)^NcPC?VPMeM!@!`k zhXH(!52(Io@?&LC^~0=}G~PnW5qQ0XX$z>u3te!GsD?1BARCk_=mOMT4StCAY%}~= z84mfeG933qq&IedRt80X%=ESZy05J?FEcqmB~`z)B+Ww4B^AUDD$Pp;ZR+R)4H+2e zGlGvhGt*}@(9~x%P}FBMkb<&7G&jRL#>dPI>zMdh_}Ms^xS6>4Seay*IHg&XxR_X( zq!~f8e=JZI8yk#e#I41v#4F9s#-t)Bt-#H|0NR%eT5}@D!pp44D9t3nD9yypIEe`& za}0W19s|RH<&gNO@JIA(VE&%rPqDu}^Pm-RA2Veh+OQJhPK5wO{Ond_VXz2bWv~rE zxU)Kdm0>~vX8f2y`<E#3<C+JLpLdL}m>5>E^09$-vI{eDF!3|-GjTErGx2hOSbQKh zKc66z1P5r9KOZ*}KNBAlSd^2Cn~R@|l>-#Apr(Tf3oo-3n>4E{izc%TL{N%Ro=KTe zg~^anpD7ib4Hy(wL;Uk001@u&fvgOIfvgN-fe8OZ1+p>}1Y-Ip=OrW`p!f%r(wy^4 z^GZO;Z4#3{qk$H~JH~@d46j)E*aVoE8F^T^nAliYn3!0&nb<&wFS4*Pae`@CCRrg4 zK~@$vCMg~!ZZ;6j#>C3O#m~vj%FW8H$O-ZZE0Yu}8yjfFo&gIlb0Z_8B|@|haspSu z8i>D61R~m<Fn>L#*kADEw1th2MV^TQ&m33_ac4mgqTa6#Vr7^Z#L6%&2oe4Vf>;^O z2C*_opsjEBfv#tPhQD4(J_8d=!gbKFm`FThf)IG@fiZ!H;REAxCWZyfd`uENe7vlD zd`#j@dQ1XJtZW>*%z8|!EKKZT$}FM+!nWef%tGK$mS75H;$YNftONzFBGV7>2-k;o z5cgUHBkIH8U{;2>U{;3YV8r-JX)r58Loh2tdoZN_LhpaOY=@*9NGfDtun>W?KVkj| z$qy|6UBQ6hGcXB*PttbF=Vtf-+GG9=G=sy$#l+0QCu}Lf2O2JrWwK*pX9S-&3*CS2 z$YjX~I;V5OdWgF}U~xA?2>I?-!Em=vetBvULk|mRCJF2~O-ntn<G@}u*Z^@yLI@;W zK!Uj;tPJ%btPHIoi1=O+!pd+Ug_R)!+OIfs8xmeR4<R%xT%eWv2F8vqNc()d5U7Fv ziSgkaMh1~Cu}@|*BYWiMh^~`+%)E_dIn!1a-?eh@nY!g~a(^^yW(M6_0@@P8&d9<D zTD2t1!Oq0a3#z$6yW2)ZMni+0kzOf5hGjG*vT~2+xzRjFzdScu(vRl2(K>%L7mVhD z(OfWca=~azlU{B5k&~u~@hN@N9dWktfDh1OWn|@H=Z2JlH-#YM^_)z$tO9I<2g|T< zve>c<aE#*7FrZEvkYV9uv*i@v8XQ=Lg_FaUTYv`>%dl{A+42hT+4A!6+46$(=YoHb zal{oFi1F?H8LSNFGFTZdXCTHAA7rpHe9gc-hvW@(-$GJlNouj4hL%%lS{i818#+zG zBwkRS&sYH3{>YZk#qfo3A0tDRA`=%!3bQ;5bdH;giJM7<RUEWPo`anoyqsH+B?>f& z%*@4*@E_tnk4(fkF=*a2CX<yRArs*~Li48R?gO28^o3EFVG1K;p0ojK&xTCII<0B@ z7#NOavND`Pvgb-BE5pr9%=ru0{4BaXL8-;5B@AB}r5KJe@`BboGBGl+fG%@lkpd0b zGAw}F>yU*A|FSGrhRIp13^TG2<H<j=SQ*^2SsBW+A>+LT(DQZ*pzDIas6fWO!G<z0 zFq{d3@X_6qUX)+XfG${4ROw!nU+z>Hlv<pVnGCw(Y69a=$YgmFGkCUK6ik|e=FGn^ zzGP*1!>Gu_8p$2U#l)z~0rD5043n4w2b)MXYnc$2fd~haC=<W9um~#?mnajHB!>)> z1Ct0FGZPmhD=QZ>KWOhCBNMxVI2V&ElQ1JYt1u(00V^jrJ0CNvC8rR05l$vk5ECb} zB%?3~3p+oPAfq-H7ZWd&7855k2R{#+5G$7$KR*j2KNlAh4+lGwq#&07yRf(j7mJ`Y z4~sA}I}1M(C!+ut3nz4~#1Td&9Y)apzfvX+R<M2+Ru)-iE+!pDBPKys9yVcCb~b)S zP9`1Dl)V}gHzPNT2$K=33==EkW)?P1(BeY|h6zmW;2{2xjaVnbmcz;*l*7s(o`Z-l zvm922z#LYFj2uXOsX*6-!qzRsT!)OmgAHR~U@-Uz;iE?lc<~Qt)_n$}KVv|&KPV4P zWn#F*q{zfsEyE;M!^FhImd`BA!o|$V%*w&h%*a^*4n1ckW+oLT7A7uEaV}P77FJCr z2}VK4G8lU%eI|Y;Ax3#-VJ6U-?1CV#X)zfwE(7g0gWMOsff?e@8###iB$z+H;PK}H z0{)D^=TB&%@e1U*$&4~gVpAB=yf>SXa{|Z{3>R1+?n}r;xUVvom7zbEm0?OQqFlI^ z%gVr?$I7q;x?TsiPD$kr_V5O+8F4Gh&t+f|zrfhXbe@s%0%JOubUx1r3jEv53=^0Y znYfvlgqZo61z7pTnZ%d`m|0mom^eA)m^hd?**KY$M7elanG_jWxmX!l#aV@zn3T9B z*|=Hxn7J9*Kr5{jS$LV4895lmnZ#I_nWULG7{%Gec!ZfO7zMb6c!aq@tLepfxR}^^ zm6+K1g!s9cSYWG69y2m=FiA0)vI;WsGKn%egHkI4!v;1;cogJ8=3l2WFfcUau`*1^ zV`Z3{hX{{_d8`aO@>m&Q`%Piz9ix_Cxry1S!O)W60An}fen!RvjQsl<xf#AN?qy`y z$85x+#^uc^0J2+=33L%X=z0KNCeU5btc>QM7*b@J1Ui7XfF0s4g?xy+K<V8opOwKi zpOwKYACX`3@>v;b^H~`t<U{fc`n^;o(0d&~`a#$QUpjKCECH2a4oUWm4yN{?Slh|S zFo8vpiE}5D2$Rq*CQzypVG;t}Bf`wa%*4TQkco2}xV6e+&cw^C!^8{AE5;xV%%I&# zY)pb6?`korF@`aLR@i`?!C=4v@gGA0qCC(pU}dl?U}bPFK=`k)fR$ld0V~7i0*L=) zp!@tZp!0G(X!{lzw6T}pp!A7Rey8RZlvIKWi3ESf04;yUfIu(}^7u+7hD#uiA7>Ui z#mvOQIGGt-T2Er;Vv=UjX3=8;t+-%e5$BX(;$q|gEg=UbO#wzhMol&uR(=*m7SOp+ zoQ%>utgI}opgSzsz_H6D%OuJq%p}Ap&LqOB!okHX#3aNd!7KzRN`x4hSwxtxF)}bD za6-aIp%4-OmW8YgZiTE2-i3(p$t`4MXeeZ5=q-eV4|@DNK-Z&y1D%0^K?6_tK%$?; zz(b$Wz#6orh2aZhKO@6DRz4=y$t-+RK!qX`I};0&7&qfxNO;^~R%c;jVBllnWD;cL zW|RVvY=W$!AR%2QAx6+mglr59!c2UOx-25hx(pwn{(4Y|XqOzC!ou*Skd@&_AtJy1 zFJxt4DI(ln0L3e)-2`fvd}CB)un=P6V&McW<&t4wQDtCI;DUr>KoP<|kx)j4)FM`f z%p!z+IYq1tpmWV}om&a3UtsnHgVv6HW8`P>;Rjti3n~0T^%2y*14W2*eYJ>{;b{>o z!z(2Fn2T8%<ccxZL;67Hm(lG5*$Yak4UGPb(DNp_7``!nXJiOcWD;iMU~*()VG?Hs zrA8)JZP+qMX(ki!`DD^utV}H2{7gJtGTfq&bQr|M#l*=Z#4N$23|d+UN`Ig<V!#av zuNlRNb%pDTSsC^hvof40Mx?u^#jFexC9DjFC6II{0o^AJtEY3I>mopAf^ZI6xdZYK zDBeIcc&%YT2gqk3{)_=${@e`T7{4;gs>|syWGJ%mu}QM&FtIZ6vB<N7{l~1$qRt84 z9|qbm4cbDg1M(#&D~ANTAP4C1Di(HW4jFcS4t91<4p8X|I{%&(wt$z9$(2b0<Yz|E zN!B8a29PtqzcMnZGwOld3c?LMkZ|ZILFB)MC9DkVN>~{-l_1j1krGyht0k-qxbhvg zc!cFMPklxMHE=%r##qhJ!N|wN%Ot?Y#>CDf#tzL^qD&m@Ol<6oim*&2%gV$CYJ&)a zJOFYiFT|Z5rHJ}Gwv?42r<9eUq7)Hd^GjJ7j+C-8TrGvf7y9~D*g5PVBSAO-t(*YG z6DYpGYgw7Z4L~PagVw2)>w_Z8NmgAh2OM9Z_AM_Pmk?Jkqxu9!6&4mIaZxrVUUnuf zHYQ$f)=Kp*MitOLUqu!T(3yTr?2O>JVbo$WLE%FdTeAc*fsXzYVvJ>y2BlCZCUwRf z7IkJl1_M5bzctDr{sFn!u8ftzvy7F&w+s<K;bp80S!I~(Gv`3tTafsH*W1ve*O`PJ zG{Kvd*%`htW-%OMmSs|4QUe`{1v>Xr5OnG}lK>M7D>rE0rYz`8Ar|oAxeN>opzb<= z<gU|YtPI!7SQ+jhx$9*aD+60Orn_M4Mj`Hkt}Y2U3d$R1;706RCWfeZCaV>!q03nX z8RbBy5TY&%1r3CWv+%G7fa_)Gs!z}gPq4rNen_|_l%tl1rRA&)mF0+VBUm1mrh%6Z z!_x(*Jp94P&(H=sC5eII0@R)p<%oXa-EvljpXIC!|B&plt6*iQtiUYi|3J^xNkX$H zEi(tS@o)m;0w!?JN(xN+gUM7-FY5>6Bo>BsjG+DWu1q{k9Bjf|B1{sDtW0i9prZ>} z*_j2{n7G&lnYbAF*d@i}nYb9a^+A^;uuAbUb8yOVgKuC59qnks#LH~Y2HGwr&MnEu z&&0>e$;u_dBCIOL&LqSnB&j3-DpI)wSOkTH*acaH*ae|QE9k}&HWpS@Hf>fV7Fi}+ zQ1j=5ASB#BR3PdFvr1Nmv`SWn>`FvF{aMM%FrkW-;e8b(UH3ruA^w53bB;(s+Bcx| z4#FPo5I%Z1f#QV$T@W(JkeY%aQIwjP;#yP$J^&#Xyh%|DOvZ!BTaZ%_-a)7n5UL1t zn)eUJ`5X*A%!(|0?-+SsGqNsa<Y1Cq#i+cJk#`xR9EUKI;Ce>MO^nJL896tASFDDB zZn0)kVB$ClQhA0^{xl=&9!BQfjO=F^nNKq^9bi;o1}#uzm11WKW#VLGy3Z*2h*9|= zBi}Pd7LG(FF(yz~L5iJKP!gg}jM<*mg_W60kWZ9@l^?vRmWdB^3Lv{6mmsSUGcOCs z87xeq{DN$Pj6%$uJi@FTjG#I~nu&{tQxJ5R7?X$)BP)|2qky0w8!w{}vxpcwlccx= z4>uDB54#`>6AP;}8><kfw4e;9G?NU6G{j^+5e_bPK{ZBUF)mRSR(>`y2@!EFRwXu8 z9(E=naS0I)etytKS0NTb=uzZ+EK2Nx9Gpy2oV*;IOroqREF4fiCyyr+C$AtMD=!nD z5R)3eAO|ax6sHJ>0=oo{H@6@UCpVJ-2R}qFABzW*ER!RXC@U+AB)bF)2a5@)WYlNk zWn^VhWL9S3Wp-kdWL0M4WSzvwp~x=J!OG6e!4BGU%|4%zOOjKWi;r_VWRWHV1A~AV zBwcn?Bh_=&tPC5fSsAugBhuyVYF36n)vOHSHIQ_PUf=zJp34MElOX)%2ehAvmVZI% z5>o$(8x-j?8h}o?2kj;Q!FYp_;T^Lg6E71J2PeA_6K^Y%WCxQZBTFk2=L9AJNV}7j z4RmgkA`34oXj2g@qaceQryvg(J3lio8w(2uGdH6Gq;<o~%*3L>#K9`a#>ynZ&dVmx zBm~*A62T-1+D*sk2y!F?1H%WH|7#HWa#IZ}!@(L>hD$XF|6A3vGE~>HGEA+7_}>A# zju_V7ncxk{hfre~Ccws#u=pR+Lxhy@o}kl5paIgrxPS??wevS)Jr~0dMt>$IMtdgE z@dlENz03^LSQT0Lq*;SF4TYXEGd*Lrf6C1AjG6f<v*a^oP5~Z$J_pdr<J?SqBA`=5 zIM|d86`5F=7>|P*>W7%c4>AkB0&NfCWK(24$;`sUxrv#BNr{PtQQU%=k=Kxwi;I_w znGe#(7G~sR;Ri1g)@EYn)MV1(VrK&F@)r^07g1wUW@3>RlwoFK<K`CRW#JHF<uYMn z0WBXE=HLaNNTkTLhmpyGiJwV?*_Tz2O@x(~g@dUCR0`@du`)`tFfrS+fR4tIWEN%O zW9(*R6JQl*6J!-(<7I6FFLDL7qa`8flA{h0FG_W+3`TXV4CZx+da9$2mEmt4E5jV< zeH=A%ka%d&fY9hgAT0eAGcd`2V0z8=o{8}Tlhb=9P=H@&XSl;=%EKfhCvMNg$;iuL z#G}Kc%CweIiC0{liHDIxf|r|{m5)t^MVg6?k(0@ik(CK_7Kbv3W@KgJXR%~*WfW%O zWn?pEHQ{FFaRwjV!NLSuD$d5t3%+3!bQ&-Rmo+D7UpDAUT?rOm4qiqUPIVS(E*3!} z4t8eHy_%9NvdpYp9BkUGtehMy?97TRyc}U{pimZKViD(O;o#6@*AQc2;+0lq;b4|v zV`1fI;Rp9P#X%WBpGl5Mn@N?)o>7H`gUNzPp5KU%o5_xemC1t1l<6QNlMWLvlLQkl zlLD(S2R}cjkT~dOc~IIFkcEVMLp^5uU}`-g+=*=;fXCsAH1)v!r3Oy$nZY1?6rlFJ zs7H+7{;OwYP-tLfP;Efi6WPGZFrfi)u93`1NV#$WdVVl0eqr{w<&+j@FffU4U|a>+ zHm3>RHKzh5g~6l@Xo2nz#?#CU(>N8GMA>-R9GS$~IN4b_xR^j?B@>e;3m@p@9(fiy z9ySgpAyHv=AyyGFF>dHN7OYGHjDk#ppwU})F)l79Rb~-37A8$_77!5=lmuOI%Fiso zBE=)jEyTgft__M3MWzl$rX(gob|EeiP5~YcMj=*VHZ>+0(0(;gIV1-OcY#JkdUt4K zWe96zWr%J>ltYUfSs9)*vNHT>gp@<*`{^vyAn6_y#vsfA>vuuRAy_zpX?QyT%q!B= zb1X~D%mH7k3<|+R%;50y0+X;%V_~?#smLTDp(xI+#%#%K#cC-gqQt_=r3ESjSa_L) zIYC#9F)>H-FmtmC@vy0ZQ@<=LX!k1@BNMX{3pXb#Cl5b(u$7fbm|232m5GIkkClfb znUjT4lY@m_1XS2_aj>$miwSTsatLaQ@vzEra0;u4vGTI8OER&G35e@K!gLoCvnHDn z6F-wEvl0_OlN6&2s4~m|9hJZ&#(0#O!9fuct{F{;{!vR4E5no~R)(2Ph;V)0#L6Jm z%*tTU3<=j3=(s1Wd^b=;4Oba_;R+p(WfCtq!<5fh;F!-?pp*|PVJ<N<JOSP8$HvOS z$*9cEwUCi{5hJq%*G@*UMU32_(J>}ap$!TSVHQ?KE*36UCLSI(C2$yu!^2RLg`1n3 zl?UWi&?YB7Mpi~;a42%Iif{ySim`!0Nsxz!QIds|UrvaX6U1j1;u1E5gxYsTCOsw| zCLv}eCLSgcMkSCz`Ap1=pu^PmLYf8&%8+pDfQAPsElqA_WmwS6%CM>#kw33CvodJ6 z;OWPJ(;ciI^PR<?F<=j93)~OJsmv@#n3!uA^x~Q9K(NH_6PsNxJBV$S5t=T@D8eYx z$1d`bO{7?aQG`*DQ5w?Mfo+9@(V)H#v0LI`>R^4OYXrB(!M4nSI#3YRppal-Xi$TM z&jFC%AwmrDt*i{nt*i|8t%!655(D9kR!F)+--iL?Lycf~G7pl@auZ83^wNq_D+(CE zOwVG^yfhfoFVPRe%*!vzP0Rsxy}*hblZqkYZaMjhC1xgRMftf&nI))#U;(Cwj12!6 zMVZ7ILDx^Ruy8T4GAlANvazz-Gl?(?FzATdh?}!83COXivhZ>#uv+mk3EMNWv-0pV z@v?wU1mWOjG7_;AU|;~7!NS7K0xCV36<Ha1Sh*o)fW1_p4hg3Vt%&;MRx2yR(^gi7 zSFMP2^s|+f0fb@Uflh<{my@4v1R4bM_h$^S_2*`2V_MJ1prObl%_hJk&CbeW3EG3A z$kf6JO6Fke85A@i_6M{<!XG4hcn1SRR2wTpTpPlEkQfM~+Y94^?FT304kl>^32Bfy zAnX7&e+I<-29VgoHdcnEZLAEdAYvdP#tpfU^I*Z|xK<P}Fdb!K=mHU8tV~=?%%A}* zCT1o!7FJNT!o&t9K`jS%IGY1>TL%jV3y3C!S)lqs>fmfPHqiJz6B7$~?3#&<iIELt zGZPyp6C(>33oGcrLa+*mJUbH;8wdFMBv!DkoZzF`U?jv;kogeI$pjAI30jcwUeONb zg2Q8DJ1fK1c2<U65HWCgTtE&FpZs(NrXFU79uQ#!2@lXP7&8+aIBY<n0^0Qmv6F>~ zjfo9(#SRO^b)ZlJxq*d+1spakOe`!g3=&7eV0kDXrW&Lc8d@-u*q9i>p#id!5tKKW zV9inn1s#b0Iyw;k`@N5WVR8p6!*qxk*nbC*{pSYG$9+t!3~69pp!O})oC^>=;BbPO za|<E{Hs=AdIX<BDUja740jl4j6VZOE>SSeT>11V?)QKp6mUpr;yz69TVC@2HV>kmn z=V%Rd9AN^qpH|QhNuM8FAmvYSNl|isUYVYTmS1Tu_&y=nMWZm8z|#DZR0bHY5X1}5 zEXe@1tC=q_zh~xVn84)2w3Lxigh`z79wURDK9lSoM#hVbOBlsOM8(CffODxn=)!Mi zPBwN{T_#pWaV8E%1txwrR#p~95%A~@E2|JQHxDRNurq^(xtW<*I0e`^SVg%dm^c_^ zn7AN4K7A%prbxzI79}PnCV3`PCOswvPz%F{iIHguBeMvLIP*P76gucZ;;*6$(H@xI z#mca}i<MzV7b4tFb+I!1?qX$-=!S$_4Rl=o&OJ!`QwDmT4=9pAc!DcxxFsbPr-FkG z9zvca`HA3$=^{wOGz`=Xoxr5YBE#%pZeekR(VX9ciy=&riGyE8z(K)6{s^N2bU;I% z2{ee!#|R1-@SrxAFs~>VJLsHdUQs?yULgT?M$n;ILd;>1LBCKY70{uaTymVEJe=G@ zeB8W30z&*?NlhjhMh6xP<|B+Opc~a6=tIJzqZ>0k=5~`G9y`#(BeMk51%L!eoFWs4 zxQv8@goXGKMhSjNE(V4MLx?*BdJyg3@E%r%z8+SF$vp^nwDhtvB=oT|Jn4hP%M|E3 z4%j&if1u|pf#L*&*PKU<mw?2g;?&U0yb^f46eZ@RqeWG5WnM{Q1;nke1fB!lRtP#r zq>mZYNxuv}P2>`od<`bULBfl{%(>ux{7f*rxSoq)0@Eu#hB8GqX$}q!K1McSwlY>t zVG$7lb~a{KSvFxdwp`{MCJsJ!HZB%EP7Y2E78Z6UE?#CarmKv3ml#zqGV)(yWWLBa zm+=Ur>S0FyBaF<48THxo4l$}6WaK}@$b67dUz6n?BNsOl9}6$*a>l$}j4C@B`FAlg z?_|_}!YCdnz{<+QnaP|b9L?Oq$jrfP&C1Ke6z0s#$0o`wBf`Q}%Us98$i&90!K}iZ z&KAJz$tuLc#@5Wp+``Dh&cwsZ&BVmQ!`8{j+{MVk%Ou1r$Rr_c#T>{U#1_n|5@ycK z!Rnl6reex(#%!u@qME|$&H)*Uvt`=K$i&Xf$;Qhm&c(?o#3RJb%LTfImX(#C*^z^I z8PI`8U_ES-80Nv{Sj3r}See+knApKrXo|4#v9YtVG7B-Yv2gMzaw>AM@G}X3&iIGX zLY#b{I}y;-gU;E6yO@ieiJP033vLGoE3+=Bd7;SE&%_M6pGk;Kh?SR(i%FW@kwq4- z2q##L8Il^X2pcPt5VI3A6N?;^I+HXrGcy~DKQj}H0y7g!0$4;9T?dOQ6EA2uWik^} z9-}G~KO-}fK2sjEDhod|GmAb`9;*r)KPxkvJ`)SOC|4e*3Ku^oGnYQ+Q$`+UZbLq1 zUU>m#&~@B-f+|A%g3Lntf;J2vEFk%BLO&w^E$nAyIM>h0aJe6m|AHs5GJKoB$`CLS zlK&nYgOrD`^&v-~_ez2C9tdyA!jk_yK|9mMFEGxAtP`2Z2wo^M4??|$P|qN8EsUV$ zBNLd;axvUtQe@-f6J!$CU==rKvSw0Yl4eq4WMO4yV`gOrwPYBX82PxkIaHX~IoZHR zW682|U1!R>#AJApiT@H4^F=28Q%pQ3nexss8J=e1Kf}a)nn`~X6F-xZ7^|=#qcA@! zi#!(xFY9Wiyg5v|vzhqkFfq?&(%;9V$f%^w1=_01$E3`}#cagJ$0Wcc%E-$o$SDj; z)m$R1Ld;q$Hq1;cMm+4?@U$Z&B*@Dpz`@SW!79Y;$ijnLPK1kzQxLQSScZk2MS@kF ziI<U?iHiftEG~8qZb4oy9=K^NJnY;c9ehw4I?B9{ky)69lUWFKS`RzKO{`23Orp%l zX;Xknk=>C+6DeImM7Y>2SksV1c|hvez)NM$GBf2d8bZ<<BM(a+vmqq4F?Tbv<+18Q z5*({9D9%CH!48t19!x~EPrgoMWniDg%D^)Tk)He}u`(1-Vr6Ka1W8Zm^Y0~S>sJh5 z=P*LsC#88QsYS)f`9-Pz-k{SCK7bC_08LDSuqVR=rY}qkZ7h5udQ2i*;_WQV9V}vO z0vuc{pixMcnJjFxScJLQn7BBYSowLlWSJBMrJ1;xIhoX?HCcJ(xp{b4xtZj^yYcy% z449nRK{p7nakw$_v+ywUgMyhwi4k=4tS}=~Q86nM8wV>J+Z9FzhIA%o#y}P}=FN-@ z3<vBX;c;UUB0N4#VrAf(%*wz&8PN~vn#{`ZY%(ju9q2e0Y`jZAJq#4A@LEelD<w5K zGdD3uQx87cuz_(ZWW;7B3wX$80VAl1F^%aX2ZNp>6Au$RA2&Y>3kPUr3L`UzEE~Tt z8y_o=0E-|CA4?EZxQw$bE0e4Yt2C<&t2AhQU5kmAiG?YNkJFG<MS_o&mz9r|mz`A@ ze0D1rBP*X8QzQ$QA*&8(E}B(cUXPnujFFdJf|;9<mtB-ml$n>A8(a&p@G!EourpgQ zv9fqF8?!O9+k%!OFsU+2a*BYiqZi;}ViA<%VrLHLvuENE=H}q$V`XBI<Yxj6WbiYw zvbZp7N-#@maB(q$Ry4A*h%mD=?f^F*6`69FHZU@&GMO`pFzYa}GaEByGl?-uFo`jP zrufyE;+VKVEEajj1&pA<Z3h=fJS0p(n(ruNVJMoy%1|~15fAlKSQ*-;V9raz)_=j8 z1u2>7nI%!FMfnWVm=qZ5_?TE2IoQ}3m{^%aK?gXoGC_Na2~c})OhL?tKApnK@NNn# z!zUzrnWnNb@K43G7j|9)%-)is%v?}<57B2d;AWV{l)^B9k&lTTbov4t6O$-A=;#*~ z6VR#;W-b;OhmD(+iw$y>;RUFBGNvN><xNvr8TzKOGEAO|2#2FnSs5-6;U1*)=vZ8w znV!eMB%W{>bPR@SJQu?>rY<IiC5(zpf*hQn176u#MVJM-7@3*anYfrZcm%+QkbtJB zWY|Dwsc><M^Yek)?}|(zOu>v6koq=+sT;I14x9}b9=Jl{QDYjy{npc18N8>lG6YOR zxPRL;R)!PP2#04;W_m_Ra7JcY3FuVcOQ17TMD!UA%=JNzKfugzk5Q3FM2dxphlN?5 zU4dC%h>25zPn3&?or#&5TZo%QkPTEcFmo|8@o;l8K`v(Fm1AdP7Uy8%VPXc|SHr{x zI^&iLbdnl76DJc_AU6}239~J$8<#K_E3Y7*DyWKK>0@GLVpC((VB%oZ2m2c$(hBwZ z0(XeN6{aKF>r#`M7%Zo=GT2T>_}hCrD?<nf?}XIPu=a5Ww7pymEk6s2QqwXkJd1r& zi;F`t67v|QF}X6duqZIGvhgvov9j@i(=scQCbJMIQFAewLBjt7)Ez6PBf@{zbXJBF z(^(nLBDuqU1}j6(49xJ)f%ZdT?#M|^g9m>D<1KI#+pr!K_-k1h=CCL-sW3@0vN9Pk zadLo`jIeMp3vvoEn=p$rvoXsvGx9LWax!!Ah%-xZF*Ac2&rG1P0uj*R`8>>Q%q+~D ztcJ`cT*k~MpcDs^;{{zs%O%Lf$;8b9jt)sKNiI%aVJ=4KLOpgSS@4;8LhLGBGE6*- z)=awmYyv7wY|I>tyle`BOhS^(I-D-xIAUsIWSYRp%ElJY$^>d9b1^7*LE`De3`F=m zoWaWQW(F(6#~Fxt5}(P+pf?jUd|>NJVc~<6zu>7fU7yjw37kMrGcx>OQDos~;bCG0 zB|b)0Mm7!!@ES!SCQdL<P#S#YiXw{w_yj0E&{4r)mN3KzZcKcvd@R~b;F};B7(PJV zzhEZ9{cmQnGW?#&%D^xSQGaX9Vr8(O#me9}3w!+yE4Lu2hJir>tzU@bub}+WycBo^ zSDcYwnv((+3oU?)qAPdFF9(%02D18$2HyIN29Dt1IK#+rj1_b?9T%gZyc7$kiUd2e zsDKO$8>0|A6R#-~C$j*v7?Ucu94N;zaWQkTnK1G(bFs-Yax?KTLK{*bVJB8DHb+oP z+l@(?QJP7Bk(EV&8Far06BAe!1H%DtNIY+tg$Sq9vsfAK%wlDDI13Ta|3UJzG2?j) z^gJOf@eK1j6N^ENKBIx0KBECA=m1uRX-qR189uP`F-dUoGVy{(!?>Bac$k?$cm8lG zb8+!8Fz_*PF<CGQGVw7AGIOy&1u|H<*!UnZk>CSycgAd1h6sod!-Uza3{z&aGR&Nf zaQD&KtPIy?voidEo)-iwM|`07jw9TiT2TTXcGlE`Q~=KTxdo*qsZO9+EoNYv!Nf2F zM7&|*WfEuNWYQDjVg>Cr5M<(DX6BLSWMkuDVPj?z=3(Ms(&S)fVisft6*wGB5sd7N zDNG`ank?K9fo{+YMT0NI{SI>w?WxK+tPIU_SQ$FzAkycyIjjuF=dd!Ior67n`atLL zp+Uf~Kmfg+h42@6INs0zDKR4Cd`ojmG7EAlL3Q#qP#ctwVFuGnMuulBd?Iqf%p!bD za%^%eY)s6|Ol)jS%<N2JEKeDk&oMHeWn^aIViI`5s45MLB^Eg*OC~8sRwgz^4Q6xD zGTPgW3=ESQnV1=wnPeG_VeTyOgZh6iq8$@5mz5!5E-ORoT!jB8&t+v;GnbWN`&@|s z6`=S0z~;4lZb9k?n7JHi?Q5u?eG~IQ3#A<vG1@aa@Y!=Q%wW32$gqr65!AK#%E<AB zk@+(t+c!p@kBnSQJf9d@zB6+FU}XIa;(cM{XXXQCBG7d)vMitpZb8s89!73PaaKie z>BFMSWX|LaYM=Rm?r~sZW8`5HV`O3BW@cp(V^(KiU<mMs_}5?_!oMB!SQ%E&V`bPe z58>as`K$~l=d&{0n-B4?1~i?*(yf95Bz|H3b-*Z(5OM03S&^FJ0%>MrjdVYh=@)&_ z%!-~*YGO)eUOK2rSZrp(z$AWv@g@s+A3znD6a<srV6uU6KO-n89hk%zIhj}(Ke93G zVpU`k<l$>)6??+OzK#iWyU0T(mM2U+OakkeI9Yf=GlA@^Y&=Y2e5_pToLo$-e0(g@ zOdP7rOw1}QOdLEyETDlTW<F+K7A8R^ZYCBcZd+CtE+!U67A00iHYPSk7VssYEKKZ7 z94wqn5?o9I9N>%Nn1z{{n3-9bKr-UY%%IcyS%m~xRJ56xI6ybqaBv6-iu<y%GD|S) zb2GCmvug4%u`>xBV&t&o7MBqeW#^S-W#l!M1vlJS;=m`VN3*aqn}KGPI1HJD8SPkD zn0;6TxrI1b*mXIi*mzj^*flt~*kzfR`8b(a1T{dH)G@I$f({V_-8c&_V-=awnXWT4 z2{9Tn#V~3yEn)=CRyweVF|so<GBGl-Fv>7qWn(Z1hNKUR1&DT0=mJ)T%mu6rc?%Hb z*`fuk3}+W$mcusCb7*1ZSz=07X>o~9VsVKJsD%$s3ZRzZ9#Gy^1r@{kj0OSvpxArN z#ITHAkx7+JgiU~rn}r87!NSbJB*w_h$Hc@Bnp)uCXBOw+*W_2?U}xv$5R_q+1!o7Q z5O6l&Wd)tZ2U@%Y+81C2x{;TIot+CxL&G41$(WUiZ9TLV$iT251ma(Zg@|(yQx>u^ zbS`9Nn7$B^A37JYGJIIX${?~Bk{|9s?|p#npOElD%?}xP@<VV&eo;wkaS1F-fVTQf zVFr)ge1K5fA!9f5Ayfcp=w=4fT~JtnE`7Mb$ncIGl)MXB1X;M)IGI@3nN^sTLA!gn z#F-^PEi-NoP+N?Jk%P&Ig@cKSiG@*{jfshag`G*Lmz8H4D=+BkM;0*2Cc(nZB*?+e z!p_CU&BG+b#>OJT!OFz}$`hdbFU5EjSlL;{LDe-gHz$)KlK_)A6B`TY@)*#vP<J+P zE0BkYkC~I1ksY*pfk~E$g_W6ulZl4|lpDFg#Sb&Hav<w+4p4SvWQ1kK4pw$U*840R z4_J8avvAB~<*;RC2WN&Yj2!yhjI4~j(X6Zz+<elY1_p~9lL3<nvoxCkt0<d1D?5ia z6FU<tqX4);!N<$Q$HB<LF3Og~#lplY$S=YTs<VZ)gsj+Xnb;X6S@@at*f?a}C3x6$ znM4>BWxa$rxWgIQc{n(EnVA(hnOKxl!DT3@4VuQ(z{t+Tp~zgt#?9o(YQ?k=v{|Z{ ziJ7Sglxx;7Gx0DkWn~d#1Vx7oBM%b?822zTe29dkr;5dh@xKX+Ss9isW@T8t7?FQ2 zE@owTwHP!1q#TFTBRKL8s9Kx?$~soitiz|zXu!)bgXupb!v#)0CP@xKCO#%+W>F?d zHa-qk7Jg=44hc4P7JgBFetsEtQP3U}COOdE1dNJIqKv|f{7fP&Jj@)7cA%z?9Mfe+ zXo3DA3gVB5C5UpMVhJll(-KyO_9cjXF>?tk!@4D`3_F%U^2M7i5ck9Ob-~tQLycwF zg4Ql@0~P&{1`oVy$W5#Om-qJ=K|8+YFf}kSBq%b;F5_TX%CU-Z83)&L4sd#4XEb7B zW%OkcVg?O=NI_z6HzSi2s8q0HVqsjx$OOL49kebe8sZ;~rK}7AP{;T#Wo2kx%F58U z6ycw`WvmPa%UKyZmP7pW1A3p;6zI9)1<-rZCcx(T(8gucQ}a@bGLzjvlT_e2YH(Z5 z*a%doF)%4DV7tmRpN(+=+W`o*1wz$=#h$Z)sa8fXRRSj6=Cg4z%wY-?=ax7u$WUU$ zA;iR+%w56G$j!pS$i>LRq{bx0%*@5fA<FlOQJz_hk5x>BlZ8c{&4h(bkd2e6jggU) zgV&wMgrkHbT$+uWiA{}@jhT&&nUjfSF(dOPMjio9HnmW;uoC7rMqUvn4JKVC4Gz^n zjvx+pR%vE7CSztBUTfwcW@%PaW(Q_LRwhmsR%2!pCSz6&Rz(4(0w!T54JLagHV$qs zCU#aP?lyMjR(4J^4v-0qOiV(Itme#IOiUcyOjaD0OrS9@CV?h)=0<kTCU&O(Z0!82 z8D-lTc}uyO^_fkWwOK{km>7BZMOg)8LE9UQn3S2+nIf3^m^4@<n3!2X`)xTmEtohM z!B;tRad67<@Un`saxw8RvNG{78FF!O+A{MqaWQJJOl4%`V9XX^<<}76;5B6y0kK$Q z1UUFDm_?aDYnM3~9a&NZIQWH_Gr2f86}UJ!S-3bjK}8=32e2qIdvI}ZMuCb#E`Cl8 zK9JF1Q$#rUb)c5;G4q4A|8lZ$v2%i}7$c?|pbe60Ob)CZ9B%9!OuUSROs*^%tYTa; zOc6{fOs2eAEE=qmOtvf<Y{wXxcp2@OxEOabF)1>7FiLWG@+*R6K{_OvOjtBnHJQM* zTp$xS;|U%XX69TrW>!u%Cf2{;GlvCIA?cc71)~2Uu!5CAaRn=b+6qLvUb%vm;pqxi z2F8_;bgl6nl22`*_c+Nw_t8VM1_KN1o*3x(Mp9-vI88GtJ>YL*xzEq|fd2yXeST2d z{LjvCgvp3Wk4cV6he?`=n~6<^n~RN|lSxXE+a#Gq&79eyg;A23i%Ff?Kt-S1f|Zw5 zlUWX&kXTsNnKhWyndMkH6jiz9SS2l(l35@LiJ6z1i-U_v7<4xvHy0~7;V`dYV_we2 zDadWX+{DJZl#LZ83)(km#KgnI%_z>o!7RqY!OYCY!5YuO!EV99!S2Ap!Ct|}%4)#D z!Jf#*!K%o@!7RtZ!3<jRc^f?ZX~eXIk;$H^hRKS_h)IKe79*n?lMvHCa1&`G=yGJx zLB!yDc?2>c;a#wjm7xL}Sgk8r8CI=iWmvxw5#B#nvN9O1Vr58L1qtsB(D@u#dtnV) zc!!{ccN(}{1h<)rLATp79c28^$k@Qt$ONXgL3p8HPAk)YMs9{VOh4E`dkC1gxY*b^ zm==I0KG@i_L_`>Qm{{1^xS3^UGO}_pvI;YcunI+ju6;Yg%6ypBij_;AS%jILRcQ{R zH3tg|3oqjwM$lqPP?v;*v5<w8xsj2HgRz8#m06#SgSDE4mD!C$fL)1=ofW*omP3_Y zl8u8kmxYxXx@y^w$(YHANr|<B$(YHMNr_dB$(YH8Nr{!41GL#diOHRri`j_Dm`RUG z3Di|N4Z4+S6*x6BFbL#A!uP@|ME~U3Dpm&3)vOH4s}bS5c{M8o#~M}!tu>JF4M7{9 zJA<}wE(0xmi$L9JSVN3~Noj-BW!Cjlj2on6z@!bB+{*~2Ou?iQnABJ=1xoY(SXo)Y z?U0X54A+>ASOnEXm}~_=S-?O<kBLQqmstZetH#BnB*Y=fB*4bQBF-et#>phaCdeel z!_UUg$gRdv%TmXq$!r2TP=Lvh*@}suS&R*|nVX%13w%#28#@!HAQP(?lK`)xG7}do zH;<TvsDKz(E=!0eFE_hFq!<SW6Nj#VrZAJh21Z_XUUt6api0n)33S^MKO-NbJR3JF zXf22s2M0Sd7YC;-C^xWiu!?iAvV%5#GlQ<?U}|JyWn$xGRAb_06=36GG-Of+kG`=A zu&6N$@Nlp@LkxY&#H7pA2<q<GunTY`urqOVGO~l!aCn1SMgLeqtuZE1#*a)43>yj| z@i=1*BA;wr!^&`I4J*U(HHdi3T+7PvVJ$0z+&V}+_I!erOJ|_%>k23zn$;L2(Bd&I zx5O3EZ~)zpDL;Yf0dqeS;{>K<oc&Co$lAcm@Qu}yg^fv*i=Rn`MSx9^Nr;8#5fk%6 z&`PieOuSdYy91aRSwUlbOg4<XOzd0|Ox#SIY&@)NTpR+d>=GPYOd@<5ylzZfOf0<2 ze7vm8Y=&ISf~*{&EG&Y|LcE;JT%~Nxf~-7o>KtOu9IWgjqTYg%%&aVdY`jbotgM`@ z9Pa$A9Of(>%v@5;(yXjetgM_q0uq9(O02>hpc|m|nRJ<ynFJYYSlF3a7$uojFfwXz zu(N`g1{^Nz9H2zO#=<GdrOwX6(ZR^h!NJGM4i?a1=K*C#MoA_cC@s%r16s_^#KNz@ zB+JIi3R)!3!YIeY#l{b1OEOtP?J;9y5#kV(WU^%h-~6l3bef4Nn2DQ7gV~0Oi_wKi zjM0sWpRtrlp3#d*gfWHjB_oFg`&uRzUQnE00u88u>bPT&=@5p53P`+9SjWmx0FAV{ z>sT4qtz%`_ybclXn(J8^8rQQjELabTcL8Ym2#a?O=>7w!5ezz*@$SaJBtL<v84}kw zSRirT%E9o24II}z9L$1zf*gWO!k~-(IGMSaIXPLBnB-XaI9Wma2Dn%`Ik*HlG=v?Q zI2c()m_?b`UAQ^gn3!9cSeS%cnAlpGSeY!DWI$;{h*^*els1G|`E3|knV1B47+D3q zxP5pecv-c$rP*NdH-nK$kWmI6uo_H|fR|*_f{K~5S%A_Uw+x>dGdl|luOy!;H|W|u zNaP5yvNN&>aPUhqDKHAa&H&J7if4Mu$fUvO$HK~L&cw@D%+$rmX2D*~CI~7$4}nTg zaV9p##o!XOpav5DKh`7KxvU#l8N@cQGDvSg^gsMJurf4lU}a!H+ix5LU5^Fpf28G> zIDyZim;zl#zy#`y&SBcc!mx~8n}v-@nZuq<kWCo0rje1EiG{_AMM;pAjf2IUk(-H& zg_)I=mzB+t*NsDnlNHn~R|FlP!e{{6RKzI5Y|6pPuEfF%O0~?AET*7g3uZ|cSw_gg zv64(C5H0mgJWO#+N{or%BpC}TjyBXm{kZ|r?qS`?${@CpmBDBuBAvx;Vr6)<iIqWf zGbEi&fwt#$pyLz?-yr1;ES))Ern3le{X3BjT-P4v`OgR{Q_iq(bMOdov-14m;O5{t z$;i#YlgZ7&bDW9c3cDhYBqw);U@jXc6CY?Oh=)fSG@}UWk1~NSjbmY9W8!9EW@6!z zbl?zWmE`c`c41@EVliUlG-bBnXA%N+*qK<EnU$CoIhiCCSQS~B9F;f}S$jD+CUCGa za`$quuHfKf6J+9K;Sk_pm*n7L;^SoIGU8L>jbP$s669s(Yhh$(<%nnD6J!=T#>mae zlPk}`2Wkh2^Lj9W_DrzKnzOJfajfUy=;GjDVr68tWOiX=5&_xF$jrjR$SlGv%E=@t z!Yazj<R-=;&cVbwlY?Uh2P<gy4U}D2Sq05mSV1z=IXI?qfcEdON-#?@3ET6rDsjYf z7|1f)3qe={%)BfTtUR2o4$S_njLfX8?92(w_N<oRP8<j0V@4J)rg@A^{GibTc1aFl zMlGhrjO<DrTu_0>j8MiLCMd%ZN;^Yob0{s$sKv>~<;f%h=bJNez}cX^ee6suU@MFm z*+F-TbAqh%XXIgq+z8L|i;?Lh6XO&{7JeorRyP(Q<{m~CIc9Gb5#}w7EE>$-EK1Dh z7?~2;**H!!GIB7bF|vZ%W9pz}dImI~A;84S_=kgugYhJ&&6LT+!FU`r%Fe)G&;d!W zD>ftAH77T-GThtD%J6tIBE2$fVPz2C!pfkw1(IH2^FFZt^a^Nxg{9X!So_l`3-gL| z6Ty3Z4=~1nM@vh<qzq`tbP7{H6N8*0lT;KNlT4&|oK7qgivg23BP%OY0zWfbEGUMU zbU{@<voRYxBR4bXhC^de{!?VKVG?JIV-jbS0dcLFlo-XCbQlAez<YNY7#unw{++P} z;ontTSQ++jVP!b71>xV9Ab)OUW#HdRoPSSX^)F;0)B(m+u-EFqq#DG7YnT{J6q%G= z*qCIT#XSt%nHZVbjG4sQnb}xbnLyZ!pP9`a>`^nYN6o<=HDqFCv<3N0k;#=woH2t* z9C96l3)rIuj0sSW7IZ=U*{~H+4jtIa%5Y;VE5oC$2!F2L#>ybFot43AJH(%7pzDR_ zK<`5+Ks!I{0owQ>q(9&U+Jpcrnlp<7Kx--e(?ZJg!P^xe1Lq+{iJ3XDk#hzn`3Fq* zS?)72K47}Z2&TGN?lW;QOkw)L#>T?>k(pr&qdt=imohh(5E~OW55EHw7b_<(3!4Bl zKX?k5k)2J1m6;8+QjUd1m`9WubkB(*6Bi3FBMXyAHPac;U0Y22=NY-KF|sigFu5|u zvbiytvomwEo@Qja!N?rXTEL#lc94<f5+e%>CleD37ZV#RGiX;6ivS}FlQ;(pliX6U zN^UM$CLUI9ZV_fqZYB{<7A_GE7AEP#U^!tXQI6S+tY;XxSh?7_xOq9ng}FGT<fT}p zxWySolEGbMeb9bMaVBXdVODt#aVAwJer|SVHkKehAtq5K7G@S+AwCXXTRv7^4nB5X z7CsJMIT2Q7DSib$R$g&FMcyDDR&FgGc5V?dc2PbiRz`0Qc6LD#c400NR^fY$U@h#t z;6A=S(<dfoHkK47UM3|bEhcGpRxUeE4yFheaV7=!6--Qzz{AV0*_hZF*;rVZKQc2g zJm`m{lL^}q_u8%8&dRWBJ1fKf?TC8WYzHgDoE@wTHqiN`J<xv45;4g5GA!~F3kp*6 zQow7+Qy|O5#T%F&L+YrROrSby64N|R1`9<tbuJ|)1wIa5NhTH+AvPH%2_`KjDM3B~ z4kjBxQ5jB7VMZH%Rsjws4nYnXPHrJaV_sH14kiwM5Ra9SmCHq3RECq8m6MBAf{ho{ zRO023;N)i&;bl@{^pv#+iLe_oX|RDxJuYTeHXcx8NRdgMNr`DTBa<4V3?sOHXOv`; zVA26!&cVVc$)o_fiJcjANdTznBgCZ4Y|13Uq|C<33EEDn%*e~A!~(j^Ly}1q)H-04 zWYT9;0A0V!!osc2#LvhB$(#3BnPeFaSU`8~C^4Hc3A0vk*>du;@qk)J;3BeN3MAYW zb|S`OLw2$<r0!&8DB6k0pHp|TGVIvN%5Z8YB!8aR1!)(+=DTXp`s*Li$|2<V2rA7> z1;+{WaudkqRbh!anJFMC@Kt;pA*b6dWdxnIFp0@sSzKiuqq4Y4C_|nilPVMA40b_A zwtjY7CLtynW>zM<DeOF~-2LpV>~0W`i!-S)>ai#?gAPY!XJlcPWRYT2W&~XbCkYuZ zQe<jo1mEUo!^F;*1k&WrB+j^wkx85p?6YamaM^_j7pq;Y3<<kf8B%v4(qr*%R)+O^ zSQ%{4_VKl-VoQ&%#mR{UsW4wYV4T2wpONta;~8Esb&Ls2on!=4s~GPyaxqL|+9k%& z1it%RftibsjY&+1S&U7XlZBI&S&WT`lS7b)o!NkiiHVt!g^81qlL@p**p-okNk~XZ z)B<z`Itwc^D<cOR6DunZvN{b$Rwipk4kmGC2NoV?R&i}6B_>e?PIXo`4kk}VP$P(k z(E&7S$gOU`<IXDKV8E)+#INqa<Ic+A;J|9n#H{YZ<Ic+B;KJ(6#LO(k#?Q&Y$)s+= z<IXDLV8Uw5#IA0`<Ic+CV8d$7#Kg*^Zo%WuD&SzjYR<%?uEXQbD&wHTs?DUW$fU&R z%g8IlF38Fu!^OjHz!b`4!pzF#D$OOKB&f#3!=%K_!Q{^9!o<$VD#i_-=>RRo6J_FJ z1T_WO86`k{2WCkYBW86jc23Zd3zAIAj5<u%RDjNH1D%O1$0Wxn%O}kw%gD>k$HN0M z0o2z3WhGuoJ`E-we$bLv7Cu&9IX*dFNih*&Zbnuyc2O2FR#7=I5m919ObF<b6q5v* zh1X<|8c9A5UL_uOZjier`BZovxmY=a`GlC6Ia#<^Ir+I*IZZ$v1145RNj@1~Pd-sz zB|h-zFRvt@G9x=T$QP1)>X4#Y5fpY?8JU!r?U{rab(pp?GFmW6GR89rGP*NyF&<-N zl4rDF;%AgZqfOBGa%g->CJrVgW*a6!#$$|ZQjAi}OBtD%nUt9Am;@OEn7Ba=KyZ2E zun>~3D)zE6fbMGo3HI(~WmvkGm0{IhM7}z)mzCk+Ud;KQ2hjT^VdcDYVxCiCayEEd z5NOL@JLm)(U4O;^J}Bn#2W6s0CWcwepn^l3NsS4#<%^$#olSy?pOv47UmCK(6|`uM ziJ4K1(UgsqQGl7BMV{FbR4gbm<uma!$}?Gl{SdGS;(m*Li1|>jeXI<@`&bzw_95I~ zw2zgcdLO3y(bwT56{jW^C1)6#fo85i2UYMeOk!$gWcb6z$HLFd$^u%%BEZDO%EraS z#xKmw0bc&g$`lIPr3zYmlMM<$1_p))P<LHGa@V7MtPHRBu`+x>au?TrRtDkyM7zt_ z2;{DOeMSS2yBZi7X0h|J@Il?hhwd&GreKJ>IGHk`?n+n;39pR(tPBEBv5Nhy3|sfJ zGVI!q2(MrJSs8Q>urk2Le|ezy>#l*e|6uX$SsYLV+Ev3O-oRuJ?r{ntk!_G+Unn&L z!kZ4Ew883BAyxlWHil#DptF0pIM`XlS%kQS<hYrfnPi!`n1mRu*>srf*qoVUz&vv{ z4h~sHUM7AfD|R6cR(@ViR(3vJaD%uObf6*&53?te5+f%YE2}#*8xJeHFb6xkESEf| z2a^JLCshbD6Avr97bGpUFfz$A=`ahi2rwoxiZQdX$g`<1*|7_82rw40iZL^>_=D6j zFf=TKgxi7xh;Z9+fR*9+0ak`H2N2<Q=Kw3i+XI;UqEA5Av%|tIv)D7wy(qCDBQx12 z6MW%sLOJx_IL>%b4%y1cu#H2Jg`2~O$&{U+gA=mF6?Al@EQ>HZ6AN^4YydNa>98E) zK8J&>&{$<)@IA=N5P6W5A?_f;eW?do8LAFqx(_z*33p#!CTK-$0670D`GdAHon~ZE zi)IUjT}=wwe+}DDZ3EeUro{}}E)C`gtbn-Z0?00?i{2b$Ww^VOh2h~&#QoEs53(|_ z9l}iiu=^Sz_k3m~7H8<eoCe#N$iO7*kZaEkx{aI_beAU+3oB>`1b9$imdTPy8&p6n zfVv~%5Tbl5I>gFQdx({x@em|jK=+Nd9%5zKb%>Q=1={_-DbVp;h&xgXvA9A!Al;ua zAQyBq_%}udmT!!p{pzet%%DZ6pjD%wYYLfJ*g%_1+4uznK(qa#LM(zJOspK-91xm| z8=QX4nA|`fVdQ31hom|Nh6O7j;V5w!;eN+c3=A`-vM|h^iU`N0a;yyN<S@e#ww@H? zew1)bfv#|Z#Lg;+Jq<|qEDdF5V4KFmz&Q<JkG4E3gM~aR1N!<ZRC|!Z3Dp*c1gJeP zknEw7e_#u^!2US^wI|>RBHXCr9~4^{7!+1R!fgkVJ=pGZk5^)4$Wg+KA6UM_5<j4& z(assEi6tNpEr8mia1`Mm>!Yj;Zbw-eJdYyMvCmOfhWMkb3}2w<UBmhVGSG4xVh>XM zAZ%e04zTrS43P6@XW%MhU?~G-EDk0v(DnB0pmU+6z=z(ka4>nXvNI^Gf%tm^l6$rU zGcp9OU||SZfk-bGkFqk{JBsOV*!~`fd%)>3+RQK(md6pUVvuDLVq#@tVKx9;&t${I z4?2%;0@QwkV~FtZKgP-sb&QoE?ieCGl8&)5v>sz+_^=J!i(r6_OR=EeZ;#z}Ch-K{ zc*cb2cy0!+(~Jx(r=gi!4%D`1<_Dd9z{JMR4%*kkFV4iu#KR0Zz>vw5iJ#Gz3AED| z6nhK|32PzY^#IAel!ljuIXt}JsqzBU9*^UQa7jDP%8+@Sl_BRiB3$y1voe$&$IQoD zpyeMVUQ!G7qOsY+6vn_6#=sKBz{1Mp%FF|z0wMl1SO@jzal|>Id?#2L<WI0N=$t_G zU&~IgG8{d@%JA$2q`u1d42hov=)8)@2M8ZxDg%Q6E2RHcl$w^4np~m>I=Rs|wIm}y zB`h(gG?f7+uAx-~a=NCTcV=D+18Bl!CuG8<ixD*8GLOlTNq|w4iG%SqGs6K!eI}tt zTmomgq&fL+aB*DaV&;%yXXRk!5oJ^36J_UM5@Ta!=429J(&I5=ap9LRWj5pGVdG|H zWnp7x<pLcxBdI6|9m)}65?}-!MW)LF>eC3buru>9gN{N6t=8n^;pG<-Q0G?_;$RYF zVigqOVdv)HVdXYp_F;i-=i>tRDA>8712&~h)=Wz5VqEH+s$8H&Gwe(pOuS4Q!aibl zpv417zJP?#f(?*xk2r~FpIjDaVVHlCm0|HoM7TG9V_}%^jfLT(I15-K`o22}X#9gE z85kI*bfboQUSe*lUP)rQPih`K%)w$H0z)t*u_O_$EHNd8VF8mT!wGg?CN56yXB-08 zIT)GP<e3!s7#Kc4-7j$pk!}?wSr{}=u`=kLLb%`lI}1b5cNT_3Nr?L!(8fJr^^id$ z<Xi)pSnTd+SisZ{T9&BFBn;X*!NO=Rz|Z)NgJUHJD-$ad7idff90Uy;A>q0K$z7a3 zSQxIKVr964<SxG-EDVJ|SQy%VK-{$l+AlJIo;UjDDkMD%K;1Q`42!!8veN?+OETag z1`1O>5W%p3sf~%DjYE-1h)KwkGl0{d$B%`HkBNhYBbD(R2WKaTAZUT4J1Y+-6R(vV zGcz|6Xh{SE1H*((5cfx%My!j=IL*pXd771>?lh!4U|?WizH*wCLGuhN!<lCgcj`dz zmo9<kM_B&KOD*?H%mtkmet_{K6L{eI1`}xHdI8gGR)!56icErRqFkV%2M#7~HqZ>a zJd-GsBoh}aD;qPDwjj3%6O$5?FcUwM8XM>&ELK(~PGLcAF)n6ScF=}ORsmi{E=CSk zE=Dd!cIjl+6i_2hktvjkol%%64s>7?GdC*}n;erpXgedP5D(}qPIev^UMVJi#vmqk zM$kwoXgw7l6Dw#4lo51BC!Y|jAhH-Zk0~;(VPsNel4NJ%NC0;%gjv{Gb(saYte9jO z-B@Iq-9e4AhOLnB+i(VvE{~jHWw>^RmEqnQM7sQNhLwT;EGvW3Sx9*X+b;;KZ)%|R zFE~+w@)a{`{DX=s#E#AeMi0=T0;wQ^t)7cv0aF6E3{MopD$o&JOzKZLWL|N2F|$F! zhMh@}l?~jyWMx(35CVk(J3j{(J9L4e1Tz~8FOvvk5a{G!P_r_DNro{B=8lAI5dTd$ zizqkLf3h&FI?Kwi{w%_Ou|HWDntrk{EdL2f-!3@v9n9PuH2=ZN<<h+5{M_99Jcb2K zn;97zxP_K5vd?AY(PGnNF=Nu>Qs(03Qe@-evf&D6b7JG-vgYDrlV)S$QsL4DpS7*9 z9pYYxbBOqe{>8#jc#f5!>>R?q6MnHUtp3Hqu;&-Vy=$QBR3nZ+(>HXVZ9xO1oLFFr z8Xs`?LU-B1(mz}rlr8<!(ij#nonvJ9#I4B0(ak8-!^kn41)K@{Sh$$@nDkjhn7Kjg z4j4W_-TmMkBAkEhXJGhtj+NopIYd5`_|3u~`<sOUy<CQsYZ&eTovy*KfQgTxi3hyJ zX9pyF63!#+DLc=~&~l!Yq4PW<eCD2KWjJ)6mEqKRNP0!L&jxzlJ}f?$FyROv@DvZ| zcnn8SV(kMRVYz_mBO^l_k0KKv8>1MzFb|s;b0Ispnp9*_VpL%PA8NuZ!oteT#{?Ns z2b~OoSoqI!3_KrUz{JkDixK94oe+O1TtN5>be_BU1y%;@3yAQ`DZ+oAJ4X09=72V> zE@0wkn8U-*z#ss%rvr;UODM6&Ei*MI1!@@&KZ63)o*%~%{>k{w!tmh&E5jEgdy0Rv zFjU}44-1%t7?$t|F*rcYbGV2wZ|+V8hKP%-3^5lG;q&!33&XG9EDX5vFGl#lb1OH) z8Xj(j0H{3&E+Xbh5C36d;Jn1jz;_9ezJC5;VG#Js!XW<_lK;@{gY|o0(R%_{{!6VW z0PQ|Vfi(7t;dP^i7OW%*sVqp<)I(RdfN3cs!v-EjQ2oB1iFX^5<a#FNIc&_c**G^a zu`^4rX5wB38nxI3NmmmtA;Rs$UlxXQmslAtA^Au69}9!bKNbe%e-Qtm=NDN1g!xAU zmw)_9b0J<q@=0c1NorAAVsa|PD+nbEn9>*y@W?W;%CgI`tzuzW%EHeg%gN5bz)%2n zx5s5xh6JdkcQ3Ote7(%d@ar-n9$sHzWw>;el|k+rB%g24g5=`^nh<(HAcPixmV-QR zAbgB;fZ=FpI~&y629<*d4QZu$$q<iZBHD=Xa<e40q6C-v{L&JnP=Q+DSsa#Fl$n^4 znasc>-@wwt_@9}vfu)ZNOs!`A&kU*_KkzYJ;0e^`*JERGV-aSOViRX#{LLu!kCD5V zm6eBwNuYsMfrarWqgoRye>1Zllhq$4aVAz4k^fAheB8XeOqz_$Onf}dyv$5Yi~>w{ zzZp4xF|tl$=9tPXz$C#V(80{g#5<8$yNg*=m{p2}iNBv&o{gE6m&p*MkA;~PtdEVA z**u&hOq59|oc#_X7Y9o_qiF{tYdfO~lK_)!H<MfslL(6qivW`VCoc;#GgAvQ8wZ;h zv#7>YW{?eRY#id#nOWB{v*_}+G7J1-V*Sk|BEa0v#PNeMu!EWZJ(FUUOdpe|xcE$F zS<rBxJ_~65uqd+_69)?`t09LmJ7_&PFN-J#3p)q10E+;#AZWid3okQ23p=wCiwqZ+ zprnW{6KE=iLsC<QLl`_Q!7RxFIxC%nNq|X$je|)-nu~><S)7HHLt99fNf0!Z!NRGo zsl}nl3)-3lQq93DB+A4qEXdBH!l5k3tj!_H4l;=ow2Ov=gI$n?m0g5~Ly29HlZAts zgWZH#NPtH{or#^FLy${=O<Kl4M3#e*OISsRU73Yhkwt+S)LjBCJp<Xy!otpM2ATk3 zVP^(6)bv@*nZ%e3nB&<@Sj{=ocqREvnH>2w1vr?p86_Aw*vgr_MK#4hi(7bEM1{k| zbeTAq<m5$^B*a}fg+%gL)p%9-qFLL(i=zXX_!%cMvPdy=vnjGFa;P!!b7t^}GqN&? zaPMX0<KSf#;NZ6q5aQPs5@l!Q5EeYjD8?bGF2>HtCu}OhDjdis$J@jx#KXqSsv#&K z#L6hk&nm^j%gZgm!^$nr$H5!O#m}iIBE!hV$j!{l$i)fT!U)ct4-P`gnIG2>>kP%N zvocs+XJxRzj>xYq*I5~^UT0<aeI1ftbtEDA(Fb~NTg*L3`^5m7Uo*NO@`&sQ%{Gwy z>Qo77t;;`PzQJ^#nehR0BO{m!29r<@_kD0CddkW$g*Pytzle*2kBM_Ov)~eD<NZuh zhnTo8LNd`2R#`?dE-Q8|P?}>3UdRk7P<iJuv$I;UH!=1zb9XU=&OQbwOi5-=7SO>l z0?eSJQFvKISy-4s$x;@SU^&=1^;tNWm038Lg_$@wSUI&>q!>A%qB39+kT?gYDX7V+ z&(zMyq{(E$sLf)<tj{LRXu&GQX2l}ND9)n5#KCUF#0~21fFf6sMUdH;O^TJ9Ly`SG zBMaz^AYSIfj4ZGjuM0;Y@z8Js(SF?akA-384OWJEHxTjg_8$ua*MAlU$^Ve@-sUJI z+%G`)mEM5z1EBFxfvda^fcEJYFr8v#*ue{G6oFbjO!t|@A24ye2c6Hw#QTU<j#-FZ z2owuPA@1Y2iE!WK|11o0H(42!ZzAgP{r_1Q&ip5#9tWp`1x#xh8LojHA<V=Ao~7nv z)8`f9<Aim11CBxLUvLvqA8uk~1=oi=Zz96yJR>W(K77CkNvDMB!yUNVkIos1c`lhn z4DWblnOIMQ?gL=s5N4GHjq!mp&H<=<4qisM$KVz#gY_*|2K!qG_XOTzWk|Zk%8+@B zIQPgv`zK7o21fdf2D19>3=5dD82*7=ahs9-4kH_fIK&mQETBu9*cl=1Q+Cj(s2j+2 zN=)pGjtmCJA>no579zgN8CV&<-eP6=h2*}Q46F>l7+4uZq2aXvdL9RCd=|a^aAiJ@ zeC?W(nhUQaOY%c13sOOS5GIB`K1C+h*Np6M7}-GkN>3^9oK%ovW#M3BVL76}b67zN zB)U(5XD@`cLxE>IgtbY5XQP4?i!Ask@P-o*|17wTSO>rTHY>yN+pG*{ZX?3`&TUqP zpSM{VIPO5gdk=Kn0Jgte0y^#iibD`SgDd{Q?PBnX)tQiaL0Qm@-~y%_j0`*Y{3kQ< zPh(=^@P+N1<pFP*5oJ~eS9#z~<*;f(k%fm*iA9^qoKY6k<ML<XXRKmiNH_`cPr)5l zh7OQJ7#JA(?y!Q#Z|2=W_-EZ6R)+I;SQ#Eb{o?~2_khiRqWk9oUjH~%g3tG7V3J?J zw4ZT46XOCV+4)Rd3@7+v7c=oMV`AgTh4@Gxx(P^>88mii2=<jSYUKy=l`)eLlP#kx zXq8Yb6F=iDMuvh@5Px~xMffZAE-ORnT~>ywy9j@E-(_XkbeEOk_+3am)rdpVm5l_1 zM)%hj0{#LQBTVuin13+7XJ-7sEc+f*Xff?)Vz|K<yP1i98xt4DB1TltNrFA61MwVa z%!(DfyqpDIzk|G}#U#a~%Ot^M#0vN3Wkv>u4W}XgG`Ppg06r|0fq^0L9xFrQJywRa zdkBA)-(zK%caN1}^F4?^O$hjt1DgLa>P>KZgjAburFoz>=L6;!kUCQqQcbRBVtBz9 zdzgv;7!w!AB&>dw!S7dDCUJDX9)@;wADn^sSK&UQe`Ru?mBIc#D}&2@gn#qzvocJ$ z&&n|SKE%H-(B^xN9E8+Y&?L{WrW6w282<GHpQDnh&u9>&4{Ax@WMudODoL&~v0r0i zE8=2eW#P@|yC=_cS6*rfBeO1NDNhztCU+TEHMB>s#mL0M!z9Wo$i@Qd&oi<!ih`Ey zu(7j(TW^Xi9-zS|P_sY{yuMI|nU9T!Rf>a|nUk4^g^8J)g^O98;lNplza<_Z%2C}1 ztPB<pSQ%^{Ap9NjfR!Qj0V_kn1Bkx~m7@%}>SgcLN(Lrj1ABc&17&dGU(3+JFU!RG zl!^Tr6B`H1IeDJ5@=~xWK%J4Fi5IyFP+}5cREAXpTr51yc94*EI0x~^g9nKE3RZu9 zMe+x!^``-@^a>htp8<0CS0?svOz^sM1JoTE4>8@*^birAq`JcemplAQbHQ1MVFk!B zt<3Cg%y7prFfb^bhlI-yEbifdM810>@VLh_k6{PMF;kh@r=d6oG%gNxPsbxf_?%*9 zWmxctm0>B8dwwvpGVrpnGKjE1(i?g^CkDE%0}}fT3<0>(n_p?Je`yKB0;Va93@1RY zTFT76jG4`jg^AOg-;9kz$d=WP)ft?pKxP?SfP|OEV?;TyiiMTI_Ax7i(_=(<y<%Zy z;AUlI5Mzb754{|ivmdn__=Bq)fH<y$iQxvnA`|OYX7+8&TpGMgtSl@{-0FP)<aqwd zNvT2lt4g}O3as+ndhEt<=Q224gt+&{V?_Ra|Cp75?FlOb{}Y6J)t|63xIJNI2z~-_ zFZwun0(4&_C=5Wj0WE%^%|+y)a(B?cBY3D>4#bkH=Vn;I6wfWgGl`L5jesHtKQk8x z8<YG;ewlClUfl95@}RzgB9lB5JEIm8c(|MqG&vvwT08{8pbiH}TAne8DF{?<#WTq; zPJ))t0hb{DJn#fD?(*XaD+AwCRtDjx2!F;sWo4NEl$BxEQ;0tWp!;@wp!=75p#8Xn z*^u$)7>xEF+Mp1mKL>C5frg*;GK*cIqhsJTx;Eh3(Dp-C>Xw5R>MmfK%FJ*~z=4U2 ziHlK$gZVkD&?{DspB&&J>NgxBGdbDja5C}my=N8wpv?1MR)C2Yv;~M$mWh=~u#pq2 z><fqFOIFqwtgN6ef+7nCqargG2P^16P-b2ZA<$J<Ok9jY?5r%T%%ByKyd0A3iX1HL zd@QWY{2Z*zf=n!otjxSDT+EW-fMwBS<znM!l48<elwss#3t-k}(_obYwb-(mgcvzk zq?tw7*jNQP#F=<F6L_S#B{^8x`572KT!w`EYNT;G*!Zu)Gep0L(D?5g0q9jUS0Ltf zJVW%y*F9rpIQ5K`;rug1JpO;i%3$!EmBHybBpySc^VBkzAo*&}3kdz89uki?Owj9D ztnmnGV1VbRA2ES9e~KfKY@n&?1x$yS89oUFG6`}hGchyrFbOiUvM^6)6Pm%su^bXL zvp8LOnfX|mg4rYlB{<kX^Hq>BAvtC~HV#I97BOaKW?n8(B!TuwNP~9}sc~^|3Nvx= zu<|B?B1E5M0%)_I2a7aQ1|u7&g}Igy8W9f|85k~Hg@n_F=ZO9Q*9%q#sTZsa@-GnK zl>35}Vc!c@hN~|i;q(T&{-5V5Bz!hN?~h&pP47Mn(8H;?q^LBx1k|6=)PvL{h_(tu z4lJsvmztZDnv#;50?!K?S}5}QX=$K4<e9`5Fuq{|Uu2gypApow`NGU_LCBLyk&T6k zwM|B#RYrh`vzZ&5$iH!keCKBU#m&RSvYeZJ1veWzD=Vvr43j`NkI)1j4k246E?Le_ zh}u6~3hb<q7Oo-_Cld#wDhufFJy}K$CeSgUtc<)&T#V98ER3Mjp;>tOSh$!)Sy-6` z_}F<l`FMG?*ubjTgjiUag;<%G*x5jvJD@u?6j{ue9GFxY%~;Hs6WCN)6*y!;BYnk8 z0*sR2tij3(I#<|+ONcXqN1EG*;lg!D{8+q1^aG+_vNEK;WM#;DiO3f{FIgFmgVaF# zHL!iFJkay)(Zd=k9uS?G15C%5_cMWt*AL7LeZsL!%1lfGKKurtt)?srOww!$pvCRX zYK-=L0^*=?AZYIfG`+*YEW*LVE&(3dG3VjnR^kw67h#cLmgC@H=VoDN=HcPs=H}pG z7hx6v4a@7Z=rQRsi8D4cvM4iavG6cUvDkBiWk9t81H*xv5Py5TLi7vrUa>N?y<%nP zeuYSvOJ1`wh`(iJSoju_E<Y4P(w)Ll2;I;Ir8h$IuMgV1JS=UYga@b-1nC?oP2f1d z($B#-fny>+m^#eZ&jCtnheR3n2q%ar$mPg#F><M}3h^5;t1-*46$?jjsIodq6f3hB z=&`DC$Ve2+7%_P;bu$XEFgq|ib270pDzXUju{JS^7aB2TD}Z&yGcz(LF}pHLb25pt z@E_)3<>6rx+Q7r%#v#Mu$m%2zEwG)JYY#6Q3+sP&&L$2n6ApDr7Irx%4h|U!MOH@M z^&IRQIM_H0IRx3vm@GM5m<*Ys96`2=6f>8AmbI`lN-)`*a+paZawPFG`Y_tETC?-9 zo2i4;NQkp)x^Z|ji8GZjaoRIGNR+bnF>=UA=(93AGlKSFTCsb|3h=1%b1-tqaJWfG zbEvY(bI1rdGh1=6vx<Q{z*)@N#K=6EkyVW+nu~z}a`c5d3kS0f=q7g-4rWC*F3_?I z&>5CY!tA_^Dm*OQ9L(IH`!z*4gh4kVu`=^XNHA$I34qq4iK;Mib8z#64s2(U;1FkJ z<6z}tVP$4!5s{N%;$RX69h=6)4Z2r_iIba$4|F0L=)M~cMkzK9CRHW_CJt*JRxuV1 z6<HZ6&;Yk4hZd_d$OJAHNfpqbG|WWM`NhaK$g&BsvUqT~8+aHpiGj|J3Y21F_2W?I z)7E4G?|YEo5SL`q_K;;{cV}_oaF+B?&}SD{VG{yv-$Zh$Ad3Jqvbj7Uw<xoth=Gm< zQD))@U=6f|+A7Qvz|09!DaY;z+Crlv!Y(euCeF&w#1X(As2#$=#1YCT!6VKVCMs^n zBq71f#A(kVDFQ0yjac-U1VAJFOxlc^ERsxeOrW}6gNd8Tf|->~f>VWuh2KtqgI7#I zoHdSvgQ<|oj0qA{T1*_=vRvLQf=oS(OfHOuEXtNrDy&Rms+u;+Og_xAjNFVn85#MQ zB^Z@iIJjk{QUnu4)P*BKY>qBQZciQ#v1(BXNfAB{6&}#Vct_colo@SVxR|-wI9by; z*x4uXg4*LxAo*G19ikkwddJET{*IL)<{ctGuYAYK@a-KdgUowqeum!9rQr$5#{$sv zjvhek83{9pINtINl%E-xlp0tMGXG~`Y+${@2&Tlrq{M#~P=@}@$}mARflZJ}YBL}2 z7CvS!MlL2{P9{+%p2NK0?6{3rl$nD`K!}}RiJ3!$1C$#WIX3eNtl?wkVP#6@<6t|> z$SlFaCC$ph32K2tGJyt*8IvdzsFB3MD8wYn!oeiY3))hz$0EQa!NtL^%)}wUDyYq( zEx^GqzzmX+0gHgdIRu?p_?bB&(c8z!WXvealE^H<CdcH;#KEM@B*QMwrOPD2>BYp) z47RcxbbOBii!yT^lK|r{@J8PW&mrMH;XR_C@!$Xh!~FNG42$0*!u=2{E5k`vR)!0# zkZ{l038~l7$1g8H$HP~kwcA1Er+*s50wyJfeWI+3Bzfjb3W7@V15o<~J|OyGP9Inq zQa`XVWPL!`zv2TcL-0pdhL(>I`(>U(?Dv7vYeXRNJOOI|2VDKIf|8<;e0bBLD7830 zrz|xHvZ@f04&)CoU0~YJ#CU+InHfxlgGo&=>A#-|lp4;nGu#l>XA<Tz<BDN2U}0mk zVbbD~X5wY?XVE&(&3l2Hn~9yzlSP!phDnQ6SX^FN?JJki8!ibSDQziHX;77}&ms&e zd05z)SsD2`1Xwv3`9Mq0z+()2?EFk3jKUnOOd?ENOzcc7jO<LHWohh;tb$xjTr2|2 zOpFpt?2ICy&5WSS&Y9Smc-ghtSQ!<VSQ&XaxY>o6I2hT)SVa{$xVc4T*o9d{*o8qY zbaob2c76`fMTSi563j+?Jd8~2s!Xhm5@IZ(tW4~TTxc|S2uGi38Y7b{lOK}=lQb&_ zhbTJ_7YDz#P&*?pH?t^*ACm;95eFZW1fvj>1fxHwB|njciI;I56N?aYAt;VPc*1K) zI(zUDu}|miM^=UpA6Xf`d_=TU{(WR+VE)9)pn!HR8*F?WmXFi&$_yEpv>6sKX)|mR zlLQ3>8!H2g8YB4hST%+NQ2PQvW`P{Qz`&66iIt)J6Dvc_Cxm@HpI8}oe_~}2KpQ`U z_3L5wX~5Q%dgd17q~@mPm4J>pa>%u3bcnJCrHGe|4Ew}DvpZ63vCQz39z~g08Tml_ z`9QrR7FK3OP<*qnGs7l2VKg|L6`3rVIGJidA;_@d4J5n-J|ps_G&?JU-e*<@<If29 zTeGt=q_MLyY(dM9HPHD54EIA0=>^^O7?RJhfawAw!zD3ACN4G}ra(qTW_X9b;Vs1e z2}t&@|IEs;>oY6EekA+Pe`aO)^cge!aN6&jpI4SzRFavLlNyrGz$EVAW6$Vd2M)qD zj0}&UZqbFg1$3G-J0mFRvaql+gNDYD=ZT@gCdS0clmreo27`AHcYAz6lqW7CObjVs zSQ#?DAj0h<J1fICcFc9@=;;g|@1SFi6N^CM#;|}%p5cp_JUCSaK<&Hm1u^dNgM*cU z`70{}$5%x8wT+9F;XXGjLn;rX{8|I8uXgN!lv@qZdu$!{LfWA#Bp@^_{xT8C4km=Y z0wx!{9J-ic0aK$O!yhptCXSn2?6<hMIJh5j@i%evv5A2WuLgA^nRuC)kMr=J;t@E> z!+MH`?<5b$DIN|c=F>bv=Xkj9@`LI#feZW`clmiiYbTnRInMD|{pDwC5MYv$Vv>*q zot`586r$_~zW@&xyEGFgllWVR$OC=>7A|RCIaWqxSq?cSSq?ciRwgz!URGXSMpiDt zqdcO=cm$8~C>`Sw;Nj>L;P}U{0Hrz9BtZ87yyX@A#>>UY$|KJPYSXc@@<AQV(#b2- z!z<j)%hAIt!o=9iD>Z?ad%ghJxswDq<_o}_JAv0~zX01IWalo2D4Qh!aV{$x#EGiR z?DKi07VvVfhsZAy5M^P8IQ1`&WCyQcJFij)uK*9nX#tJ{0t!%?Lrr2KAM+|U=9O%m zA9%S~C1NGmB}%xNxj*xAZR6!;<}m~>Rs`(>{02#nAHE^dM*}Y_1NV1U27&L0^s$DQ zmEkBaE5kKjNcy+}9an_auX~{9OMw#|0|Ubkb4a>@C5DvDqSWM)oJvIYW>~;<o{?dl zgd&SDk2n+mY#xbOJgi)5oRXaUtXvEmzC+wq@Ex%(xa&JB!?N$J46DB*^2y%stPEei zvoiSHgw(flu0rTNP(IB5jKs23&y>`>lFa1998hHs9+5Hxk4Q~}j7Y_TIa!c#sZGob z=Oi?kn3$Z|QkeMJ;@Go5Z6ZY`9nje>pi{m;_xpfujb>wI1szYt#>C1f2o=+1VP%%# zV&#+ooeBnO{U|a?fLGshF^VzDu$i$6fi~Q;2(Sn;akHy2W`UFx{Dk<o;s>HU{m0MB zFy{v=!@?hkc=#d6$`C5d%5Yv75)Wsf^JE3k^-?SHAmRQ1+F!h4gqlxL!XY#-CABE0 zGBYn793l&t9*Q$Olh9|9<X}9_BXEv~lShS%i;0Vki=9P|jZKbCxR+OG8Z#FM%Lh)* zqnv!;sTbaR@&db+IIl5r6@jiq5y<D<z{R?ri{+j?&uUS9CfUtgOiLJ9ba_h!vN$tY zAx!=(_6wZC(|MU^@p8=MWnae3WyiwAyNQ#JDThgdO=2%68;7VZ>m5#s5{Z>OtP8jW zKJ&1j0WDa%44QxE;$T_D-Ob1@!I=G-N8k$&>sL;eSDgKf%v>>iY+P)7Z2WVDz*%mB z5D%Q?!e$LRs+@(H8Pp~gWa3{5Q8Pz~2hLJsWM$-HWMz|M^IyowwStj@O^Hc@Re~{? zm4i)*S%OtULPA-BRf4;TQJRg7k4u7GVm}wlIeCGz@*wiR$N|Q)Tzv01CAcLxC8ja5 zGP1tqWOtVF<S65<mSN*4<Fb}H$!Ph73!L>5SUtd5CF2*Oz0v=Rm0|ubR)!_N5b>@1 zo0VbCZ&rqLzajCx0Xk0W@B@<0Q~V(D4K|E{f#D6>_yB16Td`9m+A3l28XW($G|&Ja z^F{W4X2uE3>iyuE(nTB$kEHaOB-l7vW!PAGM7f2GgycD{a&cS(9Y(>)%f-p0!otbQ z%f!pVqRzaQi}@OtGb<MlE3+s&2M4Q&qADvRs}}QHE{-=`%v_xM9E`k1LY$yc0}dt@ zd*-!V+#k4{*rqcYIx?{_vKet2@_=qMHxe@BW#VCE<6+ftFlW-@7U$*%cL?;ExR^MZ zl$dx~)j5QiIGEI#+?m*UnHf2mxEMhrTLDah0#Z!OOx&DuOwxjELL5w>aVkzG2}Tws z4$xgCVl2`|Z0w9IQXG<!Oj3+uGJ;GXbJ&>JWQ7!Xm~^DYIfX##80A5kP@hSSNrq`H z6H_>&G&>uI5KAl*53dmqH<LA!7?U!$5g#wJI2#YIuz(Pg2BSLYJk?E%EF8>Pplec@ z7lD=s`LQuGakGD7W|+VUiC>RDkn>5nSQ!|?|FAMN|6ygA^al~YYyPq_SpR2b*!CY1 zzY;-^_}tL~p+lhj4bXmr16qF(G|c0l20Cz&^*85wR>lpiXPCg$LI^dH5zG?>lgHWD zvx3@!^SB&koqn-83OF5PXP6=9&ZNR64H~%M;^KfoUM5i{PA*#xQx+j6W*H7eb|wKv zUKSIkC|1dEt~?cPHa24>Y0yc*EJDouP`OA}NiKdi(5*5&Of2<WO^i%TT<k0Y_Ds=C zN=&Ac<zyGhIWajig@AZmh9VkFkxWWVeq6fiMR_-fg6@cLvlN>wCooBlN03#PnTeT^ zgNd1ui<yIsh0&aeg;kVAQiz$Gm6Mg#f?a@_g9WsC!(5I-Q54J)i5AS`&SkFSP-PY4 zm6g)skmcoO6&7XURArSBW#W`&6%l3P6lLWRW#Z&zWf5iKWMviAXXFsz;AduH<>TUI zUBoCQDkUz%sVJz(E8`AsXc{qDLVGd6EbPpjEUZj|OkCXBe2_#W!Ys@Ny4Z-5MTdz+ zT2M%nmxqOw*;h(PQig?<*^r4#N`#3=noUZUg@uWo5v)oRq>7t`mDz*Kkdudtof9O( zs==zx#UiREpvtMjuP&+~B+H}3p~%8120o30gIR%#hf@m7W@qML;b4{o>EmMOWaVPz z1nX1gX5r=J;}T-!(c;i#VTS4RXW?MxWD#fPW07X&WRYg$QR7ernaaToI$xWei<c90 zXe($)l$T406DDTD!p<zkET+LK%%jAv$igovU??J@EX~5otja9FCj>fWk5iJ%ibsT7 zQb1T1d{rU~D-$0hBz$nvtQ<@{OgzkHpxG8s{{lus*OKr-`yDVE*6)DP;D)pjizSmZ zBL_<%=++`$Z9Zit8AdG@DJC&tL6Kr+5k?`77<LiRf&5%jOk$FPQbkO1Os$Me=1f{l z{7h=>TN$}5K}=RPc6R|)COalAZa;P_jy!fnSuqJ#nM7$37G72hQ3108Wf5i_UPrF= zjLcyQrx`)(j^=SO$uj<DVc})YV-jFI$j-1p6q3I+7}((FQdlssF?cetG59gCF@O&b zWME*`XJlhgV`5|IWnu#r&kQNhbsr+o`3D2E@>^#SB;Ui@Euh89!6ikYqpn#l@yuso zT)^^*c|Lf==cNF{FL}^`&cYJ~#F$uF1p5RenK@YGZwoWO5$5FQ6kz3-V&Y_yxGxM^ z?K?q8s9lJ&K}d|rj46#plsT7CkBNhki|sNaw;3}p2kT>Djt9c5;3N2$d09n-CJXRE zmJ;^~@G`Qpa4`vqG4V2SD6@<4N%0DS%w<{2$j-rH%q+yh%EHUc3ObvRn?;O`iI2sN z*@1;a(wu{ror{Ty$r~gq#45-n#LUY&TY!y&LyTEey+c4?ssQU00rSZM98(0i-t)8Q za&`#tPZnTR;pk<O`NqiIBmf?YH3OXp1-gz4WIYon6C0-_Pdt+&6DuzZyD}5Mn5ZxZ zBMT!xhZHLZs03yQmGx>eLd?8u>`W~3k_uehLc;Q3b<C*hm^c{aK<YTaC)&wND#$SL z^2-XCFfy^2aPta-RLe>Ua49h9b8<+7OyCuT+5p$d3pxu=UQ$7kO^Q`PUQ&uxQbC2C z8Dy&pR7QeDNS#STf|(V}ms8+kkzuq31w1pvb;3+SZ2Za`pgm=v)yo|0?BE06jF{4y z(wVH73>ifkS=oYEdD)a%L1V+hOb(p9OnQt$Y<jG`Y;sUB3r=39B4%Db112LT8%BR7 zGe%zUD6<7CFGmo&6r&xF0=EZ~pD>e14x=xxIiC=(BA-6!I*be^9YzN>X4W7!c1A8{ zAr?;Ne$dFW2?rl5E1NL;W;PB!CT2!;b^#7nc5@C6b}k+kPEJOCCRR2MW*P8>L;`Y< zd|<%L#$W+X;S3Bt%xnxxnAsRsG9&WAH5N98Xf`&6i)@g5U;sUztzrfwz4LfOXoWS9 z_G-)n2p>5g_#{>$ufWd)?MU#<OUW#P50`0ZC1sX`r6!l;7isE2j*vo<1GjoK^$POA zQ=Y{POiCBne(|1XW4yq2kqu0JXFSgasvtjzF-+4pV&eF$#QsHzi;efE68kSDE{-@J zSz&Gowl+rgS~e!eNsL@fsw|pJOudY(_vOJk@RqzL=r~eFaVCCd9ySq14nvOF@*Jn+ z#e{fo%Y*V0E0f?2d0u8#b`B0U7D=#LDX>~`Mh<t55)Kg#XI?i}S57Vt9#Li%c2O>7 zCLu0PCZ$yFC=L-erag=t3fEP6Z>Vy!C9)}S>9T_s#q%-=FmZ6sVdQIKWJ(ZKP-a%) z;9zEF<;>@0;b!LHNae0z7GzE3PGS8i&koLes+Z&i?#r{PFzbqQv%XLW-O9+ZjZt8= z3hOjwHa5mSMlL2r79l34iHxj0j1Wnoxs0s5AmiH@rL?&|%X5qKJWvo>&nU%S$O@?` zStObCm^fHDK#3SsH}P<A^Ro#svomrs@iNIV^7F`Yv+$|%a`S00NiuVA3NR_Kih*x= z5a8or5@R&vWfC&r7hqBbi}Ew63W*7GfND)9MJ8z>7A9V486G}%F*a6K4$vZOCN41! zQ5Mj-a$+2!LLizEG>0d_#>y%U8ewGPU}XmD2AyQZ&dSNe&#Ec~syjJYOa)a1IQTi( zL8gNmiCj#qjG|yygKp^H5@Qz?6yp%(V&r1d6cZ2?XS89G03F}PCBe_ZEx~Ne#=*$P z#wx-A9<k(O1=%Xh#?PrFBf!qjr^>{}&B4qCI?51q<SMr$6F(o1Fs}$R4>PZTAUB&7 zk0iG&4?80Zh73E4yoi9XI4g>694zdhF;9CaEy2bs!Y<6mD#8XDaRAA%fPD>WLqO_B zCU+)vMjMt*j7*A5PK-{B)=WAquFOG<sq8WWnoKT?s~B0-g;m8s^DIG3%1q)+3QQ(U zp{&Xr3QPvf9BiPeDG6O;fqZ@?j$MrGyc}Ze+#LNd2D^+ttFn*+lL0SOCFgfW&^4M? zOze!HiLPmkZ0xM;98v77TsoZXj659N$~=6GtW09un_2jHc~7wM@Ut*8t8xqQu(C0; z%P@wrb8raounMz_*^9D@g^F^B35j+y@o+Qp@Nn^Rv2TJbXlc-dlrIz55%ug6b~c9H z>}(7N*b(K69|s!)J0}}MASa}JK_4&8k%p8f&?1Uq%R&erR=y;rq=5Rs(A|Ou7#~9R z4$ooV&&YUyQ692`ct01z0|P}S0S-Y0E?GVn9#JMS5hg}?4kjfQVP+p0R%u}+Mr95r zMHW>i9@Zo=76B#}9%UvK5hg}ms2VL+O<@B@Lk=cY7Bwa|9Y$k_Y9;|z(Qp<P=4=~w zCT&IuWj-A?ZGIye&_#JiwOEg7u^iQ6KBgtaEYAYE1eV{L%ZAlm3UX<OHX|RCF_S3h zR5Q>~tX%v|ocz2(+?=fJ+-!n;qP!dea{SDUOw3#o>`W}8l2Caz(0!&XY<P4^^0Ejh z@e2#c@<U8u=V9kz5r^w%;SlA<G?9%{f*q8fB!!q%82Op__&E7EnOON*dHET|nZ)^o z__!6hSioH^MJ8>gRgA2>Yyzy@Y;sJ->|AWdOq}ez9DMBDY>QwL6-*Y42w@vmUN$~f zZk7Zle#RC?W-bnS(3ol(6BDB-Qzs)ME0ZuIBTE1?7fTYe5E~P#C|f5Zs2XEs<cMTv z<O<+q<q8K+U!<^4V&q}vX5nGxPU2z(b<{yO5i)}N<p=a2>5YMljX?vNScJIP7-YHF z7}U5B=`DndjiH^3jbRZNB)#Q4hV;jKpyxgJT!!!ip!?}n(9VY_NKDRl1yx`SOyUJG z`HTfs`CJT(m^2xAm<}^CTwqjW;dr6Pb6<~%iJyy&k4uV`Nm5yuNkV~Dl9ic>jhl^E znMsRFlZg#-s}*=Ujg=8JN6pGA0UDxWV`T*$UI-FlXXFMS+RMtw2lhU=tWadpX5wdJ zWfEcK<B(-yWd|veW&$s5)@0&gge~`FIG_&+4*_mO{?p-RV{qnXV+i9$ghv@S8^b|v zHim25knlj?PsxLJUd9HDbx2{Lb27kp+iU89De&s_`;gV?7a<fIXp#CNCKpC6riqNa zGCbx?^^9yxTnxvU^qEATu!%DX-)Ec1*vcgHio^Ud8!vOw6E?QzY@n4METT-}>}(vM zLylM(nOS&1yU<y<SlQY5c)0}F`Gp0z_>BZWbpfa}<>Tk)<6`AyW#QpQQK1G(Bl=7_ z%xr9RObX1wOz}(#jNo1FE=*dC6B$`#7|j{$nb;V)7&aI}!p(q(4StT9GY=bs9}gQt zFzE79NZMjf=3!$f<6&cfjqAhq<HPo!!pbMe;5lRhP`Ds8pRqtLpPOM3(<DZQ8%&@h zXh55G)S2Z$3#4S3q?x!FK?~f#YX(7%mSwVFG6t;`3^0PY>jV!Q0|&$n46k_D7=H1v zG5kkzmoP6ILlQ3=1I#@z_xV8gQNY}lmXnySms?s~;*^RQ+6~UhF9+W^qz^7VKZ6fo zSj2RMnc*I@A`=$}51RmY0be5{YZD`AO_(B+3^NxCKeS88$;QVi%LT5US^2p@`}_Df zxwzOlg}Jym`M5x{D=eJ2#34x=Y@9HYGOH}h0`TRcp!K~b5P#p`Wn)l)`ujgG8v_>~ z8v{QdA|1=~u`$^3u`&4aLDDe`bl$B1I$vdT4wA2-MlkF_n@0wxVm+t){2X}06Df#5 zmk;a3GbWVBgEISZMusUYicGAfToq#FtV~5k;6t|fL8Hn{ER3K%k&v+9WMXGzfv#3( z;%8D}(q)%rlLy778WR_z7*jbT7sCgr|90@PF&ID{cZQFR;RYWY!(Al*edS|gP~m4| zu;hpM&j-2=e+Ts30iGME{=0zPf0ZSvp!vmu6O8$c1=irAYbg`MB9L#I8Tpw)TNrgY zG`kt)+8~=<6q%HfVppDrm7R}?hmns%fL)S}6Ep<Cigv9WKa&KLGP^vBE|UO@B(pWd zuPKaSOmd9sVBaa2LE>`-Kc;{8@FU`rRR5Z=ptcJj@mW-wms-rQ1LVD#An(lrd2b=3 z+#HDaK=(kJL)>E_z{UV!F);WGurVYEurV|WAl!3HfQ_MBkd5JpASC=Pp!=O|pzV{G z*QnvYXE&;QAmu<%YI163S!xjjllTY5PmJ#w89y+tV|~vEN>!SS>`WSr;!LiLqD-QU ztW5EY@=OYha!i7Za!g;C8SZf^vTz(?Vn4{l#UjnYTqU%gnROcz8;1xR3zG;tD;p~d zXMt#;Xc23my0|nG8=H8P)Ou#t8%%6$Qb}@&f>CmTyv(5ErkferWw^Omn;F@g8QEpQ zlOrsWOwx?ZjJ!;&jNEJ@OcG42oUEXFmW_i|l!uj*g9$WZ#lgtID9xn9$jrmR4OR(l zr?c{Ka0@cAvI??sFbeXpvT!hR3G%RjiUB^*0sEkZ&pfQ$e4tY|84>MX8BjTnMIO9t z8Y(UfDh$!|K?@3fCQFbrL02w=3sF`k4kivJA<<X~Q6^<sK{+`l4sI@C9wjZ_dOj`Q zNIoszGSDhxO(u3m4Hh9LR#sOIQFc)dR`z%<DJE8K1wJ`mK><1bFU$-I){s=-A%v(m ztA*GY`i0mS4hkXCgSRjn!#iO%215}@de|caiEke${RcX~15We|3=B1wA@Z>DH#spU z2W{zfaY=qrYEW_+qFbB@K0|^@{sPlpmh()E7nnXVooC{@z$D4Ah^dE(QIJW4QI?65 zQI_c;BO^Bx3nLGc5+e(f9-{ygH={79KgA@=$ikG!D8*#Q@QKTYNt4N!$&5ppjd32M z;AciIHo0xAJZyrGSvc>paIvv4v$AkKWD#)YHQ^8w<I@!omEz_SV^Zf3(B|f0e8R&0 zl!fIP3(r#)ehF4_R&~xkR+e5?MIH`r);?BdUEb#`+>cl|S-GyVus&kpeaXTR&c(#t zqQ=s!#>A$}tP#fbf<@{(i!`q?1A`5VAZSn#bTKF+FAFQT2ooz0C`qw$gAxcUqofd* zAg2fylK>-VlpeHpiA7dG#!{M1PJk73^%AIo#40S#B+A3V4LS~)1Jr;5H<4JuBQydm zpzVAtOdMRGLwvYISQ%LuSp->yI0QvRcv(P!!^h0UA}Y-#AuO&f!NkJB#4Ib#q9Vs4 z3+kM4GlHB5T7bpm%*4wi!6e6|$t26fBP<|hDas}Wy12uPNs&pENl0EmiibmsiHqBs z(L<C=NLWx?h>3|?SX#|qS($;MhlxdyQG-R6m6MHyi48RM!7jkX%Xx&6hnt&)kB3)D zfQ4UAh?$d@otur5iHn_;jhB^!33P&EI3pJaFC#B2XzP!HJtRHe5J8leilS@`rlM>N z-lB-~xLlNt;R%Q@21$<&$06mB3Uoj5oCgs82k3mn5wvyEkn$2`6DYX;%LW~H21~T1 zd5GpOObo252(;oNAwQlmK`Ndx;SOUwV}dKVs*Gf0Vd7(CX4=CDp7&v9n!w0#hDVW! zbu~Bp25v4HHWoG}4mMT}&RCWdE@qZ^<|HmAer{<-R(7WI+^ny--Au)pczC!DgDyU6 z;^O?y$uU8Xxm%Bgoso%g4;SlhZk~PIf-LgvOzd(7^7i0*Sc!?3iI<U;(SeDb5fq=C zY`mPBY^;oeOss4yOuUS4Ost$@Tuh8CtRTK9GbbBpj{&@3;bn4VW@l1j)nrj(;{she z$0W(D#ssak*%@_MSeW@(n3=7aSQ(4hK$qcgurPz}P~hR=t_0n$=m3eY4l%^I+8i-9 zhLvJ$42Q%J@x?99#^55(#t<$JiLV^!ej3<5S0CuQc3AmggVyhb#20wwI%u&=C+HsK zd5rd;iX@p4bW<B>br)!+g_}v9k%ws_Bf~UaMJCp--0a`Dxi}<1OVznpnAtlS*}E8- zzp}FbVr7zGddtT8fsOS&8}lhnj+;y(qU<azSJ`-8u(69XP2^$y!5zaP3u*U>flFRc zp)ShE&BDsf0a{SOD8iw@4i0fXUO`16aO;N`G|&QSnnS}khKYkohFO74iBplw8r0?m z?cD>N%D@bY1rAnrRt{z+4o-O<9&S!1R>o$?U;+aJgM$+!Tpx%d!j(mWjX_d^jloO; z5w3eA*cdn^*%;I$v4`uNCy;yq3)hDGsO2&wTp_z`VM#o(s3@`05p-;=Ln|npv+Wrj zqU{+Swn4(%pAmE-I|q{rBQui?BRi8kBQujTBQw)`Mut<M9giz{+1K#0v4ARSW<JKl zOe`muSOr<mFmmi<WIf2set=ivC=>HBCT1qqgS=eFc-c5aI5?S@=LoQT=VNYUVR^*F zb(@#{7BAm@CgulBTuiLDdAS~d&0}U^Zxdi_6=3aSWbS2Teap-KhF64%^(`+KJL@mr z7;YI+8C6IP&m_(y&B)2b%EZj<!o<%az{JkX%IwG_#KOT05(CX0adPqUD)NCNjT1I| zgHA&u(TItY$&p!#O`Or1nVU_D(VPhsoyD-|RAOOa=49hwW#wRJmtbLL=HTFBSL0$~ zVrF6i_u2UPn0fgHxcF6s7zJ5Hn1w;-txJk=39~ZpW@IREfyDC(Nkn;fUy_aCk0cud zqZA^ZRi)S%3Z&Q=x}_lTJOOQ;+M7qH@tlCxk1qrDT0LRs<A4{HK$nxqgO`&SfXRK} zYY7%H9cN~E!><UME#u(h;o{(W%E$hUkDEi9O_Nzcz);>zFq#M2q1R<%WfEs(VH9U$ zWfEXzW#nfQWCit*_}Tbb`I*?kjVw^M6ag<wXJ>^3JE*zD!pQ~71MvN=OesvFOrT2( zMHpq7M3~)}WLXv2tUxX52qt#M7vNR43=9r#kns8;h3FrF_AhZuvoY{XBf<+LHcyn5 zVWB8wz8d}9Qi-*w;q?S-{{eKG9K#|eeuf8vta}Am_6a}&AJmS9x}!lF;f_KvR)!VQ zYz*6w-0?_^l|fUSmBC&dyE{0b_sPTZ=NYtfNFezWv^f=)J0T(kkjVjtMNH9*tW2vx zqe?d!8D0r0GO?Z%;5j1z+Nj3D!L(C={|y)GYc4i6HYScO0xBYI;u36Zdyz$V2&gcz zv2n3Tuu5>rofWWL1DeiaaPWYHbAk-Qf8{c44DB*(43lLL;k;Ldjp2g~8v~;(Bxv41 z{R8W#?LoUgLjYqu#W%6Qvm~`hQ_mZ;lURHK<4H#FW+O|`W}`(+X^b39hKw9cwG7LI z6`6$CL^(jWt@BQ0=a|MW-Y>*6L5L5`Hs}}PY7>Gj7-eB);$;?PVr7wJ<^UB0EUe6W zjQlL1^^dH~pwR|U8O;UiuQIWN=Z6?TH<$1*X)yY*NHT+u#7_fnso-G-HR}ynxR{;6 zQB>dw36~04%y5|~OMbW{;0_mXgfkpM_Wee7j!o?1tA%*h2=Rg02CId*7QuWEa_9mt zh&vVJ5aT))a%>DPa%>E~atL=;%ds(Rl4D~yEC-1{^nJND&~_BaOc1uf?M}bc3UHm# z4XQILz*VycBP-|tibo)~GjXpJ;({ke=0!rPd`kSBOgt=tY@jV%Ow2NCg=Ciqf!Z`I zpat9VpaK+h89A#E8w;Zxvj7h(yC4%Q7YjEh4?8F191*k_^kWucQ(_fh(O_}_l^b@T z+JljwaS<bvENE~E<o*lZkZ`e(N5r4MJR3u_JR3uTJR)35<k=YJ%Cj+Sl81&1G+m28 zX`8#K<;M@K<%e%#K~Q2|I_Q|sg!p*Igt?61R^mJ+hFKztOsu~Jxc&*`{uN+lD*6G+ zb&5=&B9oJak6ns|pIHr5g@S7pkccb?xZwsl%1Mz)7j!cTs~U#{lQO3)WPlko$dU^l zWC69yd?5Z*P(aix1`2Ep)(UJ4E(!>LMk%l{)GDwsOi+OMQ|A`MUp&zDi9Trg*8^?b zAvwRGGAuENfk`|d-=8r+)gLt2r^d+6)WyiK0_4X@GVBv&nAn7wB$#wr)IgKDiY$Df zED2eR%*M(J8qEY%5po<H%&gGetxPgZd`$dITujQKIc!j`9n^5@Vr1Ch3-Q+j1w{P) zP+((VS7c-0QDkF)RujxJifjy~ifjz%<vy&uMJ-JcC&e*|8yM;{8p!A~8pP;>T9;=S z8D5AeGI6nSGYPZEa>jrXmLiKNqXB3<fQ6S`kpo<r!QzFBDS}mzO%9|Al-w8^{2=aK zp@?wrenmEhQ;KX1=M@q0@EGKFB{l{@B}hCF8qecFiwAHap$9)afJr>TARab;cao7| znW!QYYr7CvkB~&S5Gzwr3pk&FM%Ec+@r~iBp^o86z>*h3fIq}v4N3@qO;ciHSgXXw zuuBQyFAilk247`1h6H7Zzi`C|IItKP7!1(PNrw0<09xBIi900PGdcv?Gdif+Gdh&n zGdhHW3z|SiRwh?QR;HCq3`fKiSy-2f@~jZ$Vq)tTMXLY0M8%j{*qE5urXq{35M^az zX5r^%XO@?8(C5=))8x?+XOY`0YS{)_Ij6{^$i&Ym$i%@2%9}i3wg70(2)uKIlZBUE znFX|4M2LkGRGl!xiX<2fZ9_UT$ua6MC9#4O=Ydj(8WRtr01IdkRfJiI15C4DV&ss4 zZXHkvgoN({WkmRjsIW08sIW1psvyGGU4@MyLxqi@QUwye=;`JS+IhJdXzTJI;TxP< zf@>%kF<K596!wf~Oz40VVxJiqmPsfwv0fJ8VdA_Z!q_Rw-y_Py#MLXx$`oqEZz$ga z2|j%$Rz@)v7G{1nc2-UfK5lsyehzR@u|tDKpGlrcmtCHPp9$LQ6lG*&;b#_OiDHBb zfyTvyAmQ;r1yP={tFkc&tFkdjs3O9{PL+)zUzLraO%)Oz4bbu$)*eA0H=ckqJYYk| z??FSyVfmo4;5ie+HjtMegS`AigmI>*2vfu?QC&6-CN4&vw<7$8a&tt%b5n{;c1Q!s zO3;C1VKyO7bryc?L&;j;p=2c%BZwj<=wLD^Fmjl)nfRIHnAI5=CImym;fE?_IPj~H z9}W#@>);{jqByk#baPoT!x@mbe}cUION4Pf$lDuW-fkA<H<a51@iqekLny?3Gt?0C zk{i|781|~MF&sj2-%B+%20nE*24!_fJP|4vcHoL9(0-Df)C$m`K%70J!&FEgbt)6X zBaoB(MR}MwH#2db5@%!5VPa#F;$}K5&U!-JVX7$qG*R#@lp>QclQ1JIGZzaebAu+_ zAeovUnxUbo)R;+v6{N(1RT(LzGI278u&^>aF!3{Lf{QSPFsT345&3q#Ivc}obvA|r z>WKJxuFl55qrt|Ys6m|nAK>!8TTXr=xY^}z&*;zv@%?W`hJPU6uZH^mnK&DhEE5|O zKR45Tan>i|4jV=JHz9n_%E$utJu4$Ov{F-M!SFpN*!Q~VzPCd0Jp+S6IMn|d2>-9u zU}M;+!N#yp1L6Pg8f*+2nrsZ7n%MoXa~9GL0S77r0|N)v^ckF5;+Y5beTY4y!!d~O z_b@Tcl2l}3Jr40b-yd;M??;@Sg^P)ahntDTfs2iam!C<9jfqE?Rg8^^SDf{vDE~QF zcvv#AGBPuBv2Zi7GJ?*~1dXRDgL$A!UWJ%g8O7Pa<ri$G%aRGSYnq!$jTNMfi;<g& zhmo6!7gl(wF^MwqG4BT5bF0a~kPrb0pB<Wr@HwZ+#&AoMjp4o~B79`D*cbw}*ci&R zhzp+xT;T)05|&BaA;O-~;T|M_ZZI+IkWyq~eJTdd9DK7Rx!Bm4#F^Nbc(~d4m_UKU z;=qR#JW^~-ywa@C#rWTdL9>i4QXqlqF3@x*BA`Hl1R9S=3n*J?Kyfm1GpU0E3ldNm zfpr}kSPTpd2O=Ti^+F3#o-k;$G4N=!F$iiS!pl^fjUhstjUiVX5?()!LDCPbz3ziH zA8LRryr4S}n8Xue;~5jCK<c{5OblN@A+}JQmx*(cIOBD3{#)YmphEwqIJCCA1Ujfe zk;#CGlU1FC3s&DT@v(v`HgMA&*3Seh;oxJ^<&<aPXORc34N+qf1J`+`@H+276vW>T zwAmOOpqb!{HX8$*4jY4{4#MA)b=VmAblDhebRquEf%ez_K+nag_znpNs1Xb{o3V}m z1?OZYgE}b%txWlh1&=^A-d;v<m3N$xk(r5wk(22=i1Ce)p-ozmhxNTA`wvMjCN2(6 zCKe}FaaA=|URGvSPEiifL<cJq=Tm;>Il?U8`8W@8^YHPUmf}7oCBnpdT8e9*6g!(B z2P-?DBO4nBNN+N$8W)Q;n<$e26ZZ{f#_P;1^SPN9aPzPzGqW$2V*Mf+V<RIhBQFaH zT?HmyCM_mVmw}y;lS7?}6}qLDMUaP&iIJ7vm|234otKA&O@I}2AQ~eVvl_UA0aDGy zBhADt$s@(e%gQdv%nrU@gp-4pTakwobin~Ttigs(L(BhgCRWg$C#>MZ);PGB^msw% z6!5TgGBWe<@H2_<vI{8jD+&~Y_9TP)Dzg|tH+?g4vP*Drb4qe?ajs$HVdQ4vW9Btu z0+0MbVq`-sB%V*`BGUI=UC4a+XI(@*bLp`$Sn9Dcc<Vvp*#tV@58u}YJ%=A+Dg%Q8 z*8TxJaW7zW2M@B$fsD1t&1d9h_#mSQx_RfKH2XDaHYNp*xs2T4#Hq+62O3FbVqp~l z6^Tr&j3R72tlVti<L4nO3qhwMfo48Iy=;(j9wrfHPNoJ%CSy?QHezCDd;=ZXeh>%o z?+!hLe^2YNG2GW<WB8zl@UN0S8$+o+8^Z*Bh<~4;%@?4LcltzOOV^;Ka-e2wSv+Gx zOgv+PJR(cmGV*}8TDvidGu>ol*dnXQ!pFwN$Ff|IXO$ou8y^SDYayXGLel)KViHVj zY#cv@#Qz9MYbvlu>&WoSf)*4iGRZIrG4U~i4yXZ51F*7!N<vOHNmdrnTqGM*40Hqz z8!Icg`3oL%2KN`?gP&-Fpn6OyOw!ESpaE<q9!3#H&>(0SsJ~###KS1TBE;;*CeC`3 zkzqmtBpewG5aGycz{VhBz{a3yfCxt~12%?212%>l14uZ=Y=@Kwuyiv6+CGM*n-yr~ zVpJ-4t}CF(pD{qjpE1DBAJh=GVH9LyVq|5S&B$<01+-b4hlz2s1m6r5=7-!&TP0X` zNSLw6azeL3D>Ctc4=j`b?@VE3WM#I8?xKK=w?ng-Ba<tW9Vo%rF!3=lGcz%<GR}r3 z8-|8Nh<|S&`S*zdq~HJE0MYMfG-P8iFl1w>fsS9m#xc<MQTwHWR{jL!K)v)z1=Pjo zVUl1mWraH%R1$zj3_<5{g9a$TG-%HxcIRs{v4RfCXOd;I1I-#iLNp)=;_elOi23zh zhHMN1Mr;iBMu>P~HD+TtV9ds#X#$NW=ss20`9gEh&VhBgf|}lwA=|<I3qZ-ektv=r zK@@roB8a{MnxO<SVAu<)0L1r0V!OmMCR9WDn_-$Pz=KwxK^;j(9wrw?9wre+9wvQ8 z9;Uxcj66))j66)0j66(wj66*H7{LU?KUGC0*4vWocO*gAcQD?U<as2?$HK?Ll_7Ug zisz1$$ZaW}ds1SIEIgvmrFdRRu`!9Uh_ML^i!r8iaB+%radEP8v2%;_uyV7qi=~S( zi!qDwaWOG|mgM;+$;HCO!o>s^YnS5bk^+hGLB!lxOIhu>m>6eE@ywIrV-l0%+9}1e zM@oQ6m5q&=O^B<MHBF2~j8jvLOO#cVYpE2^3YeT4S1D@{SWb&elhqeI5~<2$#K^)Z z#iYQb#>B!Z2+G(@?BJmQ(7DC3Y&@)>9kr~WrOr?`=pH04X3$-0V$4EJf?&0vp#uo! zX3}DW=!fz3kohd2=A#r?go_Ds!YAlDG%m0RJ0r+G&}vA~vTr=Hpgg3=w3U%bnn{w0 zpOKwOiIE+&w46hWU4n_9(UXN8EDDkVV^&jS5mrkSo<4}jng$}lrp#l6Gc7>nJ*cvi zWZ_|UVdG&19ZjXr#l!iRiHC<fn~#ULQh<kFPl!iwAEOA5@IFQch6U-6{IJ6WF|T>T zgpJ{f2^+%$6GVOxGG$}XHf3Y5F@@xZ9O%40tRIhFZv8>4??CsOLAwSGpz&yZ@Gwy} zBMTE3BQsMd!xDASzz!c14;vFR8xwn{G|Mb$u9?!zv!rE&L1RQ5>`Yv2OzhlDd~8gh z(HT%;VB%q7Wn=>_ZDwI*l4oRPR$<czO#&)1@h}N62{X#GXfo@A%Ia(;(83O8(1qI# z84&-jFh%%xmnj>=F;g~%OQs0_elcZZ;5B1okTWCBzb~-(7v2?Y1o>D9?Bg7$k1ZHZ zKz+=?#Ky$8R+?p-G}l&X=55k4tZYnN>`ag}gX}{Eun&cheW<{q$qYJOogoM6L)cat z28T?DKPQ+W+N(><*cdjLu`%p1L-_Na85_fQGd2bebBI5Apye8_c)fzfpGaN)2_T=! zf_+*I^{F$%6C|I?o|I;}B+YeEn)wpgr=r+=st@)lbl?UOm-;N4kde4*s81o&KMVp{ z5Pv3^Bm7xx&c;w{&c@JWj;MzwnX@tMG-qSD1D%(B16^-D=M1F&g^fRh(kW7_>=+}c zCANs^1tY^Bbw#EKCUq7mMj<9>B!HF(fL2O#fi{RSaWRQ7v9NM+u(E^NWuODZbU^tL zbe5hO6Bm;@6F(C#lOd=*3aW~-A?}v2K&<=IvS4E{v0!7cv_QDq(}Inmz=Dk-h6r~% zL5Ii|FkXf_`zs?umxdx!G?O8!v%xEtn9!Xq$HdBr;%rMME+#`%XEWqL+<gIyyC0G1 zZpe_<40MNrLWzMP7h+$8B_e(+E!h~lEZG?PEfMMXx+NQffE62qu@xj82SEFqu=Ol! zpyxG03}s;05{*`0mw`tvV95Y7+y*JICNR!`9Q3dXIvf9liD8q5Vy7fyk0k3vb)GIh zmPS6d7Er;|%E#WN9@j1jDzP{lB$?hzIPkJDasH8D{VBo5#PLId^(%<}BEkAzLjIiu zxDZofVrA53Vg=2Hf)?O2D{!!~vw_BaxOjP$S=d0wgn~L~9IWgdT;OpIPH6K?iOGOT zj!B-CjftB{mR*^Jjfszujfoe8nV=m7Mn+Z^9!3@xHfBB+cGfs1enuuHe@4)@TL=bk zsQ?E_K^`QW4_G0>`LPun!xt+yhM!i5aQ3ujV`#HxV_0iVTsUXMV1#pMW**`?97sTe zg_y+QA-xM4(qEVu_Gl;`=3+d?#X4J^=avl1MH#j$GHgs7S7q3zsK@Q*Vq@ai#l>_G z)B<7R*v7@WlnWH%?3+MmNw6_-tm9%`4N|iTBqP5BbcTW=6SffN28Az5sPhsEbuMs7 zLqeVh#0FtpA<xIi#01*a<ck*iAMzpLZefE6_XHa@h5{QlhEf|uxG%C{V>oNW#_+@j zd${kp1}VqDkqII&(>J&}0wrnGL=F}PFF=_LN#@0%lS~#dtzcp}rlB}pigA_{>r!?0 z3A`-ryi8rZyq&!4^VE|kO0h9<PLN_+s?Iu#H?~U(oRmRhOs2?58MLAo6sVAHJ!n1# zHpw8&WCc&WO6-b|8B;|jP=aM=WMN@q=4D}LO$H@c7FNbsP&o?n6a#}oAtbyqY!TsA zYs<#aXUoPg*%lFA2W{CH-q^A+u-Xw9UN$(w3!XBULIS4^nljHaF<j74Tqwo3M2dAi zG<4V?p|e80k#ROCcxHj5K*2Kw5<KEetc=b`!DGP0$_VO;K}S81gGZdn0~9>W0*q`- zd`wsZhz%S-ji7-!R^$*WD1wAfhaDn(=Gd_@thHlf*kp$YpI>%t4950s3}N=z!w0>* z{D2ugo_QsRw6hQrJg_42Efd2n4aJR;j9VmGccK@Oo7B_SLyE{1l1$SjK^c~Fi6rYn zNj4^q1(K|DLG&C+*6EV+(<H&^3Y1|@kOGc`BGM8RjKmj_>7W#ct%!V33<=i<_K0x( zYR|^N=D^0l?SKf^7zZ|nnGS3Wha89t*Cp7?M?}DaLX>d=;|*xKTf)rnL__g47vnoF z*29Prk&6jbBJNRdXM7AQ?jCWmzJwHs_qbTkLW;y&T&&kYa@V+6FN5eyAQ|~HkRnly ziIovF(9RD&6N8o68dms$axc6h!ciouG1-AanOPcC0D>^II>J*Z3bC-WwljiDL~NxZ z1H*(;NO%W0BEmb-k&U6yk&U6u5fR>t9oZPpIkGW4b;KUt=;h)Ov~j8AGNeS@4@tz( z0&ocv!#fSd{ZfpFq*%{FvpBQ>Jf<GM6O_YuNHLuS7l4}}IUG~~8X_lDd<CExDA2%3 z6HESv6oB!d<cOTT84i>|!X?8A5iS#**ccW%u`w)jLWIj@CpLzEPHYUK&cuaF2WGgy zn)RsF1xyrl4E0jTXl3<$@CK4YObkCX6pza=o|0j`uFgJ*o28SRt%qBzo16WDdd5K+ zHYUCUAQ{#<+_Af4z!_VdiI>p=9$p;G3g9glpwVIQI5297=*lF=q{Pa`B#ga06k}m$ z&0rD%En`8dFa#<f;Wfh<5ngMZ*%<aYvoRcYMuZoi3mb#C3mZd{3-<7eL0h-0gPCtV z^Gc9<4hJD&vz8GOI1`u|nlu$}N;2M&WPPa432QTQF>zdx6uPO-eM`OII;2dzAj!HP zQYM~}WIYKg3r|S09tF`yKr-_CAZ4O56Dy-J3nw$EufY$#keGuNbS4X^i3nQMsm#I# zI;oz8l^L|553T8F%VZ4>Oc54P^Ti4>=>e&IIl;|EAx0rKZq@=OenwVor6B{uf=WoZ zesDpAE1N4DgSaajgN!R8T=QMo7&f@FF&uX#E?ggAhAXHF#thiK(12aQ%+RB$IGLMq z8aL}}bxv3lk&B6=k6Y-mI`<Rxf^Kd$CXOy{)`{GpDMyYrZq~1mBC&~^^*^X6{Kv)m z8$|!&V*Sb`4?bxNwDSym0E2@QQ7p26E&;$B$ZFs~w!j_8pb`*-(c6Zg7LP+UB%CAM z5aFEf#>P<T#>P<Rh6v{gZfp!2-PjoRxnU3IH5XCahcRgV_~fz@aQ6`&&=VNXG4?Zp zR*!vPWSFL@$mIK0o%@}7@>_MTH|n6w%fiYC8dsNN;bqok;{(q{a51s6aWS&8LT_mH z1*bCb3}qe@H)Apr7bAGDG{|2DH4uLpxFh`K?haW`6X=fcSF$@BLyJ2b!$f!N{({|C z2Qm|cXJGmZ;w7-}7(k`gMR4bMfu<sp^LKUbAL<3))w#YQdr6Xomsx{Fm|2nuyfvDI zi;;sF>Lq6;a47^DgGpiHW-Nev$)FbE9|jMEe_-(>?t$<Rsqxf;=^t=+8R991RiKDz z)ZlK?NN&{NYS4g(uR<NfJrj`J0}J2fNbVsueAi&Q2jUd4s~EO`LbY3iyGNs-TZ5|$ z$vyQD_b7NG!pF#yjltHFjlszi5k6_2Yz)1gYz#|0A@My2x^MW+D@gkFL0dmnfax9= z@R5<Aarex4#sp9BVEGkBhJBifEHW(I?95{PD>c|xX^5@V;F_Vq$|1}o!2-I*gGmsS zs-a_wph06+b{!U0&>RUfXtIHYi<MIxG)W1Hd(a3YXcEqlNtj8NiI<6$lN~f(7sbTR zD8|Ia7|g)H&;aqTfEU8Q@?LBV`d(}dCSC~t26?eDEcRk!IOGNKuME_mf1vko^g!?9 z0HtjZK7i@p5F<};x}FZX6ru!D;jCq5xTC4aq|ao{q|7AGVR%r3`;dmrK@F~58UidS zpa~I>CqUz;P(Olp{<E>NDzmY&f@XxpnK)R5Sa{f2SwZy(2Ma5+C9?nv=vr&g6bv65 zD=TP_T^Ks`0v(djVzOp3Wfx-OVG&^B0F8F>3vj3~%JC?H)|vieWa4I&Vd7$}V_;y| z&<F`118+q51beeFWO=hO<a;B+=b$$mgOCp!gP{*3eBMCE>tOfS*+9?9289g>S77O< z7<n?FwqZPr{W3vIWEU_(Yw23><m&=xmD0$<@I_N`p(NuHN!H66Je&AIb0%CXBwHBg zgQ{T`wh59POcG}`xG!k%fwulJNnF(6x~9Q8Q<9mLiJL`)iH)a2lC@dVL7Gp9O^`=M zz6nxggSs%FB2$Eg6*N;09wr1$+Oslpuq!ZuPB#Jd5S3Y2nZP9u6Du2NB#4y}yatMg zmtUDhfd^E*vv5O$8&-|0a_}>2Fe$MrvVf{{1>~BBhf#^Kg^@{wQGtz{)s&5k6|_Bs zm8l+95+7)W#KQs~#C+HZA2x=|K5PuveGuc2w|&?c-uSR#+~58LI$jJ5U=8S<C7_vJ z=zS-UJ7M%dCz2U5FbM}}_%jB``-ASKOk&t1n#8~$%f`vX#wx(V%EZDd#>mdVAj`zh zqz}4;jDf+R1>!yjUxfQYec2e&eAyVXeG%?!@MUAT>&wPa10CnP16_B)^Ab{Sz}yEp z_Yme7@ehm#n83TBdl*5xpcgQ`V`exdufbx^B+asxkx7#=hn1B{8kDycnLxLBfVL@s z(mfXkXoUnjy8;)Bpd<?qXyX_QE3+&IXx$BHkrF76fY_|;pbhGvvxkJ3g*ZSvl0f$x zs4#Q0ursnSg80y=%wrN}m1GlSVr8;pRp8)flHwI&iRY1qjTSQ~v_Znb!w<24AkmMF zA;XW2A;%994kdnU46S}_4Cwby{WyRc4xre8oQnku3t<B@eGY~NOo0q@3>29(!STSx z0xJJN8yT7T!2(P|Ow!<pX@I)th94wfg7V%|KQ@LBeryb1k=*mkkBvdrpN&BRy1xfT zyP&xzGcOagZY&_)pE1DLA2g@Eg^}S9-xfv&21O>&X^AXM>`cteprc~hnORx2Ss<Yd zy=qaB$%a{*MTwD%!J!=zP6_^CcY&`~tng=JX!K`eXoZM@oXX^o4LL6?14_fv2V|do z7-)Bvb7D>o0~2q6oj+p$Kf@BHXa)-f78WK}Bq+(S0BYX@kRL%NGcYjx@@HdkJIc!7 zc@%Qqum}SKGkX9VgGc}y#{Kl@=XGdkL2m-ngkJ?F?BHh4=)h*r?!eE$pvJ-lJ}+2` zQ4GXUU=m?uV-{mzQ0Rd8yCDFPPo@X3F)R;YW7rn}DNjWh7+9|durd4yU}Inp1cwiX zduq_?*B8d9<F^`GpgmX!$B8#E#)57qi?8QuU=(BEvY*DJG?huDi%EvZY$_AeG$z)m zOlk})_A5ArnfO<7inz$U;xJpw$+U`-btR`71A`t5s0ig@Wnu##vBIjx0p5bo&JSAB z!l(dJpu)<;#>*ta7|*Q0z+lg$#3;fd!)V3`T8^N`pwJ2NcS0b--;Spk7-mdmVVFIY z1-$<N6#h%)SQ*yI;XW4~bl$C=UPfYZh8`>_l;$Pp=jP_;fr>JQ2B<wJknC9+%FMtv zjfH`88p0lJc~%Asc~%Au=zYqtbseyCP$2fC7Gk%Bf#Ctv9*-bIK0htb$`BXC#*iL_ zh{u=mtPCIISsA{{L-w(u?-PRA2ThU;9T@wBz-e4l4-}mYTskwDSf?{7GqC8~5oFve zz%m!K2_2-@p$ihe2aw#8rNGLtYB~$U+UW>?mn*O`bSPkkug)FRaz{f8YA<LD9cVov z)O>|tgny}GK78v1$QKL@4&4xcbkM*aU+@9-5PJ?l?fDRlNRJ#LYz&uHurOR(fe0_r z5H<#_5X|xbXLvzc*$hm=2@&y(32gD~36Ro&3B0kDiG>q%*B`W0FoKl|3=9E15Pvs> zAl!5JAPd9OgDea$4<g(%D};?<a|mYrZUQ~u1(I%2@(0Y@iY%;*65z^P7)o+7YlGSs zicFzQCZPFu1_lO!UWhw5LJ{sz2xVi?4P|36429HRpnPf?%EnL;%Equ_4<y~d@(;r& z2p{4Or2GSQ1(SHdQbvEqfL2C-E(WeMObje%m>3uoSy-8vnLtMgaxsH$F$0lYOl-`c z88H?XQ6?5{NiG&pna<D8#LB_V#=<Yc#L6Ma!6FEvxwyeSf+!|0kpCFD8ACz7g2Zz| zAH+X5LJ{e9+CBz`FQIG<H!~6C{`XKe1`x)TKVgLpYP-o1eDDUB6ax!8qcGUQ2B>`= zVTg6gmoG9fWQVaa<b@%^uP}^_0fa4}>>E^)9SDz+qo;ab|iR=n{yKd<HHt1{N`p z&HM}u3=5$49tfj_Kf%Z5f&F&?YL7-ZE$o5Tsi1ocp!Q5avIk!{k``~E!BOaC8em^B zFfjB((g{NZE#eLJ(h-oA3Q+qBA`tnkJA#d&FM^F>Vgw?cOo?D)0AU4^(h2x{4kk$k zE=dL!Nl+3I0@(?|4p4hvM9?Dsz~@XrG6l#o1_p)%sC^NUv<O$E%W$CfH9+k<fn*=P zaDA`^QeF|4|2*@c)-Wu9+G7xf2uJFrPtW48#G=f^l+0w9eFvcSEr_B;dht#Lok;?+ z>jBgrj%b9xs8?Qs8!;ej7#Jo%@>>B-?145~K>OdI_S}eOV@QD5%<wgujp0u;8^ix- zL_TARVPoKpVPnvM)}yfW@Bv!CVK0wil^TnHH9so@mkR@n3j+fW3kUeXR3Qci9`G%{ zpv+eQbw@%B8$$uq9nCRp3|%p7481W3cT9+3W0)Po#*lCVHC+<p4oC-{fr&-H0puD_ z1{P0*Ys6u$5eM6T0P3C(F~p@OmaVAn;n@b^V-FYbIfh_+9zgAhh^0k(LTWrtgruhf zw6X`9^%bD@XvERTKhPxQ0JUcVt?U8aw+$+vq4xZULzK_dD=(pzfQDJ2_9Vp9BE1D< zrw1gKWPsX1P<u|q(;|L?Gpka;$(rE+)E<KbTKFfpq$o2l9c0r3s68_hi1QC=`4rM| z2HC?f36g&p5@}%%tc%XTpa8WeBM}j9R2l~W4V8h%06_j}fZB5b$sVeV1EAQ#!0-TS zk3|x3;YPTf3bQ~{52YbB84^DWk`Vb6UweaazXHh~<X#0R@Idt@)INb^>iY}Pn_ysY zfZ9_*1A7p?2?mA)s67vm?7<g)q{I_)Z=wNek4Fmi;|a4D!N9NpYTu3&M1I0oeiQCT zAkqc67XeKV2cY&Sq_QzoK*g+5*%%yC*%(|>5$zZER5pf?R5k`5=sB~naRJQs3&I|7 zuL0C-aRD`3d>L4LL2VUI@Hs;4%%Elz3n!yI3z#V|1(FX|q*6a!g53elbOum+1k%_T zI*9OZ4ypcy-D(asy8&un1Co6U(%2Z5r?D}tN<)P2+B7zXU1@9#IneQ6qQe)_IP?L9 zawr2!C~_!Efm(`?P?iEY=>gO|0_kiF;K6xN-HOLOYoPb>k?0=KG!Mu+28XGTcx_0h ze!fBOB_=@ad4XgPzWhSCe~3uOpeAAi)O?Q&MEaywIfL9QTmZFaLk9KpJ*YQ$0BXKK zCc+<-^#c*%gwzXUcmTDhf(G`0dx6s+>FP!%wfcVue?Xh+;Pe{+wZ|cgTKzk8d!QK@ zY#Rf^0;qi(vWSa+!tFwYeTd#01H%ERJrdc}PX|c7H--mLdm3nC4=8IwLT)-FJ-nce zJ@6!<0JSF|hbG|$?^roN?b(roC^xB9o`Eb$fSNCnOZ{|)=(RC0G(hcX$fbUGA$n~L z3=5$4yr6-95WO}Ah67N00`iFS4=MQ*sn^Ev0BX+;8rXyAwJ|U-%z)$@g?vOh=-<u2 zFl{#j!_3_b@cCP2MOFp@Ma=m{*!($UUI=A=3OvyWxe<hcp#f@72a-J;`&cmM<t8e! zGOST#WkA2DI0w345MmF~{1b{T3=9G@A^!P*WDk}6lUACS4C;rvWmcr77#cygdQO1a zmr#HRzor5<hRv5)7`9(R%<uIUurVwuV8ieiYWN}f3&S=h;Q$MN#sC9<E(Qi!(Be-P zR%S&ePVnKw;MI|`O!7<uOuUTBpea9vS&(q}Q9xWeCB9z-^FC@n)&Xi?Mj@iS!q+|` zz8{NZAC~@X0@U6Ig|zc0a=*3#YF|JR?d(JD-!6dKcL2#geBnw?JR<jV4?yiRD5hOJ zV(afdfZDsF7?F?g)px}AcM<6ax!=n$8<IaHN~qoMMc4=KcS2ex3;|I4I!b7lZou|I z`?&>B`+k&AyPu2ZKeYbt0jRwNr3ibe)8ECg7u=jxm;;H&2c^{R&tkO?-mh(d+8a?u zyL^J&zg+;e?*x*4`0@ww{ab`TL5=AHP<sr@5$TlL<qdLw_W{(t1?9BM_n>|+!(2!@ z;iy3Pi#q*YMED{1e-)tiRnX8rP(RoKYR`iTYWIT?{(^UY7#J8PK<x{tq;`K8-9FTQ z@dK#6Cn||cC&ahA5%z)$6li(PFb|SmEUIXiUXc6A3Q+r2(AGXs76<##0cxK_HBJ2o zPdW)u`#NZAAE;{!_Fn_kz8}?y@|oJ@CCIV`P<s+;XqPUL`_l)Y_Fbr<UA!Ups~<q^ zbEu`A|B(CF4D%uRZbL0`{v#)!Bloivp!O-$(at{P{<Z_uz6o`R@T5uq)C`;r7!E+~ zW2i^iM}z(;)HVi&fCZ5FE2t+fJW1`Zn4xw%8495GJ*Y?IbA0U;Qv01C`;fbx6QK45 zG|<d{;O^!Is69JqXAg2Wli>o?K8;3%zwm`48S#eP&1CohwQoiv&EgGPH<LkNAtZeY zG$HZ>zIuVwex{kG9=Mxn0JW#0i8lR9Gw9|nNG@k!Xn@-Hq6ray)NJ3v?1OeM85Th8 zi)cpl^YG0Z6F-j%X^+9|gLN-IK<zt$WFIyAl?eMFt-ydqkaS|vLYsais(tWoCBp`& zy&GC+mM@UIl?)f4_9?U??8BEINbOgeY3hO7oFAa}bhIMUCzZ<=<ZdN{z+y=J{b;3G zJ_mOz4WRZUv?2UO<$MS3Rt7-rIYB#nK;21(0;oL(?X>AnnrZ66`vjn}>j2cg1?{xy zPr~hkb|(dvK+=Uk2XX0w)b^#BrXIKeF@V}r(Lu9xf!uv$2!Pu6f~NL?voa{!7z&{F zMRd~Ae~6?q0czg~n%W2I(Sg0kumNhHMHkJ=MX+TTp!O{2qFH)G?mjYnfZ8X}O|y6d zcOM0oLh@NfH_iNo(rq+=+V_G;f02=|k-Loy0Z{uQdWZ{0GVDX{HZl}I?K{zf2v1t{ z8;y-1>Hh=NK8s$2ebnza!faz;XjleGFDrV93r`aJAI3&#{l*1Q`y~1h`5Rw*gv5R$ z*goWb;{m9B9ep(OAGqK60BX+%+S!BBZ)8{wiMND)gun2GBN_3A(r;9N+IOL!X7Pr# z-{=6f*JA=AKj3R8lh|(rr5A9&F#&4Nh6yz3H-hW|PZL6NIRnE6sC^0(5%EXG_AA^z zSikWC)V>K5Y0__m+Xw46Dy)E{Plibd`>5D&M6wUu>|<zv+E*}%CjCY<`w;!c2T*%o zOrlx7K<PI!tb~MX#AJkh`0@jZ{YH>K!HrD?s67WJBhn|8%NLY>qXX1FgDEu2=iq*0 z0@R)vQxN{5a=rui8yleZFifSHJ>Y)h0;oM1Q)$v~1f>T=X8?4cE7ZOlQ)$v~MA!%I zH#)3>q<4>L#H9-o+j}7Uzy(MG)SeB~Xck{6{l*5UeG1cQX&*Q%gR7hcQ2Qp()ILN~ zIRLefVFoSz2ky#&{r3QBU%?ETm5UI|RzuR`jTtmck0|{{1*m-<Gier%;C`b6)SeA9 zY347Keq#dEK80Dt`HPHvjnZ#yfZ8{KcJ`t48y7(BW0;KyPg?XFO~9$2fk9ynBwZFD z*+>0;qY1+Xs697k6BlnJ_B%{q-Ng$~dmQE<@-x2n2Z{YekUhxVM1~Jg`!>v>mA}B< zL<WJika&`qODlU&yNL!+`#O;9!xxUE#}`UBks$zT-;cSpiZ|45Vgb~?jCqKBhOa$L zV*k(tI<3es0cy{Mc{J%Cn!u(NA3*J~m`|(p0q%yvx`hl3>mccI#e76Rjf(S$Fn__i zg$w~u`y>`1?4x485Mdv<RmZ@v0cu~z0-E#-QSC!?3mF8~L&B9|A+7QOYPZk;YF`18 zefaVLiTy$o=yW1O0Mwov3lZs)y5$K<w~(O#YM;j<TIFwWw~%21)SeBC5dNZKzt9AF z;2^^Ws67&kX=M+%TgY$$YEQ#rn)C}z(54d^6gEK8`-jCe=@-K7gLVrU3ZV8SEFmsk zkl21SflenfOn};RVhOF{3#D7gumNhH#ZnsD=b6WF0cy_zTH1r?4l;az+9$A#hW>)| z(7-KXfsK&#*su&y{!+I*gji+(wdch$TBSpj?jS<|)V_%2v<gRXcaWg~YR`e?wDK29 zcaUKM)INh1#QBT#{EX5aWY_?;ZvoBhL+K7OT!7jquo4lTwCE3-K|6#2o1p0*$v*1$ z2Vu4`Fg$?T_hBV*@ke4m!wl4610N0w&fN?Q44WbTOIU@--}u@gB=!%%_91r=6`=NA zSVc4cfjfu}P<t#^)65=}4q^h-z7<IJ;R{DH;ti#P*Z{RpVhzpW4Yh*^O)m?e_D)!X z$bb0i0}}g*p!5QsM?3(v=f@hF^b<k$fIDzdZ!rjLf#idXwKPjFVEbSlMgypQH`XHh zZ&d6r!u<#9Fg8H#^H_(lkBa?8B>Ny8#s^UQcC4dGe-X_-M2FE~D<mEb*3&FspmZ1$ zp!O|5vJYQ=AhEv)@+Wxmp#f?S#|A|Dq;mO!(qUWxwXb3W&GI?8!*~E{&jTcXQL(=W z3P12X;sdBX9vcziM`e2;9mZ{t^tWLnP5O%<{~+}S7#ISe_9<+lNq-SxAGE``0BYZa zO~j=O65E#``@jXr0jNDcHqk7;P&$kcp!Q{Krlo!0tPH7gwnNg%4Vv1ANGb|Y`#iSL z(tnV?9LR$XQ2TalL6pN(E*HU;B|z;_*h;hXh|+OvfZ8`<E6u_Y+;Ln0wdV)z{DsnS zJOH&XV;gb)A|qd;bQ~W*?Yluc`%pWMJ0SVZV>=?9(4yZ6IaP;&p#f^&4kY`i-*2>F z5ZDRvm%<L>;*G?9hXrgN(Ew^s#|}h(#@GHJv7ZRC2f3Tb5CFCB2hIEi?j|x6K<!D` zNvrTf=_WEvfZBHf$v%AHNP2vsbQ2jiK<#tbMXPv2?IvD;+P7gBBA?+a_ety@TEONJ zKS1q~*iDoEp#^Ln(P0-Pe>Lo;Rr)~b7BVD2?fbAB(NCjdzYyjxShtX21Ju5RJqY`# z*e^ub2X56dFbM31gy)4lH0c+j+K1>CG891V_1H_Re1Os|WS9W8ZwHcn`0@dX{Xz@a zJmLnZJqr5}>65zU2}-w+;R4jY3HxZ3zro!?h7VACejxdaiv2YU*gT@Z9!Ppj*pCQ5 z>e>V878*e9IkBH6{Xz@$dBg^&eHI63(l3PD2kjOzT!7lQ;s9~!g2eWt1#BMi1JoXY zgS3h-lx`t|z+OoDXrQHio_P!gP<vj`(jG*2kRbqSU&J9Ah9kI#2I>tmFcd)TJ8=k6 z{!+I*gjhBKYLCHTTBSpj?jXYksC^3#(<&Un-9d&6P<uFz(8^yZ-9d&AQ2Q#55a%z_ z^D|0!kU?M{B%i&YnSH3;K?A6L5l4yhAK`X*W?o5ZQCebhD(H+=ShtBG0BX;Hqlk2m zuYM-nZ$h^RrQ5_%0JYEH7>)b|={7M;fZ8*IR`#HFn;14g?c+F(@E5*tBssoNyG;xi zp!QW9r%}A2cAFSJK<#^RoVff=xZi}9PQbHj3=9JMA^9xe1hx82X!d}+GYkv~P<wWq zK*Sei?J8{cz-H4J7#g7VX`Dp#V<_u4VY3h3ZDP0pwQmNJeU$Z^u-gaiHZd3+fW#Ze zDQfkbaNCE}ZDN=JwYT9Ejq(9%w~1i`)V>c$_EFYOK=UVb2>t@po`}<k^hwq71hw15 z@BwPyiPJR7-;iz-gTO&ZIx#qd@E29{9e6g4fx!T3&kS1G1L-y~1VHU!I7?i(5pIW| zr3YvRD}dUQah68mhT5%Qm;kl!1`X{4CG`zZdmPTu&R?LG_XVguE6yRx399B>kR_mf zlTdpE&eJG8pf>Lr1P(#+Q^R>0g&(AO&tL$x=LN0&1#R93K<x>*K%9R_&QH*0eF4;- z9kj9swK>l)0cxMdMMS!Ioydf7&;0I-Yz!wZV%}4ZdXEA49*E+SqSE9Ng=z)ZU80#K zl?4h~FkTVpDhF%0BtAKiaSElGc_qe1)(Y_o(I&AVjs-$<T4|m_w4nhA#Fpk2C#I$9 z1>}PZDur6eZ3&7jpi9x&85m@l)R;_|*&)4Z1_pt{ko2i=3E^*p87vIeGguhxXCUrx z*m#MJ;n*c2?r#`WzGh)zW!6HyBSHglM+7Jg7Qp;}nYi>!xL;6EQiRfj1KGmBZ~$sg z$7MwMhOfOqxF3hG2e}9L0BX+%>iY-Mb2|b_F9BDm9$qLtHU+3XJCN+b7hWX93rbJT z0cwxJRjS7eS`Q794icdDO}L84Z}{pt!u>ROIso_38ldKXxJs@58Qgqm&kW*^3s8F^ zu2DT5fbD_x%sxQvIdF|y{W4Vlz<Oj3M<MB2<2u3~%KBsI_COkn3!wH)xK6Ep7&d#{ zO7mbhtRI8;i{S><(>F@bO95(629iDa(lz1vD!9Ku%}@phsQD*uAkrI^$^(?1Rsz%> zgPT;(pWq%=1JwKpHxd4ztbYX$CveYd0o42t)Hfg8qdEXJKj0R%=Aq#3N19z=U=TPC zNw+(0QEUDQ%^v8)Qv%c;h1<l%H{tdy+#Ya-Z-AQLaGUD!jnX4r0JY}@P3!?@L2$u; z0BTRb9a{JYkpvz<?b$&Sd!U^`kdID4(wV|tMEOXiatmyU0@VD5yHrnKC_POFs68+4 zQaxV4J<J5C`5yPE?hk0s5)>H>3=L3wHryl5A0*^QXwMR2&jP4D68EWY4@%GS0Mwoa zBJCmEjxEl~M4KZ5`RD=Eo)`BK=@?)ANVtE2Y!7k|17sTm1H(y3zKM81T=<dXFGx=V zY>@)go&&V72dT&50JTTsA;LfS!jB~XAoVyBp!Q67NP~F7(&K1=+Q;ySxO_{vzk!r4 zz&#FdG%+lI+LQ5!TKx_rd%!&ch&>;m_FQ;`h$qV0Pw4hQdL9C&AnDuUF`_>}*?bVX zJ+Ph!#9s+e`&J;?M_K;^(>`#61Y#Y-0jPZvPpH)o!EPU{C!%l~5}qATXpruadLj-` zdp;o9Ls@-}<S)-Wh6Jej0Z$R>k80%vQct7-YR`_RG|0E$o(Lo%EP&dh@C@M}s^uqe zj|5`R0jND4w6F)<GlAIi0BX;NXVmJSAjLnTdCFjL29lm5o>QxTf?*G|hXV0e1Ju3~ z&xuP9gxf_(_8}Dv3!wHGyr4mPK<cp^fZ8*IM)tsZEDxaeFubIVf8a^tEF?W-(8wNe zXM%x&K>=#dg_nqOlxpQ0%n}9$1_!7;7O!ZK-jI4O2~c|$yrMz;fO{^``~$Uz<24QZ zgVcjr0JW#!HF5qSDW4+sU=Bd-xj_qika{o=p!PVtL4@1ty$lSS_A)SR-HW&%J?sq| zL-HHUd9givL%|UMn(Wfk15IS<MH`vN7MB!d=B0yXqlB1PnV6Xk7{OCh)=d1+si}Z- zko>d*$==foSs1P_WMR0y5HT-x<_#Oe>o;r+AGSg4F<1+szkG(!5PMS#QEXLYVP)cE z=44|9+081z!O6tJ#LUFa#3F3M$i={*$dt(>2f9R@fq_BbJj5LiZxQ~-w(l!miIpKo ziKzSGF=oh;6q54ua}cw66$;>~JJ4D{h3Z<+3?2i+1gJYsAh|<SnHA%ndIe=x21{jD zhA%rH{&#?eht3NKA08fLxq^W~-~uE(0^T9QBl;a1L+U#=hOBpN3{2qIW?-&-$HuVg z9UDW*8;HFEQ2NhJ2){VLs6;Oq)Rkpm65qi1m~lNLH^VZfRwf1+MJ8J&O(q>CJ0>np zP;9UWFtIXnFfy^Rva)ipvhy<uaImr?_$(Z(>^dB*>|h!aeJV^`O!7=3Osq_tOokvV z$OUm01B1dvi2DWJBg#+Z_iPN>@7Wj(-Xq-a{ho~>?mZhr$YzLpC7|KxvK_()yFW9p zIJKxGGe0jlzo>+PNxa|=V?H;-3Z{RI3?_<9QY<oz;!Ir3TuefYf=pUW{LEZTJfLKy z$i&Gc%Baue#Hhj~#>&M6@+8wqMrII8;S$7s8{V@qXh0pk?>!sCx%X@gmyz7}?L8X< z{|8L>wH!inUvXwyiA#QY9s`s31jgNr{fvwg7*qNgK~6lx#NeUG#>&VfrXkGB#K*?Z z=E=&&!Nm^>VO9<cHhxxqUa-4Bf$z)0%IwI@#UjDR%4!F8CW9i=3PxrwmS_l%fuZ0s z#N8Pm5aC+(fsLWz0~<r@2Sm6o`M}1o6N|g=>_&2TMrvXKG)NaP{$ZRCcJVwWh8#sU zAvPr@bw*AmE)G^sb}m*XB_>m5P9|1Heo?S%ZJAgZ-I!PzWm#C6tsrhwWO@k+T5xDi zxB_vfz(+**Dt}~S(ErHBVDb^+PPdP244EI<7!<ZZ+yyIF7<NMV;P}r?P038m^G^%O zC`wIbU=j}~^=Ay&$mq|-u!89X6T>t{MHXWYOC}8#eI{LAT~@FQL5|g9Vr5ih=3)V{ zm6=!>wOCl0HJDf#<sr#8iJ6Nffk}l?mywI%!BvQR4<Nbs{6{v1YaiJdZXvn%*+({p z&mS?<!xm_{2zGCAd166OVqSVG1Cww-oIeM{3Z_biZH)3v>`VrXGLRxzh>4Zagqe#8 zTpoKv7z_*y3D+R*@c6{WU;qwD28Pg2Yz%3i*ch@uA;L}hGaEy}XUuTpxrh{QDft1J zW%-~S)4;TW<v$~115+Qze@0NSJ>X$D#Hh$5!z9Y5EW#<wCBnlZ$IQ&a%*M(j#UjnZ z#G%8)DafJ1YQh@MFT>Bo#>gDSs>#bE$s)zV#39SV!ORYlmt&RXk<n#Rku;NHmE>Z! zm1C9VvXo(DVPa)74dQaQ65$r+*5VRnWwqd86=3CO*Wr+6m1EUq<uqgA5Mvc(;%8Q4 zRb}PYW8!3DRkc@OHDL{AWwry`%F6B_FUKg(B+lf>WW~(P!pp)d!XeHI$t<=^9E`IV znK&5NGO}<myRmREd$MpagG4i#I2g@YIGDqkI2a??I9R>dI9N@XI2hfSI2c`-I2bKh zIG9~HIM^*YIM@Rq$>0$)lPhB%2Z+PKaNrIk{7-yFq}%JC*%)4YW@C8!84>=PU)UHz zzF>yG&IP3KFD%VW&W2~OGfeXt85b}vgXXiTEDRr*6qyW|n7P=vrI`4*Bp7*_xY(pw zSvk~&xx`pGS^3l@xnx+yC78HmxY+o?S&^Sfg-MG|$rzNxS)`dH7>yW1*jQQ3I9S;= zm{=I)m;@L(nUokc*;rX+m{=G^U|Dk^6O$5SD<hKxq!?sicyJHm&kbJ??WbK|*cc9e zVPiP<1>w(2U)UHPV(}+R{)Bi@xF8=~D)%xnY+{yWk^@yNpu8vxid{x^7FK2*P#DWH znKBuIif3@@F1QbIhs9TfJ1%@-U~v1&#^Ci8;SP`(2*c_JbQ+xRauX|DQVU8l7*;UJ zG8|#%VPa-vW#a_fGXZMPg0F~jbM8(ChOJ-O7<MAr0}@-jlYs$!+!1CU*d9nb6kMz7 zf%?QNn7A3PuyBJcS^%|&;~OIX%6(&F(EP^6p!W^oALDOq3@+a=+i^YTk<t&UJ;lWg zOu`9y@r()F@t`a`osr=h%XCIi<4%}~i%Fb`g^8109}>jEOtFw4c6a~@-x=Q!>3Q8Z zHin(w*ckR9x##dVHikP`+_OeJ4CE~a41WigCl)X;i5rybGa9JsgWR)^k>Li*K1NWx z4&)vdCLtz1CKg^^0akuJ7Cw->6q$sXj97WuSXuSh_#p0McnEQy#dk#fyL@M3@cz!m z;Qt*FUXkC~7&5+N<~P)ISdy5O11fYKJncb+ZVJOGR#_%9CN5B87m^G`SXh}QAtkU9 zlN?AK>^+78s5=gPN3^%jd}m|0_MMI4Hj+EIf3PvA{lF~$Pe9w>;BYF2x<h;d<8~%U zJK!c0!zES?Hg+Z@7EV?!E+rN*7FH%j7CvS!MpkB47FI4!US1|aCMF(PPA*n10Ul*g z4rdZ)Vr4X7Vr7(MkzzJrab%Wb5o8CoUKkXamN0?y_Jv1~@T>TNh~Ks!Yz#|(uraLq zfe1ghpKJ`3KQY7a&n=|%R$Q6un_2{_w&W);{buZEVw}Kq141=2fq7RM`<Xy-eU+VI z1)DyH0*?R-2eUAX1S1cVFcSwWD;pCl7Z($k4x=!e0FQ(@vkNO&UY3!EiI16!i;0;N zq=rw2k)KU~jh%^wg_DVmotcT1kyV73g-L{ihl7`ugH@E3nOTZMk{@iY7+fDK4+j$; z8yA}tlRm31ix4v_t1b%%8^~5xR#s+KW@b@l7B+U)LM{ddeI`%^;Kjnhtj#RI;?Bgu z=*_~xtj;XJQq97_oX;%4!o$YFs?Nc|Zo|dF=?6-j`b>8inFUx*f{0Hbf`>_&aTy~> zih+S)!!t-YKlq6V=Qlsu7{2~wWBBzG5zZ37*ch~bVTLnGyQ?U_B(Vh4kZEB2##j$( zCeC1DxWcZ;B*4VM!o<SLEX>5jq|3z5V!|ZEq07W23r-B6HkUaQE2AR|E3+oZS&B^i z8JYAT`RKxPi2E8qc0-ah!;D{S3=4j-F)aOsaNn_CYz+5*u`z5xYj2kzr(cxzc6=IW zkqeV}!ePdEZiZD%PZ=4+6q!U>q!@)5Sy@<_S(yYG1(`IMz{!}I718uoWMXEPWYS|4 zV&PyGW8#6-Jc>*kkXnfh3<q97+->n25w709*%%^!voXZ|M!382HygvO-<a-3=_kP2 z;V>sRFfL)NXKY}MtOq%K0TY7;q$w^7ZHn6>HN|xiO>rqE4#p@Z4n|&PE*4!T4n}Ek zPGeAHnh0u&2Y`7GUP9dc;Wwf_d8^0F!2E}ef#VOt-RghX7+n5fx;qECod9cxL)^WJ zX)+UopCX$O6SN7=!ph3d#>ylPYl1`CKqgGAjG%RwUQCca8Vd(2JG?1=4<5*`A?}>< z2NAv-{;)CZ`NPI=5XqgF{;)B8{e#)RM+sk)b~wbbOyUml_KXfqjP_g%tC*UZ7_t<Z zG}!c*WSLZ$<T;f<i4#=nb1*6}aWHZ+bFm0BaWIN9aWKj-aWINAaWJw&QnC*-7fT2e z52F%@3mOl2195l2Uqn5c^p}mH_%9nn#a~4D8vSEqnD`IV-8%P>$~9;^80O*zrr*rq z#&9b;s4={XX%RQWBuHbJ$Bc!CNsg77jhT%J(hz22Vc}#JV&dfIkYQC}wdWB7H-=qU zW!YH3jbS!<ken2&B##6O6DOk(lZvQ@7^^53vp%RvtPXArv#8r~8SC(I^KwgZakH{& zae;b&><S#>tWvCstn8djyr4|N!^+LX$t=by%F3+(YBI^1NU*A~TC*}6fNf@FH{wNY z5Q{Ria)V0-LnaPJ78VZXxr}Vwtdl{685=jNJ{vcy0UI}K2pc!64I4MBEgLth4;weD zAsaWVEE6{iGni$<#?30n#=*+M!NG39#lgwK#KEWwrJ)VvgUn2ZjIH2^VmR;-5-%_Q zA=1;Ie{2lA|JfLX{v+Zg;6EF~#Q&J_k|BfCo+yO1k6|&hfDzhAo&jzouVQLvVVH#3 zNM@2|WMxt3=MrLNW#v*A<&t0(mSEzN;9}zEVr6ILVCCdzQe={6Q_=>74ht)j7!xa# zFcUMYHX{q8HxDZ}3m+@5E{GOj<p(#LSp-0xTP6_>DJEuK89okP7L3MoIil?hDqb&q zhJ?e1|A=-A2Ln4p)dm)Z+6{<tGeHJ+1_=gsjP@iCa(_`n3#p~2sRwFOGVu!N@G}aC zGBC)oa51WbC_|9F3SS`hHZZU=D1ZbQ7#L<Uurn-YU}xCIz|O$Tz`!8Fz`%Nxft}$7 z13SZg2FMb0^!9Aebx8hz8o^K@fD|tn?g2GD#S@ws;~5hK;<*yU7`W``G5O48;-AOF zHJ3?^fyMq3i_b+C{!1)e7g^L881z`Un3$P_7-d*km^e927!^Q5HOvYO4E9VujQmVo zjA~GeKS2Exzz7N_h+rloJ3~1mJ3}KQ!av=N><r5o*%`Ki+HMS(?ejg75cfk&U|{IM z;~!YlwVzR+(LhU|%Rr2Q%XuDC2P4=k&R1AE7%xM7!3XvQCkwbG%g-ysDyYXI4Dn3> zv>hu9@|81F6eAat3Zojshp&+M;b3BC5P&*HB$Sasi;0~<kBJ>VZkJQU$}mq9a~u<v zF2Ey`d5JmtX}KlfHl>CZsF#(R;+t3iNg->P_!-Rjp;j<3e1q8Az=TK_i<#INHZrj@ zY(uhl7ZW?fbtcU8Xz>k_9thbBP6-W+E#P{UyPk_-4bw(O28)fLW<Lun6EmpE$|S_Z z!UP)gWntoCVig5<NfcSy7(tc60jN7Qm=W>q&CJda!OYGO%Zv!0T4r{J-OTI^7og*- z1<-M;KfaK7#_vvO3_EOMvS)PY2Gvb#nC>$(SSd0sVq~gi;$k#lWM*V$5@lj$lmIn8 znRpo?9TXN$CMhOXCKe_RCTY;1H4`Tj2O}#Ji@2B+tE3p4m>5Wo1zezj2D3Reg>+c8 zdl*@yLDiNbO9m4syBG&6yBJ#ts}LJ2YY!uvG|1Ns3=4ih;zxl65kF=u><m6E><ob{ z2!D66ursi-vNOnhfyBoXDE&bdhrdCof`Li=1LG>z_l%4m7@ff+&wEBt)XZT6)yIBp zkxZIQ=1ia=MiC}|CTT_?rX)sDE>T7~CV3W7CUI7A7G`E9L1qCiCT2z^VKE*aesIYN zsa0fHc$kHtHH;z)JF_q-#8}vw!6C=O!3?T-#F_X&gN2MDOstH2pq>pA7dQ}EnOGQE zSvXi(`GwdZGF*II;@)iRjGSUZl7dnkl0s6fOzey-qFhX@j6%$MEZ_zJIG!|_<e0>n zIvAOFnOOOS1a$blxs*5sg*XI-L_l#QB(5hR#>gWK8FD`G8xp=VSlJn1XS=bnu`^s? zV`uos4k<?%7#LWea<MZ^<Yi~rzy~Q8H^@W6bp|wD)rdg&3uc9bvlfdLgvLm3$@#ej ziAAXl7!qkY`H3Zvl}K1*GmHHa{TN_-lfn{nN>lyQ;QZ9$<ivv1Vg@Gp2h1xO?=v$# zV7?Baq`@2rxq}&r0}-mn5IF}?)Cr;7!19k+z!XHwTL|X}#QapS%rgiFq5wjQgC(9a zfhma4KTK6!m_psm_nARy@HHc+02dc02bU5j3nLp72&;f;CMIsKXigSJCMF&(CQdIf z%?jpobD`2aT&x)K++1fFaT>_Y#RNA9WH1++fyfrX*x1y#aq)reP-J9c65t90n+bAk z5Y(|Sc`ZgZCP}WnAO|rq339P=`f;&vLs)KH$5=T18A0U;GZPzEDH3}L6Q>LpH>V8O z1y0TmCazvah8c|dOf^hQOfrm0M+6x63TXRrdGmGfv0UV4yTZ+Km7DDbH#a+DE1yUg zLX7DKH+L%^D+?2o42ulAOan-U=@vInFCWu9J~v*Lo7_xyxOpb>u}<M*xy{XVkDGfc zAL|T0mb=_c54gE!^0Brdbg<&qF^dnR)+YfpgU122%bxFu49iq0wi!|^v!&SPNpZ6? zUX>BKg%D$!C&hhG2JW^)GAwhYm=;KJpOj%b1X9JcNQ(Qc4C_4^H(r*7QcO#vxGu`D zUXfv0EXA};iu<Yz>kS!}rBX~Qq_}U&u%1BZW<4hZ(sx0Iu&#SDZrf$}F7dD&=3+a> zCBVwq&C4@^m*p@Q(=jfAW^NWq?#+x6=NMT;Ikz)1?P28I&B%0sk$XQQ+Z7&`qg+fU zxOlGeFxhj=;&o$%^I324xLxPryTHYAkb~_Aha@XwFE`I5ZYZynlSPtyEhFm*Mixo# z<%|-CK!&eoWZJ;UyPlD03nTYtMmA=NV<6FujI7%jdABmM?qcNL$;fkoi{&r}(=iUg z%UrC^9CNtcW^=P#VuA|X;BuSI%{7mahsm4iF(Z=%n~X76Da#s0Hl`@Hge!`i0*npp zoJ`Vx*#zb)aWHW<adCd<<d~qx+^xsL&d9{5Q%Zyy8zxpJ36|504-{Gdv57y`;C=yR zurf=SN3o@{aKVxpr-V3{IaeCOG5Iwtx-3?ZG-#B-!V6QliOcC9qthLJr^|d!_j#Hb zPlIYoeHKX7qR7I^3>v`!bw0$I1Q}(RKrB{9&?vqJ3kNd?GZRY`8wV>J6FVa(4==wa z3mY2;D?b|tD<256a4>TsWLP+u`9XR>7*t&`aWG0itEV}jxdLPZx!E{aIS^XeI9Pc> z27)k1I|~Oh9}5RFFO){8lmHC}FmW)ZFmW*QA@qa#ws4*V6Az;b6A$ABMkZcHLAWp* z6AvR7oTmrva>;{S$i~4c!^Fxc%Erpd!NI}K!pFg@#=^?O3iX8$H!E{0h|R{q%EiIK z&dSHZs}AC`a4^e&)N!(~@-wjtX^4xk2?!bRbHKwCQ&t<KkBNhkUyzj%+-(GR!;$Rf z;9v)J%R${hGbSb>c5y)#CJ+r8l0xEv0)hqIJxm;oiXi{6a4@SeGqEgTMDwI3IJ$9r zG7#!GNw7K&R*(gXEWA7%+<eR+NAWRnFcv`7$UrU9WM*Os;o;$7=VsyNB9j(l;b7)w z;pJiH=3@p85c4sy3b6~aFmi*_Dw7qH3zH0E8lwoC0iysr6GsRW8>2S6CKnr{AQKy- z00=X)u?aHDvV+p6AS)Z20xJ)jC1WWgABrAcCN@T0us+Zj0!*I*D;pa>D;t{t2!qUE z<74DvQ)WzKVq-Lhm<Sg&V7$i2#Kve178U~wi!e4Za!4`xF!6BPu$VB4FkWF~77-HQ zH4xxnYGM=>kq}_iX5`^FWszW(WD^&VVp3!hV&W0E;o}w+6yp};W)@`OW|3enW;10} zWM|^YVU%O+XXN2!gc``q!=@&o$fU?*$|NRV!OX*E%c#Q6#Bqm7iAS*<G`sVfk%<vB z<<7#vti&eI#K<JVD9s+tA;iwa5y8&NAqc^2LaeN85v;6if~>4;+^lCAd7w&pqxl4R znfL^GS^2_vS;4d*FDs8AH!BY}H!F_-H-ru1yK(agNii`p@i2<9u`qIrOUSS=bBZ%b z@QO1@aEr5v2njQZaWJ|u3JJ0bxe4;gDTCE2gVZXsYOyeKt4rvxFmtLiY4EBuX>hB{ z>9KHfDzmEaDzmC^EAuFb%d;wRFuKXJ$O+4`%DKrNV=(~r=>%8=nK&6aSeTjFj7zQ1 zL~NHZS+O#STXP$*GKm{r;8aj#ROD1-RAh!?)?P+%Gm;@dz!S`{5MXC;0J9hvLIl_u z@&wo!P6;5|rxOL)89oWJGpGwe+NT=O{ts+@iO&^CzW{0k1It`UdljP@nwOaaYlJe1 zJ2W!dGdk$oGdethG43+igIZ^boQzzooC1t&OnQuLOafdIoFZH@oZR5{4HIVsBNGz~ zBL|ZN7YC;p9(g{ndR9(Qy9lH{nv09!8<XN4UdEfetXCO%Hu1Au=V80W!^gzM%DA3i z5XR?XVmr$#agCRaiGzjhAukJ)!~<SdRwizi>pV=Kcz9m&GQHz<ljaj*dCJ3dg@@%V z562B2E|A){yd1Z9(M-C|EAaqg(rb`OuaHgp%**rx&7^xgtgNgso4)e0{^E5&vFR00 z^l!*WYaJ5@qY@K4BO41Vq`qO}<<w-+0?jaEl~G{g0;}Z#mG*4BoXRW;Y@m9Ig_R49 z1{W}jOeRb^OcIQ2OoohXOxo<4YzmBAOim~wpa!NOlPtS3ivmkDDBCGAF*35U@GvT| zFfs`;O0i0?iLlDBNwPArr7=q|a&Un~IVHG6IAypbIhnZ9*ku@5nG#s!SmfA2%O4g9 zL(=00XgUL>r(;6w43~u18LkT<`lGLe*crry*%@H-pD-GB4g);h<rk+G73CM{W#(mq z790c|Wb$VWi1z1VSjV)FnL#F=$&Sg6$%-kIQIJuDQG`*NfkBalol%HMkX3|@ol%^H zhaH_3fQpww7Vc;<i?ATD0E-qgNFqTL;*Sbpc90jqxI>toVTLd}!(3s6KaL2qGcby< zGr;l@!yZUJTJsu07grW1C+6hnCFd7ZrskC~Fo_>voXxbKk?{ay)_z7Vh7C;1nHjtk znPi!inRuAEnOQ-dU=Bk*M^SAiei2S?Cr)N2R%Ss7Hc3#=88n2zD#E7Bqz3BSvamC= zGAnYaGO_RrvheZoaPu&6u?w@YGqMP<^Mlq!h%j+63NQ<@uz~6uMJ7&W5f)`8MJ6*w z16DycK1O~fVOC)daYkcSs1nflxH!b$9U|=D^-Cd)3=Dfk*cqORurvG<L6j$YqU;Rm zqU;P+qLA>hfv&58g+mN<-Ul3TpmneAkn#i;9`30npx`L3%uCkO(DKhqPSw<N$!CD_ zgTj4FOHwN|^@4ozld~C^#2+x8V!F@B_<&IfiR1^foxr5leQ;o!`tt<{xl4JRWO7&b zQ1F)tVD@JX5MY?VXu`y($fqL6!DuOEW5(jZ#Kxw^#KpwPWXGn=ugorBu56&7C?mrx z&1wb>X-g({MlnzbgE1?!APYM)3zRL+!ph9Yq`;)lT*t!BYy*{%0EaTmQbwjvjEu@m z3XCdDY@jS<%EZSg#3IFfl8Hr`S%FE05i~Ce8^d#ugoO74QN;Mo7g2VG|Dx;+EMkas zCL_kqpe@GE09y})v7QN<&Vo}*{POdXav;H05SY(c5R}i&u!-pyBf}L&MJ9bFRwfZX zA$ATHRu%;&E^f#YJ<#elMo$(lW`3|Am`s>N810!vn7J4Pq#*8V5JQZs^@_1G%o1Z~ zn2+SXRbuQ62gTSKWVS-mJ<NSmjzjpcc+V`!Ph?;cZ(uA2O`xgOb2DsV+QY~opvc6< zl)$3S4o>A_OhQcTjGzR{#i+!_$|?eJRTz^9qcGT23=9{b?vfBkxJyl(oxx0;oxxfh z5pGf9><pRWnC^m=Gcb3RLLIh&aW~_7M#c?{66+bc7`8BdWn_?3Wa4GvVd7w7VP@lE zX6IsLW|HLKV+vs8V&{Xnw}Oe4Q3kdSMUiP9sIdZ04Gatyq#@yVKpZiCbVr<>;k7tB z!v`dH@=CBX7)h`*oH-2%uQR70v<__D1~h)1Doav>LCY@Pit=+Iqx+zRi&6QE1!?(= z1uFSm4BMF6nHUljnZ%eln2gz!nM4>_nYfq~nL%?ET#QVRx`&lXk`a`oSy|Yb`I*>3 zs{vTJSQXh=nONBQVRNl=OoB{G%r<OX%=}D3ph<I(cOg0Yfegf7Gb9k<zea+cVZQ`B z!x0HY_+OJ?XZR?A8UA-3L;ME|f7ha-ynH=ZP*D#~9&^A0!NQ<K!dlP8u!HG3Bf}#` zMJ6fGKrlxvs|Xu($(AA$I}-~N7b_<RIL)w&@UU`&7Tz%^GSxF_FtUP@4g&+j0a=K9 z9VFQqI3QkNU}s}u2$N)Ih?0bqVGIlm%&>Y0orbwHI5RyjF-H$VG3;PsWVprzYKmQe zn!iF45gz*_*%>ZMvNK$hM1;o+Np=QiDZ=3au|N+V!wrnH&_m=ZBf~8wMJ5UK5CJU* z#1SI3@DSM`2MLb?DMY(*l@vR}0V#Hd2U3V~US67=Aw-&;p->tUMlsOz>2nDZe>`^} zw7^8jc;*bWaz4KxH7~J*0Tz6q<Qq^@gp_z;GO3^h;967!9&`T82p(;&1d}qLG3Q-O zn#`swrHmX*28?`6e4sWXBO8+wGdl|xGdBwtL!BZE2bUHTHzy|}7e@#SCo}6RMm9dC zjf{LON?REDHZ$@tGp%A|TgfP}j*)FGqW}vRD-*jKAG;uz5I1<~DGLXq7!yAe9}_nd z7rPV_E2Aef6AL?sIJ-25Fgq^?Cp#~PD60sg5Em;e6B8FJw1vgPWW~hA6vD{K$j!>c zCdMYkn!qN-Dgzpu*JLtfEM?(fHelgn=3`-F7GPmxc4A^@<YEHpO;CV@{|9My2FM6A z0|T=RJA;%AJA<_hBK&J)*crCUuroZ6frP&cbUv!)6(rni9zke@MUe16fENBmsfj5$ znR(fXpvF@JQxg+pf|?ObNrI-SAtBqsh!(Vd%$zK&;Gmrc>U@i8F>#A=GIDVVas`1x zw~mdEsTCBs9gKYKpunwTs}*QsWNQQmEhjrGx0)a)A1g067pO!B2QDj<GLtkD2a`Og ziNk5i%)}+fD$OR$%FD(H#;k1YOgx|<W@BaKWCjHigCY|sfI*sAnOvDU*|_<c1jLx6 z7(G~7+1Ocm*m#(vxcRuaK}8xUxLZK0Hj%^IkBO6!6&&6MN|5kQkVWLrJXv;zYFT!M zepy6#Z<l3fxFXBW@K_ca-q7{pu=)&Ezd?%-h6W+jc8zmRelcVPP7J8*@~r1#*u~_< z$ibw<D9XeHO|}XQZ&(zWRG3(IGqLe8ZD8VK5n0P5x{8TuI}_UuCV|aNY+IN_7@0t+ zNRde&ln$AA7$una7<rjE!I+7O1tH1DB*N$kD&{~dHk6n|8JR#M2TW|B`9uZ=Wr)8c z<k;cse$(XG8A|2Y89L<<{@yCb&TvMKo#D0|#NQlSAn6L1zb~NqJ1se{BnP}sy&Swu z-LIb!<nshZ4kmS^46DF!giVpj6tui&A`2TIQx^*#i)1?sUk3{hGt)#Cwn;1ky)0~f zEFz3dpr(@|lPfb5n*^f-lQ7udyr2;Q&_Y00W+oP4CJ{#P3abPr4n}n*K1Mzye}91b zJ3t=c?*w^vhBA3}hH3H$f8UU2XW&#|XHZjs_<Iht9}Fws&Oqzm3lkvu%L6Tc`DUkN z7J)O@2F5**mO}=({U8QvKkQ;sV>V*(VdP-aK#G1daMt2r;bQ3FQe<Im=2U0mZUGlu zCQPhP+1dD*Zn5*RNL^<ay~57)ke%%jyTDy`wtMX0LW@=EKL_U@4%Xis96va~d5e`% zn2DcBl97uMw5(4Vlw8>OS*6+dz`2T-O_Yg)9kfe_lNr1g8MJ%BoQaFcgprkzjg^Uw zk4=izpG}HY43whOn2Z>GSU8w9SVWnbpoNwhI1_>OGB5<FLekR;1w?yhs{%X2Q3ZB} zn+k|<<y2&6&`@M&uvLVF>y&+v^t9s$gofoK18BX$BaE7lK;;$#llTJ0en#->=BWAL z^i;&i!K90no^%-2a4WL#ahZb3q*hKgKBj*hd@S<6Ir#o?@GvvAa<a8?3N&)EHF1hC za<a36_oFcJG08CMGBdGBGfFcFL$jm+XeI^}0*Xw0Osq@>j3TT|Y{D#3%uyi0BGBR$ zq!gyZke~($hYgCD;jmAU^l%7(hJylHIFuJ<mZUPA<A-~4IWHR@(*j;T7WuineDipD zn3<OIvaR41Sj@||gct5fh5~hn`vQ~@{fc-cc7_}!c7_Heg!@(~u`?W1VrRIb1c@i~ z{sk<aVCCHpw0xginwJY+u-m|xjwtX#F$%m50^q*Ke~|0H@ba;UeB>2<$IJAam+cR) zz;|A@AH47a&kB@+aTRzVNqB)53LYjwDewX`ApZ7HM)*5SnVlh3nVq3h8R74R%IpkB zl-U`sD?|MK<1i#$!qO+Kf3N|X@28;o+pV|+oa-kr7J|z=Pe^$ekKyqtLW)e5OstRj z+4z`l@bj^VT;&(N#Lsk}pX~v^z-@lEJNz(@v#=sEFbfZ}1PdQCFAFCaGqJFMi$fMx zCKGT=gO5do*%Op9<1vE(990IIkZ=f4LA3AURM;8vRM;7sRS@B@S%sb9k_tP+a}`K9 z_#8(K2Uz@nfQG{gv~UQ3X4DIes~FESGG1VeIuFjMUFhuz9fohhiY$U$UZ9+MUXYEC z>4YF3i_%d+zGH$s%uMG6*)9kQoEBs|BPfE%se(*0j84o<Y;xFhsvr|9lPfr<iotSf z7idPt041w}!y`cp5*`Vvi249l59X>O!h_U$a1UB|1gEBEGwc$9)^Y7ZY<x@&LVPTe ze+Bvef&AGn#MU7s&@9B(A_T4Dz|La`(1y5=K@Cx!2&l0$sHw3txTzuB*P+JFa8!+* z;e{F`z6zk@Ocie-@m2#}pZH)Qq`oRZtFO{P=@y)FKQO+4w6Zh6t!zm|D;reEqSjZS zRyL@<x+bp3!f{qii;4Rjs4>V9#l*T^l#P#Ri6|e7)Iw3wd7@0KMcLMf3M?08TOkUq zuTF}w9~0v`D#me03|wDvFe<RHLK@nfps6TOV;eN=1#akY@pDRZ@p1BUadPr<i866; z3$d^=F)?wmgX<qidytEXm5GDNmx+~$O^`{5k4cKrpGk^WkcR`bx&+h?EW)V4K+SHj z2@iB2;hdq4Xm^*Yvoo}+vop+6M}+e+b#{ik>g)_})FI)#23l|7Y7ad@O9#1-Qt<%e zYDVy2pC4$jZx>THdbUttXp>T8iUDPc%i?T&OlQRTSd>nR^PLjsVP?84&UQsy;Ji57 z1#xI%QDiDW%MRdb8!XHMY8itYMBV6_0JKgH;%^TPh<`w-E>we^Awh$kAwvVvKP}W? zXK2#E9G8WyAA@z*Aj7f34zc!(4l?$jaZEoRLv9HMGrt~w!)|^F(C!gdCK*-%7FH$} zRx{90t1Ocuvj7VxGnlhL58|E!8i@3ML4%#)vIaZDb%Yxk6Y?PG7-nxUWE_NnsgPkG zQz3&IXgL@NvonDpD5<b9F)?v6aWXN3mx?j6fMhwDSi!w?1_lLvh`kn?><j^*Kww~C z@YG~y@B#5P;bFr#0lU3s3{2S!`<SvBqCmzXV<slN7EgfMyFn9C{zU9%W;mtE&TtON z-U2jxixSJBUiQm}jE?MMGGmwl_8TkM&+JSfUxS<i9*2P1W1xlT=lt?#V{kjl%HVmF z6}(PRgn@yXJ%EitB!G><Wf!E}fQ{S2>OEL^YiNN-W;CHgGYkO+kno$Jg&0R!sm0Fl zCz_4ne>7yBF39{%TI>wFwJ_HM!p2n)=0iq0G+{$3OuPb$&;_bY9L$_7;FYQztekA3 z;MiIKwO>G+TK<FEUjo_E4z`9t!4Trl3M6})*RU{jtzlv4U4vMM+po>eFh?8HpV;=z z8yXv9Y@9a&tvi)vvW0D&p8&P@1CqV0I_wOBI_wOhI*@z{3SUVbb_NR_b|Uu8BiYI% zp3nkXYs(kU&A_#lk%46^ba^FWGrch8X8IiXW_kt&h5#c-xJ=MNgg>R{2^br}gN5M$ z)cg-f=2LcV0J7-}3=PH*_h;xL*3r(;WoKBd%g(S~7g7FS0<rbj86@-|Wx^Tgyv`ly zyy=>|kbLu@4pKh-FoN`7;PX}`sl_EZ`RRJli4F{LpZxUnRM2QwLQFhkLP$Ip!vQAE zLeZj_Lct<+h73g}W<gd-b|yXvekN8nVJ?0q6-FjSc2H@?#l#^E?)fosF!3-kgZ9-k z@w4$TvoLXRa&fV+vaqqT3bU~>vNN(WvU9O;g4RJoMpIbS8I_p1ScF*knAw<wnWRB& zN);wnCQ(LpMpG6+Mp@7(0wnz>m_Wj5f*xi#Ez=`CoCNA2;iO=UBb@vTQu7$f6q%Ui zk-RMd_O?FU+n@#n#C;B?5ce7AW4g~xpLF*bK;7qo%YDJ1_I?YaA``PNk^|Mi4zz_k zPzADTlz~CP4C1~E`iT0C!+@P(ssTI0ECYo5xQy5t?i#T(%rl0hvlaUx=_&(yUcmwx zNO(bf&A=d&1L2os=BDc9rB;*#m!vpnl;&kKfJHKkT{6=%OCX%$lEk8t;_%Fp44?e+ z)FS6Z(8v^v!3}18Mgv=YMgs>Z_Sa`Lcmi6pejY?b>oXd(gBUjYj0Q>ij0Tqaj0R5n zj0Pb7d?tNH1ABc&gB>7-nm(g}qducSh(4o13rNdyY)0<~$$;0yTj?_zw1Idk`iutq zm>CW+y;Ne@z{JNS#l%s?R>@+*md3>tz~;l|z-G(lz+}V7#B9UJ3dJHI+KG!vn~jNy znUVhk8|!;E=5K7=OoH#(c-}Iyeqv-{lM!SVad^VU^p}n2J|l|>8<P&3gEgz23u~G= zX8;>F7n35B3yUqA8k;S%l>!@+Et_pMix^uLS1lKl3sWGQxFRbXE0drU6E80lE1#A$ zlb9VND?2k2I}0l_ix?XdFOwmYE1S4ED;o=wr~wm)kTx$9tBw`BrXj129V4qOvkt2^ zGZSkQqirK2%N|BHQ#K}@c1G4NMwd=7AL=(pHm9qMtT!03_%D%*NsZ4Tn>Ejc+lS4C z*^ZG_kBdoXA|vY*MwbFrPVPr++`rklIeA&35W!;SX5<E8Np40lW^PsiW^Qg?PKcNo zvjiizq%b3|urMPZ0|Ot+4rV51W)UWJFsX$^T7ub9tZZy+8JSp^MVNL#C<PYKqBIs3 zeohgl0<eS{7c;jAlMk3w2J6xRvmxTg7+DmVg*b#cMc7!GmBAXeGxDf#yMt5;2=j~Z zv2#O&?ZCQn!Ad6Lch(AsTPnb6A-eOKSeU;vGcbULY$h->2{WlPvw%r<BvK5_26?KF zk%^y4gLx_=lQ5G8vn3NhlL)gfh|MU>q{XbpB+R762r>gyID%MO%s)X&MZi;M8qEJe z9Ch#%B*=UXW(klEW-pKl%<q`M*5I<f6{J^#ITWOp5oEg$lqJNxkC}mCFB=mpBQui> zV+j)z<7IXhX=XbXCgyL9Y;vrfjBKo|{X9(Ej4PNK7$&%Tf{OwH6Uh8Lh$9VRo3JzJ znn2nIpm{7;6Ly9G6LtmxXnh51->E?BF>q;-n^=;Z!N9~~kgCsUz^Bh>z{zlksfm$c z87pXZ8#HUk%EH3L!X(JV&%rOu2?}RW-^-3!9mY%r*$=`B9uRk}0ND!?U|?X_V#3aF z%7mTaJd(RUo3JxTn6fh*f$sn2c>*c#c%c1Qu)A{d^Rr7qyTB(fPK2C#;sqXLNd*nE z9AbLR#BhvN5j<zj$>qlC&CJiF#0XmF&&tBe2->E_%EAMx+CUowMVNRP`B^{%qY|tJ zTpXOLJp4?ojGWxcO#F;upz2PM$%#pvNt8*7nU76?S%OKF#etKbiHnN|G^xPAFu@bz zuLe`ZI7+`MJHrA~c7~;<i17Yr%Ff_w#?DX!-T#zx72>ZF1*Gyiv7jI|FU2#jgn>zZ z0n;bu`Am!pn65F-XX0Ww#Po@k;U23#iy)IG6ElYx3lkfc7&AXJHyaa+6tg%NGcyZ2 zlO!7p6Bi>Z6Cax*6AL3V2RAz_cx=|3k(Gmsm4lIkgO!z)?Kq>RJ!qGymV`E|94|W` zXyu4LlOB^gsMEkKz+%8G&c@2>&B4yj!YIiU#3jze%*4Xa&&0uK#Kg+Q&Ivm1ftQP) zlbw-8h(l14NrRDtNt6-16Gfk?jhRWA$%@ICiGzusvye*~v{4Wg-4lEu;k3dGkuEox zu``@7V`n&Ph6tyRX6y_c=Ijh7(E1%U(0w@I_)jd!&jimUEP}+oAvpHsA+bM|iD3?# zB8w4=2p<cl5(^WPHYkyDu(8O1HoLQPbFi{QXHNK-Oqtl3wV6a29hsP!xEO^%17@J{ z-Et-oMg=AzMtP6`$n^{i8+;+|&oD=f3sji1GxVFYGfXi@xc`(nJHvl-c7{D?Ao0s{ z4np67#W$#4PRlRKO)T+C%*zKaT{#C?x^jsTyi!FOv{K~|(=!%^Lu`sHLQFy|nv5(= z%*?Dj;(}ZfEKDkFY+M|SYE1cz98AuPHlUbfHRoU!XO-aP2gju%lQR=5V-zzRiz<sY z6QsSz4?0ANg@cWqm4#W3MT&);9khj4l0}1sl|2-a%oi~-v$41_sWBNc+p+Po26D(U zOEGh>NkiuJ6#}6Cw?M>Sr3E`fmjydRzXihow=LKi)GgT={y_aLa}wfzp8F6Q9DgC8 zKtN1gGi`yK5%Yx+G~alL=@c778@nQt7n?9E7mpwd2Ma3)3!^P7HybmnGLs-9Gm{vb z1PeEZ4wE30DN{6y6elZ_Bf9|`7c(m_E1Ml?VKOr-Hy1yv8mBfZ$n}a$lHlD`?2HmD zvdrpi?5r9rJ&eq<YznLfOuURDY@jo7_*wbcxLBpwKnosNSS8tHS+!XtL3w~#kwuM} zi;0be17cPZ6D!kS&^CD~=4Xsd;1wPW3=9RqknriSM6~B-TCy{&v}9*kXNd@(W0vd; z&n?**OrYbauy))TXnH|}j~h6VFfhqqVEV{-o{8}Slht`}L2#9c;Q_lo8xIo~lR8&C zlN&!Pmof`4Gb?CxOP|StNe<*$Mq$u`bq;ZM5e_bPRStG`aVBw4YSw28V^U!9VNzhV z0!2H+g%F6lBdpjNA|OefVT%<z!%-`ChBsD-c4(agJA;Z7J42rnq#bJE4Jo%~$U*1| z9|#RKf<a^kgpcr#Be-yb`U)(Zm{jZxUWf$dffEHNPZXyn=jWwBny4CDAYo0tyyDbk zh)7~mad>KKc1mI;1C!DLw&QmD*%%M7^)u~f0~L~|K_grDn3#kZnVB*fS8_86GVbGL z@@8Dh#N^F*PnwB?aW^wV1BVeyyqN9^CXVGyOlKIGO*x7<m^eC_IFy*RIAl0%I9eEm zmou@}uo`fzX5?JM$idE`&MM3y&2fj3qm_w+n^oA7iE{~)#9SuMc}x-$nMBMuWH>}v zBc+)b6`7|n3Qb~Up2#S|q|C(2B*(-qC&16a&QZ$h2R1@c;|?R2Js+DEXm=T-xgv)G zhdRe%M%HtT9NQULp$2iRVPsWg)=*>NWD;cJW@6@GmEw>LX5wcOWD($!@aACW=tZb) zW8#eFIL*ka#d?8}i;0&dNrOY%g^`PmgWrXNm7SH7gT)>+oysWZz--AX$-!pM!okRK zo00VvBgbKwDLJf189BNbIrLaLKvN2=yc~SyavYKzPZ?PsF@g+-I1HxDj8#-SiYt;M zhWRfe>pw=m@9ccD*qP(_{xY)sW0b6h`AH1!9}d=gjDk!qv6wP#OcK(pjLxyl8G1}$ z-*Tvc=h9rtG1YRgzGCEHG56*;&B!~0iKCGTYW_S%j_+XS=diMYozKa^Wgf_Jnvr7> z6URa()_F`E?J%{GAa542-eu%v<`ra@VPi5#!Df+&e;$Vd$5vSQL~^t-u{AS-B1(%@ zB<vL<$4f?57Gb8~3{1^!OcH+?StSIRf+cwscsY384Va`ogqecFa4FRiU<&p&V3KwT zh{0*6TG$Onj_Zu9%mxXV#&EFe@v`tTfg<0)1Dmv&xev!`Mvf(54<vASvYK&7a7eI5 za@eu5Ftf698Mt86Wnd75MOfZ}SAf@_osW&lpa_dJYmk8fHen|=CP5}HCVn;p6Kv8h z94zKm2sc7fjsPnwhd)OED;qOAE2Fs`LOPEl931r=tiBvRtd6`vyus`dY&?AII!w%L z+H#l{q_MIa=wJ$Su=4ORvoSKWd6!{I^0Bf?wSbPsViJ+!SjfoQ!Dz;caKa`=j@hu( zu?Ac$OkiYX@#jRS*v7~)A63N^Mpke%iSU8Uo5E-&h)}(ckz+Zk>Nz0uL=h?uF><U% zRk47PRhpB@fCba-9IQ=@7SNIf5|$#YksKVXX}o-#Oa?sIG*lUIU=uEa2C4w2WC?3F za|a{yaz>6>j2s*s0vr<=Sp~J2SU6Y}IW{qJY-D6z!NgI@F`bch3X{firT`XJPTmzv z5(}AFnFUyaS1<{$WnvBB666l&Vqh==SJ8$ntjq#zs!Uu=(oD+C!HlA;puN80Tzs4y zTx*#@%V;^lyB+;l>e-}O#hD{mgh2amm?c?^nC)4_p=@t94ye+0Mkr$?Bc}sb3nK@M zAgIae$0Z9Duj2G)VP&@G3WV~zU>f=uIkmYwIr)S%n7NrFI5-43KpS(oI5_3FSXpFw z`IxkXB$$|mSs4AeWZ5|w!Lm#ojB;$ee4?CEoM{qTOcG4Y%q-%5T(W!|;&6E(UJg;o zNG1	^nX(KF~64E)GsfE+G+7S#7Q=kgZU+q=VR;+FV@_r>Jq&acaXInhsUe#>kn> z<q1|9%e5HddO5BtsO&sc0XeQDuu5&Ncqr}38OK%0>A@w+sllZvCLzee%)+V3CCdqS zuM#h#D2sq32d5~gwP3^)&LqI3&m_%Q#$v>z%dE(@gNb#s5StWhBO{v`Ycd-LlQ5Gu zr!1QVYZoIM2b(aHF|R1wD$ocA8wZy#ld+&ETO%WD3Rs;n=Lbo)4n{r=ensXu77ig{ zCS!3?DGnx8&=FMV^*9?JBQx_pUJh^erA%DjocE+TIM{cC&YVang|uHJoDt*AHqPt} zzRv6nLC);pb16Xkuj`%J88}?n88lrW?H2>+{`L@0NIS&<I*xV$y3S(5bci^(X`-PO zkXTfl3Z7qq&2)ez3QO}r=P4;2VEfMkZinn)+|R}h8n<F&c*kYLB+SCWYbU_ZA1Wju z7%Czl951w=kyU_AfP+KQT1r5YOUi*!fJs0QlmS>k=csWovM`B)7U_crZdn<HKpWZE zM47m_g_$^bKwWKC(1|U~EG(kzATa?ER$(DA4p9~{Q6?@)VJ0pq4kmVKVG#~tQ5a?f z?U+*(VC7ffgXm{r66NG#hngn<UKGpE#V-O<0g2;Wrc|Z?CQ+s+CM70WCeX2YdQ1w! z&O%X43ZRvczD#zE{7m9ZcFY1S;!Jj|0&L<;9_-H<xvatat+OC4zzY?Sc-i2B=ojyC zVP`nt!p?Bi1raaLUDz2oT-h1aT_N!za}d%`fVGR)K-ZB%Ol4r$;DHn`>8T}orMV1D zOPClAfrtg%tfH(EhJqYS{Cq5;Y$EJjOiVf~MzSnSJR+<-&hnfL;PdKO8Tmn*UYG=! z_*vPQWZ0P5g_#_{BsT+-A`?FoH?uSwsLjvK#LX<n#L1+`EYHNr#KOwXzyO+vHe?cK zWMN@rPG=Ed_F!RQWCb~{3gX`dt_c6Gb!BJR?aIz@z!l-&7q09K|6SP`gxnzheE?lo z0{8D(i2p%Gg76jSdLM9dgZS4NG$I2YF9i+E8Z#VXn$E<qkDHH0gh`N*)12Fam5Gmq zmC2NwgNuokg^iQVnw80%g-ObWgA+VN!^#v4_Bub4AsaKh43iS0AZWOTl_{Bti%EnT zwAVz4*_eUBhKZYTAtRF<NSZ;R8sfhUH^jP`<+6+nWp3;YRc?s>O^X{lL$@0{!vbi3 z9X756TPFhcM{;RNaY15oD#IZr3x;dlJWL{tylmVIOsq^Aj1Y<me5fJlTvDjL58M#p z`pS)+;WsG!+!6MwxU)0(y0bInK+ng8g=Y<P{V~|y;_OV&s8PUlMt{bDNgzVnACy~P zFflyiR%8-mVP@uG;uPRw6JZi#)!`RmQ({u$=Hg>z*O8Es2d_=wVv1m7XJKdJXXj@T zVdeuJOv}Z}DZ#|W#03@C24x~erX(glP-wEILuf`WCLvJwk>NrOBpgq;Bl=t39_$R; zJ=htdJQ3-H+n=2wJeZv!G7OSVJa$6Ty~rI1{Q%m(N>~h;Hz|>V(x9$&0jRWsbeA%V zeNqz(AncUH%3{wv2piV1D$UER2!{2oV1n?@mRn{;YD!doUMi}l;?xqKd}x0RuBW6Z zv%ohWG^C+)fPE>)es;zK?4Ovy)D2TGwT%T#ZHLHRX9Dx4GJ>hC5S8B{)Cq{(B?#3C z5uCsZR{0Sk*v_<{9n|AnV-Majqs{n~ok@|AnaPZCJtLDmqZ$)0V>rthCPpzPL1soK zdq!s#7Di?!4n}6C5N16V4rVzfX-2R(69?l$CMI=89wuH!SE!&1%USF?byx(LSy{N4 zS($hl+ZdVD7+IM>``bXqGBKWGW0GV94I~*du`<46W8q^IXN+d}#AD<mw2QfTC$oS& zlLG55X0@Hn9J`o>cQOm?Vh+E`tj?ss2wLVM%Oc09%_Psn$;QViAf@_-iL05Jqk~z6 ziJeLGGn3mcW<fSirXFV2`^=6KOiUcQ76QAOHGeR2m~gCSV*SU&ZYQvt+3g1-M+YN2 zKWjZ7lPHrY6Z=<2!yk;y-x)c6FtW07F|jeRH!|7%VC3LoWnp7t?*y|&SXo@`RG7Hg zxY<Cf+gW2cb~8JI3}&9d$g!K5^%3Ym8dfGQc1|X44mK4~2(YSfad5H*bBTbBoC-EZ zft5v_o5zmTnu`Z4x)3a?1GZ=-m~Fz!%*LT8%xT1`&n3bJa?Dn+xC1MT8YdSY8x#9M zFyDujMU|VGhc#64BO}PM-x*mun7P<Em{^(ECo+RX<}k9da&W0LnapI?Tf@ZM#VElh zu$!6r2dE>+yMUQ(K3LTnMpkA~KG1BCBAYRj$yQ9YQYO5{?0RfWZcHp)%mTZa^}r^u znz=Etv>@@V1O<3K*<ILJ9KSFL>}EEDsPb|AfW))WV`8<n*~Tou#<823dkqsP@D79A z!)hmQ%WB7EE9EE1C(OjhB+Mkq%*3U41*F#yVp4!SlPHVcGbWDRV0Rw@2YV1R3#%zF zD3p{zUcSi4dYOrtN$?yK6PFO98WYz9W{%y=CO;TCz{=TIGqJ8?0xM?)DSyw%$|1xE zYD%-N0x8soDdd%4XJKb$VPlbI7GYyz5@pY0V^U`lEi^3RIKqVK_#&=Cr3yhVK_)#W z(N;#oHb#yOSX8w!a<wvwR_QSbRzuAZ*v-tbhKbpj<2xg34Og{j52K(C*mb+XQEJJ` zBFe$V%6*s-66Czc7<m{uc-Xml1;DDVgH_2wRo#cFdIVDysK><F&uBS;kt2h{gt?1R z1{CU`_&>tPv7CvOnTv<}Br^+>=m};v35m^&dJ`BqmN7|y6mf%7_G%{PJ)mG=?PF9B zP+(!31&R%~35)_693~vCj35K#Z!@zn3EpDnVo_%nW;0OcP~p1I%rlRP^8_<Hp9B*N z58owbnTyQKmzcFrGqW*?@NqG9F*EYAaWW|}DKW9INOFjAh{=Ei+ZnmqSj1;DGtXhx zZe`(aW8?(&CzJ%4ijMMgGl`tySKG_ayN_RliH*;Mg@c9hqkt^C3R4AB8WSHAAB*4- zMlLp<lZ=Az1Q@6D^9nMVi}-Lb@$hmi;dg#7z;cR_`6MI98Aj&QjI8V|EX>RtOw5d| zjB1QRUj(F?_?THg3UGW9VC9ya$}hymIf<XK71@kQ{LV-DIUex$F?tp;DK6y~W)fM$ z&oiH&cLBd5lgd{<AvVrWe2h=|kgWa0=gh%)66!=y^@ilcV*-rtk=6d;b3TsGiN^$V z4hwKFavT$2Ju1L;On`MIKL-bEAAcKTC!<;eBWnY*<bP)7EsU&Zm{`FK=ADd8IbF=` zUCg}9j67_hogqw2ENnci%#3X8tY?@dPct!}Vd9#|EX2mm!)3yChKY4HGqV5(D^oOw z5EmCOCkHdrW#%=^>^;o)x%uYsi-&Nra@liBbBnT`XXHN1C~gR9i!%u@aWQc)Su-&) zf=-lUW#R^%GRw%ps>~(8#KB}QE-b;tWX>cY%`3+tYbL|N#LQ&JoXn`gp(m;(#4gLJ zBB(B*!J{q-#iAM<>Vg{LOzeyt$`Z^I8I{nLh^R}laLBRC^2u??3dym{N=UE@@M!b0 ziEFT{b1+IU*Rojaa4^|&iz>?Na4?y1^Rn8DurnF*3$V%yfq0@IlAT3LOrBYZT@mC& z4sj3AF(f)1OorSNiqdi%vW9XTvYIlQV3lHW%;2SA%uH;Y9Fkx$R<IeYY>X^YEPP^2 z%&csT9P+AQ)esR5b+BDvTUZSE)fGW<tZHDALs~+@f{{asna`3*L6VtUl$8%GZY`}M zVWGec7u5r;%$JsvQ4~_+=4Iv6W7p+_^Nhf9k}|SFdfdFM?8rPt6((^34l5HT33)zl zUU>-t9)2#VDrprNB_U02URFb9W^qj!ko!eBIarjyHj7E?NN}*o3F&Z)vV#4ms3XI{ zD8$4eq|YtODaj%#Ez2a##N*@02r-k3NmN2#T7^kMfZfUwYLAcrub`$3mk1A&BeOC$ zFDsXbsIW2EbRkA&Mp14JB{ptRP7SDyC}QF&OwvAq_UsM<^32?#@-_kjR(4Fv_S_-@ z@&b$kphTm?!Q{p*s>mg0C#xi*XU50G>?p~@F3rxkf>91Rd2pzUf>RNPx(GZ4O<-hk zQpsi|tV|<RnNLnoHdc;ZRs^(&M~*`lbiRr=lM*AR1iLt=iln-P61%K`iU=sZ@=8bw zDzVF|h6yrBL<=e@@bDV2>oXgG4%cHz6jVx(Rc208Vv$v5VrS%);1Cy-<8WekWOib8 zOp#5M<B;W&lLy-bqB-O^WE~_p#1$ko#d(p`set{4%~m-XNfrrZ9Jb1^igQbFh`Y*J zfo)M%kx}MX(IX-NP;wgfpwy63XJW}y5mD9yyA76eL5fAxC6z#R5}&LHT81n~F&L85 z(Q`RV8K@^<#1zkD!Ndxhlwsmvm*(IAowdv~nUP6=iI>TaNq|Y2NsK9(Nrg#|NsCEQ zQ$mMFTT+ilSJHq-U(|@hP|!r2N!@fJqlKcmgcXmaqz#X?q@9SZq=PJz9Y2pDFIc)( zNlHnP$yHvISzd{Q$xNP?S&K=A$&pz=jh_+3V}sHv8la-Vl#!c7fJuRggV#`ALPwaD zgT<2BP*V!3CJ0Ky^ms%0W>DHqjRS0^ID~Hy!NkpEDXc6n%FG6p5jJUIgb6BjGBT>k z^C~5Q$reUNJ$YWGNHA$Fz`<l943ZQU5aj1ll~P;A$fzmLt5n2jCNHYQp(+fqf!Rq- zjZsHllo{qi9W^CJeR)}>H~}6eN3a200;2qBaGsC=FTXLEr!3E_1d=lbxmZbyQBPh} z$qR`cFTlYRAuKE}s$?S|z+@+^EHAAjAmYcwA?_wGYR1LV$H=b5!7k1&wStjJF<Byw zCsi_oBV9CuCtcEr!%zeq_7fPB*5Z{-<}hK3VrpSz3Str|lqk|JlqgXt7A&!4k|-4{ zb1oGu^Kj;1l3<cHO)IeGkYr4T@GLlZtlSkr;$~3-`xu#$y}^pS#F#`H86}z+wHp~F zS{PND83kJy?N}vh1?!w^1?xN@s!Y>rVm*UFnsp-aI6MW9pQI9*k~X0DtBp~ll~K~k z44&%BI~Wz)86~<Hc{&*-dl-4T86`7#(vcIbQJFp$1H)BqCK1Nzj7+SID|uNYnOm6I zL|NMy+1MFbnXNcv*qJ%B*`Km=aWRQ=GxKOOa&xX{6p-gv<KyKG7dpelB*rKx$SB6k z$ixCVy@Ny8S%`&+nGw{+2@%v2;t-URl9ptYfy+xe^KtMlWKvRB<Wb<2cU6&AW>kYq zD!XW%1)HeJs0SC+cGiNMsHvkRpvkJmr3pHahgH6fkxPw}l}D11nUj@=m64f~nTv_@ z92<uuJ2MX}Gb_6x4=eXOHX(Kic6o8mXwYa41B1b4NdJCCIAWaSWH>v+*Kl@*U*U-U zeOLrLLvaK<Lu~}4e~*4nF8cWx0?_jz!Q&X<{yk`76wx&Y3%UD-FffTbtO1?1BWus- zAa2j-pli?QU~bRoU}4YbU~JFmU;sUkN7NqFdp^s;a7RdyiJwD@Ly$|HkDG~=jgNyx zf=QH(NrIV0f{9InS%RC*mBovPNrI7!o6VHPf`>^$l0}GHR+t5}B8lHcG?^uhJy0Y{ zJerAJf{_n2=g2DI!Ntk#2pTbAl4QzZ3}F&uRALff3}+Hz;$-$>l3;}Hz?Wp|1aDNG z$;>3m$il?VD8eMpm<bBWhOLlryb*yI*L@$s&L9}c&L9?v8253BWM_zvWM_b_zm0*e z8@X{5GLD7_FVH&f)D-`;wBpnf1}5<fjB6kplH|eLl0?B|B$(s?ZBaVJ^o)t&hA`+v z0X{Y-Hf|0!VGaonRwfQHMm9DnelbQqRxUPKAy!V%9IF^?>m(nOC8IEl5OXdI7c&bB zXw4A|vm>Y;04*cZVpL=iV`gJ9VB}&1E!5FrkpnG50(qC=!#0S2PedZdx$Z@>Gkl9= zXZVfeU&SbP2B#=?2J~^mKPS-ri<n9;W?&LeI0#zUtsBpnpb*cPU=+`opd1fM03FN> z4I+w6G93PFynLW#a_o$(Y>I+<?27DsOsXuroXlL@?BJa-poK9OOw7!}Y`(1gY^+S| zjKZw^Y(lJJY_hCI5HIpE^)WJWFoD*?iGoQGTO2H+3|f4^z`)S31LDsOQHXUC2cp;+ zE=933T#rJ;>(3~529aoXh9^*e!N&7cpnPz==A<U3Wag!V7fi7jOa`qSHPUA^umG)A zV>rY#iHTv82p@~E9J4Iw&|DEVehwZcRu*GUV_s%CGg)R9CMnQ>C`&k#3Ny$T{7lTO zOl+b|%*>!u@jy~ctW23;=R-UJl4fO+WfEfM14kMI1H*!y5dX}GMy!ijAI;9NFPfd< zSTrKPUyo*Icoxmh@HHBe-_h4M!S>I<%>9Er?h8630e(aRcp)_C!mk|gswXkfJs&5S zG`TH#oEbC}nK<4sTE1jtWs+qPhwNtMU}9xdWl~~PgT#>#lP&nf4<S&}(`2$_bOu`) zunXc3j~K+hjnEi&hL{+3hJ+YIyky3(Gk`E`p9VS&j+bQ6Cik=y1}0$#MSBj06HGP? z4zi$uP|&?6vP=?;><km2_FafUq=T0+><rwo><kjIh;Va_WoIagWoPJ)g@haWKH)u= zA?Y6M2?hp+8_4qm1)$A`dGL@6N=-}w-$wTbvJu;TJtN}=MiDS62`1S<o3c+ZZDr)w z5irhIFGyhUP-J0c6J%v(Q)Ol25fo71mt$g_#-uZuiIs<+la)<ML|t5wM^s!&gbh4E z%w))<!YIMQ%BamK3A)&Ujh7WP!@|kL%f`;i%*M_N+KJA=2tNEpk;#vVok@aGoGE~j zl|_nKoh5*o4U|o`GBW8f8ndV~ConJ=?199~k63mF*qJ~)aqJBIaqJAjafom-jALhz zUCP2>69)+=^!fxneZ7G0`vb=pYz#LsB?UAV%v8j1gsF((6%#)b=m;bc9!6DO9~LHd zc@`!{VKF8~0ai&S1{QxtRwiLkq0PWx&ce&c&8Q5DgAGvku82d#>y9{fhCOlY3<r_i z`!bH5;X@od17keIy&-7h_ONu706n+jOcP|>8|+?C)u-o}Rsz}|v5b-72-7k~hG)#; z6B*f=#2ML{cxN#RE@k9h#>hRDk(Hl$2_x%dMpk~_MU1S>0t`$M%uFmk3`}xNa!fMp zOdP6=;w-F;+zbrjOma*j%z|ueOziC3EUYZd?5s?zEWE6ceMJd-A@R@<kMP&Tcy@-V z@$3w<;t}xx5_=HO&hQ!PuPtcn^<n;60QFbST6BLorKaT<r7|%61bOTyBf~Fd>7|U^ z5Ra{5<X;W)APBEwWZlZhw~di|Dae!C7@3wbva+&rGH+vK1+kddKxp1Ij2s|uhk<7( zL>Nt(gqYRYSedyQ7^In$m_(TQnOIr)8ChBQ*;%>Rnb^6xIapbkxtZ8l8CltwIayga zm|2;G7$rcF3!0DF2MLFc1VlK@NML7}lfcffFaZ$`AhDAP><rfu@W<~1XgJ6;qlbfQ zVQFFx1JhhkFwA9Sn8YH!7Z$&V7+KFU@}6Vl+Y9pgIYw3x&3g_Kzo3Y<LXA{baHR5K zL@LNn`yu{uNJO+Vf)d#oG7{MtauX5dUPB@~!@@+&b9qqKrTXUQm1H2!<hj6j8`8wk z1ve|WLCuOIOxu_k9<eAgiLwbYX)!f1vg>hhgBHmuGO01KGMY27GlEWv;)R}bF2}^f z#KH_cn|wDTlQ`&RI>`B;AjdN>I2?eupCJk1evu@02CXD^2K^+2`<;^58IqF-xF5Q( z4%r8{AU@DS_Q575h9#_uOd>EJXv2J<isl1h7A|IKWFPDT`2f_$1MfEi`Jmw-#Qist z5bfn>N$d>YlGqu3Cn3_4b}~DIOENoyUos>;NkH$hfbF;4a|}`s7)*l9gC!u>1K{!^ zF$vTTn!vaQvS!#4v|9KG=qRiS?21gREP_mYER4rl1eip4`1Y{y>}Fxz$0Ewa#UsNm z%p}gn%+GX~g_VPK9Sf*Y%K|!2mz9x|$%2KQnTth~H57CWDCpb~Q>NwM>jvCdR2caf zd6-xkvshT+hfFarFibcE@$ZRbME<#%3`uV<lM((^OkrnmNMUF2O@a7#2iklp%)bFp z|8`*bHzz+C=H-(RFMET$yaVK0CP5}XHpcf5-#%dxc+A53j71c5QWggr7e6B}lMt^g z3qLaxk8mUCPS$IfzUE_*Vdi3VWRhm&U=jsYTA-6!W0~|Ay+Is^_d)0WPh~{)|AoU) z|ED1Kx!y=&XLyjp&hRt^;s3uW><pZ#><q%G5dWjcH+p@x2D!Zij&I1)QYP_$t&INM z3`al*ge~DzWYXf2W|HP+<>O_N=Lem(3q8>ebh*G3@TFUzT>&$}k?752#>mQ~!w72Y zN`ewA=svC^5ce0PBJx{nDm%lRRCb1isfhe0o5s!%oQ9d-bfEWSg6lDt(xSwY%=|p$ z40o6boYk_x<XUD(nems6VGkGRL}G4cW-dN<HX&9vP8MD^c3xIBD<%V5jxr`D7AAhS z1WqP@PA&-^R$f+7Rs}9aPz9!>!OCL6rpU_7#>>RXD8|7DQpu#q&CH|31-dJNn@KI3 zBZoDcqk>tGHI<`+S&>zXmz9^Fi=T^|i=T^;+Z~kRnG%>-8BLj389~P$8?&%7i?eVs ztFo{%v9s#4urn*Lurh<L2}|N&Wsl-uWuFZV$rFssOe~R1;*6e5N{nln8Mzn?PC&wM zLmDFe?@eQ8IG)DNa3&2Aeq8D73?k{6`(|P14uQijEw{w2C@~qd9%2iq@h`)0gy||H z!!>R`4iR<^4ptFnHfBj?A!c@FPF8kiE-p@HE<piiUQQ-(aB(s7GBI-Sfo|5|Vi9L& zl4O?S;N}4>h2Uc9U}Q9fu=av>O*1eEoP@YLBOTGsFG*)-s7+^QXi7)8drdk!!%i&j zE`gqR33E5J&r+z*XrRq-glQooLlX}l3l|3$H!}|t3lAeR==Kj@W&zN~4har^K~5%q z0cL(C4i0uUh`ap3ci>8ZIQ&eJjC@S8;1qfQ>Q0ReMEIIzuroMiurs)4Ao5px20O#7 z40eV!8Ib&S1g(65)oTLK^5sD`dj0H}k^;Ji@e3&6?e)1pLC?f+idT_Ifr+b`o4<vd zg^3q*H6)i1Xrvv~`e$LB%q`->%EWnrOM{o6gOi<!o0FNDgN>Dik(rB~6MCssCKD@T zC<`dsm{`DT)D@Y|GBU9;Nis7tLq)uq_!%?UL>T#)3&EadU|>)<4T&FxOoYGnGT9ko zGT9jtGZFq?oypE{CX=1vNhZYKJkb44uyraaM<Dfx0(4zP4o15qxHJj8_aqG5{gOr^ zS27`S#K3GVP?39tX*&x;6Q3gJAU@Ec6imEq>}*2JGORK?xj_v(ZYJI{+!Cx@7rA*a zar3hIGcvKcvNCbVu*k5BpX6pe!OhIdagdvppZ5|s2WYd7B9jR-6H6x}lQ5$(iv%+( zizGAXG$(#Ge%3B%#uEn}sL#&C;m>@Lkx7;*pIHmk`&-7yq{Jk_tORZjePINh-v(~t z3NbJwoP~s+M;2oIAv}wnAufxZAvp_?zO%E~8OpNQ8E}=y;PeeHe^bC$4GI^O<bz7z zNsJ70_+^>0LCye8y|6L{!<Z4E8iU~+#Qq0ah<f2|7CXcLEOrK#Y()I!WV18WXR|YO zWkcc*)}DsZu<`+xE)F2q3yDd^E?`%&80-M;M0L?;GzidVG>~98!t|PjVHrOkix?Xh zsGr8f&cwwd1)e11;sUMAgYcM{xLEj{IlS1uvG~4b;b7xpVG&bhl4W5PVr6DwVP#}z z65$kJV`gPiWD;SPW))E5VU}lo!y>tvg>?%H>t_}<GcIn>4ojv_OiUt-noL}b+{{cY zpu1H0n2vywH;DO)k;#|wG9!~DBWSUi9mFRE7a-yDA{$Zfe9UHN_>;}fz?g%GAK@H! z2BjQ!2H5?1u=WvbJP{l}e);8~al$lvMh886Q0#1HWatr81f8l1-ZO5%q7T~LrpTnr zq{zt6qJTK|Rg;mONdpvL1{WdjnUI4>FI#ii8P4ReGhEF<#MjRpb_Szdb_UN}NPID% z_49h5=S4z%$-p3h(T?#=EGo`O%<&5L_k*lL0;jIX)I`wc_zR44APv;h5XucwUxsF@ z=Uic8cqFXI#QL6F>jO9IYa#VdLKdtXJnBr$ObJZ9OuEdhOpc&Sd_eU*2csklJ2NK> zFB3bX6lk87S&D_7S(*j3VFXmyu`|mu2{Gz2fld_!ZHkix1p(;%Y;`7aCPh|PrYI%} zCQ)WLkgyt)7U-5Z<||BKKV61|(~ew3IGxL7XSkos&hR1^5l+l`><oH&><rF%*u&|^ z0Z6$7Hj{yY!2(w}g``%1hBpr|&V(E+vyO2;BPfc4vek1wGBV5&QDkCW$)moChjpp2 z`U+tSMh*@ordCEs7X;J_!WI&G;2Iwk3aqf9TSF#@-))&(L4F4<Zc}4Y2mAaZBLhRh z6^Oq#<RS9&wLEr)XL;-l@A44-X2@q}(9CCNu*!${y9GMF2OIC3^AOeF1<3gsHf|A` zmkUWGV9$e-IC#M>_%!VkkZ|Az9k6qRDUvaS$%A2&h$5TCUG|WB?2=4UjNG81FhwTN zK$#k-NdvlolZhSN?Bik*VPj?D;pG+J;1*)$1|3ray1cxAiHDt+ONtXL5Xlt62s@&a zq2MaS-z)MF>-$dSvoqYzXJ>ejkMOs2Av;4rAv;5AA;jNL-b4IZ16}{Q;|GNQ0lFU9 z=QdLP3ogXK-ptD{%7x?#&_X^?&HxW>Kjj2BA2%>Tvcq;BhIOKfOoCjTuAEH57R=@n zplr?pTC~W_B*MhP#LW-7NtK&P2(&+ek(o)5iG_)apOZ=0kJ(p(iJOTBNi91_Jb>9B zt9TG|Ai6lW7ax}ZCo>m26BiRZ8yl>2WdrfKIJnqkr!xwGcT8!<vD$DrvN&-uaVW5e zbFi^9iLeOqNHTFUaWiqUaI!J?v+_@4Wu3~(JB^iVrLYhO=MrJYrNWFntb$DD;y$2g zVqGSz!z<0g%FW6m$HB_YD#R+xD#@{!QF0C=>uk`%b8ik74qny}Hcv1e#O4mB1K3<y zLE;{4wyaASnHMuMv#|2Ab}{mLLozyx8Ph~ICU(Yb=4uW#c2*A1y)2+Zx<lB7I5^m4 zIXKw0Sk^N#^MbYua<H?5JGY8VyE&Ltn8KJ?nUvVunAo&HIm8;gRxypKpOHz1m6<J- z2{iwe$|S?d%$3PGhml8so0&(GdmbYnKQAjEFE5vXG(V#NXzGlKMVwKPk(Ixjkw=o7 zm4}s^jZ2i1jYE{3jZKu5jYWu=4ZJ^`m(c`TKy0`TNrwhSh;c*LB6fy=B6fy^B1Af@ zC}L-rSj5h-pa_x<OQ7eO!rDVypzR~5u?#V&`OhamIT6%@(bP)^&8i544}-AK=Vmy@ zWRl92#-A#a#+u5Mrob?bQI?5|jfaU*pUZ?_U&eq{pGggTG?gs4GcCZ#&%_QIOl0I@ z;$sB&$z(xGu^DAp_!#*?#i|Jt7b8D-u_Y7e;8KS>5dZxsLez%>#q12?#q12y#fbV) zxtN{7pqL%w93vj+_yIT<X=ow*5ezxzhe_DLT%UvC7*i0#I!0M0J@7F+ETBF=52FZ# zBgG^FS`iKjnFUbybQB}np9_lF8Fm!2Gwelj4{r%OgI5XW`sfldr2LKS9{65&gE^oh zHA<leZh)44tLSqv9AkRK!tj7mkx7_EP(VXKON~j6S(cwkfJuajm6c77nV*Rbe5orF z3yX3(tC|KAClf0RXiK4`B0Cccn=%_KKP#(S0IMal6^A9W7Kb{gI;g(~_9-V5FOw5f zE%;JJ7G7o0zIY~1CTSiP&@}_>>`ctOx_mqwETHY-lFBSxjO>ibpf;T%%Lhg#WoAAm zYbISraVBL(E+#id6;K?4?hm*RiMI_Uh;(_egq`7K2|L5P5=6Xlm9jJFmtyw+Y+gdj zMT~fZgp*rlQE>@)R+LHHpcm9NG1q4_5Q1VhaDYu_VtB`-$Rf!FKH!0gpGTBQgo&R^ zSY4Qd4czQuVPzCyVP)cHVqp@2-n+!g$<N2aEy2UV&B|yE_9T-e(|PbH0VMtn9zgun zQHt=_{%s5l%S+iAR+l3DwY`*`;m|hBdqn3z+eH}uN`v_*v^X^dl&u(6Fv~G9F>-LR zv9d9MPETW!XXRlifZESdhNy2<%Genk%h(y*$`JNXDPw22TgJ|?=Qbo9&s>Ah3YvJ+ zpI>4w=+xo|jPD?O)i*)*s>_0Rtc!zotRG|g!NRbQS&>OXK#55PwD6D#bnF5v=o&`Q zMe|HHOp>e+9v2%clN6IYj}#N=%wkqhGnYvTv<8|Pbj_D0mmDv*C=a-4#sbQ4I!qGa z1KU`cSedwZK?|6~Knt%0So!6IxIm||GO`KEfEwWZrc6>yvLc*JTuiLe5OvCoA>i0z z5oQcyVP(=`m0`1C<YRW@kZ1SeQs<Q9hyzWMF)%QEcm#<*k8;E~WMVlxLsmIELw-3T z{L0GN8G6ex^Djzyl9rj18k|`L*)Rk>8I4JJ0b|j8Ms9{vOcNLxOk|ldK@O8;0@Wpa zOswGYPnd~|5qy_{ER#Bu9+L<w7em8ih`WB2Bhr;Z1v`U&1v`UD1)>}etYBv-s$gem zuYi=}=<`2kpyw7tQY!;P3u-x@l30@H>947ml$mY<X-{Zq!Nfq5Xbeo^0x_Ut*Z3I) zT=}^ePBGnNWXO?cVigr+5@+HNVPj%p5@QjQ<>WHpV&!B99X}<{0=i$ComGI1jfI2N zfSHp+nuUd%hl7=gm6ILRkdkMSWffqvW94L%XXRnzVg(DTFc~nKF<C+yU!eJhClLQX zs6dqSUn<xc{#URwuv8-AMY@umL8lV4y!e4U?t<`BQf9gZ1Cw|_nLlHIygw*Xb}%y3 zDKaTDNilM;FflTN4+)fDQGgW)axARODom`5HlSEjWb$EhgcN8BPa*DWs6>nlO{ipN zm|e-vumH(@>nhn9j#jcWyg)l|1!Z4M66o><X#FD&zOTV9ALO><j10>dAs05-v)MzM z>a0wPjB-q@jGz(<OhX)Iz$D2e!Yl+LL9JSb4^a16R3Z8w8CC2I=d0Kmu2dn?&!!r7 z2ID$*hR1b~^s_+`QXVWRgwQcidcj)A`1*`*5OHvM<&&S70;@qmNB4(Bxg?e(qNgK} z?--br7O)*+p3la(fNcU3m^#1(rWzT+R11W950SG3i$#IS9}r!SAqpTew^+bZ5Kal0 zy^3)@8z_CwP+>U2Xv7qrtHdP2#8V(v45sV2*qE7kBUqKCn3x2_`B{`$i<yH!=Swj$ zS;=s*fo=!nVPs+A0NoSF#KFYD#`2X>5mXB-Vq#JT>zK^QJcSXW!(L8dDU<XZCbpSO zB20XXm~7ZMRx^QA^Q>dy-w0;$uLFs0hp=}s3G4;4I1Vv!90jv@Pcd<v1+)0hG4b(n zFmVd=vh!-P$VhPWny~U{GVuv93yQHR^2q#WQeqNk;<4gl;yJ>^$;R8s4E7L+e}+kx zn?tCY+3GkG$1x_>|4e!Yyr-C~_A_zpV`BZzq?g2D$gzvb%EXR|&5hYrte=s63L_hz zB9Ana3LEGU9~l-dCJlX7Ee;kYZYy3ZUPUG=15P$xdu9hY(4KKlCN(B)CKgah%Ou2N z%+D;q!OhIW&&$dr&Bn~iDxxf}&%?~E&hE*<(aC5fY;}@}lS%gw6USjDP9~jQOdPvG z^d=_O%}kt3`fHf@)`I9|Onl2h;tQC#7lQb+n7C(y_-`25MOlM6Sy{O_Iavj)gssYr z%Q(2LGL17hrZICdbF*_BavWrKPXaH~mS^H%bYKx;mSf{#m4VVpOdO0NOiGMp9D?jV zP_{3ZAg2P09J2+NAg3IMB8woi0-HRm1(*h%C}P4S$SB7m%_PVu&!W!E%Phmn%MKa} z0Ck6XIC$7W$A@Te$O;JYD+=)liZXLC3v&r^$}sUUdUA0vGqXyw@rv;>u_(&3u(L_C zs&XldF^h7r>oZDl@Cqn%F>?wqafmB1DzLG$3Ny(uu`miUiZXF9YOqKtaLCIlaWHW( zawzhtfoWBFMkPiGCJ^Relmyd`j2s;7?3`Reypnt%EW#_n!pX*=kzmMXB)}xb#B8c* zWTdOi9BILB2pXKwWdykbY=(%Y600Hy69=oN28%k#d`%`6W?n`eJr-SVCT<wbtZBqz z$j!*Xq+`Nj%+1QdqRGm_XwD|hD$gd(D!~Hs4KEW1vl1hm&6*D?ZH!p#nRYQT@iFl* zDX?B=gfcQXZZI;vVq#KZ;$@Oy;$_ld+QBR<&jnT`;2{j5y&<%aI#WNBI9P}oOo}K% zcw&xBTB?#7Lh6!QBAOC9oJ<_b37l+Pnw*+kMxZ;gc_UQ<CBZr%`dEH5GPW_YFMv7% zBFJhDb&@qxKB)KA$;jlyD8}N%Jb{VLmUT5Fhcr7IhZ4IBmm?=Xj|;a8p9`;mfGt0V zkdq*Xh_mn)MhRC&F;OuYCq^;JTZ~d1lB_a%jJ%RoVjQBZ5_;ksVjQB^xJ1l^IfP6F zIRs4kS@|q@`FJe2`M69uxj8J@x!Fuv=P<GuGdnREGa86+GjcF;Fmf|OXf|;1%pmXs zQhyw%N1Q8kr=FeRb3Hr5k9tJ?CeXmn;M%~>pz;yYUekb{|Ca+jX9rvk=j11*gj8kb zrR9T4VVBGz|Dq`Hj>iRz+abr~?|@K}pwseCF|B51_`;&d<jKa$mcZ=KTFA)C#>~XZ z4!#pdk;#BbgOLx^k6@Bz1>M69n)C#<R79Bg89Bi<4;LFht1P1s6AvRZYy#APNtsCp zbX*pzA(I^FATux<u7KgdPl$g{G(i0OnvsFwa|1hrWg|O7b|a!b+S|m=@U)qoA-M%o zA5Bn!)He*@A^s0gg7TpanmdA!dI?K7fPw%loSs?&ZQP`%mKcGmBGx<1^H~`euzp|z zQ&U*LR5+M?#R#TmK*X*>cq(8q8!$PGaXu@krs-rZG%9i_<S%;3T4)Mp^fE&kyBVR3 z=PXdhcSeRitbt6rOq`4y9M)p`OtwtH&smIKu(&*D;a|nVWy8zH$i&FRXv)dY=cUeM z$su9Drq3D4)5XZk##hhN#K<?1k!K1cUo#_b8zWyUBQN-V72YmJzL|`?a~L(5)R_cb zIm|dfqgiYmECNhQuDk}Il*%E-$|lIv%6OX9^bD)ZX;%JEtQJgue9~tbdCoDivVhJ7 z3hQJu?P7E3WaFQ}=E=)_oRQ}QBP(;*Uslt9tS*09`IoSWTw)Yr5<bkxbA(ZxiSH;Q z&oM@RCS`MO3w<`;<BS}K7+D#)_cQVw0MUCHdG>+UX>grkWHslW%*ZkYB-+Tx+61EO zSsOr9Eo&VsBX>6=OAm-w$y&vl<o%kFsfCf%H0(Qz=?@l{?=1Z1Sj3oZcQA6Xaxro- za+z{7N-*-mAzwbcDo|kIV-{uuwKzFg1)10xrI{odLD#hLLGPLs0*&!<@NtXqfcN6C z%Q5q_X)&_%uyYGDNiv#o@UStnzGLK2XL4lX5C-)hl=)<NB|$fhF@g4ufcB(tFsgtq z%LN-Gh++^sqY9HGV;<DnAgC@}syV>7Xn-6EbDSuqnJjY|`8YTQm_bTx_&9h)_&9hu zSvZ*4IcnI!K44;Jl;dD$hjbx0z_$ZnGe(R>o_Pm&V#tVPKO>VXlNOUWlL4;?j|(?H zTL6<6<5MOsbIu4BeI^w)O+kpT0h1?>5vLwk9H$nO3YVrRSd_<;gPYTuNu0+_9L(pC z;o;)e;o;(z=i%Zu;NjwC;j!d)XRKlKW@Ts5ViM;wlLV{ddCLguW_2<%88NzmR+TXe zfG(tDV&`mU<l*6#=Hubz6X4<J6XF%*6X6x+6XO--li(Hq!6aoW=_181xsXwYNBRMy zoT;pf9KURc43BiQf~mZV0zZ?8qN)myvZ@-7YNZT^w166qs(=cQGOH4oqJRX8xPTa| zsDKEouz(P&pnw1izW^UAZvYPyceIA7x{C(C`gcZ#1~xBn3F6QSDUU#$fL3;fv{rV8 z>{dki+t|v^u%VTmf#ox#{DGIh(EDG&1q`D61z$~a0#eu(fG;SKh7`Hqm>8C^D>8+$ zWib~sva+$VGO=;6!V6qgSi#D~&nU|%#KI0fzE6miiAjWwl@(Of@*x+s7EBu8;#CSt z!zCFQ7&svQGH64z`<>d@8RFa68FJeY<?PZnb_TX~b_T<CNIBc{9OAz@&~cV2&~q3; z;R3=hko%1w|H9h+pj+9PnwbAHGBz+7g2^S2OQbkKhq|3&+Q(F=U!+^eQ*@7!VGp|^ zlNKKvFE1Y#FFTVX6DOB2ucR0!Tfjp${YPxN57~I`v8i!_Ruc)aJ94oxva%||DkVYC zaw5>_7|cS9pv`<7jDnn!e4wlA`1sWsIYiin`9wH`#YI?!`T5v+Il1_F1^IY**tt14 zg_wERL>PsHKyx@^{2T&8j2w)Dydom3Z2aupg7Qr4pbniPlQ9!NQwpOTi!qZplP<5I z01uO*AddhKlN6^sBR5kV2M3cVJ13|$v5$#KpHY{Ihw&b0g}?+ZNO&)3hm8N7VPs&~ z(az3ryPcikO*<mIbvxJ@dOFw{Hg-V5`_2tWc-!2B&<CLBT!O+HjG^a~fYTSKC+?P+ zlM3lsfp`o|77fnJng2U6HaOdY$&ZX+$_7kw|91k_P`ylyjQlo24;cl8gqAWfykJiU zS3s;BrYsU1plytdN7)&-G1*LF<>V1!WoP4MN@ueZ<ekhaG=<fNkwb)omz7P3(@Ri{ z*;b5Onu~$Kg9+61mSz!V=4D}L7GPmz7G&dRWo8m&1Pz8OGD&do@-p$UGVyYPZcUQ` zg+HSllLDh0lMth-fG95usE@@c&LqSk#Lmvh0@{u*Ai@tSr!+wgOAnS!j7)KiysS)Y zax60$8HHF>nRprXnS~gI*tnUjnBzd<-^;|r$YjI(kdZ}*c_|Y(tUv@GBpxI>A>j)W zQtxDEaOq@c@a%-=XO@yqc7~;$><qB^(I?RHU>|7zya2lH0UYk2%M3v29CU%z2F7ae zQsD`ZHN@W_lm%$v@ENANEDUCfJQ^%)d}91e3Q9~|jH0~uOvX%HjLOX7+!0J{OvX%L zQATA^@GvXrCR$xaZe}wU0Tw=H5hi}tI5u(6NfOMU))p`5-bf~4RzntU=19<xi6T=V zvl)vca~z8}lMbT^GhBipK>*@!jxL11g}T@o<hs}ybi3gGW=`s2XAtW~_!~BEl>@y` z67FBnt&z9b@)--V^FjLr9)hx%6-y|yAagLoG`9F1EOz%e?Q-~-%$Tg<(2>cJUveUg za5JyfNv6<KOoGz{#j*wOatdx|LA!SscK<GzW?^OG1daYPg0d#4&&3Ynfi{wWdrY8d zb#_J;s`2?5nL*}*Zkgj?XBTGTXJlrUWD#a!XB6k);RfIS%fZ9l$_NQ?#MQqdESyYF zm{}!RY?(o~07Dc6h(N-<p&Kzi)xVp8VcKp6hMBt=;P)voE3z^OD6%r3kITW*TS;X> zs-9j(VsVBZI0~vjhi))1FkFC|{{YGS&)w_{|GL>3n0g@bD#F0P%+|xspxDFCumU<? z1Dn731HA_jVt#5NlIcvs0p9+M0UG{X3|tc#8CWJVGBC)pfVO*ruE!8yV&RtHW8oEH zV&z~54LQj&Nipew*S3R7$^ub{zbkqW{&qaYz%XMf3&ZTGEO37>m1AXCCx_{8Sh|C_ z3)SBtMTvREpo|OhKh&NFNcJoZWoBTT#=^ik4PlSAJS&5RJS&3+^d2#o|55Eh_CLfH z12Kp{9C{Js=8?VZ4B5Tx4Eeo?@Gb6TXK3kVXDETLGlk7h`#|Sw!I1`=k49dy0NSZh z23`xq*3ZZ_fl-KoYXc(#%LYaU1}zpjMt&B4(2cw7qKq;i0Y@g#nbT~{GEna`Jb=3I z0S(*-o-K!3G(j8^4jz4o_~Zy-W4N?}h2h!?L^#Lvu`_6eVBQM?4`<N%Gq7-g&ZRM^ zvB)ukCYz-g#h}(PC`dr;+ks>sIror2!yUGgQWLh6askwQiGGBCC@>$qvKwwV0|SGC zB*gs{)G;5r@)}{o0;u^nkj($w&(83>pPk`<KO$W+Phe+Int(a(Z311#hMvE`>mtAh znK3YlJ1k|i=VstK&d9)W9CFhKD1S4tunL3bmEa^NYyo;9lQyW41d77~DX9M^Ai{Uz z1a^kI$5|L29!I2?c@x+fUQWO)mqMWH8zAn3<x3RT1uO@h&~%c~A6!#H$|YVVE@l=s zAx17{4)BUX7A7uMHfA0kHbDUq7GWtd7Ew@n#m&Vc459_mXfAG$QA}k_ZXka$ax*rA zdqp7s2*^PElQ0qCpPGs64E+<?8D>m`wBJM+7+B{|WM|kik)2`xL`Xf0em_nE^qzB& znIJp^xxK8Rg)%b)J4z08jbR(4ufR5+k!t~?7z3C60VePLOjZmm_D0@@RtyY!EZ~kP zBdA#*%*4eg1)2ocV~JrBVPs=gU|_Ik@@BMRU|@Iv^@qSDgg+>~f5i~#{*?e(NH`TB znNQjME6AoZFbK#&%)fwSKE>{j1(y=wDzyP>zQJTf__$4GX9%3k&Ja2ok*^{rvolmp z#=Jj=MG7+R1W&iohDfF}i5FDmGZuK}b2D%~V`N}?2FX=Sa-iemm{=Ium^GOBdAOKZ zctHy^1)0GM9YBYL@iSUOt}ZQ*hx#AMeN^;6q|yVW6R15FQxM@0JcXShYzjL=<P=0W z#7tplNS?xuQLn<*g<uT_h%HR13|y%UEUBPL>JVlg5S73XpaAjT1|)ka^`CicF=WyV zbdNXGe1WM5e^Hh`kxge{Xi$W>zW~Yn%NH3Krc7mLm@yR+ZlL=W3d7hK^1|2{VDo#h zeg>?b%*-oEEy_#G(J#o)$<c#UnE9n8;F1|uE}UZ$V~By)m<yoxzL<)b2j-Z@&LBRG zok3<A!d~NP><li`FzW-@dTw-k(^7m(OHwN|^)mC4i&E1Vn1sQ1x$x;T8p!H%F<fBk zVPwdW1)TuK#Ky+L#L33b#LvsmAqzTeT$V+PQ4Vy}C<{B}I%ZjxR9O9Bpaco08PgE% zJur=(;lea_hO0>KWu4B>pfsIq_d4dKID<Bug648BfvO8Wf5re=e~`l$FfmMER0O-6 zi-Vhqmz!0Dmx+gs2eem_iIrK9gO!DsQHY6^nV*ASou5k<bPA^;O9=S(5NReBW@#o7 zRNpBw?P6rIhI%kS8REZ+=?MSLn9k0ycse`7^67~9*gc({;S4$cOG$;rhdVef*cmP` z)ia!9gv13W8w(RZlN7rwJ3FY+uEGdfugU_B2e3diXs0cx{<#2kr@{<GesGw<&JZwz zogs7v!kx2curr(iVQBvWwvRpsdVW97bW>CgKEj<zykI|LK4XDWK4U>rJ}CU3F)_Sh z)L>)dkhfvwXJusNXXRw#V&-H94S2C|G4Zl8O9@Ic%P_HVYP0IF3bHb>3bHCOG4u1X zFtKuQ%ky(;s>pDI(jbE(6E71xlMXu*hYb@eE9kC1F=o*5i~LONOiG}u3^>@?xlq^M z=`exr=S^keXB1)LXVzq~gv_=uFgU0};>}<tB0Pd-vNOcZWM@d8i3pGWnd}UkW@4sG zBEq9I4;ms4b3q3&MB9TxV-^#`F(z<m2!IZxV`dWL;ALTBWM&g)W#wRElVWFO0o|w0 zB(2FMs|aqTfcz}M&cxx)#0t8WfK>p=x7?sn5>T+nvof(A1T8~KP=ol3V-~_+%Cp!R z^k%U$7|lZX%Wf7sga0hd^((M`D0)1*xoGOalcIx<J)?u5JqN=Drb>nt%(6`4Ogbz= zkR-^?2-@z&$HK*|2won?B+ewkqy}Fg_W<g?6|)fg%a6}uXSg(ro#7gi`yR|<XLvsg zGu&~Nn;`dr2Esz2fiCQzZ_nr;U=PYJQ4HspWtr5N1VEWVmW7>Bl$nc-m603bJ{e{% zHn0pRn=m-2L&DEvHm3VBW+TFn9QT3mXofoQ6T(Gcdlx|My)hfH?uc&=JA>RDb_TmS zkaj2N{NnsM><qK!urq9&!w%NB1nt~dSp0z{85kHG3?c0l^!Nl-H!i8g$)Ey1vxEUv zI<=@M4|Izp=)8#Rc*cZw#(2gA#dt1;OHAs897S4acL{@zxs)$dEaGDDQDkCM;*ggC ztu9n$<6}}#k`$F<QfJc;P-asR;O1iEWa86g)dH=Y6%tYeuP_8HCt%cOW@2H7-et_j zBESs7+AOThqTm4<c1CFXh>uB?iJP5?19Y)-8IusJA}IN*GjT9#v2ZXeAzeidIzU{W z2_*eM0}`JGa}n!uTIRAdOrFcmFlR0zT#wIXXZSFeo#FpnNVuY(%YYuP83e<%peVH< zu_zTSXp{5v%2JC!^*fqGYEcoW2FuJ#2i0Lw{)_=R{)_>^{-8jwXJ%sA&B)NfsK_M9 zCL6%Q#>C9&FXG3-#uUr$%CwA8fr%|#AciekfK@2Nj7c^ZRBo`aGMcflGpn+2GP6T( zhX&n=0Gcn-f`%%j^k4y<0};(6#25^!()__iB^x6<3lpOnqW}{dqY@KnhmL|4BpfT| zA;NL`Ja&fV^Vk`-%tM6Z!+Gos67$&^H0EOuN7#8Ru=KejoKX5KPs{{uWC#ES$pTQ- z4hfTH(3V1<O7*G=h82)-F#v~)zK9+R8=EbADkNOY1#H-?1uWG~q&>`-WPOoBMiUw` zptQ!uBEZPb$jJm6#Ri=(4_Z#e&WI(P+8CLnkpl{J+Al1i1lZJBrNMy(+TW`U39kwB z5#vcK=d&~Hn9t6zcRr#Vxiz1i;ro1c2K4<7dvMgZpmNSL4-z7vMQalNi~;QaTnyKk zb}%yJDY8f~ak8>=F>%N-v9j^7hzPPX39+#9LN7YvW@2UJ04?-qWMSlI1D#64!>R$B z@Ze^0VgjAO%+4gl3>w*k#87|^#2*C<5cSEL1?&vl7qBxNUVw=A+Y8tkzAa#9U|$G{ z_c_q>IAoyvT>o5v%xgeQWng&2fIZ$p{!2+ME-A{dM9Ux=T8J_We6R?pbSjT$Opu5N zd0&m0lO=&!jD?3`8lxf`t0AX}1`{vn<`rX3CSENjP`$4Rx}A=Ro0W-)m64xAkR3F{ zECK0mDKe>p+EJjRn%TjJa;PzJGA1yIG4eniET9Vshl+)WaM-kvo#Eg@c7}@!5#jK4 zAv=TIB6bGTMUZglfZp#T0xhQ}K+hwB8q2W20CzZm$^-QB1345@%QBNeStB7Ko-rXl zo-siH91<4Hd@P~NoGb#Mh7!X%MnxuZV?IA&0d5WvaV{ZVHZE4CNKOw{b|!w#e5L{; zP_9>G0ae?4jG!g6+>ETC#KFwM$jQV5#%xT&jABfjV1-N;Ox#Q&OybNui~^v=g^U6q zVGAZc#!x0sMgbNkW*e~A1oR-`wPFz@y!JCNFg#ww&hUQ`JA=q#M0i;(W@pG=%+64@ z7!qDK&~X#kxGik_3T!9?1A_#Pau<|tf>TSJKus=C8H!q=X=s7uVO<;X2aH=G>z4V! z>z3ugq#<ba@--$MR(ZBfjI5lDOiX-i9IOItTnv90b!IWjPiN$0GvMH5vf&h9R+z!a zd6kLj8WZ14(5)8&vq2S+A`>_G<SJf9&_q0F&mJoaE3*&_2eUW}FS7>d98M-yMqV~{ zW)2ns(9D%0O9oR3qai2&b(rKCH!(7CGBGjoF>){ofXoAXIY1v0jvp37<`X%X7#P+s zVQ09pgq=ZjDIy%(m$EZFSjx`uXDKusq2-4T^gbz>N2vKvWfSgn2R<JMTL6L@SU&m5 z*?4j$wARCF1S0=}ScyqF_!2j$*vD!LC?-?$)4)As1}6ClOn;dBnHVQ9^)iAf9WW^h zCJn))c|Q}VfN*0~XG>#NU~!OTm1B`Lm(ybA1@B$wX5wPhW8woZ#baXPU<BbKjI2E1 z1i%eW085zMW-zKxW#nWtXA%?;UCgMkgprd?gM(j%RhdP_T#=JSv68onjfrI@qv|Y1 zPBEsVOk5|J^o}#}9b*zb%EYmbiD@koDZwet%E-aa%521{%PYogG>wtxHxttzCcf#6 z+%p&jW`ZJLpGlmFi&2sZmY6sgL5WF;iGzick%d{Bg^$q&blD2KB8xCP8y7pH2%9h` z3kxf=G>aCq92W<xJ0mZX2y-H+@5;o%2s(qDn@Ipv2<o%UW@OsL$j-)*z{JDk!)VCJ z%d(u2)f|)x-I&xF(^wRk9oSe|S=h{3wK#a$xwuq0xp}y_^?3NWMR_>5nV|RxBNGn~ z6E`0tH#oI!FoLAh8Osps3^pxeXE?Epo#Ev&L^@So&dw0BoSh+mIV7F-K<~rrf!>q6 z2AW>MiJyUip$13$0F(*>K<N>@x9<;RZ=ctGM#ck-CZHXD*O(Mp<=GZ9f(}7uVq#Qh z;%0P!W@<>Beq&NpkQe7<Q(_C@;A7?B;Cs)+{DO(~ITO<d&{nOFOxz!s1UOk)S(()& zpm|-ATS@@jCu0E}P^Ztx0Xppfbf*>@E2}1pD6<d?E2!nlC<hu&U}WRqW94QO04;b? zWJ+YRU@~UnWs+jzW)@%tZGe(uHvz@7B9lDhVn!BbW>yv^W_1>BW(Out7A9s`urdUg zK*IIIa!CHSVq#!uT*1z;VFf$GvlWPNZCJ_9@P8#cgXAhmxE_Jdf9-&d|C^{o@;f|S z=R)|{vUzw>W(j821cf-b2SZ#505Jr1eLIAQZW*W;fOJAYxgDa0Nqz&<I+pcJj2oDA z!Q>N0Fl7fOMZu&YnDkx`&)3n+3M}1>vMh4KvaE8<vLbQ<vRrbe%)BgBvaE7k%o;3P znUFHH9K%0mH(7NBPBtqhiKk2o&zLy5Oqkf%*qE6aWtrGngqT@bSehAGS{Q|!8ChEx znVT6!Iv6=Y_ab&Pa`iBBv$FB1oM+;_z{JGGD#|Rw#$>~)H<yvM2Xv|Eb0&=!Ost~f za%`fktgJGu(yWZ)60G7JBCNt3B0RzzBCO1MoZPHToJP!I%trD&^O>0zF!RZA%L-VC zfR<EXW_(aG6J+9G;elj)W)2PkCLSgZCT=D+R!1fYHfBLX5qVI}!y>}X$<4te#waHu zAS}nj#SJ>NNsLKOf}2rDT!e?0M~a!9g_l`CSdNRARfO3DwQyht?>NzC>1AZP#>AAx zxSf$nkCB(DirJh+1XNpr3WsPG1?Fx>HWpT4Hda<<HW5(i$ZE>L%U;FC%F4y1!MT-* ziHD1c6Ow%8K<!`)Nc!Ed3epaK$H2gFauqwn(^c#Yzg8jAuiR>O2LILU4AHA0>DK_Q z9f02d`hch16r7rx4PJ)y1hVpoAG{1n8%&ymRv}$uvS3wWyN6oHFJQCiWLD~7=43Nw zN@EmY*6C*Efz<I`%-o&K0v(_d5_EP07o!MTvCPW^I?hd=QG-bYe9aD0ajVEu%Cv}) z5nQ}lFex$KV`Sn1SMrczlR>}=5<VAJL;C%ocG9cW><nyc*cqhPAi~Ff4Ld{G8g_=} zHIVS>L7#tyu2+HO?-O{!$E_H2M>!MrW{?S%W)P&r{KTenn_2!IGbft?lP9A9v%+0w zXu)`gnfo@gz%57sVQU0|7O;SpopUh>F~S-`;6P$zXW<0ZN{TGWOuS4v%qAc+P#Qzf za0;-7gwq44|3UuzyoR0O{~C4%mbHlfl<-=128*@q46t(`U^FcKpcX-(1wEkdb~BSd zV}O@G7sENG_e>00@f>y>R(hejg6tyfatsWh*0DGX54#8(J0mg;ULwN6!=8e^LPUT? ziy17)z;M6@;_ewBH-Q|@z`#J^`Uq4nxVeB$Rj`HF!>|t0-UbO$v_1l>Ees3|P<t}g zLDDP8p3Zgb4Aa)JGt6Fxh=&#H*crC3V`ta`T~7s@_khh8!HtL35c;5f>w2EWe))Mx zIiMyclXwGTem!FYqf|XN!!4#Yj0_T>MR}}DdMwhAI@J-hk(`m05qzYHB9k|hG$SVy z`0ic?1_lE=NO%aWN4QgJJv)QqdUgiY^$2$wu4iX(T8}vn3L9t4FV=HUElJHQV_*_a z2#@DrxWiP$aE4KqNdk0e9%xuomW7oO)RP3w#zJ-kGl4Feh3*O70CmTV^@wp3CQ~Mc zrR&)lRwB7$^LloMBUs!4OSk#OdOqOWu3huW8167tGdu#j!5%WC32}i03m3BlWKdI) zNsdVZ<T?X;NH|DrK+I2cN3b!dZD426+JFcL-3{yvCL1u%se;X0<rnLv78QBsl_ln6 zrZC)Nl4P)wWME=v;$dWCVB%wfUDL!60JX0Ji+wXmwl5&HC^xgXI5R(w!3J{jRsq!f z7g)^yLbCa;6`92)40e(X3=^Q{I&8!YC;yG4hLc}@3B+gzh^rYGHbBi^fW`c^B%2Q& z8AxGpl4Q65HTMS=b2&GW?rxXV#FU)Oyi^7kh|51f&5ziGC|B|}u`^U`VrQsDGQV*X zJHv`inEUIOK-Wp<7wds;&nw9Q_uF7Io1i*qJL7#uZiahIHyIh?Zi45@Ihi;>=NPcC zGl6cZ69OGr30mX<T1wB)4_@;C8pdN1XR2kA0#zQMGU$Q>B%L#CMz~XQGdqLwW_AXR z&4_T&+04%1y&2P;GSK_w!R`#MEKW|$$w71K0>&;z(8k?+pu(tb4T@8lnOK;FSV5Cd ze9Ryxg4<G{#zGi)_bvlNgCoR!J3#IMIhcWg;ofF;hS!_f8Q8ZV>iNJe><m3y*cmo& zfz<Oa(9Yxf16`j9HG;u`3DS<wFV-u~OGz!tsm#nv2Rq!em;qY0f_5I~<?DHZ&OJ<M z1C8bHWQqp`dA_Q=nt-a28k4HM2CI6Y2CKTDnv*K4njFJDMnw)KCO!^fCN@S^E?yQ+ z7EXQv0W~HbK_(tGCdp+&tP6!$8CjWGnbnwN4hXUC2J_h2xfoeFxtQcRxVS(wdHj%d zHlR&H{NRO^oXpJ3Y%CU_C6`R>jG&VQK-X+Lf%q)!%t0)C%&Z)&>|!kZuoV#oOv0>e zY${9wj4VtBjA~4BjHaNYh?v+JL7jGfCVo)-=QGJO3NRTlGBK@YWCR%|$G}kF3<+<6 zt%&kpc`G}E`c`%Zovn!UV7HZ>p>iub!wj_cln!)16=t|HFdb%OxCbKsFeV8IFiUVV zaY(Q;fezqe09~~OTDs55!~z`y0<l=wK^x!MnOH#U{MlG|nb}xCXIyYHvoW!=u`qJ7 zvN3TnFljL}u}DHN8!Hnx69*$VGdmlC<N`;zgA2r8FSa7m`GqeG3_rHAGyFmF*Z-~T z3>@1q(>d&X0dP78ts&Rc1Mlg7#3aj*CktAe!OX<P%FVzc1S!)Kp!OwfL)cfgjh&%k z8#_bmHh4I)^lf8j*uIUO;SO}%Y0U@7c#{nD+@1;bkbPNTdwnwVvcYK-UJl4#VEV*( zo{5{`5z`zdhBJ)%Opn1!vh-Paz=Z)93o8>lt1t%-6Eh<p6APmdI~OD9PFNWxE=C1V zKU$wjg-M<%lu4UO0n|ERXmEvulg4&PzZn#Gh1=N~I<~Vj%-oL1Z+Et{GidH$XNcJW z$!~k^LEL=@S{~S-t;1gt0ncw5T9EJqk5YmC1>q-Wq$X#Ff$K>mQSev=62H7CvB0&c z2z*S>R>+}Mjm+m6880vjgAVI?#00vzj+2F*nUTet`7SfVBhVU#8s2KoB~0vdnHc9W zc~4=KS<kGrjhUCNYB#gU9%k{~%*=b3)mcGjfN-(0vdXV#=Gw;0%F4f$S&dN`6iA=} za3LmURu(o^Ry{TcRv|VaR#ql<Motc1ZgD;iCKe_RL0%qCZXq64Mt)95E*7w?1RD=4 zD;pn}EyAYDD#RiT%5{oNc1(&)+DsfwQp~)JtQ<<b;sPT4yaJs3LR=!8jvR{MRuLDI zEfWuu6g${{Brh?^uqv^&GqQ@XiL)}ZbuzMYv5BxcGcbH`hs4{19f)xx=AG;e3Om^u zY<42zZN*M@2F+dU3?;iD@%8{(&p1HoH)@c00>wKB^OQpPNHGA4H^&kNBoXjA;S8V= zh<i-nA%?Y(fruh7M+P+B@QBHriI*{vg@f6I#h6)vg^PI#b0?#I7vm8ohF^^OOdKh^ z$)Ib!WcZYsIQ}tm^fIyWFmp3AF>|qUOEa<dFd26;fu=u&8<@D77=?c`={7O*veiyy z=AXtaK9!ky8gc}7GP6!#W@Y8<XJ(zusNcu<iIH80QCN->bi}wmi!c*6qac$Evjm$k z69=;i8!PBIOI}GP5k_eyBSr}(UPdm^))xU@VR12jCJu345l&$tHhxw|HWo%!K6YL~ z(CHa`?7aL;@{F8Jq9W{~%%IDF!0Nd<SXep4dBxZnS%gJJcv#q(Irt@*-GxAVj)j>y zL5WG9$)1UaNrKUWDT_&#iI+)%nU_tSUtCCBkXMLPP>6@0+mTC=S&2oDiIY{7&s<ns zOk9*#j9XNchlfd>*PVxlNr6+CgA<fn(Snpom{pgpi;<NdmNvktk3*mR2or;Y4<vms z>}F@M07WdQt-qU{!F@M7L&0uD`uMS%ogsD)JHwnkko0lp1teelK-a;~_zK}ejbMl= z#Yi8J@hGI^0UrGVrI*x#%z{*KHfLZG|G>C_={+Ok2gcvbU`qHsBNxLXCQT+@Mo9Lx zXEtDQXI{(9!pkhf!okb|#;nX7ES$_93~QJanK+Di4LScXvVUb{{KjbinGrOw!p6bJ z$oh_v>olXSDBBe#;nPe?mza3jYOgb~vhv?!62HsDe2)n!ub*aOWo5m=#LC9O&3c-N zjh&T)OMsP;gO`<+m5u8L6UT8TRz~JiOdKbfIKU(;nB<gX6^54$f=rOIfrC|(O`S;% zG@``B!NknW$IZs2j3_Jkcm>5pxItxwI5?t(SlJO}g&=4h3%smQVwGWIW%6YdL6jMV zOe#$7>}(vuDCLGYmU6?IiJL=_(}j_f1zvujhA9`5Eh`&`FuM{*7bCj}hd4VkM<*jY z7l#nLC>t-U2nPovXvhJCLDy<>vVzZMTi_2#KMs2#<58gaPuR=OP`j6%p>HoD{oL8h z&Y-@Jogrc$B>l)h$EkUs<J1oCP}9#7%z6Pl426_@iXp>N8yHtX_6j{@0&gG+1e3y` zjYG(Vz7F$Z7KR1PicGAIyiS~J7}=LHGA?7(S<J}ECj5p`_$8zA2S#4Ds;`Xv-x$Te zGBST-L`n@W8CgGoPJw^QD8;SC#LBLWTK+4sd9ezy@v?#z5kty;9wrWcaLLbw9G7a~ zG9PrFs1zS76Emj;=n?}a9!Tk*$Rx|e2`c;9)Vam^M0k1mIC+IQ_}LxV6d9G6^q5$g zL|OG&IGLna(aL@uW*IhNR%KA>kCel?SUW-c=Ny6{@pEDyB7W}gV`q4|kDcM&K6rbB z`P)8r2LAn+^X4_sd!v!U9h{G$TM(GU4={FsPeOdew2G180J9=fJ*Y5KWMO4wVFFG2 z^E0tBvM_>1Z-u}TOrWD0OqirVL!hAZfuQbd*pKMXZ{N?(aC|>I!>j#>^rL!!ox$$_ zJ45;bNcvd-9fz-h&IfZq=Yv7%4}=vW;pLBSc1mUusF;B^-+l8_QsGT`xFo2p0g}-J z^^w5`(RM+Oos9xr1oDVUk%^I!LsdkFhZ(f#9*R|k)tH&s)Vb7NF*2NER$R`^xQdzM zB%_ES&q_u%Mz$5q%=4Mq7}*vvGtUIkbC{V=G76t#WM0k8c8`(k0^>PGDIxF-2xx&1 zV<3|-cpW+?3opAk2PeA_2y?MBvNH2Cd$K@-uZT&VRh*5J6(T9k>B$AY02%B&77->C z=dlPgGc&O<aWTIFB?VBhFf@cf(!-4d?C|pb$pLnTj|bQpz8yfM2abd649W-D8C1~j zS-1mThmc>a2f8rD4YX?#G_+I$8d_4T2Q@g4GBR9a0o}1>$ppGCMSw*dw5S!7B0$4B zs-QcanfO@wL3iacibK)_=#X<xCJ|-<CRXTu&H<qicQzbE^f$T>vNKFO$j&hPAi|w1 z53)1tILOYBgEqbmTbG+(tml_n4soV%LP|Vi0#7^_!!xEnMuruPvMdU0)~sA?OrT0r zmPwO|l~EEj=Ld3>ER!*lBojX~4~WCS@Br!_fkTLNDt(BZLFW)VgW(~Bd-4ylGi*7; z&d>vGx643j3+Oqc`Nev9F!zX0U|bJLV-p!ciR>BEV`hd+jEXFLBEq~<e4?D3ENm?D zOdPzTA`%W-a;8io@$7y~Y|>18p<=>ZMa+e)JfJJKxWIFAJc7_xq#~#R3he=MGRd-v zv2n19vPpms0h9+X+hu2DVFvXRK^U}X#TX>Y%*G_fs?8z~@~$G28IuvK7zYQtC`UOH zD-%Dn2fH>44~H-)oWRM5;X*hhek=|n(vjz3c7~|K><o>E5&3rSVRnWOhuIl~jzIG5 z9cXzU13f3r2HG!#n99H~1Ceh*xATA^&M!YFAGC;T1LFor2@l#L%FXbMNs*C}i9=IF zi%XLglr5Q<8A06{9wuf@2`y$uHgztoO-u}5m=w=4F+O49C>AN<DrR+r<jhA*%r}|X z7}@SHF<%DJ*O-`#CCZo|GqJq`%}709l=1Kc4~l^L+F2~Z%;07{8!x9g7bm9>81sP6 zvt<=x_GAGSbh1pWjIxlh>t<w9Ldv2%Op4r|EPPzD%p6Rjpa6tsRc25h3^lt-K(p&6 zCWZr%knn9dg6JnsJi^W}=LkE)f+L9Zw&n;s!(NaW+PDVNI47)M1s>!A-5q3W&j`Lh z=owQL!x?7K`H@=8N|1q1UPewPenv4C9%g><z$c3WlL+Xr641~mIEoyiAnuYlig1_a zQFaEaqwEa!M-lGII?B$l@hCe(4s@Nwp2v{-od?=p1lK2^T|1h3u*F9c7~3Ja;Q%x@ zykcg!#jME0$0x(Y%htrm#l<MgB*!Vv#muS2&cy`nmho}&vvMnera2T@_!#+E6qrG~ zT_LqFD-#dDAgCJUV`t^!V&`N5UqHpr?83nZRwcp0!_3OU&&J6PIh7W=EYb!Pe;k7B zf{^l;NgcF|iQk2Vl}QcK2V>)7Qvw}e25KwEK*Gb}7@~g7JjTw@aEzT{`Y}X4ICzYm zf#o<mgYI!iKG*{dhn|;^aLIx8lfi+^z`$_87+!yY`c*lZdD-wf3oejfte2Kq;hbNZ z2U@oDfbkn-70x0E<qw*9dd3v4X`#l<!l}un#mvmalA_6_^@)*T37Z-dE0fMoW{X=) z%!?Q~e=~Enu`sU&tz_V13hQOz>R@@q$i^lP&I6#EmV{YYnL)EoHf&t1e9UYtph;9o z&<$8@tgN7N1RUTzJlsOy?k;G~ih~`LH`pL~!jLJAm5qagNtsE2NtBb7M~PotfQMfQ z+_B+iQsnjIVgoe@!kIufp>Z;CF*36-fu?*IKS66Qhd4+$uQ-k<e~%w$XZUuUoq_oT zBAlI1uro|J!OpPj1SFi#T!)0O4Ya&D109Eeh4TzVID?x4jyXA?rh;d2Kw?QoFlfbT zVo5$|RAB<+W=QIk1#dc40+YgEaxUZ=kQgvWq@R(C;T2Oh6APm}6AR;V76vs%77Zpg zAue%d34Uo785S;SRTeeS5oo$hoIF}gtc+Y78cZBaLM(Euf?PtfBATLXR~VUvR5)~* zl{mqz0~S_B2^K+SR<>YPF(wH{X(oO~P`NG4B*dr$T6+h!i<Oa|g@qY(^a&&`IJt%R z*cn;4MFiNHS=srSy;;;CadD54Ntj8U2{aBY!YIh3#%jbY&cewo#K+Am!X?e=%_YPl z0vc!pdx3?8c{vLxuo5BRFK`l3AIqF%XHY%K&Y*P?k?);PvNHso#GL=p*#(J*{9?VN z#NyOE@VS>P26g(32J#GVm=-ZIXz?*|vT%zri;8pbGVwEsb4l?sF>!N(FOJ}33T0wu z76C2&0$t3&$rQmP!YB`N)&{7%R-A;yH%M&LNp^<4C)pVeBDw3#Np^<oCo$(Sk>;g9 zlb3o13{1ifF7}KLeD>@N@0fBKRAjjfnYbAFIN2E(Kzl@FKr1{!Ohsl5CUypeBuKbu zoI<Q`H#o)4V10_6!TuB?Tmnw9Gk`E`{uG_gFV=Id04+lmR#1~?RN#>Z+3w3wBg@3a z#mU6Q3R<ni1l|$AqzNjS3!wHcIE5&8R-9sISbvJ0VGEMIdrz@5Tsp<hkbyoP3q7|o zzgRE0B(a2nNw^?8pPS(WQzs*X1ZXzS3sj`S=Hetk=TtLsv4aap&;i2Apdt~JV;Pd6 z{y&ZB4#U$3{}bU3AMiA~7Tj`BR5DC}n!n&QqQ1F&nw{anX?BLMrxE!+{|r0Bq%-Uc z8_qz|!58TKJ#5|M6}0|A45FQpmYI_SYG;7L!L2AiH@LDGR0M$bHWnA8CWqvMkN*a7 z^+NM9D?szxdqDHst3f+xKQR^S3hOcJy6Lg#^6LF%)RoW^VUSW}vS#97;<I7=Ak4|c zwN`|Aoroal=x;U-W@auHc6LT)E|%TQT#bS(O@h3Qf}%{^OoDtIOzZ-nQ-mRyA9Su9 zI|mmN6FWB-69*GJGlv)pGY1p9Fsl>~6T37kGiY@b=)zXkH6px1+y_Otz}cGxG<V6x z#K|temB}d2F31(Y%F4{eRm3UICBexBnOWy#k_0K>=wM_Q<OpTw;wWMl=a66r%N8*) zG6^#?v)C|kv9hr7vi@ackzf{KSda>dXMwYb@>k(3JA=ttb_UC{h<FY?%g(UmEIUKM zTS$J7fS$j820D%iuJ=KqtqDzc;th;{89|+g31CvQo}1wd(=BEO1w|%4HUTCsMlL29 zCQe2!mMe@b8cZ7eTA*|Z>P&!+w%}v}A70DE!py?V1?xR=@$!lbfCpcN!F^~x4mNgH zR!)9qM>YWgR(=*n(2>}n<)m^fBCvT8T_!Cib#`$s5l&uE<Bo@eNt)MDKm*h_XA)xL zW9MK37l{lE4e5|@FgS-u?_THF8KTdzGvu5@q{o(X><mZGu`|3m2T6~Ao<YLn%~J?n z18on16FCC|gAQWe36u>WZC+@H6&6w(7~3EX;Hix389~8h%_PIf!Xyh?X3V&miNQvZ zNy<gWk;O^Yp3{NL_6{S95|cKlodQ~L!O0}V1g;`jB{+oH!OdAvK!ICFoV-Gy)W--q z%*T_B6?`U$2>7IaRz@jss$vpl@@8aZQG)bKp+Tg`>&Yg}#Sac7QEn~<1~m78{N|7e z39p3nnBi4(p8W8VK!jHgW`IG8k|0GUDLWZ!78_YhPAe{RM0kK4mJM;&gY$@T<uk}V z7uXp%E+Eo#!UcAQ`U{xz_#V)6rSpsRK&cr#asVF_dBAv^8N3GC3e*I90lKE9g;9}( zmxY&w8PuR<Vg_xWV`5`uW@G}dLsbB!V@7U9CLT^ERwfoPCVnO!W^Oemc_u*?L3Yp_ zo+1+`6Av@1fImC4AgdHFJ1;Y@C^sm~6`9nTgc+TgxENzuM44S#xR^cJSXm|5xL6@4 zG&AjBW|CqAU-$#^wnHw&-#0EG=H-9vXJB}Bft}$UlD|P>AdFs5!T8Aj_AGYEEMj=U z#K*9Y5q5!V0Ms6bi-_>GQDJ2Wxya5CaS;)|?kcPdJ}Rs@&yfe07odIusC0(Tt-W9p zXSl^E4)P8|0o0xY7ZLu+_|3xb@*+FK$BT&cRs5TUq2f0S!|#ib{D^*D46GdhHk5&Z zfd!Gipr;FzB$jCELA;9QC{W}xykKG#VCLsyxWmZ0oRN72DA%wufo|r4m^cCI&VWk@ zcZ!5EGNfE$XUMpO2#=g1R)%?^xX*P#vl?_7Hp34leg-3ch&>CS_FP2@4-O7Sh8>sK z8TKIAv;Pu1!x50(TOj2TY&{Vy9DXqIGMMl(u&^?6FtG44Fl>ODD{vXn{$oAHz@UDa zok9CD!u@)e*%{0)W1h#1GEa(#Pv86$aP9hoNs+-!5j0)O!NdnTe_fCXax3ctsJ$JR z5$OmtKRf#}JHvb=dly}1XIOn1v)u_>AB7%nmBkD{m}D6&WEogMry_AOvVj<43=DaY z{P6?HpX-(}Gq7J_XW+hq@Tbrfb_V4u><m0;<4!Psez9J9PJWV}URr5hvLVASrhEn! zSqX1(P%DxJbUGDiT$G;?(#vMj1vRI@ee43L{S{Xr=@b;J4OiG1datlE%tx~S(iL_F zv#XfxS=fHC{9-*&dluAKX=U|i4EPFatlVRo&Ln5Y=qJ9LNkW@RM7Ewm!;3|e2?{Oj zbXg@mW9*phn5-@_hF)akV-#c*jMnxLF$did55~-(^QM?snFLrxSU5pT_;8W1rF|@* zyYfUiMcCL`MOZ-HLSZIWtSs2#zkVi28%2~^gasScVisYMXBJ^$XBJ@ru^||=LJ+J| zpa>FwJ3wIr3Ooh|hG|P#80IczVOY2naem6_tLzN-u43+oh3$_iL7W=}?q%nsg7$-h z`eCf#b6hx?wLw{2mdTO{yvA|^)Lw&Yh;-_Bjh(^g8aqS4HAuPvohKD?jh&(X8s@nz zYoP4`h`p(WdN5m=#KAlDB0#4}U14NkxdL7%!^#NSoFK@=3L1Q3;$vdt7GPsx=3ta! zVPO_wVg=p$#>EM)`kAbmY`~|ou!HWI05zV#p)#Qu5>7v^A<84(>+B3-*V!55uS3dB z(77xc*V!5Lud_3lT!*AbLgnTMM)<e|WZVf<IKs+JCSCzO&|&usTw3>-Sne_@Gq7mw zVr1C~y5d-lg^N*>fkBSNn1Mlyi3KDCa_9%BJ3Fo;!gbztb_S8NEDYjj5%IbDIy=LT z>zL~^Fvh_k?txX+kiH(9J-Y)x1A`h17b8ClD>L{wB{dc~CeRr$&~qXRN+97Sa0B5E zjT`I?v*)rf%%6*JhrtbY2G1MBrYrcu4bY)MouEU57!<*seMlmMknm%ILJ`LVF({Nm z+_M15J=<=uGaSCb&T#w&BE6ly!Op;U6EnSS5rxDPa(Y8{O+Y(nYv^oHslj!GiGk$^ z6KLHo;;<m_F+rd%4Ko`v3nL2;3lp25fDj84tFS2SupmAbaqwY5P#StjP#FA>pioc- zDky{aBjY9_T;CjIWw^VOh2h~&M7VxF$jZQW2(w<qo?k(OH{b;^3=HKE^G_g|Uv!9- zq4p3fL*pS<_<3rrhgcbQ9b&~e=Pl(Eq<%yWCnVDu7%Cv<YurM(-}x3hgV!x~2H#tV zcnG+~&JcSGGhM*aC&Ya4d2OIBInshT(11jsJqH6<4g*ULtPldF2~btSB+H}?8o6aC zfVyJ?k~>b`VrRH=i=E*Hk~{9)VrO8!jhP-=pzDhu?tqj(!6>c}4*;EN=L9N;)-y7& ztcR3COw8arM8JuFgN2EWSAdU&iIrbeh(!<-`Rv@_5kx6yq_e}zAB9RtxFy_1gj>@z z7KYAgEDXI!=g*bjW@qTSjTvs(;~mzp0H;+@smH{^D#HRTs}-4?AZ0bET)6<X{{fQy zUvINBFx+8hV7Y^cH;y~(4ElF4!|M!mTpKyO;I=b~2Nd};2E>BG>LViq%SWWJ;$_ld zW?|vx6kuUt<Y1KIV&UZBVc`aa6*Pm$F*P!RoX*Gv3akrNkZ@XX2QkmG`VKq8?mO%Z zXYN4CY0x<aAMda;Slwl3NW2Rvr$0dZ-#6Ys(ytEMx+|0a5b?C!63}wS{Gv4Q#;?On z;4NSIpe<kjnEo*>Wz=5=y7ZlA86y{iiXsyq6X;x72Nq$rC^jxeP9_yrel})SK_`7% z9(GnYo?vinvx1fvvam9P%2E|3Ru*<f9%j%zAE15=A82-pi;ov{04%p4uK+tc7qb`> zE29vzG8;Q1_*_{5L4F=c8-SBZib;{lm&uG<kWZYKmyexEn2DE3Tv(Y$j$4pVkQc1% zALzVTK_=)t-G&-Sc<s20NLMy0tPJPxvNK%13yD|IIYNZ`9iVX0(1I>1%P)d0toz3# z&fo{?d0c?nqi_#Ve_GsQXYjwr&Jc1BVb6?v><s7cu`_&u?jQSe6cX+>s*v&*VozFb ziKZTSIZQxeN(yMdEtB{J#_5o8<?RrP4>Yjc!2F+yp@|VRHX_Qx!oteK&7lEWro_m> z2HM}q4_d*=%*v(ABn`UvM*wsu3^NBWJ1ZwElQ0J>2NypRKbHcZGB}#}K%Gt|b|x!E z5f*M<1s-;GE*^Gn86H+9F(zInE+!6cUeG1J;`}_UY&@b|EPSByT9HYI$%j>ejh!)+ zNuEi9iItg$m5YrJlvF|4iXos568-`A5&eYX`|J$e_t_bi+()F>llR#fbRMuXBtL+p zR~`vSc-(=Wi<}}0;e!ojU|<LcgYc2Us~|Cj0m4lxO-oBHf`%Y?r_wUWPNjWJ;7v-q zm_WOf8kn7!iWs?=0vUsu${1Cd0vY9)PBSucF>GT5Z7|@FE|TMt4OFsW;$mdw<IpcN zQZ)?J;A0ZdJk2Np3NRKcCLu=13A-XJLhR}spg|NCW;qsdMh-@0CIvP{CNXw|ydWC~ zqcF1=A6ONq9G5sJACoE%Gm|j%lwOb-sv@96SXuZb1!Ta-Br!=cF*B(#2{Fkq3Gu3P zxH9|k=!36&5E2!XkQNscaOLJ>;uZm`;1}Xmm2ws5Vqk!Ki=~WNl_ii_j_oufXiW(y z>=raa;^V;sM1J6Z$j+etke$KdAtF9fAF?wXd&ti4_aXN9xB|UL4P+(=_h5|=XtN4S ztUzU$#2c8PakCM7+_<5}%`HgWm={@bSq9qaL*mA}%um%f(1njl!1Xkv37)u-WRYRy zU=$-NZZuJ1R}~Svpr8aL5Y(7)Bqe6tFk<FG3nX3=9<eh(db$h@Wsley8XvJUv_3+V z9}^$3Gpu@qS^vTM>5!lXmmg`lB~JPIIjM<xpeBR8J)?uFJv&1Kb3Ma9MinL|CS4X) z$OHmtPMd{^olzKkY!8Sf3Yt;?Ek9Cb<ziC>H7F8VA?}lSjBuaxV|Ip+$LtLCj}hr6 z^a(pd{}XnG6;B}P<_y|7S5u@Q`4*IBK={W$Nco5A?$Er<WYDUdQV6YIT9Rg=7gU;; z>XVuWUeWs;a(nwz@V)H~%oCX>GV)Ji>|mV8$Tf+Pbs{4#m=p(-5H{~5##f9C6POg4 zIC&&Mr+V>l>9DG*u`<grfzRS)VQ1!H<_6ubCCkCWB*nzc!UQ_+gpHXSd~rDk=o~N> zCVm!9CKe`bP<iOe!o+uqiT^mL#ly(Tq{YO^Bmug;hl^Q_Rg;y6nT1u9^$-*Db{6(G zjI0-!*mkn8zhY#)!o<hRd6bFu1{2$U7WNm6taq5$zOt~-ViIKKRbrK6Rb}O2<!2RT z6=N4=m1JdOWsw(PRb$m=<>cpLbz)^^<z(gL;N;-sX66=T=HuXH=Hk=_r*~GS9!5|U zvamB}v57D-b4&8E^73*yF)?%Namllav*mIMF|jf!FoVi;VJ=oyWo92p`E9~v%J`U( zMToJO(Tr&cBcl(C4=9CCWM<-L>|g|CFeY9`Rwi*qRxr&A3PuKo3muU3An+71j;r>R zox%DkJA=bhM0)Ld#?El#89T!owE349Nk}?Cjd#zylGOB6(DZ5p<6~yfEWkG~`G%>U zi=lz}1s6jbvj&qe6B83N8z&nV3l9^&2p1Cz3!et33>y!ZF0%m>ivSZdD>D-_BPc4l z`1lxEn8cZwKu3Tvit})>aIrumQidNCSs;9wk?9sA6B7#)zZhsg3@0-S3pj$MK_&<? zfk+WPW<l1cj7%>WnWb5aS)!OghrBR}7%&^Na<H*+F@i2IWMSf0WOZS7W_4k9W#wWP zWwm9IVN+yQ0lA0;>>@5u|45#VotcY?jg^@hw6=?hi<y~)ok@a?iwQIz%*4XN1Xard z+LFO<4Vt$Q0wp&V9wruhCMVG82_gclfh;1dd93O3VyybCTC5tZ=B%9jQmm@%%&b2c zIe0l(IatAIgp-3=l#_#*o0)@|LtL1bgPVg}n43dK7SwcLP-Frv|5*gO1v{FZi9>@$ zgo&9`l82R>m&KcjjS;kc*@lUOaRDQjE4KinFj&HrnU9N|Q<#IFS(*6&BWMW)7dt29 zoFk@Vj7%#SS((@(n1mSbGBQ48WKw1lVxG;&auHtpFfcguLDG%KbHuz+(sOo(lIQFU zmCq6BX6|!#hHcN;87iRdBiK36H=yMSQo1QAD$PqyEJ;OFDU8XWq~i@DM8OH?86!g% zt0MTONi`;BCRS!<HZfMvln-c+gCL)rAQKlSxM#w`&&bK5$1K9a#jMW4&MXPKECifQ zSR6seM>AWnh%kdjyC9oIK<hpFA^wqgfoPBGykKXrf5Fb+@&e(XvKQ<Oy)W1q&Oq18 z!S1yvfvy9?;vZ1Mh4B)27EBi86<M%X)-y3IgL;Keh*^*m;vF6dZdN8%9%B~p5r90b zpf#yN8q!Px9IQwl3uj_x)nXB0wqaps7KC_f86y)HlO*UqL}nf)K~TmAmC6hZ2@@dx z`tSnLP5|xiWO&KW!15B|FM*fr45}~L8PMlfr$E<ZBKa#Vw<MUMfw_p`467^?=(0&J z&<H1JN*OeECBnqT!ph9TC<&>0gqb9nlvvpr9zfku@e<K~?0m`2F!3cj!!#s!EPBb# zupNs#)<D;*qPoMWn1M;Sfib(Do1uZZlab*Dt1Oc>lPnV#C;(tCl4IfryGRZcQnF04 zOma->AbD^A6-<PLkH9O$J_@B*><pH#*ct3zA;QP$H9N!c*X#^B(EEO2<LX<yu$6zo zNucTE2BuH!;CAX(NRucW(pp`}&G3X(k%e1GoSm1Gjf<Cq4RmEQ3o8>l8$Um53ahm= z2OkqRi<};_t^^y89H*?X95XAkI3MVGXC`hInMmd+E;cqMKCU_rR!NRXjwB8i4sljF zHd$6VZb1$qR#ss_RyLbR?h;mZ4o=W2dRBHe9u9F<9#$a^LDop-9A;5gF;;PN1-Ncz zR&EXf4sljCR?y`t!OQ`y{>%Za3gRq^Ok%7YEX>SI>Y(-U<~nd4q8#jOpcO(O6Lpv+ z1%#N@S=j}x!ERCJFk)3@6=W4>W#RB+S7+8_<zi>!Viu9*h!SAsX69z+66E#<S9VHF zRZRL!%1k_va~fF9IZQ;^1l%}46S*wHk|GK$#@xJYoUB|NTuh)H7Lp>uEXGVi>=I1i z#y41ntRRP&kRUII01vk!kBYc57mF~nfCNmv0*izoXom+klK{IQlLV8o47)Oy3X8k| z3kNgwUfLGWR=edaOx&zIY~rk3Y{IMpEKN*I?4X@Cj2ukR156dBL()mW8$>?pf5XnO z><v4^_BV)j)5ACH3|ep58C>2%+D$spashU(6%Ta27@YV(=c4OjOFw}O#U(|cBk=Sb z3kp*6QUXi!OHxDf9fO@cJ+VoFiWsJIjNrs}8bX;s5?f8KL!MTyL*7cpT&_HEh6Z-f zl3W?kh|X&khj%Pm?W_(xtV+BIpe(~A{E&s~6$|LZ9dPt;fNvTRXX0X!VPauoV;2Lh zP~zZVXJHg!=3+ze#MoGwSy)8bxVR-)Sh+YjS(rfukuVb%3omFX38;<T#K@$;&c)`y zq{QgTq{<}AEDq{f)-X9RYOy#luLLzuK)r7U27#H7a9;2h(LUYqmYreGTXu$nZxQ+N z=391#H*Z1f@gVI^*m`l;xEpFY;S9Q+qaAeKDtA7p0kVaWVF9}$mjII(I}e8#6ALF3 zml_inBR6<t9dwHjvmFy;p}Q`q&;XrS<;=v%WCZdKB<3za-I?$X;m#HB*crCHV`n(@ z4v`-2y<=x!e9z7x@E(#LFvi(WL);HBm4U$ndwNL57VDsfG@e8Ok_IIV#vX9p6A7+% zoO7-6)N`%!G#PfVE3$C&aj<hTv9L3pWU;!!qW+%6>I;iJq{k`@ny_YKV>M+1)vqk9 zBFtPYFt!*ZP-2*5S-DuOnB+lm>C9xssLo==tO@bSgISPxY<Q1o9~^kk&hX?tI|JVb zMEDqfWM>fi#LkfR2@*an(D7>(D4h}r$sZ6?85l$``=@ESC2l$Si6v-31QCOz1C)UT zr^=F41}6Cf%<s7OGcz7w?qvc~fnahUgj2kq8I(=}lfzP8axkedx-mI1vM|{(3NVQ? zaxmF}u3%>5W%6d^Ws+jt#=>xoU7tmWiG_{lGh5g<Hfbhy9yKmyDH#?PCIL1cC(e9c z8&xh=BUW|}O+gh_MNS?zP98=!9wsIpK4xhq0S`eAHCAN~GY)kQer6RmHVzI^X;$V4 zPBu0!RxTzFP7GOnR*nb_4qh$}7A9s;b_HFI$7IgL!N|(P!3w$s$dXT#U5HJNN%1th z$~ksXCd2#eP7l~w?z7uHVi$PGF8+X><379H19sN??5ua#c~7%@pJV4e%Pw_|U4ltK zSV+o%NkGw+o0o@~n^l!n&76gUnN^5`m6w~z6?DxTE0b6pCp&8~2NMem51Ry&0FwYG zs5Q(X$idIa#>~aW$;!^b&bok+i=C^Kmy?SZoUim*<d|5P-I>%_xS2c|i&$!zM44DX zOLy5cS)@QW$byP^emMcqJdGJvIY|L7W+_H}W)41fUKUnKHeD7S4i-ihetsrVAp<5k zCU#KAO%!yOof;2^fD98elO!_>8;i2EnuMmY8V7i*F^j648Y{CD2k5#|7EMVeel;F# z9vxOT4smW44q4DPV-*o0K?z0;19nXoRyj6)7A0oTCP0XNT+Hgse4sV=tdc4m%EBCy zto$q-%)E?dpbVkUw3dl!6C+b7qY{f5a|BZqn3iH&&d9357|7(u!ObMiWWZ$1Bq3@n z#KC69D#hU-%)`pVrohTBqaiLN;lOXf&dX8Co+TkIZYyCX$;H4B$P~u-l7mHs*^SMK zm4(fYRe(*Lm4nTW6|_Z~m&Kczmqm(s8w&%2z!FG)yYUH89)0-4&cODWoq_u^qTICq z%+65r8MEA!fUe`hl3$?Z$OXogkj}a#c=v4;X!mUs^M7WBEsTmx0$dU-pyMKWz!$LD zFtW0-FtM^SvGB8Uv6(QLFmW;R2&*u0F~*6oGKzz*a$*u-5@J#Z#W}Miiv~LzhcY7z zqZtP;w>lpus8`0z%frsZ!Yj$e&&DatBf`$a%*x8o&LRT}X+@@~phKoOnL?O&+0|Jj z*+f~5**H0QxcE8Qxn#hFw7@b*crbiHtlu>K!p`9Eg`L6Y3!?nV`ohl8`GuWf))z?m z0~>#VweQf+p|sG4lt-X&%1bQ|C;}hQ0v)de57&UF=RwjMT3~*1PHJLNT25j*=vV=V zTzf_bHG3|GCguo6IVOHaZYEWRNlc1NYAl>g>`aV{8F`r)IR(}+@-JoNp2H{&_ALwO z$W<;T9!5b{UN%8Ser9gyj$>$t&77H+MUaV~m74{uK7xslNr0K3iJMWCf#Cu)96lh0 z!~ZYr4BTJY83eu}(uK}fb_UO{nB!3lDE+j80!_WNqSRE-wB};aVcm}Q+zd_3?Mw{I zm=yVhIXIX=t32hI6q&e~1sEk51=zVDdxVr(MZt$ea<htXfcEsWGqN&@Fgt;#16YtG z*|b@~f(#FqL*j46S44XYG~U1CD?7s;BzGV9%Fb{Ui@Ra*2#E-gyTdb6N-`Lln8g`R zF^My<a5C~Su!u7-tbo`f@D0-*m2ZgfA>N*VqSWL}@KG%1n8X<rpyn4KnP2~nouT;~ zJ3|MO`OChsGwl6_8UC35aLY+dXJG1QWN2dUXJoj-#L30P!p6(O#l$4d!^Y0X$;Kqj z$|k_Z#K+Iaz$DJZ%?O%?0i^~R&_V$a1_|pkvN1_B@-V?JPTBx<r@?nb|JUI=J43*C zc81XJi2RcNot>fQJ3GU|?~we`13kAEwjZhn?c5C>r1>ZfEpR-5Pt5~uFr32(o|N(f zO-eN}_b@ShV^U;dy~&t!hf(P^qu&ik;umLPW)cR?MT52-atLt?vM4e0aDm6yStZ#d zLAf1t54|>%5W653FOw)Y4~r8>ry5fZqY{%JhzZ(vu@V~3KM><wF#oCj!0kU7=y|-j z{HK83f6y_E7SO)b`;0k{7?mC}`rTvXVz{sh;*N$N><j_WMokZh{hgg*+7Co}TlRyU z;o=W=1`!!Zc{2k#&$s6Rq`e4A*KP%%(g!lZ_lj{pBR9h|@G3-Sra&e>CPT=cBvvLC z@KQw3`Kr30P9_s*TN4YrGz%*;KMyN6=x`C}7DP@aekOG$Z6-}7ekN(qgq$E3!-Lfj z_Zs{}qzjv$><n%{*%`clBHSDOlbxaICp*I%=s6Z^pzd4)U5^fOZ!p}w3m6Z8kBDhv ze#FSI1?*^ZCI==yCKXgi^Ruur%Ry%vML^43Iat|2qukKlY#;~AF*z{tgLH!0?hFhU z)<E3*;3uL#|N198!`Gke48M@v%k_($!SEM5!<pxh@O%TMF~gSulvFQ(gSUzK6L>!; z6CaZ%wlF3bzB0(63o02IF06&Pcfv13J9+jmc7~<D*cn#+LWJ+GU+fHbf3Y*vT!Xk* z2YUY{X83}yQe6fO-V=-rAHcz@3=Up7)ZoPyw346<16q|T2@O~|(104lf^`sgTKvWg zSJ&SNcM>12Ie83yETEZZq@ZMASg;;q&w}5W;jtFU9^%6zCy!wR*dC-HU|=ZN0I^5m z4<cRb{$XdZ{=?4T{|8YXH2h&_So(*ZVbdQ-c~^rr{ypaeBtD>7nSlj*4l5|Vr>B;< zWv1q&fIDH0;7*uxJ*euBiRVaQix*08jOR~aiswn-VK~O3$Yec(mvOHE`wC{Z9v&_x zj$R%XHc4icDLiaU%q(oPd6?$#Ftal8u`lL<oKg<mi7m&(%A^OXO_^92MVMHbc$t_P zIY6a6XoyRZNtnrpNs`eS)I*D5Vq{`xW@8g#b!6jbWn$xD<zZk**a!&+jlYO+aQe&6 z5b~FuA@VOG9E$(4Gc^2VXXy9~2?z9kEC+PGDJ&d3kjlk^#G>NVywY57E2$(u-zTvs zJ(Yop#b6SnKBIxMKBIw*KBEB-Llg58MuulBd`!Y@!W^RP^89>4EKIV(JaQ6(vMk{J zLc&aujIvBTjE<n)LJ~~-7#SEcnD`hKAwnR#12#eY^W!f&g91o^fq_BgA3KBfKXwL} ze+d6{{9|YM`;VPL??1#pd!Y9c-Z>A6PZ8+62Gj_K8y%2x7!<FNdaN`rJ1@UHFQl>{ zm7yp#Ehja(L@z8cr!-a1vlw!!4d~2^Es!%WzC)-;(3uxa%$o7c3BOqxnphQ?I2w4E z|8ui(Fy3X>dBE)XoH><4h+Xg%Gvh<%Qg&Vr4tCZT%&gCuoj4*NFmv2z=DESlc%Rwz z5i{3A=EyssSXE>aViI8zU}9lq;ox8gVG%AKP5~}fCOIZC(6lzE2%9>mAZU6;kWYl2 zkws7vv<jV_M}$LIQ3^bcCMqY!#m>(OI(P{x$HvUU%qYsv&cethBBCm*pa33Ela`bb zVdRzOVqj2Y@?uJ7@?{rfV`C9xHfG`B<l*ArROGQ><!7{K=3$X$W@nRSk>ylibApTk zX)-Z0va{$gd$Of62{8(?GI9vAb8$p5f=&bl4J|q`Mly4-@GvuSxpH!GMS_YcNXR#A zg~aEH|A>Cgt^e!{um7_%{QHlH&o%}QhHOR-hHZ=-V2yk3K;rQZl>VRuiNAsx$oWc3 z?m_s7_)JPn2}><X0v~Jhl^J}J&0P-AQ8rD?cNh~TlJ>GBvL>;_Gbik4W0=OO&&1Ef z_?g-I8?y)#<9lYEFU*2W0<#1-7D)>1)|B}Rjz-Z27RK+)qQ97x1sFS7G<sOrnFM-S zwlhNF^&d0qUuL&iBCJydSZ;AM-{fZFV(ev^$JoZ=*vbM*1)?1+jQ^Phn^;WwSwAwz zLUgsUu(q-|am2F6d;rD1K8pmCGP?kaD3bt-1TzbpAQJ~C2ai0r02eEZ6caPMI2#Ky z3#SN^I;$v$5F-nC8bO#xfJ20xg<D*Nory(Ml82v-N0f(yS%6iXU51?zA;QhB$i^zb z&d<Uq$Hc|P&%((mC7~)ShnyDJS@>9lS-7DojzvyHOc-GwAILr-7G@S<R&G@ZG?TT! zDNj{Sjf<I|gPn;*8|n@&ZcY&?E=G{c<iz*|c|oO^K9e=G080>)0An(X2fHXs7@IPy zF&iY!v9o~E8#l8ej|F=;vmDEQMzE4@MrIxsZe~S33r;^UON7NARI%AJSu={V=rAj? zaWDz6%W#2GqbMgMk0`eap9ZfuUle03Bu#R%a=9_Hva$#;^Ro-hV-$200V@?|6cZG+ z5`!udW)*Sbk7bMjnY;&*P9vB&;Pd`jOdJfQOdJfAOdR0#h@kaJolG1IbC@_7(92KM zaZ6A;89MC`x?#*xpV2^4pPiwJIhWx8t1JsAlR6_Sn-Dt_WR8WCiJMUfwCx<!q=N~8 zhUQqAL_l-?pbSv}b>9yr4h9L300RR97c&Qg5HkmZI5Wb1>dYJrR?L|0tAVa_LgbgU z+!BKdGZXLxOnE)18#tAb;TkLGh$9XrC(u+UC>P7Jh%&J-tFW*$OR%xB@`6H2mMI2) z{3wIKUP!o2U`DuWDKiJdMrIC%ZAk7CV&Py&Wx?Vu=>B*VcOj;q3(E5u3p!ZyLACBe zHil=cicIWEY>LcAY|^Yu!Q#wDOl(5tOeS*1OmeK;tb)u+OiXghOd_7btO}m;9AZrD zth~%(+^nAbtZJ-Gd`xmI;@YfC+^p<uOl(ffvY>On#5kEerCCA#Qe={5;${SSRTvZ* zpynzIH?s;0H?t^ITmniPKxu6jZf4Ng8RAS5jPfk(%%-44bWl0)?HV8>Jy}5q3YakR zGwoqylwk5>6lOAIWM!&i<Ye*#6#<aQbT|MB#~Umh@Otkx3kSn@77m6#EQt6uVdY>5 zW+gHlAw#H4;t8qoj0qE%;z6M}ota?`n<5iv`iGg1jhU6nk(-&1iA_kDNx+()iH()X zoE@|;kC_W}ewrXND>Ew#7o#;ZQV8iFg^oEBH)9qHH?t`$0L{U^4P<0xvSGAja)5jA z!9j@sF0gViXh6Ko@RXH<;TtOl!*5m&24;vDt2rA7Lk$}T!#p-fJ%FCi?tF*T3lQZD z3<prg+oAc+IX|}`Clxe>cYv`6vU0MS3DQg5$ii@eO_7a@2{f6{xRzCQ1FHa=5UUsq zCzBQv<9=4NL##pvS;IK|Hn6g<XXRhT%E`#g#3mvn$_knQ0o9x`Oj1lDjI4|nY@Dpx z9K1}jygWRt?99v}0^mxPTaJgHk&BI;iA7M7jh~NGoJWG0iAxZ4T^nelpAjUWt}rqQ zvhuKTu!^uaF>x{4F!Qj8GPAP?F^NNt`SWH{Wz1nQV-{iwWAtNWXX0lJhWJ?F2qYXO z*b(W(mYsvamz{$liX9P-J?tC|7uh)&ey~Hr@ycFExH+ta(6Dn@Af_@fyucQY&|WYk zZ-NKIKy$~SaGk(t1Kw5tnhCUop^3SZk<po{j?tOPhT#jFA``n58#AjYvlJ7X46}wb zlLWW2GY=~tCpYN4M>Q5T4o)U+d1oG00S$g=x)KN77{biO!OaYsgBD|f&<ZT<%xWzB zU@=J+7BH=ZBqsr7L;F19;G;<;83man8Lb%kSY#PRn1mQb7&%!a8LgNkL9<>A44sTj z&Wv>|&d~c?J{*OFX9foc{M?-$4i1JT92^V>IS}D_fRlsaB^L)n4mTt`*Ffh1d!Xm7 zwFE%gBOjpc6O-Q%J|yg*>C&mPB()gaiiVCYg6fD01}6CjOnX`GGci73>SqE|-?{EH zfimkH-gr+&P9_de8ceW{mrtl>^kvdw^koWSn8&Wq#O1)o%xMYQLCW}_wS%#VO^}1J zkxi$Cjfq2;U7(wdqlZn3iIs`5pUt#~jTy{m?q*}{VPolLv*=;t<zQv!=w=h2!N%Cn zc9pS(jiZ@O=npGnGn+#jn?NgD3I{j4>l`-5$!u!V*qAsh**Q7b=dy83V^abdI+IOt z9vdq=>r^)HX>6h#taI7e=CQHQWfNJ%#<-A8;S($CN7i+W5TQ@3%nod923KSl8`yc7 z<o>b=%vIuGVr}B${LaZSL65mxkA<C)iE$6Bi7WR!S*Cw%5{#_Zl`|Y!?f5c%by!VU z4LQv?nfOwDby&^pOu=bJpGk?ykVz8MLT2J%6k!o%m*BEs;$WBN;$W0x7UGcSR}=y* zYG&b;<kMsnV&~)&U}6zwVHS`A&qPb`Gx5msh;fLrE3mV(2univN*v<coa|zzqG}T0 z_KmQd2tOmQsDcW+G-N?3ubhZ1hY*Xfv<SPfGLxJFhrF1QfFhR)ShXtD6d74QE=FE; zb~a880eKcqPAztM7EY)f51SIJF1sANC<`-GM21<IONLjOorP0^LtI%xScFesKt@0W zbln?^Fsrzh1d|AhzMuh*Fo!s3gdQ}3qawj0!llW=C?dfp&LhDgE-%3@u8+i)kl+(% zk>C*51Qj;=Oesu`tP(6jEDIRf6*+R5M45QF1-Oivc({3ZIJnt4?AduZgxT5o7@4J* zq`1|%Y?*ku6<8ctdYIUGI84~txkQ-+86nEKp~|J$**TP$j5!4a1X$IXEEzdC1-W<_ zb(w^i^w>;Tb=dh>IJrz%b=Y)R#X*gT6s8VFMj;j*W>q#0Rs}XwR%SL1R%Y<!D;BI$ zY~rkp9183L98t{P%p5F2%#2(PoB~`S>;g>OjINA~JZjwDd>p(Qe2TnWeBQiLd~Cez zd?LJz0t)=B0<p}2-0VCe+?GO&OxlbBEWDt(G!|xNHc@*aCMH?oY8hW?EjeG=AZS7N z;2b2sNAMu(p<EsghB_V&hHf52e&^@qU^v3d!63>9$?rVSe&rkJxkwk}Ao&|=ECbI* z2p=WC2joL$7eK3PJ0a8hi#h)@g4$Y|@$3mK@r((xxES8CE3$B$XJfy_#`1=f@iiwG zlLil$7CVzBBdaE}789GOKC2oN3#%rx8WWSEIujEolMp|v5aUfYl{;*KkJ)+{pRqAM zWz%`V#>d3?lFjZD8{<nhg)3~Vm)WA&!{4z9KV{>1#>V=Vt&#Bw8|z~>Cys=7Y^+S` zEOK0Y<`Qf&OkALmH$^5nCdhgLRnTc3%v>y7OdO1IY&@(AYzm<H98OTe17R699##Q1 zRyJ_=l$C{5L_l3wR7_S>K+INDK!g{xK2DSq(p_NUm*9~FB~TFsa0Zc<li}y&6%-cW z6&6+iole6dEhi(%$tx-am*?W;7hxA?;gp2vm1E{&mgeAQ=K?KB0<DOZU}Ir*0J#j5 zv=y0xm{^(Q*}2%bn8cY`nYfsgnd6w!Sv)vJ*<@J-*lbw^*r17*ori;iU4$)*RhTV= zRSMqG<zr^&@M7d+lweT<^;9%LxBD_NGCp8rQ(+C{0CySK8M$;g`FZTP8Tk}=S^1(E z!?}g|ICxn(m_esTGTJh6F$zKY9PF$dPK*hx3qVKX7+is*vjcn_3<l6da+QyR;VmBr zgET)Po!#N*U|24|!N4R4NoPIK{UKkV=PrgwK+@F&XuWdh2ZWDEXUT~r$r%BOd6~%! zO!60)b~Bx4V!XgKixo^QWjznBNP^>&!Sx6W6JG*Xyi~$#Mn(aq3+(ay39Rwa3C!`l z3C!{G39RvQ36c!EIP{rR-bo7zfD3D7XkpC>E38?dh4nUm&}i2pcCJYrd`vu(IXIXY z=d-&mVi#D*9=VELRG3#zkcIIryTB)Q#@+1J``AU8827X19AalY$lkzsgq`s)yZteC zb|wu_NBl6m!U=Z8<LpuFSC~$)^B!jx-^C9eHe1NSx`>0Bm1!?O>ji$-Gs3L@*x3Io zF*hjlK38IXCC%}VjrA`Z#~(JXuS%@zIOOJX@PlSu^;tL=Ihib3WjF+w1Q}f!xfmHa zM3_{0)u2r@NgfeKUN%v73npb=0TxjXNiIuK0SQGW4p9~{4p9*fEp7=h15p7Uc6L4{ z4n`p+86E*{Ax36q0U^-AJ(5iPd_2NDe9SDYf+7;&WGpNv!Ud`)IM@YPgylrU#f72b zk|H9EyrR5Nd*yg!7<pOQ#F)joC0HdvSpsw<kTM%H6Fa*)2QMQt6FUnh2Rl0pI~NlR zBY1XDpUI0^hE0Gefk~E0mRpU>iHVn4lr5T9fG?d_fKP<Ui%C>aLc~B=Ktz<&j!71& zU>6Z_6;|ML;1%Zc;^pOwVU=W2;#TJ1Vpr!7XVC?f%E3%ROa_bsEP~)7lZBa$jgg6+ zmrFp3pHs+HP(UbB&_qN)m{H7HR8+!_NrX{=iA$1EDws)>Q9_bY#$MW9PC-^tE{ZXT z5mHcdGchyzGrEfMiixxG^Ro)DGO{wc^0G3qGlPzV1?3jdt&CjEtO9cUkVE%D_p;xD z<dX}6kpAO+1_p)~f*cISLL3YhLWq9PVj&KO24M~cALzV94|JcG4RoCeB0pp#=B4DM z`lgm-<fjxfFey#oxWL%Y!8n0qCKH$v1(OqyIlGZLPa&!y%2q-+>HQp_LVF7@!#@ry zCQ&v4Gj>sP0U-%4CVnnnIXPKR77nHcMkZq>F=jDVPXP|5atK?NnMqsRg$X3dqQfM{ zDkjPc<}g`<RLUAMf!6-AGl?+Cu?ldB^MF=v3375UvT}=oI!;DR983mGpi+;MnV&;| zRhxr_iIZE7hnJC^iIbb3LzG>BiHCz9bZ9Y?0Jj(qJGTfA2RG<=KsF{0MhDP60!$o? zTuh>%JKy*OKnI7g3$R1fu_CE6;$q=oXXoUGsT2mCpa)XP!Okwi!NCqOk%!TggOy!? ziGvR=k7Ogr1Xd0Xb~^zUb{0N%UQTv?4gmpaZf<@N0d{U9W&s&?X#qA~RzU$?Zt(IW zBc{cSOma*%%&crP8Cm(5JeWk8)j6gzvhy){Fs5?NW8~yxa%5!XnaRj4!Q{pm#Kg@i z!e+@Tz{1Wfz{1Nc$fgVmB8CMIA?Zg$1koSL6X9T(CBngQO$1TSJ`v?$C>G~n$diDS zvnQbaNCA~FaOu3l2SP7c4H;id_ygf1(p3Ryvd*z69c{4KIWZ43Tv3#kn2a%w47&3k zEY2jqfN3A&d?v;POcNp08VGfj1uS@)3rq#f2N%|}850GQ%o3TBIO8p#r8ZlFS-e2P zbVii!fI-4~#(3rgrg-)Qhj^ugULJ;jocc_LOfoE-QanstbGTU-N%HJfQDPFA!_734 zn{$d3V*@)UllWgY-nmMwh~_t}ME}RGz$7+Bis>I4-&RFtoq{BlWs=}JK>aTp7m5y8 zgZ%@C{Sry0e{7O}*#!QuvHn(&;pSx#VlKJF#@xupbcu!iA`26bYBZ}Ms}cVeR;7n5 zl1$PZ%B%v+vVp7~hVIn@TKv2Q()v{#3hZ1O;_4zc;?`LzQp!9cO2YXT;^sUu($XRh z;`XsF;?9CP;@bHV;^I6eQpP+A((?T3JWNU)0-UUDyzES@ER4)d%*@OzLJG`D%#Dn! zjNmCzeI^bj9wrucNiGgf5iU_CR(4hn33fIP9(HLC7Di4ML0$nqUM5v07FJevbuK0* zHhw-X9TpyDb`~C9Ha<39CJ}a4PIeYnHWm?P0Tx+i2_7ys6-ExQdMyqnHWooi9#M8h zCJsh%E>UJ49(Ha{9&S-KE;dd!33gsiK6WM+Nj51y(2T95qJ#(&i=+q#7ZVRJFN-u2 zzZ4e}m!b>@n+zK#7Y~b~Fb6xkgpfElH;V+=ekMLPUN#YSRxYsp`b->*3S6>a88$wU z3psdUDp<iPRGC;AWw`h`Ww`X1*;)9IWSLk&r<JlZs&he1X5-^vW@kax4^kn+#LlPy z)~|#j%gM^j&Zfbl3|_O#EW;%Zbpy-{9?&69?98leEFcr)x!5@sxVYGP*jU&>MS?K1 z02?bKKeG*JrHDS01hXUy2j~C^CN3s9CRIi@W==LiP5~ZX##l~17H?)Y7B*%k77=E6 zfb(&&s(|_z?2;@TEWAvLtQ>6OtTteEY%J2uY#e+{TA&MlnT&;b#iW_|nYj45IAvJG z*vwdcnVDGFnAuoFnc2AXSvlAgSPhs#dLW_#%xqk$tgLJ@to&>;tj<uie9UZI@~rG^ z>a5z#Y%JnPqB5-PYzknthEP#qW;QMvRvtDPRxPMnX|M=88^{C&RxUO@P5~|vPO#T! zGcvI<@i3b)F)?zoF)}GIf);#mGIFr6Ftc%*u`x06u}^0dU}Q331U0-t63onO!uA48 zOp>5`<^|U?N-;|^$*@a1$SKM8@-Q$QcnvA{6eJPtOK(XIhI&a3hGHp1x%XR+gTY3H zgMmd2QtmB)-jBFvCZv3OvKB&v3qb}31|M%o`G;2Sg@Go<FiSqil$0U{CZz@JPuS+O zGcI7?#R{fwaDu7%LSSkh7nr&!4W?Ew&SwV=Z8*nsgG;WEc!7jfjPX1P=NRJ!8JU<^ z7@3%u6IkQXX)i`5CMFE=4z75mgcoe_5(xtFq6sJX;x!VMFvd$H>}QPkOPC5O9Z$2x zb0n-01DgjiQ#qa`feFHg(x5UFBF7Z(mcSR!0@}0$c84-p9kM*SJD3v$<5?0|;#m?@ z;#m^(;yJ-=HZY$vp_?(@D4`_2g)u>xVFkAli{K4b?(3{fD_Gc<v#{}~GHEVjW85pi zKZi?h8zUDJ7ZX2=7LzWE&}t5z4IFGdkxWcX!i+2&%<Pg(R*wW2ugdV;l3|%D#WX{T zWwsR4JSpav0<3QYSmsJGEs$b<E5Q0p03^Feiut1eYm=ZGFUvwHrX^BLUj<ly2(T=c zVp=A}{8NDSj{wV3DW(-t%zp(~*?Jh61VpDZGTSQ%$uUmmQJcoY%2CZ8#Kg(OIFm<X z9uMnWp1^rL9CLXD7x6GI<ay8dgFECucRynjkI*`1J|>a%%$yvIjXe4-V10ogef>NR zJv_|aJRDPam?!f%PvPO1%p*I4hq0eWV*(dzKi5*m79Ngf9-%+njLkgGZ9D?4Jh7nm zJ~s>dUS<w1jxEffiE@G6%p9Pt1DvdlJOUit?5qtu9RIn!FK{z6DV1<BDX(W@-NGcX znTd5CBUdt)0OLb0t7lviOcIP-OoAdD!qQBfj1nwDOpI^2Y<_UrFfo4Q()q%bEG#1- z#KicMO9AYXsDE6Hf1&K{jQ6;hpK@{h;bQ*H<@|?>Ls&qBgPG$y7sn4Sf$v<RpST!b zaw&b_Vtvo${eX)@go9b)Jr~;-E)HRi`&>L9xHx!OUvhc>-~#D*#wGBSi{lv=$5Sre zD_l00x%wG5@QX1CU1njt%B6XaOX4n<_dPC-yIlO6__>(4S(sn4urV=S=W@QqC2*4~ z_8Aw)Ef!{0Rw1|3{9vzN;o`W=<-LPjX&DRiViq<gAr8jF+^ZP(afj^XUe0)cn{hw) z2F88d9DBLFPjNeJWaOI0#I>A>c^#7glTr%{sGqir2b8=RH}Yt1;W1_sV3%0S<GqDP zU^5Tr79NhxJUr__Cxr;8@{2LcaB%P_2(vzC<g(*p;%eqr@8M>ZX=aoLMXeAM<4kVr zCEQ$0vly8emvfhMNU)2n;$~dPEx3Z4bvbtwJJ`9)xH*<`dvD<8;ACaw=VzYG&9Q-- zc|EuD25ye^+_Lky8K-h9%;9F8&F!~>n`1dQ=NxVhURF8A)!eZ3(Zem#&CLdu<Z0tJ z>E&)_+`!EtEy2h-k(<Ado1>ST15A1!<Kh3#=xi#<#4F4!#>T`rm+Lm;5-tHI#-&`V z7*}!eva<?UNV3ZCU1Dar$jx+xo8>Au(+zIs%gl1JtlyYft}`>;VrIF?&2)#G<u)_Z zJ!Y2M+)VelneQ_*Jz{3L%gywFoB1&_(+g&|r_6lwgjl9ZvB9(6d?7hS)+0jD%(qyG zX%0x9X^|B3av`Q2Lh#JDT8MR>5H$0x7h>Ha#JpLERgq1F?Gh8qc_x+%AW~kH^%sa% z)@0RT^WpSl@nZ30^J4Lo*JZ8Y^wczDWo8j%7Gf4;77`R>7UDg`$kxorVav+M!p|(g z%+D;q4mxUl9gER+Py>sZgO7uel~sV5kB5Vo^^K6?QeMSnyo#6k6c;jcR&p^g7%^!x z39yQ?v9PLgSTIR~8%Tnj+*~}IW}w|4JRICIpv{GRpj|oqj3T@od>p(iJRIDLTymTo zTx<{wYU4BUvTE|Nak9h3gn2>z1vWk|77k_>77k`DUU{gAA|RTJgHwx(m5Y^=g;Ru2 zoR@`<gIAGHlS6=)g`FED53-q$l?ycT#KOtSYY(;8giAz-N0Nn+Q;uDlg;A1^Uw}uE zM~Io5TZ&7borRH4goB5dg&jP!%ge$Jl~-ZrWR+x>Vn-3-kYpF);AP?B;FRIw;S}a# z<x=630pI#1&LqJqp^+@k!i~)(Zcx|hFbSy0YpRF{NU)28P6tpD<5h*(&nbp#7po+@ z9=j|HH`F~!9Bka`>>3v8Y62Vz?D8z!ay+6E!dx6o%-sB398A32O6m$`pv$ya4CRb? z`9-Bw8M#0WM|OG8Qfzh+7Fi=DO937hc78ri76ncY7IronH5Tw~PzsI$E-bvPh9-Oh zQWBCZEIeXzQmjlY>MWA1jLv-W;LyS1Iw4+MGCE-2LBd&!nTbPN$_vzcWO0>qV`T!J ziOj((E5OSnz{t(Y&dA~l^Pz+ZhbXs*L@;|0Zy;|3SVypAh!hJ46Nj>_E(<$onE_^) zdx^7fvv6=T@~WVQ7Kb{!1{XMd98toImy3gmLy?`AlZltr6B=TYMne3ek{}ycx%dR- z<y4jBcmzPZ4A><(q$GF+IlyTRGtLcICA1VY<wQ6**||XRDJ90Mi4qG|9PTWftepBR z>MRh`mApil1Q=O8L84Yk984g{&d8Dq4TC&kCT1pPUfyJO2`*+1P7X#<0WoeCb_D?t z+ule(g(aAW1CjzYK{>$#V!oLG2d4xR3%fRxw2HE(02>z%M2!p=C#M1vha9WCFrN~O zijs^X3o{F^IFkXZh!&S93qL0t*xk^W;?PuwhKHOHE0e6T46`sarOFA&it#G*$_dDF ziXf*_US)PRUPe4AxP)DrB^c^AHx2^<Yhg=v9#BZ|aDsBV4BSN$tRie2DjbTU?EGdD zOze!HRLsgGtIrP&Hx5n~PI(qZMkys`aY-g-UeFkUG?N6YHZ(U#@`!WFBE_2=ho}Uv zybuS-E)Gsv7CspsS!PCMCN?=XSrBFg?U&?%qykM2J{bX!tO95cC7UcOOk9OcmR*ib zRzQwNmW5G=iG@)^flHQ^(M*9=mW5G|ITl(LNOG}q@rd)X7%<DR@G|kpfJzxI95ncj z7b7NXW>FRvW>uDArWK4#+ZdT-IW;*nS%jHHm?W5Z*aMjz*}_;Q+0@t@nVES&9Xb(N zP99DH5o1o&RWIx;EsR3$q7p3MnV3~rk_BWr1-Vew2s5*b$Z<+>s)@L9^0J0AD@fQ0 zTe11G>Iw)63y2u7DKqIXaWEM(i%1K|ykKNkM>ar26qzSuFU=#vEiI*x$Hc)Z%Oc7w z%wjLkqaeY=!Ky6HF2gI$%aSG_%AW&vPpkkhKWJf&05dy_oB+ZB0&KFJo+@_oK?0(j zvYc`vew@6lk^<R6@v0IcY@AY@av*1NDrndVi*h=!rV7Y%25@nRaWGjk@#yjxNUHL3 zva?9(aj5gM9${t{U<orY)ejO17ZqU%W9DJuU{*IXGqhwDW;p>`{=*Bp#T!eIXfw03 zqzKuIim<3M^INzX%d+uv$#QCQ`3o6}NLXr%WI$ae&n3aRijh;3tDIAltDT86mc@=4 z8p&+EjG%Sr&P?2lOf2loA#99H{Oqe3xp+9wG4e1n@i6jpv+{64u^*J?<nCe?P~*SD zD5N3CDikQlAtWfsD54=OAQHtK%O4`v&nPM+p(M^Fr7wA#QN}@<S%yQJS;kqKLq=Ab zQBFgaRW6FzTarUcNRm;(SzbUPmR&+zKtfbpN=$%-o0(OVL(E&WlTpb^aS@}6jk2wp zj_M>v4Fz>pjVN_SEd@<httjSLRb~|qWo8v;WepV$WdRjYWkww(ZB`v`Z4DhZZ2=t~ zZC)jBMGhqnMFAxaMGhriMH{_-MkWn?Mk7r_2_tVq4kLa>34L}GXJY}ASYr+YKSr?K zx*U4mx=Lourjiz`7|lbh%9$h>B`g_jCV-|1xEWb3Ijp=bI~na5nb_?`oirVzoxB|d zoH!jhoOm49FuJHae`R#Dc3sNo0n#Yq&gdoR$?6pa9v5bH=kV}$mv`fEWp;CR<#3aA zW%N<-X7%y&=J4V4=JV6=W%Y~V^mdkTVRPni;c+$zXlC?R4dD0Z2;lJN2=MlAVhp;? z7$_LBiZM7gj6YO4j3tyQj4PBWj1!98Lir+u!<8dA!<oR?FPt@kGn_SoIb1M`C6XnI zB~m4ZC0Z|zGnOTeC6+CYB~~SYGrpTK$tbaeM^QylLy=K2R2bR;-XQD^W>sh))&sO_ za4<~K;9wBcMD(lWv^W^1YH={^(t`A>FF@B7r$E<PEr6a+Cr}INPrtbc>1Tub)tPxE zp!3W@V~I@S2~D7jN*}U-7w4>IjCX^M`0<0M2f4ugPe|XCAHq&xiU;*UVSQLc-&7JJ z5AL^$f!PQ-rg#@{{~6NnWrp{oMdIZW<l=1-1Q`DCDzXSO=`pb{<>WiZ$-&6XBhATl zmXqg<JSS)(h?7YgItYj{5!BAe`GSY#8Z+ZnX0AnyDDCXUjI0XGTuj`oO<?g`+$_r( znRYO`@j~0&s~MTrF+$ti>p_=XK-=7#8Cf~mMEIWZgSxZu7WY$rHX#-+CQfE9CTZ3e z{Lq&7YksCDV0DY6nBVg=H3&Gsy1k$InZEHuTi)OKS%2|EyS=~pS>>2GnU%S=iLw4; zliV!J&B|%Q^@v~YGN0T*MmEqypdynn==M_ZNn9*U!rV+O?i^CwB0OA7e2m77Jgh=& zY^-dc@jgCYK}{|;RyNRXdNwxjFq0&d%?g!Q=3--I0n4$m3JEZ?gNErv+4=ZDx8||% zazSYU$b=@uT18eSwnSDD4lc$HMpjL>iHxkuY*wryY^k6z?@T5>Mhzw&CN3rwMlKdU z*z64lCqD}xBQKW_zaYO5mmrf+fFP3)Cm2hL@C%EHa0)Ylu?LuD72y;XVPR#GWR(+< zW0VsV04IBf17eW$pP-GHA1~JCVCdK8V3?wfn2*_{&B3rw8*?2R>>h1UItQ;CcPvWx zE6q&;A0{(_aT?^zG<opiA$2gR0J>tSiFqLt!wEh`7Je=X&=y1%Z6+QjMHU5SRz@Zs zMM*_SobWTLF&<=u1Qb8hb4F$^h7aNp_X_B6Fn}+<1FaX=;b5@P;b5@ULAW<uhl3$U zhl61Y+PT1I&Op{ff!qsO7oLdZ-~_XH#)N6045|>%n7{_kqtloezVLz0Fp*;vXX9Zq zViIKIVNzvP<c40UV#dVB#L6hn!pv&G!O9*CbFCaBCrd121SkSQAuS*YarXlq#Cp>& zIvfnFx*QB#x`=W{UYCQxN0);kSr<~yps$Bp1KsxmG82RgFxGJ;C8j{)fPqOIekb30 z#`TP#QMlhs41N5HOsp??t>5qpGBLj8{m1wMydHo>kV&3hfJKN&kVS)2frks!rel}m z;AiCGgiU8k@`$j5PwG%)GGG>9(PmO$RRAq#W94N5pJ}AVWX&kV;>yg$62=$_3I_%T zh6z#-f8Wr>^!Hl|{4IdAuM-lF;0x9B(m|!{ERYw!@>>4@dGROjKgKU0FM@)LK|luL zo(w(2IBK;X2Sbk@2g6c5L_BC4axh#r;$WyShQxyh^j=MuFOc+OQv@mBFF@z%IetO- zh;)^b58iB=2Hv{<fET=5{ksTgpL!E>2dJLC%*iOg^ob==BI!MIB5P6yKf^M9eGYyW zNe*U4u{BJrOPM%XnR(cR7@zV9Jm6#D;$s5cPRS<3#>67Z20CnznMqESi3@Zx76|h) z3$Yoqn&^VMDDl#4%*@P;Y@3*vH!^`PXkcbzbzx>=RkLN&RWeg&*J1+I4ovJ*7&$r_ zP0ct$IJ`NzxS0gmIN3Ov7@GwYw+S$BWa4P%^KRke0G%<?%*VD(fMcrwPn!TQiy#Xt z$U+e2XBIPJ;+YGwlZAto^$#N}7qbYHAa6TNWD^sIDJutWGYVgnl~3D_!;<woBS$kI zM;9N*J4RM+#?Sm-I|SsI7~cyBd=g;XE#SFBfSD}|wDjztfb}r}PA10V0<QZ6cqJIm z3Uo1U6JXvez_AZRI`0z@-76q_MnK>UGiX8oTN#<t0_7Z{>=Ne$7>^1Fo)FLw6x}Pp zaY2CfxIipq$m)y$$7un-St6{rnNiH)<6vbyDxkVUfO)%s=sp3~y#jhW1bDX#Wb6~* z*ef9WhTnvZ<0U`G7k&<YR$~?>b{1DAO(_c|CO*~>4sQ-F4nbCK4kk85RyIEtb|%n< zdp2IK8s<P|Mpkjgi~NB{_&E;q+d<5_#m^zhYQy2qYRbwLzyi8*0DLjLIM)tF=1xWq zRmNU^-wu9`c9{BQ{2Yp`tQ_jB8XW4Z!fZ?|+^l?{L$X)|S=qRlc-dLqI6PSunK}5` zSvf>z@>Ngb6Odq@!o=DM3VU(ZxqQ5n_yi{MG0ox=n91k6Pk>vLTbtXOo10soTbkRD zTbY?dn4J@Jn1nuyIFlqh8;1-#8;3GG8;1|0Ix8C+Ckqb~D-$QDIu8dEHxo0r3L}RA zr=Tk{o0yoOI+Lf6fjFClprDEf4-<>H0BF&jxTFj}6OST~k_5YeBs-e}D;paN3x^b| zGz%Z6pbZl%W1f;I6N|DAlai30xB#1tims+4n~WNVypEC@lf1rw9;YI&nx>%u4=*dP z8V@szG7GbS2|G87GSplh4s9s`0X2427H&x%ehmdyUQP~W4kdX-HW_{?1tw-mSqT<N z7HM%NT~-MlK2;V*J{b;aaV`NyUJYbZxV6<mUImRbF>%RAv2d#j+kwY2Eaj}YSOl1O zg=O^x1Vo|ss7vu`@~R1dMsyVAlw?7-gDM&`aR^E9aVq*Uvxx}_sxxT_@e1-Wf=*U3 zXYx|f)szsB0PB|Hk(J^V=4Ij)hRFG<Xu{<rd6YnMygiIkB5KU+vRo{hAg4>Ps;~(# z@v_T1a4>?8K(Lgw;$o7rE(aB_`b?l1E*WMv7G-8OmSSc$mRq20_S-<j6i|;!gPEPh zftihEITMpLs{mUjt0bEYlRA?ilOwkP4=1A}qb$1shY}kPuK<TJ8xJoJ9|x}#mouX- zhX8X9TZo_pj{>7Sqb!R(HxCatx4MWKyDGOZPc63qTP`avTQcJ&kVV^>m?c>DgNPMO zLhhm}EV9h<EF2=%!ctu2+*}L{9gIu@jMrFL6q&hMyqQH=*ueXSZZNTMF!Ql_vC4A@ zurqRbau)Mgb93>z^708N@Cyh;@q-W9<8$T}<&)(V5aM7G5S9@u2Q5VrWt0#Ux8>pB zW|fGAbT~vg#Qd091(|s`xS4sJxs`Zi896waxkNcxx%4=Bxn!BWSvlBbS#4N2m_IQ| z1xj*A*-3Ip$x6;=l<}43kg)@I*yb|IRm<wi@yZIwG06(Z`N}qeR&_BjEYOA27c)!{ z>kU?!a4_sP;b1svf~YSzO*t66OgR|RO(FF~4D_BS9_Tr09MF1W1GK(4fpRViXuUAB zz5s1LWNL;SK(&z(bSO|0b01@(XwqD!MD`?ph87`37CkmrRv|VPRzW7=EzGQoBn7U@ zNU?A+gAV=C<yHn2;SU8gp9!!&6$p7Cz{<*cQ-FVx2>VuMR!Fl-kO|a?RAk{~6k}%P zkYQKk;^5?CVrA3@tpel`Vd7zBVdoM6ElZN*;^*KI<&j`x<rie<;bvzCB|FfiN<vIx zOk9k?j0UV+9Odjh9HQ*(96}(|`#=+)0?bW}Y?`dBY$2>%Z2ZjZjQk7@`jBvZV2TLG zFQyy}tY#bxTxN)Hv^C>k=rZGASZ@Xi$34*f+<TzwFke9DGr^TP0|UbhjP?&S9CIKC zRz8MYLI02ua{R?JW`;#VicC(RX{(<CTK@#3*(G@x8wJ}KzX@=C74Y~bz{<h(SAgxC z0Pj};o`0ag1GV53nFK+{Ycoo-@G%L3cLQ*;>hSO~32?G7^YO8RPEg|EXW<m)5o2a% z6=atH-G&6+Km`&N;o{=p7v*K=;o<~u#xrE9WRhYMV>D(09fHBZ$<D^g=E%*%!^JAg z3Oag=i-94RNsG~xMU|P0C7hXy$%B!fiH(t$iHA`H>hS_YNO($^BidCO$xI9y<{S(< z=7@H%fjI|*qd5lytep-!Cj)jL2dKS*d_hJNa|lBhQwZpMbI>Ukpfzi(u-h?2nWSOc zlNc^Q?Vn)|i6@Y=d=IiREHvj}ScYVO>Ooe9s)MWyu={FYH1hr_@Ch6(%%>R{mas=N zg)&MrFfc4Ig81);Ib@v}$o$udObn~0voNfkjyR8g_eC~_6BpSS(A&u{^C9PggU@pZ zc{MG!1Y{BegMu-{{0Iw#`I#0R4E={#7$zS=m|tSS!7$Z=1LHjUH_(0E5c5+D^<btm zi3b?^GX{kDgJK$d!YL~gH@g7jlyMdoMivehP6;MfHg-r-5Mr`nRAFIdgq_HK0qU*~ zNbaKW9D9(<;0I_!e9yq(U;_2OCBpwFDLJPe&6W>P^LJPx`jrnYIT+qraxi?dM5Ip> zD-MPTD-Miv3~iv}DarY{1x2aF#rkPEi6yCe8d@*`&{^Q18{=G3!7`u=R^~GMGY0tk zgAOb0V`2EgsK_M2;>{$$#LXna#K$2DY6vrNF|l!og4SlR@UwvqX60nz;__l*XX0m; zVB%tAG8Qlaog~U(&kZWf6j|h%K!+5uv&(VaU}Q35VrJsv7ZYLy-Cf3|!U-N5R%H6Z z#KgrU#wfwW!z{r9zWf&y$mWpn-C>0oS9)N@!SKzBgW<Oo!vB)i91K?091I@Ned!s{ z{W@!)`(R<-#p-|XN##yC`N`Sfi?VM+I@_|~ZZ>y6BPbx2F)^HAQe@&|5oZ!-WM-0Q zVP~>u(qragW#SX!<^~Olg0}R_G3hgbW}jFXS()TGMYv=*g_xLGI9Z`L={ACn6cuL@ zX9e{}z#+q6U;**p3~NMre!!Z8;hHrE!)<GX|7>kI7>aB#<M#q|9Ufl)f#NqjGcP5- z9DJz5XJ+vEBc@=|1hl`ajrl(d!z(657JfDvE+Hm$Hf1(dCVnP%CUz!fHc?hKHhCr% z7FG^kNmeFS9#%dfMs_9%(BXJ2oNVGOeEiHJ%)+c9Z0gM1Tx_g*tZX2CT&}_*th}tO z{GwbIyu4h>vY<wcB1;St=s0!(R!@#-(Al+2>`Y>U>>^Qua*TpvETa5O{489YTrA?C zc|r+xaqv=JMWz_0Z%j-Qj5@4b944Uj#K6F?!3q*?7i>5f96;%Wfq~(>4F>~<Ee8Xy zEh60J+j1}*vE^W3fZqH40osm8fbK&e5N>%XzMy5|3{3JLn5HqmXJY)obb<Lj6DUPY zU}w0(tk1&1!Nktbp~lW8#lptU$Rofa%dW>R&MwL(#>&JgFJ;Cms?5a0!lB9$CZNv2 z&T7Ca&&toqB&NqI$jTwc#KmF3%OuMQ8uQTQVsd8DU=e2F;!qW3W@Kd%lHw5JQ|Dmj zP~u=`72=j=6=aoR73JVy<>k{86J!;Y*WlF$U4F;G$idFa$RW!H3SoT~O{VFLOw$=z ztvEnyU<J8L`GlD)*f|(^S=IS8dBJ;j^_gZfGHEbPVPvvmoW;Z>z!=YD3rfNa3=9r- zkZ{$oL-gyz>^K+_?Kl|H>=5BvV#mQS(T;;b0op!;<u{!xkbXVha0TbL;FA2J)D&2* z178Xh=+Dj2#(a*EVGoNUlP!}7i#n4wxOit_Wny6lt)$~-;$dQC2kjk|W0GM6U*8E< z$tc0X&m_()0%~M{Q-gp##2+7!{K09@!60tW!60Lg@Q0l}2ZOgg2g4t<@vSM)acMMv zB$ei5dn6WTn1GIPXaF7Kz^Tt@AkEOmyo!<G5epv^Hyb;s`~$ahm{|CkIhaJ51bMmm zI2butxk0Bla5Kp;xv`6|NF#ALq!|uC-MhdZk)C(hb1)pW=U_OA<lejX91Jh)IT%9F z+?xR9qq#R1lzPF3j<Xnq=rbDd=`$LD!nl~BiIoqu?2k!`iIa(+jh~y9kqzV`NhVe% zInZH-d`uvwJ2)^w>B0dLo)Hcl46v5UA_oqJV-6e)R~!)SPD4iyh6Ros3>O_CsxqMG zW5C)=9?<o|Fmr$WBqF_}<|PLgq^71Yp!rTiD+N+(xg{2tXzCTE7N>$Pj^4odm~lNL zBYe<qArqKa2_}_619)xBrx=;k8M&Ca8DFw6%wtt#k!IrJ0xf9ZVhZKrW@6dO$jc(c z#<7l(Yc(VHJVrql3no1lW<gdyc6nw64$#T4oLn+pjBK(@794s^+)P}|e9Ru)LZE{K zHMz{VnK{^5g;|-|K$|KQS$x39R7i5LGV$;V2yk<1vvRR;GYhh@GYN39uyeACFtPGU z3JVBu@GG*h3-EzdGqErUOG<KZi3rP!D9DPkGYasq@NzPWaIiA5h_LhW2@9}@GV>|0 zgLVxuv2cn=N;0dkC_{P`bxfd*MrN#BY}~9Mhs&`FuyL>|vXn84u!MqE%(IEI^09a@ zt3Z~5BZmY7!v<$aJUcic;(3Y_2g80R4u<Pah<N_w%)xNOg@eJ=6?;72@rR7#!QxqE zHiVB6gD?S5I|Le==+O*nb()|Hf>U-#em3+#{j2QY)A^>bfvFwLU@8?%c0jr=p`e~i z8}mFi7D487pi|1Hf|dbEvam8A<z#4LQ)JTP0F^F=+Zp+GFtTy6PGA&g;$$+s%EWh# ziH(hoNt#81jf;t$iCaWzFQYgcGZQBh7Z0ZbCyU{4M!r9cY)qizjK!FQS$IH-n7Env zn3y>v*qOK#7&!#gIM|r<KC=pbVU-XNVc})s;@~mzXX8H3$aaE}m6?l?&s0Q1h>4fU z^d}SlFDCAtY^+~c{iQf0<yaKHv-1C7mHf`i`h(S9j8#;Qmz_zPQ6i0vi94M=i;c~P zNsf=5iI0hg--nHxjg6HFWEyiE3kS0dD=V{TGOHphXlRj%or9H!gO`hwi5b*L1MMnd za^z$QU}9%cVe)6=1?gfhG~{~2$RW?A%oWKc%^@wr4L-mUlz_DuMOazc)LFEc%h^O& z-B`4k-!ZbXv9YuHuv&xmY;#KTa53=+3Wx~sa<fXXS+VJ{N^!7)w&ZY1^6+!;hzm#v zaC30;v9XI-2y=>ox<f4Da2Y06Nj^4qRyH<oCRGV;CJAXF5dmREF&1%IxTzeh5-Q-a zba}WgE)GRLHg!fLCSz76Hf1GgCP^kqRS6{?buJBdVHSRvi&*)2nI-f<yFpkqVS1TG zc(o;1^my30S$NpFMIrg7i;<a?Wfu!G8)zC`fJK^Fg5?+^vjB?(GapM2h#|_%$HLCc z#?s3K7L{P}1k+$yCYC9T%v>yb;JhcqD#7B+EWjebEWs8Es*<KNGVw9Su<$XzWn|-H zox;Q+$i9V%i=UI5gOz<MBM(2fBo8b1QBKf#ZN8BFx55?C-aqfk!Eno!gW<j_qTc%G z%E7?p#(~k^_krFA56f0q+q<ClU@)lq1-14I{22qZz>WPqj0}@F6qy3y&3F!Qz_5ri z>4RGA>|$K3OrWcs*cqWyHtygCyDSqgv{A;uz|i0aaesyzqWtJ~<6xNX#=$Vh4dMQE zZX66J-7x3D-rOakJ}8E|y<i6DXk&$ZP;=}SBf~rnMezA#qKtx!oJ?$>fLCNO0PSR8 zg%-Xn+|1H!oG|Cdfg_8XRhk8=nt_2K!5`v&4R?h5{oOej;@mkHlHC#RZ*=EiSm2K7 z{x#Q$b-zbx8t4eS1m}3hgp7E`1lf3yH(oO`Y~oO4GGO9jQDcJCEbN@jpygbQOpI)x zMw%jvD3dfh7pNv+;$#<LV&#woZN_63XMx6w0W(N1sMHr_6=wqn0|P@q0K{Jm9*BOF zvIhr)xd#V>jR(SC5gr^26FoQ>mY|(`u!Wd@B)DA%K608VpRqtXpRqtDpRs@q96v9Z z7>;l#GRbj(jx3O7;$=!^l3|i%lM$BXVgt=8DYEb}34pGYU<94PBn>`Em7S9bw8a9n zoR3k4Qx|mU1n4eECIKcsL2WiZR%ph3$jHRWB*MtWB*X}!!9G3^2=On6C!!s@)suta ztS1M<7f(bzcFBu_;jA|YgOd-W9(x1r7u<lhQ!=3YNeikV>k;M<Q;&fMV$gD6UTQfg z#&Z+%oH9$oL1#f0p;u`LC9ZkN`6;Q`6hOP_pau`RcGNyS$PG}%3{3J9m{xK2GcitJ zy2=WsR<rbjJ27T#wM++@7+*26eq&^+V(emM5@7@#IQW{K&7GB*Es{x>U6i4LQ=f@_ zKf5ZE4wH`eL8c&4ZWShdFa#Z7&$EqPnoW=cbXL7PQzR2N6Zd+Ks!bd`Ora9e;(VJq zL^g4VY~)~F!@&bOFqxT^i=V@tiSZ~iNGS+&gR4s}CJrW%ZOq(E+}oK&w=oMa32bL( z<rQQWJjBeU%4XEYs2U0~8g$welK?X-J1UQFH#<8UGb<O9AP8<_XWq@u%E->a%+3W` z;LFI)#mos|vG6c+gHDQLb=BR%!72=Hpy{))GfFeDGJ<xb;-Wd(q&P*{5bAL8Ihh1l zCE3K_*p`QjTaXWQSp;Zr1P3EKGY1nhC#W)#W@6=&6V^gDlS!3T6~gC}69!d4IecQE zqn#N++Y%u<K@}%NC*<~7D4WBIy^WDifRmGnmyewnw1JeJkr%YFiAjhNw5kxIECz1) zE+!Um*IJ)R9TcfdI!sbbqP(I4>Woq>qJp9#U|LjELP1<oN=#BxMod~#PE6KTflFRc zVI!lwmV!Eym7=PGI*XjLfSR;wj=~&9c@YI87CB`R6)WX7Mg;*DJ{DbO(9AYSO$=D& zE+!RjMJ@&gGp1UmY!GC9#mM-Lk*kWci;+u&Q-q6^vxAYRn~7VDN0eKEN1gi_Gm|?b zGgBlR=w4xdW>HXEazQjCUqA3cjJN6daxhr>axnP$BJ%YDUk-+=z8nnSd?EQ-0NS5( zfQ}2vK+nAcnF+!b+F>9Hf1ZMLFwio#hE_&tS{ib`M)X7s&VagzTbT4gMY|ST8EXoY z5#vTiHfB~<HceJ8hC`f+Oq_4o<(N#Fl;5zkFex)JGqEy>GO>ZuIupxrR&XzbiTeO6 z2a_0^92;m1P7o}|&BVpTt<B2F_nw{k13T+`b}>fbH<0Rqm5GO4j6;@Pf`fyJg;|YN zf{lZbo0*GEhE1EDn~8&sg<Xh)m6e@Qh$Ea`fY~0j!%UG$kXejPmQ{ky2&9~en;mr1 zh7Y3+Q#w0XffiF4V+xBA^F~HchGGIAkO2;_3$c*+i10(iN3|aZL%$yf!xTS6y|T`a zgW;|p2SWo|y|M&azG4I_OaRm`NY2SGP6eI4JR5ZOvavm*gD@C_da^T_82)i8GRd*A zF!3@;u$eQ7uy8VIbBl0-#{wX=3JVJh6FWN-6Dx->6AQOE6Dt>}rO(PQ!6d^7It!Lx zfR!IQmQcq8I`>AL(FW9dU|?YQ5C`#}g+HPm3H0Y+i1X)QNcKnguh5@^q066xp$2+B zY0Pa%I{-F*4)YGQJ?58M4y^;2#2XmPK-c3)*Mq#Xg^^(!mm-rClNpmNiwtD&12loa z#mL9P$}Gtu!3?@+TO8alV-jT&X3_xFeN3#(tV}{6)f?g=?tS5psOPx@I2eQjI2a@X z5bjk7;9xKe;9yWW1aT*9{N@KVe$d>jp_P)E46U{_^}tRCAApux&%w~f+`!22flHPt z27Cq^_~Iv4MpYJmX2@;eEE-G-Od{-%^Ck@vAnxl3K)7#t00+bR01k%DNbcJmz`<~a z6!(GMRD|rdc(B{57`nJ+nZh7W10BK5%BX>Gnmm&-#AzF#?otRuq+|O)4hFYC4hFA4 zM7RY7axfSaW8TXSJFgle++gl<hNNL(2XlK+kOeVp;+AF7gE@<n2~@_hGcX7wLc(VS zk~@wEaxh#D<Y2gg<c>Fi91M~{91KgK_pHF;Zvyl@dz|hFf)0`dOaWbpYzc1ozh`7P zz^%xn#3IEc%w)qXz@@?qJ}XEOH15X4!o<uZz{|wP!7C)d!o<qUB_Pbi&dkCq!YswY zC&0?j!^H&}c~oRlU<S=b3$Y0^8L>-o@i7WAbAi$+xSU`}g7|Aj5C;S7{O7+x91Nnt z91JSKh;rL3go9yw2nWNv5J<Vb1$wT>186yM!xK_YLkwkL=r{)9WB3<3mH=ufY3ikd z+f(R;drp30im?&8Xhvdj2D%tXCFsaM@dl<gZt!h0?U0$}8{nDc4(7G2OgxO=L1#{1 zVP>dOWRc<#65`}yWMZu67U4g@BypaRHJ^JHBgcA1=4(s>OF_G?1(>HWvMvUl_z1dz zk4=DyaX+I0lllQh_Wg`32N-#n7(wge#S|1-d07o&6j+!*YwVf1IN6ywL^)ZQ_?TFj zIYAR%OeSoS(;0bYFiJ8Bu<(g+%w)1;QfJbb!NfS7Nsxnk5)=PMM&?b7pu7DTr!sNR zU=m=GU=d~#0!`gA@h)ZJUct!R!^q6Td6kJ{2P5lNMvgs<%rh9Jmou`?VH9DCWf5Zn z4K}eesWUmSvU;;jWMrAaXv4(9DCoe<!#SIgHJFQuo2i<gGle6W^#>z2E9+h+4MtT) zfoqHccNq;CMMA(cc;-x6OuUTxOfrni8CkTLSQ+(Ln7L*6L|B>Gcz7i__}FCyIQZi@ zwli{Za7yzmV&vx$65!Wn65(cJQIp`{X69Dp;pNj~6lUQSW?|=-W0f`HU}NFn=8$8T z1s&`y$)O>^DbB&l#mFtkAuA`xAuA)tFRRVO%XkR7@G*~R4ijT3(<(;B&CE;!jG)cZ z@ho~w!b}QGvP@Fkd`!ZO;VgPw@=S`%O59=shZsRicp{j%nfRGy*|=FbIGEYpIKtW4 zIat^qfJT1oc{&)m8F>VmxOw#jxcO6rxCQw+IM_jlIUED6CY!;;6U!~Y;R>ph85kyH zLehUjC}O?k>`)GdrJ)=Qt3naw%c)QfhKHdX3=6hG%4OKN=?Q2)M@#3axtS$CnI)-3 zi8<h9iWeByGjcO@Fn<Calds66z{CPdYK&Y=oQzydHsCfPD-$=1639`CEJ94$O#Gk} z$STPu%&5ey1j??8OiLM=l%Ulg!-On|yDh>H^<R7#2SZaB2Sa-p!rga4>~Ic-o?Q@k z!`fL6^2Fp%@L(Qvn0hOy$;7MAXs{c^2-9aY5Y%Th00~IyGa7_3bTGeWVVK6u$Hd3P z$IQ&f!=%Hc%EZmW#RS^A&&MIp#KC37q`<_<#tqsR%*4mX#U;bU4rU9o35oKFatI2^ zGOIDGvj%Z6a>#P9b1-r#axn5Tf=^oZXJTbiWm098;Rt79Wm04^<mD6K5@r(-W>OY3 z<m2GY01c9BGpR6TGlnzev$JtDGJ+Q5Ffimo!YLsfF>cTv&cV<Z&cQG#91%|Q!Z{e0 zhhvT#;H*DT$}w;Q)G;RqbU3P`J_kbwa}2{87Fjk>n^1-mbb&gH45JLBQn6qL)wl^z zcl`)Q%r7cLa4;A|a4?ugAly|S!NIU10@GbG(EE$g(jBBd;F1dNra~_&cFr%T1ka!T zWCG8e=7MHUCop%gFkAp%@XX1?ZpZ1!&B89mEXpRq%oMN23f{NQ!z94S%;dw!$|MWA zUx<U1ou7?`Nk~^#ja8LZg;kkVh0T`LL0VM|e9be95tB8O3KK7@EEA~r!U>vh<(6cU zWQ2`Q$uk;4lJPAjCNV}ECPQ#iF35+(k3=LQezGDt7-}Oq7@8sx_0O(I4u)Hi91I^K zA@vXX{KXk)`wmwBc>IE-cZ~4C>=dNslw#H_*m@7JVUz~O#o)u!iy+NIE`}cF%S;Rp z7!{d>IoO#%cb%{^iGdfibFpx7a4_+5aj|nVaq;l;vj|x8GBYv>@ab|eamnxs^Fc;f zS{WHdnV7-nm9emjf{)Y?U}I(FV}aiG)yl{u%4h^06=P-PW77qN+#JvyWui>{j4Vvn zjI2z2jOJjkUnqctXGRpFy)-q7gJDG!2gBMZM0h@q;$Yy6=3r2b#vYzA(D5>`p$rTR zF~o-_IGCI=OF;L_G%y|m2UR{Os08XkLG^-(VFr^TlQ5eC6E71R3pW!76FZXzc-V}M zh0~DBO4yiRhDF-igqf8|m(PTmok@{PNC13C56c=x&_WV6EJ4M_%F4w8-E**tk%^O) ziv<y2tgKvY0-!)U2nsY|q(Fn-4#vRXPy`9L1<{E7crKcQ;dwL%!<%SCxM{?2FvP`h zFx16B!VUd?${6Un23WX#z}0`nOoxzgs{|dX3F>?-2M64DFewKLK5209{bpu32M#`V zCLu0X(6lQPGdqOMo5+{O&BT~4!d4Q)5^bHp%*-0i=b_5P#VE?oCBw_jCk(Ffr!cZ| zfr1cx{HQb=7ZVS+0vj_22RjQB3$rMgFTlpZ%E!mf&d%z<0=~mXkqJDEt_(`o><TP) z%%BSk`8fF49XO;xgUk~_1L-_0T+Cc7sf>^`$-%_OSj^y10twd#F^F+<;aCm^g;)*- z)mTJ1cQ=-Ufg_HCVFR>2g|(Z%K<^tNA|I!x=A{-TmZUo8r=+HXr=JWKu<A1!oMzMq zrMq5shDpqdObUEbEPPB{OrW7?K{g#2i-nIxfQ^rhkBOCunTd&wgNc_#5j5h-#KgzR z!p6wL!Ys_j!otPH%*+a!%wb{TvH+<QW@Tmpo$kQP!k@`e#Hx!>%Z{v;i;V@e{DhB% zjgg&;jfI(+n}u1NNs9}7#~&{<H$OKsH!n9cw<w6_1+hUQ%wnwE%sh}tV`pM#7Gh#$ zQDy?owg@u`GHbB0z}TEinHgD`Z$TSW3QQVIiA+0LL2U_!3+0gTPl!Xb6Z+#g80N=u zFf55fg#WoX4u<b>91JJW)(r$G;%Fyerr(sxyu{qh<lxLI(3rpm#%avpiCt09#BLAs zS!RYqEQ%}v9H6$MAn1xs79&nR7EVTH7G6eX7A_WZMlL2^HhD==P)1c`QDzch1YMKM z!p6?7&t%TQ4!Qu5(}NE*iw9;4#zU%;^NdV^Oj1l4VDB<4sD$`8ARbZv)y8u$w8nEV zbj2h5J1d@pVS7Agy;_5-pN8q*w9Jas6sT_tRx{>vGxRW@Wn_54qR8URWC`*OGi30B zg`ElFY8Fm89(E>HCO#&1b{0-6i2J>mK$~a^svz!GNI<N2^iAMkNJ!vdNKHVLKZ_GM z7)~Z|Fg#6wlt1Y8AbPpoLSnfM$~>SYx{OD_1rJiW{fvoW0xPK8mcv$VuVm)h#4Nm; znSTm1%VcKjHK0tfl$mc0GfMfrim38?1<vw&KhE;|K{X`&JQ5M*OkE-eLti2X!{kIn zygy9jU@%I;jQ2Ot^N)!rXTasO3na07q$Yyq1DM1Mma^tE7I5Y>7I@}^N}E}13>QG5 z#iz}r&ZNww!p$eZ%EZYe#w@{P!lS{$%O=jr$)&)~$;Z#Z#=*s8&cw;Y$_*inK`TEj zx!kzfL6D1+gIf%I#|4Wpqc#&OqY@J<qZ|_}qY4WrXlEI-3JVt#ACovEFCz;Z2P-p& zIJ+Q|CL;^8H5U(y05dD63KGo&;xmh|ctVoiBvxh-HcwD~V_>L<gkMJzBH!#y;$S$D z#KCYj2`T)NI2e9l2|t|eWXya64nOcTX9_&{9F*)C9m?%NS!fR<!wNP<&>9#XX(m1< z1twJ{E^%<h#m~yc0-6W_-M9pzwIIIWXXaw@WYULt;{enj8OezCIzN&*7{pRI7^G8> z@=*#0gG(w0LqjSgA8mo2pQrK-(r#oxTPLxA)OsAeYLST41fDa66eC2a28W#+sNjJG zo%{x-4{YGuLH;v>sqGL-7j#uf5A%O6hG%U0Okx}^OiG^_1=&QIc;7H`vGFpoaf!2t zGjp&wu&}WRGjp&Q3$QZtGx0M?@YyoiG1;>4vG6moJ9GF5$Z+tAGBNfta?N5)VG`(O z)azoD=x1c@VASJ~U}qInVzOWo2;?y2sAJ{h;N#F_73EgtWMuW@@nvPVX96v66HpUl z7Y9u+=(89zsWY*#%5iY8dvJkHU6f%FXJ%oNX9S%SA^~PgFtW0N`|9i*oDw3SrXgs7 zDyuXX69?!V10i-{6%dPwos)%^m0gE}Lx`P&UzJJCkqNxHh>?Ryo{3*Gh=W6jgM(L5 z4@xV6+SW`Qj5Zv+AaQX4PASm-KPC=FNj70dQFZ}HOIx355i=7%(=$e9Ic6pnJ~j>} z1tt?F2WCAs4kiv(UPdU*p~R%duEZ`X#39<v$SfeB3u?u$W@IvE+`_`b#azOo$1K6Z z%Dk76g@gG%WSa$pLn|bIF{B~VrD+-mgLfJSLqHlLUACohFuYIWU|>&&q{~0h@#+ZZ z{KySxdkEIPEFiIb2e0dc7G4ZY;ujc~v49uj#DdAf^NgS@ID?Jh13RcUW5=Y!VZda~ zWZB6KPC6aT5`4yNB}`nx@=T^oe5|0+HCAC8&|&wiT%ZgHn%7rkVqpcf=RvDY`Pf-m zxtPEgmI|;7s(@H5pldW)*=0F6*quScO<*x@7SPHU&<+pK5?y&Fenn6bCk3kWnK&3d zz>&oYjw~T|F38Nt8zv@WCKo0vCV3`hW*OEDX0Z4nCMILX#mo!|9gy%YNJq4LxHC8y zq%t@dY%&nxy)A=-fj5(bK|T`_-stW56{?W-4=lWUp!*_;$g7!oDXA6Ul~Bd#<CZ0$ z^-+2`sd?!om}8n?QE)wsW(h=Bep(u6IUSlDL=>zG)Ol!S1@#!_F@n1c^FjA?@G){T zNi(W3sWWOZF)^w$y<=ij1!YerA%+bcicB(W;vBL}985~!6YCfkvU9WWE@0=I&(6Jo zU3xh?n*axs#0+-z$?SYgGLzVudfC<c*qJy4nWQ)bnM65R1=!d`xLBEOm>iiD`B@Ex zcv!hXTX<MmSq+%^*u|NdI5^pR*?F0HSb3(gbIfPwoyN`qY1pzdi8Hc;Dk>HQCOJk0 z7SI{@(#-Nq?2Ozj;>;>c?2OVZ?9779>`W?*>@3F20z9IitS%@c!pg+X#K+0b&BAB} zKGm3uQ3!OSE2L7eV0y~T6wk=PXu%Q(>Y&VLWa4JxW#$8~G+|>>U{~kRVrSw|XMe}U zp~}v|!pkfKj^Yj7kaV*m6EPlsJ(GjsRVD|6U=||X%*^6o_>{%L@GlFJZg!xJ3yP?r zrkgv&ryFn?4^20qxLgO0OHNRPrh?=1I3uGnlNcixQ!^u@7Ly<&3)4(S&`1uW6q7ll z9Frs?FOwKU3n!?pX2k+JMU;&hv^hYViHVJoiSa!<H;cqOc0qpDyX>sYte@DGnUtAW zLF-K!*_im)7@Ijb1-O~SzOf6obFj2=urTQ|DKl|G<%NH;^Dv2iW9MXNeaSBMiJg<3 zUztmXotd4H{WUumGZ!n@D|Qf%`z<@~BX%C}`~!;w6BiRFD<22wKyy|mHYPSsK}H2e zRz^`4DL!^yJw`T0VG(Iz5itQ#W(ihtOD1+k1qmK;RxwslDG?E238=WC7`v#V7`vzl zvj|HnB$8)?+ArWU1dfBESAtoLg_V(&v6+#LlSz?Xkd1|PCL@O;J0FJ>yE%s(yCe%Q zvluv*85lnFLE?ErHYEN)!ppKb7`A0|FdWN9#PhRk4hGH~4hD%Fh&tG~FRZ<D;Rv+7 z05X7qfng2y@))%0L(c$wGsbI1@Rb;fpc^rInB$o;7+shI82Oo)7`YfuaO#83qq@kE zafO4ONta3BI)}g&4jv}vs~k+{IJg)X^qDxBIKX%FfbPo#U2Mb2B+4qy!otGJY{IMv zDjD^e!kKhgrCDqtTvQ7f3?@MQ-I0T6C-2JPV7QyZ!SE;t;qQW64u)U391OB~5Pv5? z*Xgqyg!uOaTKYagPWuS7@CJN6<OH_)jEoBy*D->rKrm?oCe`ONf|}FQIT`MN5;T`M zmm7-~myLi0s8*WF%Eu<e#5;vmf=`c0m5H56kcF9<lZ~5|m4%<3nG4j*WCKqrgQ_DI zCN>sMer6%o(;QrvIC%6~jkpY1XLImx;b7U!VSR>!S)ZSURg3i`2j4Xgb|yt8E`J#& z9dI4T$;fIb#Kp?V%FdN0B+X^a4Ou=c%p}gp#{%k$O0bGB@o<Q+b1{j6?hfOXVPa)s z=Mm!v-B!j0Vl%SvvGc2dSUfCXQCT5YK?f#QMolJGCUpTmCT2!$rUi_WTx=X-9HOAu z6a_6s<rQG#kl<qGlH}vyV`OKPWnyInt<nO`s{}G}v2wAfL-N5?7N!@VK^<pS0X7*{ zJ`N*h88%r)NoHeaE)I22>9?4fNtbam6AKr!0{HqFYi1c1KITu13=9{hK+;1)9-^L{ zna9DfD360-SstR@za@`@;b0!-`t}a!eOGAJQATEQNq%}!VlD#{i-8AtaeEJQ1j9Ej z(DZ;fsJLbXueujzVr7zL=VC$TaBwp)Fc?gQxXU0PF)rMl&%rP~pMzmRJ|dmo&gWqG zlh45*Pyk7%1yJ|IK<7Jb?m^NkG<!0*1VhSYH21>Bj=)W8=)?_pu~%kZI(p?@lv<Wr zRGjJr8sW@MEXmMM$}B0?3xbFmnlUhmCoE%vE*$J*zQ|atTvEu;#Hh%`&Kb|c%EDy8 zug?RTBU{44^Pic$frb4sqw;JfZdo=KZWboch$ssa8;3HJ9=|ic1D`p#=Yzb!Nt9ER zCzwfq(UqN_8FWGlNCA^NlNPHe%L+yoes-vs22(tv0r>1^b|z(3FD8CQ@HJ}-ra{8B zqW}@En+rG?_7`w4oG3tq>+=E*2DU;D2Ju4d;kxGrYPdEK5w8B=mJ2*snZy&0fQ};J ziRWVIW1h}ftXN{ja0C=i-qC)nOiWDd@0i&hGb(m6aWitU@rUwwfxL>eD2$U$lv9vL znu(oJgPot5hY2JN4hcaPFBX1wuz&_rG$S7i8<Qfd3KI__7sG<-5dRkxBFewng&Yh^ z3ON{76e805kwOlJi-jB*>v&&4%RjXA1D`Gc`!2OeQ!gpA#0T7x1Lc5ReMSRLhCb$M zhC7UWERrnD%p6QCOrT@0g_uFhDw#O=SveUP_*g*4NQ*IwGfRM`vp~CSlc5P$VFtwA z8byfyLvRrXLrM_`LuL`e-Ezeo4C%!j3@vE;?Iu9)n<U2F&iSQzpwWA!1!A+<=8G{d z5WC4dUknsU3%D5in2eYNI24#PICur5xfDPL{xET|GP8kJ^4Rc*F>x~SaS6M#da%l~ zD)7jI?sySllHuZDQe<HQZye@h0qqiEVPjzu6%*&;<WOef6qDkRXJcYxVPa-yVKd-n z5z^6A2<0$fWl=C@m0%U;GSOhtG+?!7RbWv7^)ERLSS6W-SjAamnPpiIFv@dtvC0VY zvh#{_G4k?qi1YIDvU5rDvT-qT>9aDjvdV(`B}PmROrYkjFcSx3CJQUG0uu-0Sw=Qd z)>KAzE@7q^CKg^DCJja&0YfHUCKlmvCM8Bq(0Pk|?7Wj0p$zcKJR_#3EKE{NF-!)m z?-^NMF@j20P!u`Lg~ZQ;V#N9xixLh7ml6&J-x5T=np?ubaH)iY;du!pU+F;C^}xz2 z9t}wO1TqtZIk4v|%=DI6T%4Jn2Tp#OdFhUMIEECGDxsyDre1M=5olLVK|gaoV}W=+ zD1J{d6|0p*FnnTGWKm^eV&dWx5@Z!(<!2S(DB&sQC}A&_W??B1WD?DnWfEXyVPRop zX5?7I$hVr2hlyR5`2-{PV@5SAc1d0)CIMDH)->^KZgw8<%ncJOlMbUM3#hu|U}E7F z6aaMs`2|2DS6obd%$jV>%o1z@tir+^OoFW9EYNjS-JpR$K{ge}WsJ<?9O9s`u4du^ zj~2)?sWCdR@G*<Aa5Jf~DlqXfYJ-A{L0~>49x_T1`TI;M2g8$64u+Sdh<NZV<6xLk z#=)?!j03Fi5A@t59_W4X4QTBf2OUVf5X?WYngqSp(9p^NP0DKOVd=bSXeCv;6{Qx! z<KzJ2V#qar*CAKZ&u0Yf2JK_s!^*IXMUhE>M~VZq>rRS^jYWY;fSVn3G=vy4ClecJ z$vg)e6AQ~nW_Bi)H_Rd|f-GFRta7ZftZ974>?R^iqWW$u)=XR)T$!GrnG4XV_Ie!L z9LyZ7+>9Ko9Nf@F-y%$6OtDPji~@{$Y}~9eY`nZ&9I|ZeydvP3;}T%yXAxo*G!|h6 zb<u@E3-vUZxkT9cr3F|yM5TGzI9Rz^EFrBEEv9vhOctO^jaZ~OMYy7wB$##CxmawN zd09A^xj8IB(b~ww#LZ~O!pF?cqRHIN$il@upAj4{7ZyU|Q=uF&FE@851A}cj2ZLid zqTB<CE#Aq1u}>bhA0I8=;MqVAw7Q{>nVX@771ZotcmTC;LOG&6w62_kVS70T!)_$| z4wiE;oGQm0M|Rl`sTc9sS6mFL5<J0;qCVzGh7MLfCT~!A4{A^<LKqfc&x7{&ErR;L z0+F8WDmWP2D>xXuD-izoui#*as35~V!R3hsAorx`GaB$Q^f8w+OkgbsIf9?bj!_1} zh+<$k0CmTT3e0#ogyasA;{lZJ!I7{C903=g_6SrW!e6@*;$MqOgnwNsN%Sw&9!TJa zf;<bt49i&gn2eaDSom4_AbwS6GzNuzz+y<a%<_svM|AUWK@?f?h+7Em}}fZD@Q zg&22Nui{|Pui{`ZsY3YIx{8CruL|>=3mp4Po%4%|N()Ln^9o8!T#JhGi}b)Gcx`A& zJvYN7=1GhU9<of?pe!oOB+euRx?P8npNWf61R@m91YW3Humlng3#t(DuEfB|aJY(t z;W(1}PE~O*+^ynZSO9H*!`2zW`pIbd0er<wUSbZ|RZzE0Vy<8at6*RN4MVXrf(~pE z0Xqk@e1=J#Ns>u~m7U=O)IA>6h<M4X=3wZq=3tmrjVRx<Yd9E=*Kja=uYr{BSD^JQ z%v}avkn$W9*B~rGpnQifsR8xmL03euu4J9h%D8~_0W+AA2a}caS-BV{G2dY>*Ql7p zT&`9zg_+?MqY)1ulM<I4iwYAf8!J0AA3GZhD;t}*0<%05H!B;P8nY^s6ekZeqXZKN z6B`o`GYcCJD=Q1PkTC}{6W20Erg|YJRyHvvPBuPfE-wvt7SI@g2&<sD5W6ae4i^t6 zlL`|H6B7puJ2x{kixdaDmBeC3X(lc<4km6!4yGU`E@oyH0XAkXW=0NP-aNHz7SKUD z9K5`&Y^)qSOgX)b%zccYD>+#mn9W5c1%;&<S>+|nMH4vUS<f+YaB~Rr%JTAaF!Gvn zDf8)bF)$dh@Ubd!a5GA?6fv<fzGP$(V7BFC=aObqU@{YAXOUo%XXF!L=hqVAViFS< zk>VE>5tC;UV6+rvkz$t=7UJh(XHsKgQC4N+X5r-&WRYOwV3lB2VhIN&JR>GPW+j$Y zOiU{oS=reNS=ren80(ltSY9$RtAY;IW))<UVB}zuU{zuZ2Q}>OFf(Z|PGV+JW1hkc zibsc4kn|c*i|F4})^ad()^afP)gtoKwOS4a(K^iXa?MMu<u_<YGb{;%R=($@R+NB; z(m|`=gY6j|>g^dF<}%rXVt*0~!yOhyCLSgeCN4&C4n8Jk77iv>RwgbUc4i({7I7wS zCShh_CO$?cHcl23P{Wx~fQg-nQ<hbVhl!t&iB(*{Tb`4d72I%Q;Q{3YCLVSH7FK3O zHWOAQ7EWeeHcpr*7c1yOEnaaJK4udZULMfxWUP$r+-fX*pwLug(q;y0WHDh@V&i1h zWsw1|A`)N~XX9fvVUc9wVO0YqD~5zMkZ@a2hiJdPspDW^tmk0huSevo@Olo0Y4sco z`|2V2O6C?My#7GX7buZL%~w3w$HUOWGbl9?+b;6V<PvzsD@sjFaRV>aViHf-1L|N; z0reim;u#bC<3Zs*fsrwX=>QWWFOvXh>o{mtk$^ax0F&fZ&@r%#Vk`on^%57DG-R3O zI3$^+SlMOyrI`FdBQPxDoXkw1m849J5={JztoxaG4>3V^^$9bvGl?>ZgAU!`X5v#| zE#}r0;^XCK;{lIl=rJ)%3b3(?u`>xusxb>Furjg8iZHPXa0x<BPf=t#!N{u1!O0}T z%)`dVY|k#hAptsJiAji4fJc^zl~oqh{+YnYl*4#{iHVmHc5dl9NPI{%AnFC%1`Y;~ z1`Y<_21GiJZ{T34XuvFYCqU2BfF)Y!{s#1Lhpv7X5AgJ746yVERSZiR8IG|kGRd(i zu`05$vNA#LMu%+J5N70OV_^ktb`oS_huld8x@eP+NrYLEiJb|2LKY|&GB6aZhq(U+ zlKYt(IT#`uIT&Ia5$@M&;$ZmP#KCX}dd~0;=y+-ew0tJueo&$SjUwhIf{w##VDbVl z0zU+~n{*Njn70nXvjTJS!K5UZY=o>8he$Yrg^ZBMOwi$ElbBoh81AtuvhXuWFiElT zGx4%<f>y0^b8@lpaWk>9voP`Vu=22Sa;R{mv34_pCM7@$O+hQO_<5OFML<_Kaxt<n z$*|b+sHCx^@-b;Kak8<osDTz539+&9u^H&F^0IRBaIvzn@^eVAinD>N;$UZFXA)py zVHRT(U;|CcKo%NFL^FeS`h*#4TC=e!vGR+kvdXYYfoT>EX{m&>i~=%DENr5p4vb9s z*1E==#w!>lIeE3Y6uD%@IVCJXV<as6jC?FY%tB1rjI2zGjGS!ZtU?^1?Px-*7HlFc z?9BXZoJ^dYEUd9?9IT*`WiB=eRyH9HCMjV~W;W1Tb|y(i1z~;>VPUXdkw_K^W@ceg zHdZcnP7YQfHV#%^C|g!UQkYvrl9!zoG*%6g5fb5G15MtuNGc0)@MtozbIbBFv#POi zaIu5VUPsa^iNuzNng^q+A*Gu-lN94hMkXo71&l1G7}?o4IvE+Im};1Wm=#&1m=&2k z7@e4unO8BgDKLwI1Q^-bq?i?1K++(b30X4)O0f;wAnA2MGos$O+QPx0)ylz;*@`It zc-lD_?zD3-ICenFKiE0huyMyTeyHW&n>!FbfpiR8C4qg_0BDa7yc{ekss!&{0Wa&t zG#0tYOe{;x%mFLL?2V$B37&ES-R8}JF`#KdKr3jZ7rY1x(<!MiAyAE(mYJ7XoB^pb zVd98F3B%Rk3ITM_@NQ;smRt<U#H%6H1_(6=Lal&M>0pHokWBppA{GV~gDU7?hUDl_ zMlmKSMp344MmHuwMlYsK%;f?VVvGVz8I0^q&zKllnJzGaciToYond4QXPU#vxRjBJ zgRy~;iHl($yCRPu6Dteb8%Bv%c6KHfChit?PBy{y9HLt|#9lILGja0qFfndqQ)3d+ z=DWwnDa@?EI+GQ2;-(}EXjL?O2df+t=&V~VRvso{MkXFM4jv{>1HKa0LT)ArMphnH zZYCBPCN4%nF3`3pa1oHk8VPD5NQAM5vxDXMIQW@Zrn3snU}a%q72;xI{?E?I#J+-q zm5Yy$nVXf<l!=RxZz%`+5)LMHE+!rpF(x*U*;=fc=;rf+e84KkCN9sa0@kIk<ifg{ zgY_Rf2RFzSeB!K<tlAt*0?Mo!983b5ta=<w0{W~b983bHtX3RM0@kb!{PwIKRv;S< z8P6~Y9p)4{#>x7cU4e<6Q5aI`K4X_-QDqUo%EWbzNtBt7neROl$ZWyCERsy@u$uWE z8%ntFva_hMa4@sBvhy;tg0=>7GmB(0u`;r;FtM>SF+XGD_{gTt13HeDm62VFOHx8g zbSWce0~@%6OJUMxWCyJ@VCUx&<^;8i<QQdG1b8|4beXh8<yb_S)mbz|xP|$+xHx&l zcqFwYtXKq?*@Zblr^|}4GxCUPNQkqsv)T!AF!FM+im)@Wa<hwSNLYh)aEb6Rf%HTQ zBgv_-$a8Usu(OKra`4D&NN@=+W#nLGM^eDT$YjK1z+}WJz$MC=q{gGF&0)-B#0heY zA{S^$pahepl0K6f6Q`=O7#9bNyd0AVqXW30W07GrXR={(WL(e4D#s?us?HJ4$iu45 zQOd}}s=?vMD8?iSW@Um!i@<CXFnN{{OffP}VFX<s&6>o<!>Y}e3N}TNMVE<}@hu~h z1E?h($|MHbWy~DTX2HbAF39D@xrv!afLn}DfH#AWo%b0NA1m)ZCIKN(<Ao)fIf|c4 zz>wcuEL?OBBhykwMh+$i5iVf~ApuTSW=>F(22`ptFibcADOZ1VAj(yzP7a3DP7a1i zorrQ(t&4-9u8V_VV;7`c{Q_+d{DHP(?ubCjQHZGw3?g$Ne9WQ*rPN7DEJ=ivIhpx- zpfbg+G$#kWM9a%}^>+iGz;+Qb+}6YbK7uV9Oj?0Seb4~hB<6(WjGQYNd6qM(u3+R_ z&d9Zb(F088Fy=6sgUgH<rkPBPpo!RfoQiC`Ozdph*I77ku<%@GQN6{&caw$d77Gg# zX9;JaI4FzXW#PKOs#vH}#G1{{BE-eHkX;XvA?C1aGBLXFBIhR&l>Fq&<tNI_Ud~(r zKKZDexdcSB7Bg#cxG}r3a<PMs!VxzFWz3oEioYN^Lj=5Uke!i}m7k51m6eH=g`HKE zNti>4S6hIO8`QLu;9+NEXXoPJV%Ov-W?>f;5z%8ZU@{gLU}G2IlWb;WV`l_UaEh=p zvP){PD1uWc({e^8c2;c`Ru(m8J|=e1N^nL=rY=TS5zuM>0&JqJ&5UfILYIeCgG~{X z-V&HN8F`pg8TpvF7<pMZnT?pZm=u{+SXh~r*m794IC#McErvOYor^<;otcA|otZ;` zotuN1J%dM>l@FT45{^L9S41}=eO>J4V0hon!JyKENMBoeI2iu-a4@L%Lef_UG<|)5 z&XXv7fb?Tv>Fdf-qS9A#enF*6Vo4%;lEStRCpafFIh7cNzKKPk0}nqi9$|XV$oPS= zA3}X+1oLv=GlF_M+Kj49?2L*`PRkh`Rxrvj3NpEXQ(^!k9}_QQ2vZ?=Q<*!{0!Bs! zCT>PHCN73~T#8Iwe>qeeINASmC^m3%GI6pQOl5VL!OFuV$i(=cL$HaH(}+_aoHFOL zf>NfwmI3Q|PQDeKyw}-5MYR;93cJJ(Dyq4d`9T#JBPSOl2k&7{UT~=o8b}g>sDhOG zX3Tudg6bSc*?BiJGH+oNIKa-`$jSBxw3<PYMS{tUiG^8)1+<ryospBBA9PC%2P?Y< z4;Pb%AUH*Gu(J#DfD#E86E8PApBIyksE<exla45;7)@p4fNd)ZVhUiaU}0z1=F(>6 z1EoKfE=G1AjyiS$4pH`0HV!63P?FJRQe|XkQe<>uabT8X6J+J&;AA&q;bKu_*WzGh z;^XAy3E@uR<7MJyWMy$@j^gFwGvVbB;^k&$6X51%Vgsdha1wDi0ZDfkdJ+AzAH5t5 z%zYdT9DRs%r`E^8;Mm8(5Yz`vcgG>=Oyn?xhVAPH8_K}IU_xAfIXAxuG6BsbUJ#Ja zSWuo1>bkuG?e|t>Qe|T0=M)lQ=HgWpk`iPR<75(KVr3HI2iJ@oOdQN?OiGOWOnl&z zH6)ny8KKiHTHr0~+RWCFj+{3WC!-vbBBK-&6QecEeFi5X{yx!%7=L@;$HBnU&%q$r zkMMVLKL^8_eh!Aq{Sbf4K+iWm1Djugo)-->SAjTxgWAc#mAT+WBYPmLMV2#x7m8Sd zNy+)(%yE;2p@UnIi-UugiB*7;i63-Irl>F*Xx<OBWrov{(?yn@%UaPz%9$yEpNWl$ zi^+kBjfGW_O9eEZ!op<AWW>nM20B(uPM2APm5I*~8hAoXGK|7Zyi6SI+#JG8yo}Q9 z{2YAjpsP_NI7FG{xokNln0Q%vK}Sr3mV1B-O*T<>er7%{URHS)Zf5APOa&9@Pzezx zZDtwf5+*g!_zs6SlL#jthZK_#D>n<ccw5KF#L1`*je&hk;Gmjt8WR2<6CmLV63m~# z!B91UgP~;tBK#*!;9yuhfrDWkR2^&{1V+QgWkLEucn0?H2k&w4C<ULR0quc!=4F;J zFbNyT>N6V1>4P@aC~suc*~G}s@QPcOiS0KRAB)^KF7Ti&7c&<ds13``$OGQ{$OPIS zBnM(DGqEsoF@c#N+Zh->K>ejK5i!5LXCeo~*@+wszb7L6l{bll;nO4z2J^`f|L=JZ z$wv<MkaK`;XhF(th^Y(=YuX`v0__CI?t3&vxry1Spf&^Mfj?-)J9tbusWdG!U(YYK z9I6G45AGvD#5A-ZN;LJr`$id<EH=3BU|H|ZxWT=db-g>Nbl=RxxR{AansGZL6FZ|R zlln|Xomq@LGZ~*S&SGSq$*4Jtk##0$Z@B;y7sDhT4;FTF3kQC7&?YVcCP^lKCS4|e zPFX(2^W4cSylnC;GEBxSGEAII+)V5&d|SAs_i~%?hO#g-3$jX`;$}a|t<A>D%6f=f zeIvI56Hfy-yAkVBZYd^pwZ)8QKzGQpN~xUTVm-~pZpy{Vy$!Ue&x3`XQILg$nTtu3 zg@cJ7G<V1a+L*)vb}l<32d^v(BOmCHO?F0BVI3hWHWwxiCJ9bWUL`43aTZ2?K7KA< zQSh=BDLHuoAr8S#Mi$VXaZV-<Rwg!CM$j66$iY8+EF8?@j4DhVjIzu|Y#glGY+Q^2 zpmNxQ$)2f?kyVyMm5G&8hfA6<nMHxgfJvGeG=#^?=*lU;!NK0i$O1b4fQyBPS(HzQ z$%jc*)QE$FU7LlAO912%e<lmYHH<8anV6+nwlgxbvzRigbLg<LGqQ3xGA(9gXJ%n# zQDJB0U}t1y25lkyZ~>D4PE1D3hdi9j!SD&hpMprI{Zlv?c&BnOginQ}(*@A;5<Fxf z>G1_xdqbcDB94{>5y^>3zJd8Z^M5AB2If}Q|Db4Rp1{O-0(4yF3{WC5V)BJ0lILiN zgqw+rVHb}+6Dx~UqJ4@alOB^E6W>?vXmHYCX9Eq3f)mCaZuO_!61+i>gz<x${X4e? zC}Diz7Gx4;WMvd%<hu_|AaSf0!3ks;dIDi(<-P|BSA9_F2aiQTHV#%UCQ&vHZb3G7 zkSMDplvWoIWnyP!<=5e}V&Y*mWnyRK;MC+|X9p(@K51bAJ`UbBj4YthBP>ZnfP){B zG!)=T1H4K@pGk_zg^7b*kVBMRl0%eTokIqiMzmQ>nbcVYS=bq!!0BTRBbx#%6KK;T zqbL_BeP{@S(+3+D8#n0O7C$C?#%4y)$%E=FGeGIXh}jpOK9-@T4{l~IhK8$<^zmXU zVjP`y8V7^eG!6!rX^8Z(bs7hQ>vRr=$<ra}Lj-z`TLpAq{tvWuASDFShf8L12?HpN zY+?bYk(G!vGMkA>opB>1jRb(x2>(n*^fUr0NFG4aNIRo_7b7&4%;tfl5|jd@iATMg z#}JlI=JBx4<pHOYSv;_GBG}5Kz{JzS!~Y$7D&b<~Zs7qhX9aaQV0j&sO88j>Sg@oL zK0eU+J0zVzixLAKc5V(%2?<tF7Dj$99xh%%aGH^jmIbAm^~h<43v`+SDA|EqJkm^j zQXG=#=>}Y+;7&J?vPGR)f{BNb7n*j~!_p2vqbLtJ?Lf*FHZBfu*#b>FvzeIHSvEq_ zP5_4vJ3ogb6I#jvl`N1NYr-u^`Vp7`Nf#hd+Zh}T?lU+Td}biV%?oC5Ff`5Jz_@qd z!yZU|h*pl^sK@ovO7oHp8JLtFs61x8uL5dAPGDkq1G?Cq33UFnAR9W#!=}R|AP=4d z<YDY#WMK#IBGv(w$3{$7z&lVuPG(qe8{&@}Nd9;;gM;Dw3=W3hNd91+$-y8p6Vo5C z_6eFlz%?zCu!5^Rqk@b)J3}9H4Z}4?GbTeuQ3eKCCRQdERsqoAIILz&;1&ocvj7Vx zGnfN*7K6eah`S1ABKBEwFt9UJZD3)j-GI0kL6Cu+L4tuDV?7BDcY#*$z!z45mXs7g z?fEbhQBMfY;$V=P#lfIC3sMe<Ffg!M%;I2hp2fl7F$)sk8EEG+2SE2jLtM|mZ~@o3 z%<vU0ntDE|pj8gM29f%V2J#GChAqtM&CL8Q%v{aPq6{pCZLI38to&`PT&=943=9e^ zTujVNDy*g;W*P&7A(J|I836cHHwFfVyO8kOF$>YpxjBo2;n6G(hSx~`_%e%w;rA>K z2FBUg{Q+AS1M|ldBK!efCBejNP@>OhpbGX!Tr;x-1B+n~Yg{+01jxa`j3yweoe|`4 zchH_&$TC-k4N!MS%%*xggH~{W0yz)tmwS-#xiK3N&);TqF#Mm*!5}gR5zpFlI2g?4 za4=ZU!5%*7@w@_e_`vLkL~^=5qk%d&aLk&S`C6Eno0*wfn0X;ly@XYRiEk+@-zrw- zm8?ulSa}yCqTGPh9u#ynppY|T1T8@aO+Uh-eF4;88|Glf`#B_kks9xN2>A;f=`4mD zSmV}%qB!6_Bz!IABHWQQmxG~rE(b%+TtxUb&*fm4F_(j3@mxswx<J=|!`6FPpyi_m zT<*YFIim?(o&ws6(+S=b%~sFVz$nJRW!=FX)Xr?hz+&wd<Z8vhpvS_+D8&N0#)^*_ zw30@OQ2`_v&m_Xg#;m}=V9gZ7Xa!C*3=9enApR1Vhw#_jeJl)S^Eepn=OO&%HjjfL zd>#kG<$Ww*o#_68t^WZ_GB7Yq!R0TM6*n+HahZ28o3%3=Gq9KknFSg%FsOkC<oUoQ zfE1%7NWdNJd`YMU2cYiWfaGop@2x>A;&UL!Ci&&3q=MGJJ%ogl!hA$H1s-8w*gc1Z zVc#4?dF?WvgTZ$`=6Y?|JUILw8$7nLa4>N(F|+bBuy8QRK>b?)wSU2UME*W9pM&A- zd=7?-^AYv&t@#`bkLP2qCxO)$;FHcW^V0Q`GV>CPD)n+QOG<K5UGq{h6Z7;!GxJJ7 z8wXenw85(ar!ZSHWPqlK#38#RxS3cPWkJi+xS5oo?%Du#m&F21cf~J2xGQS`2Sf1! zOn1TVOTz1}fYK7E(~9_*xIxFGgVyMQ4#H;z6|*3QEYv+8pzb+=<eoPRI2gVz;9&TP z<Q}Gl91PqGG2KISI2jv(Rx4^k!^x7N4irwJh;V}5-=F|>m%$@Q`bk)b2q&1k+7}|i zY4So2hB;W=MM^js8!>b+@-cC9a5Hgnf_Jol&x8a;96u~U6+qqd0m(hE^dzzf;U0?9 zlbH#_43J}(c$v7ExfwP<?af$(2nU$G{YdswBfXfJFf0LCEx^mfB?w+s%fRpfYX6Hx zi2C^QMFs|*#T*O*ixK`V3}a)+3u9w|r3du=c6ifka7htpzs?k9F@`;ikez-4kD>7o zvIm+bVD`3A%U<_<sLcnUwlXjnK<&M;7!lq-LG~@-U|?H<@UOrU4hG33#OH^k%yf)G zLm!$M>={mgf}KekksH9viMg577z&{7$ykEvo*pFk%v!?1uo#PbaODGpdk}@iC9o?v znK+oZAms(<<ZAGa77->`d9eZNo*zi=fu%>urHJq$H6I|{11h<UjTr8M9Rn^%LDByK zYHz_(L^#0goq}ZVqNN-RtCwQN3(?_VY{c*aY&9DjJFGYm1a0606$gT_;()>62_$_o zEJL_QaTy1L)-nzTy=91Suv*5!;JggeJ+OR&o-a_t!OR3yXzD@Ji5<fau*(F%B?AMf z0A&R=RX_|?P#`fdFcd)DH3N&g_8__I)G`i+%UIk+bU2xrFtmV|LvZkMK#B`KCeRKc zaC#9&q!*}rG?rtQAD+t*;Y4crfe0sP7M{ZdE;ueg?VEwczTH%@4_;_20NMBfYM;Ui z#Q3-A3J!*Z6&wsRRzTW=3=9mc&sK6UOkBgk@MJBdoofO;r$l21Wc+Xjbp27nYRGta z!ZV0Cdins3K!f`ItcRKJvobzlUC0Ec{K4dL7BKZ*0!)S7XXRp;!W>ta%m~_i%)-Q0 z#9YWxWKt|q61AJrXb+?MZbo4b){>QsD;aH8G2UV-)Go4J$>_3*v0a+s2$K<u&Lc%Z z0mcS)UMA(gYyxwYIG8w_xH!Laa!k-;>DFUmXJlfOVxGg#xL1IE6%!YmAR8x>z!O2x zO~<X;Q4g7n9x<suWD-6h!g^DXm6w%IfW4n_8>7u;Mph;T7E>l^MF~C$HUS<9X;v01 zCJ8PuOMvr<0_#6E$-itIf7n>>tMdu7)^ac@onmr1&cw-<Y9PYI!pOtKWhI@hXklnB z#mOxs%-+uEqR-^UsKW$Wu_xlHrr;^x$QYu}<N_5E4Yf?sXL4oKXHsC~WRg$qU{vu` z7Ljq6Ze`REVd7>KVB*qL6Y&(b6!7HtjRC2I7$q7j$H^@w%-zW712Rk>Y`&<knGHk< z$S^tE8cuFL5oQqycX26Zg)9zsIOOJF=a6M*W@qLAoqKA;#KXkFXvZwWA;6@=q|d~` z$-yGe%*Fzmb>(B`XJKU);$i3J<l_?*5dn?DOEB}ZFtM<M7K3whu&^@=vv}}v@ES64 zFoF)P7H5`V;b3L~t+HX^VAf}oU}RyI;S%8D;O1p!VdiJy<&)xti!iZrF>$kVOLFkC zae-Lups{{F7D+x1URGvB7GY)=F?LZ-F&-vnX(l-iCN*hkF&++fc1|##pN&_Vg;`LH zO_E8CotssXO-hiDgO^#9U5tl`n^BaBn~_(P2d<w@j#ZL_M?gxMUqq0ZSx$;Wl8;9~ z%7ULwl1+o1msOIDMFe`FiyRXNBZrs>6Sp{<7_X=V!UPsxxPDnF4oMKt5~N>^9dytd zFB=~RCx@5-=#*(uW@b4q4o+h+0VX|hRxU0c4kiJxX*?|K%$zJ7>})J-%xoO&%&cHG zip?zS%rL$z69*$`*@HI74PccB|A4}Shlib8j+F;=4FHDw`I!V5c|~C+f&Ik7#f;F; zhU6PiXdwFrIV}0XF6TlC2LY6D0P$eqz$w5i$->XZ!ixw89u95;F##rBZhkI!C_w$g z1PTQ{c2008aDZcv8I+=6u7>%an}vfp4>BAW#4N)m!062)z$Czwz+}KHBB0LC&%?>g z#l^v-&g9Hw$z;l@z{A7DD=5Pv&CMah!KA??z{DZT%PgV7%VY{-tMW4QF-x*Isfch? zGRZLU8#9@3sH?F^tH>KOneeMCX|NeHneZ61nFy*2X}B=Uu<@I+nXxjRV`OAAWi#V3 zWwR1t;$c!V6ws1qWn<%I)c`55xCD_FH)S*9V0y_2zW$q+RYjl8fK8vxfQOC4l+6LG z&s;!@T>!*VwdGgw)n_vRSpaf^p_YUxn-54v%~?Q8M+VID6i`tEn}3;+na!9D?k;vF z31&7XE@p`3H{h0Ayh2#bWW;93X2iq90rrcq2D=K#NL7D+6)q-jMFr+C&}2j$lMs^u zqX3H_6DK1F3kx$FD>Dxx6FaYo00)zRV3dfFu)2saGb{f}Mll=FTTD!>Op=ToOsved z5-#HH(%_ms;X9<g(XkHEpI*0)gJIt~4u%`+5cQ1OdJYEv^&AX|>ml{b1n9W(9q4@x zTcCUc=zY&MyRg?Y;AzjI)U=$`<Pts4yp+tMRM13)_yookklQ@N`x!yCi&~*KxOQP? z5@KX3Q7=>~;waQ8;%B(Vs>sB8Nsbdze<=KA<C?3)%ESt*KbWEQ$2M^7@lA<kx&qS; zM)hNIN=M~5p2;!&V-x$!#`=ei`=Kf;C{ZdhDKks5aWNS&vM_??p!q>pr7|-yF)^{Q zi?MMrf>`XJbs{i@t&Hqq9HJl*H6|`5T}Cbz(3#DkbyjQ~j7;pzY~1QRO57Ywpfhb* znYfwx!J*8+FyRLzoIk8bwCgxGa4<-2;9yYOfCy)Y4IB*F8#ov$H$cMq!#2qHJ*?kT z16`*CHJ0IrF7|LvNi0bOhc%OU!FJH?OG5dK1s?g_3{#jD3mJ=83)zd>nHZk2D*obT z{LamKl!g5m3l~!alRb+tizn#(Dn%yn(Go16BULzAx!E{bB|%#|nOGTJptJ}ZC#xJJ z5Stj8>=`*B>jo8>7{Svj?MxsyUib;|_k|6J^!0oL2Lsne4hH^>h<2LgMh=FwjT{VL zp!>ySp!W^yJc9Tiy}eSLS(Tcf#=xXBfu+2kg>eFlKA4=q1g6BnWG`bs3&_LWtPFox zjhHl)*f^}%MfhZRx#YRH*jQ}1I5{P`SlRQLIGJQPBqTkU+(7HUjhOhEI2b`oO>3Aq z7$ZQNkvKS*SUH7xM7SfEI2hS@__*~!)g#F3MohL$+KeJhGK_N=SxlK#m`oVMnar8F z7!v+K{QY1fqJ65oiGx9J69<FwCPaKYZsK4F+r+^TzX=lG=;Qr=p!bA<!V-jC{$URf z$VQHo)FMqi$lwurfFUU;g{WW>PpFM&OyG_O<+oLg3`f}2+{2i--1yzOJ;1A5SeZau z??jlGIi+}5x#dCrRb+~0QUR^jQ)5bC<Yxj;u7aG!An+IB-wT@%{uSQL!63JpgF$&S z!oP-_IT+kFb1?XCCeFVRMETd)h=G8ALBmAi3Dt1_u3%(1!>(o-%EV>CXUT1a=3hx3 zR&Hq0j$%@V_%|NZM*@Wb*uMv${ynf6;a^z%GH*fnm(=*pA<DmICWQQJX2NiVT}?ZT ziA#%Ln_Gtq>}XIw?jIzcDz+fXA(yQj453>&7^=4-+}*N`gF$Tv2gAf2ka&uLo`=aJ z4JmJ)h(c(XxqJ8^{R=$NP?nfen#uqx`@=vyJ?F%{;M5X)o+-}GECBC9&~wYlPb@Ju zN-N6G1)Z>hPg7EUehxnQ%)Al{eDa_iVgcUO+06vr$a!20w3BlRvr!>q5l10=Q3qQg zf6+X)enyrFjAxkp8962}a)CLEI2i7*8?|sTHga+7W@F#OCRf2#3|h(>&!}0(xREi9 ziFXqt<7UQmCf;?7ww0`UT=HB@woFz`>OA&Snfa$Nb2F*3F#clH=w@Q&X<=lNVB{5m zXqPkOisPCLGGGd$K|E&)+j_=SCf*H<YI8YQ=5g?+vSx721<B51G{|K2PG#a{Ol0ES z#i+KNgJTs33nSZd4wf|>EX>^bl2&ZzL8q!*V3a${$aId8%bL@HEs-%)k%@f=qmCo1 z3mcQWE322AC%+GyH%k!PNs!`Gj2gkLer~?3VO-lmw(MXu2nXA;jL{&P)l^ngL5xe3 z3xq|vM7d<yrhsya5t9X|UCzS6tO26Ix0|xEu!7jktjrqB0xXO?JWPt9E5-Pk8Kqc+ z1T<MW7)4lFSf!wS5Kv#l38bEd1AL*5C};-~4+pm|NDOM8JRb)y3o|RT2nXnZW`58~ z&Kw+!9IPU29IOgL9E_~|!l30UEF3JN>>MC{INali;ua22`ySjE(nb=OL~@r2k~p$^ z6?r&7XNxe2@G~<?vhcEK3UCODvm)yZW8)AJ6=r8;0l5ZL*|7152qN*7nK&5vp*=B0 zCJsi>k|$6|Sfi<vM3O^xC8}!>A&l&X1&ojwdc(*pz;cI?NsUR9*@kTu6B7rMK9eb< z01F4ZC<_PYW+o;zCK={@CN(Bi<^nMLGDxvGvlNpFlQJ_mlL?b5GaHiulMLw65U{8s zm<3Us%B03Ln-SDrGGbz61a%PJGO`u3GIA&}axi(ZZwB42X~?6&{hNuenAcvQh+j>J zMNm$pSlCpoh)Ic&g~>x)O^QR3ONK>SPfkNtPL4@dQh||4L-7TpiiUC%qgt`5sYa2y zDTgp4myj4E7b6$wG8-u_MlMEHMpkAvS!l`kfy)P6R7LDWv_ndEaxhfv<Y1`XiD-xH z+{wYvv5SL20d3wr2YMa|dij=_SK<P1YR%$gn8G}ZlVJ{*qXL(_2n!dphz=JImwCJl zpEQ#RzcH5vmwJT&H$M{(8~02m2|jTy6)xpy1Acuw5ia44j2ztT&J0YTHBaJ9?2Mw! zOdRaYx}eoiOq{GtY@o0M(QKf5!&q4vS(uqvq?q^_xtN3*MVQzbK|3&6!7ABU*?8E& zD%e<rnRpo4nAkx(iW&LA-Bvj!b|}jV#cYU)kglx(lQ38Z_%sh@a959momn5QQyXd@ z#6&f)Imr4!@}ey4%zO+?x=c>2Ol%sA5@4rEurh&;OJ@^cWnyz<v;d2-v9hrVfbOnm zWMk50Wn;5uWn+_NGyuy%)U&a9G0K4XAhkZMY;2&^V+K}-5C<QPG=U!y&knmG^%f}7 zLw9p9B<$v3NZE~u=ZxJP45hm<*O|cX0mF=E@G92;Uw_5`L4S6JY0Q-j7Z@uU7-U)4 z8O2$6*tH=GOthH63<d!Kh&xVz>;O4}fq~)OZVrYSQ&|{hPesgAeh0a84+jJKJT9(r zTn()x*oeQT9(>4<iC4gkpHV=Rfk6&*eFCEn1A`n32a_CV6%54k0;qcm_8``2Pv67A zuwV}d!^%C7dLOj@WXB#3hJ$-J7*6bggrCMfNPE)(dfqH-92a6L1H+lW5P9_QLUAWz zDu9XCzyY!fMWc(^pcAzIMB@S@HxnmlSMhm}a}}6mm{>s`P+*Z^GGt)TV3J`3or@1$ zi0}aF4~xABf5h+QV940Z!BDst;g5#B91NX%IT$AFCC(oYNbm=!Ey-(O1NVmx#2?QX zxtZA51lhTsLi_>hFKL68R7f%zfcznW?2iCJNcv#dhwz8`J`M(heH;vy`w;%{*vG*T zxQ~M&Vjpq-_(Fm|K(he62KI1&=tKO`#Kg_S!6(Ge)yM=|55xr8ovQ=#hcqNUq>=q` z0P2qo`w-<S)zdk2C?1sN6oeq*X0aa;ZlH7=v!8<@dp{!FYW8z5wC?9%=-H1w++g_& zWF`o6;B0pz<u}k2lA##`mqr(}cqcP|7c&<m#Evm?Gw~c}<Uhv9brcf!pcxDB3MyeH zD^T2vgI7?9GB8Yly8j1~`$6ef<^TtS_5p<ZZ4PiSxE|nO@Hs%7`(=oAzp)Y6{UV*r zd~o;Q0p-BEjC^+(xo#ueZwQKWVJ0(>`$ZV}K-Y#aFfa&1!hgpBMEHZ!?VST046l*g z|K|V)1M5K!2A+e&x!-_T_nVo3-7kh5{@)n6nYh0*@_%FG`igKrcqN!HlO@RgVzBTp zfVw~7Ahpvg@(K>5q8XI97#J8XK<)i-5D{*mcn6hRQil-nu6l@rLGKXp<yHgEa6@$u zq6lWuC;*+L%#{z$+!C<DRsxi{85kTyAn`ln5W<~@4skG?KE%Or1<9Qc4{<QOI>f>7 z@eua-MXx8OkmgQE5o-l6nzSGpt&NeJLzJDX6%xsy0V;3-D-J1OK}R5SfutB17&but zk#HE{4_J729VS1#SCHlpGZO|DjYW*y0^<B!3qej(5QT*AgTu5<f3PC60cu~y5!7(# zKf=K<?+79sVCBu~BiQ2;y}aB*WH=xdnZn3fHjSH!Bb`5uD^(PfJ%wS}li>r@y%I+e z?ggbk`=cBTen%1RjXui3kaCoRA^RwC?!7>Qd!a?75ONV&!_CBA%U8oy4bC;7;$0t7 zJeq*qF9a_h6T~3#djrY+pmYZc@Bc{dCpEmEkl=oBqMOFZ&BQUCk$)N^*HlJPh6_-4 z6&!<v7pPd;d5nYM=rIn4yT>5m3Yt$AJkG(ObDV?0`Zz=#Y=0PxMo$M729R-}jKty$ z{p6x#V<WxH)YMe)rZ6P2#G>R3N6_gLiAg!Bp02L03}})d4PbF5af51oMuX{~OPXdd zYcTOLax+;of|l6}GchrSFoEtqya5`KSi{J>nvsKriHUI&qZEhYc1DREj6B;Jg?BJA zZ3Lfw4!S9lWga6dpX_c>S67kAm5G(rlZA(gmDQ1jor#?hbiFrt1XGd8i`kP!klB$% z1*8&eDT_6;1Pc$dFbflN2<T8^&>$cK1A~ABBz|`sN1R7@>Np3(&Ep&luZ|<ak>vyj zgYF3q2D=lGaC`$j2N2ed4uS4t2gL&j-(bK9$Iyb5#1hceNd-muj0JJ|j0H~l+zd0A zZMQRW?O=4?&M3Eov5%2q8R!5L7EU(C!%SSqn4AwX$sJ>I<aGvhxfNNY8M#?NC#T6U zgSv<y5A#4fYX&fJkdGBvJeU+2?U)o9m6#MkI&GP_7@e8qK<0y7$G{LE3Gw%a6Nquc z6DK$rE}!6Fczgok@2@8~7<f){Fo>Uo_#1sZ`w8?OV~D8?3`a2i?VORCoLyX+3rP;b z31RV!33BmV3^SOOw==T9e9p!2gISh|t&^FhhuOKES*`^XL9$Gs6GT}VWf(=+*jZWF z*jYhm{4&Tg=`blWf(LX#lRO}gL5BqxK0y6da1v48be-g2n0u0gVbMuMfAQQ&4u+2> zF~<dtK+h*aOU{tEgZMzafpI5zov=wgV*{gWJr~0)=BrE$3z!v|q&WDQ*qN9?TW^`v zKxch1DX_3I@v)e)@-wk8GBI;Aa&Uvst5pP@OU3BO#0y%O4T?cVH6~F;VW=meiFhg_ zQvf3ulQk$L0;D1F<#7rzJ{|IynIZWU2SfTPgujYTaWFKW;$TRD_VeK9&O+y%ko;Ag znOl&P>YJFI8Uo7240D*{7}R8$q?mZXC-H%M!l11?pjAzf8>N{<nFJYGS@>Dm88$%O za|6je-%oKc{5r+K@DIs7!lyYHWKMH1glvZND`Dl%7F_NL$S)|(Ni2c7iOG#&4znAB z1qTZ=9}_QVA1@mV3mcc95DPN{lR6VSqc}4o1CuK=BP{(f$UwrW;4~sXcbw*6=swNC z(0>{cPV-K4Ff2dK!GNBReW2ln5l)a4z`$g~Fo)TOA%cwwRD7|6Vw#Pefr*=ml}VKu z%FqF=Ylgbx$7w`-iJakJkU7J_pm+x14y!X94E|>@<LkgqNO&Q;BPTOCGcO$xVNBu< zt&H~E40D*5GcuHcE-x1ZU%$%7%*D*Z#KFYG#SgiI1GFVikdcc?nu&!`nGten8IvfJ z7^4#lKd5v7-M0>P?}{^sa65R0gW=*C4u)$;?qxg6!60`QGu&YN8!+7q3pnwDhoE^& z{d~p((R?n3Im{=R7^X0SE`nlX5@BItWMQ&o;$>uF5@Ql%WMblF;^E}tV}j-$E>P|f z2TkfQi88S=ZD3^PV_{`tWd+UIa)W%J$Rx_d1)A#TVgmCS9ONMBpyDi|9FSYa%rNOJ z2g9_pi143xmV;sKS<G?(Rxcv?2UZV4H&xAG&S7}PD9hvl+V3n2*}lfa%4h)Lsxv8q z?}6O_bqB*aNcjm$rvm3V7^Kf}Fvy=nxI_6I2ZP=@%<(I1?tpI4HqmD^;L~Skn86&& zaDp)wv_A?|7iuuEgP4NMV1|G^Bpfmz_BVj+uRX`X(0Go6p$#Gi5@IySgUt7!`PbRU zH`IrLX)iOwJm$U3411W^m_SF0uz*O=ZY(CyS(Bif7dTiTELIja&<!AvQ9%$3%4dhm zgHAn%m;uqj#sSg`(#68W!omX512PrCVgnt13EH*~Q3GOubTV;()Uty0aImq0c7-v4 zPDTcq29g0|7LW+2aJZlV35SmJi1e`FJO{(-^BfEt&LiSs-+2y(>*qNbY@qj}#z609 zf{i1>w-=;WWftfmZQm6y$jN6ckk033SiroBkzp4jC_m~j$+F0RR(2?|fbt+0BOePZ zGe3(2c<uvwDuXDKFq0hkWCm7dRwf~kY6b>|21ST_11=!kn|FbOq5c8~!`usq`uXw& z4hGwc91P_bA?a()3rM+PG7HlFI0C!x3>3=@3=DhF&l?B(Lj%5J9kNlLNofOrC-ZuK z#tr;WS=RG&F)Uy{!?K)FX9c72a>oCRD;Sx<9M<KGJS!Lt87?pyG3hZWFmW)ObJ#P< zawIdEGIlVs3sx#H@zfaSgLazlWAtE7WR+6)V0C9VWi0?-Yyn#A#tj;5W#VBJU^nMr zXBOn(VCUx$VB+BwWZ~mr<+c=H=NAxSXXR&?U|zt;!Xd!U4_dLs#KFbK&cwmX!l}Rk zTEoT4%*w&eF3ln+#4RY!Y{0_7tiWu+!NIP_!Nsl)x;DUwC5+Xa#h!(SU64bV-I9x) zQ-F()Nr8I-Z1=b@y8;Vne{dX=h9Foc3l}q3=NT3j9cE(|N2Yy@tjtWTEb6SRZ0wAz z%!bhLT%Zbxrw11i@x*+IgF*EY2ZPonM7pZF#KCa%5(mQ^=sE}<C~X6sheb_Si1+{< zfC%mTF^NB5e9e5Hk?{dz1ejC-lSW{Y?>^}A1LiZV40D)N+1QwP<XB``+0<Bh*o2rk z*;obGIa#<^ScL?6gf!TAnKYQV6xqR7K{2y2v+^-<vVgAf0^QKb2f7NH#hWEkQI*}8 z1#*8elRW4|T4ruG875IC(8X%3>^zJLY^>lz&t#amc=WmXc|i9xNiu2kaB+h!U1Md{ z1zlyx#>%S7Cd?|tq{yfMZkIC6WMr~pJi^GL#w5Tb%EZB{1ELwZnWUIOtCOXe!3Sf2 z=Cw5-;Tmw6gP{N#*6o)$7>-}&U=X;1NdI@Qa4@{P%E91r4U+yRK<_hE_!<h<^#OYC zADF|yz;NO&BwyeQZ|K!~s7j!RNXb87>R`Ih#Q1=zof%AZLU_|4xBeu9#USKNh|oib zf~So4nLuU3M8>U*oZA@1w=(K)V@%~{<6({Fh~bImjk(RdmC<1vquy3V-ffKkm|z^P zZH!%v+&nzdTNy23vX2=V?lHwOnQUR=+{`4tg-L%i6Q_tElOCfG8~1lcwqJ~_j|3Ta zGqca<<5|GRJD*R0jgQHQg;$0Hbc3G=JCh*WbVe3w_IO4XCSFmUGmH+W8CgFwaeiY` zIK{}jpGEN-lk8U}raw#~Tpa9r;!11+Y^)+2lB~=M{9v{chdL{>f~-yplS4BT>ux5_ zeM|~XOkDd}6!$Sn?PX#*#N@k@iDwOyI+MvSM$4a!tVfwRPcSL`0GoY+N%lAs(-|f& zCRGkrc2+|L(6U#3CeSibaTd_68K6!LCp#AlA85jsg`ZuOMTME2gP&c3MUz8=or9T= zgM(e3!<tQmiIbn7gOy!S0Io}zpM#Z+gO!urf{lfd1++MonV*A`9VE&lsKA9R#>&FM ztjeOmEWskk47$cgf<*_UfrFo2k%g5>gjJM7lwFO3m5rZQl!KRpgI@w}BGhG2-F#5p zvY@#*@WqA-9Ng?Y0_^<4EUe5N96UT={|Li$$syS($f6F{CCdRi%UPY7gINNUQS@1= zm;#yjm=u`zF*4e42r`+l>M=>Py0GwYDsY%G+OTjkDX{6W%Y*LuVNzgrVS(U6CQc>= z4sA{u#tObFZcZiz9z9-p9xq;f76nkfG7)s(j5w1%V=4<9Gb<Y-6FWN(2QT|=W-bR# zRvu1n1ukADMQ&LhChmPqd=9*<0-XE`d|XV5{89o;{Jugwg3%(D!mMJPq6#9sOp2ni zVoaioL6s}0zA}L1SBC3|a}i{(b1+0*=U^zij>xa?uX8X=y1~Kl?FJ;j-hrNrpz|4$ zUq!w{Xjp#LDaM~)A?w`bH!xjbTF=C|fvE#RU58wf3B4;b4J@StCKJG<DVSsf-J!XF z`3f^QOY(!WWEnXBd2oyHoMK^k$E?pJ&k@ef&LINo`LJ_v*)nl3iL;n7E3)u1Gx3;o zF>-b?@^>-ncQSHrW#-($EYGCND9psn$kYK&?K_wiwllNtV`gMxoX9LPg_)H}l}VS8 zpOKY=gN>I>nZ=%qi%FR!omZDph*^Z$T9=Dehl34#z6J{ilQ<&_3kNF;8waSJ%f`<H zx}8CciGvq3{|j1lAt4~lB*!hzDgiySS4@D5mxGCghlPcmnUkMifRmq<iGz=wUrRuo ziC<JeM3i5GSwcjRA9Mq!ga8jes{k)QlYjy@AG-jXD5C^3zlf@^1n6il5q?P#DNcSa zR&^#0MinM`MhPa6yc(M_qXaXThzLKp>#fgJ%Phho#+1S&#-zZk$i~Xd#n{5g!O6tW zFTlaeq`)b`$I7C~49W+*?9yDKP*Hw1RaOZ$VLpCg5iwz24kl?HIbKaB1xAn#H4bSe z1x_vw9wr4wHc-3%3NsTYBR`WqBPR<da|#nLlOnSM3oA1d8y^!J`zaO%h6|>UbeC`w zG5%V2lY^o4CI>_3O+@|Mdy|7<)=kWFl(Dz#5cMzg0A*nZJ9`d>1<a8Qr&uCElf;6I z+$>zokZv)HBBa9%O0x!L5cmAJiRed(-r`_Ty~V+xbqnqu7Moif4C%Kx7;+v$()*qJ z5PA-DJY_-^q&<#W3Hha#gO7VH@<}aA&0$~?-@v$#aXlmB2FA?wjNA;1nAb8fRDrrw zos5iJY*I|>O!A<_q{t+}1lqRD3Oc?Rd~Tc!lQg3Y6SPgr#>xuX!6gMMctD!@m~<Jn zSvZ-b*yXu|nIt(uZEI0b`N6=zkYEn+--TO<aih1lI2itd{B|4eKW4St91QNaIT(EI zK>Xz*2g%1h4<LM0e`#nzyaih92%49dU%-5raXvHS0_N!X%v=nMKz+p?Mo=xm$)>@= z$|B1oz{J6=D<HtH$j-{5%)-IL%FhZ)FZwJTOkzwNjG8Q<f|P?bj*pd7kcWet3%ps4 z3v|JrD3cYF274Tr21p1LI-qD{*kA$i*MZxJczb-CgW>CK4hEJxi1JI}4hKWv9S(++ zJCO2g4Q#vsI-j0%7vev#p$rTR8R+*8K-=3e|H4n^Ee7ApT?rae3IY+1^`Q7P;^pC6 z#(0uZ{S>1r!yZOOCP7yoKLJK|@Rg1tEcQ$y;p!gJpaCL9CP7f3FtV_)vr2M^u=8^W zva@iovP*ODv-5+hLJm+0U<Qpfu|r1yVwgmjG?~PhMOf6C!DbjS@h~o9WKm~UWq4o- z36BeR5bfG8cQ_aX?{YB6+(m>(!Cel9<99h29^Zw8ht6q;|NlT~n^zD%EIbaNpF@T% zJdhf^Qy9U`+trYEZycCo0&43nVom`E%PSU!Ta1cqVjS!oO#Bi|Jele_iaad*Is)Kp zjhK{~1SHv|n7~P$l@YYCo{PzxQItuN5p>%g2NNr30X-utn*j$alN1{dlO>ZBzlkzv zq=ChYkrSM2Kw-wgD8$6VD8r-)x}cBAgAsHV77L>!lQ5Ge=!kbFRwfNlQOzjGB+e+r z1Ui_Ci&2tEh*1c9em@5{D<eM>KO~x*nLuL$)0x<mSXDSonWY$AnWR`uK-nvWNuBW( z3nZi-*g(QR;2xqrt-Z&=u<RZO!|r>C@b|jU!SMM$2ZQSaX!u`+gf|bAUh)INhnUL1 zkg*<;4{_x`aJpnD$;?gF3jq<Kd6^XqOyUz5|3H@Wzh>!YWSqd53nr_<q&ArJ>jxJ= zOBkgsWVSNKa>RMWY6h_J-DhQ(!KBEf!Nrxt&E&+y(!^oW$RWcd!^o4&!X(4Q&LqGB z!#s<GK#kU?eAaBd2lyG+^0PCE@bI2zWZS~X$HdOT#U!A~uI0?c$tKDp$iu_K&cVTI z#>ULb#K_6S&TR>5`7rZ9X#r3T%xwn|V^v`0XXj$#Vdc_P07n4}50d~BJF^rcCkHz_ zD+epPEEhLB8zbo2ML8yZE*^dXHZDdXW*)Xw77i9x76B$%eo>})(AqvWc6Lc7E+!s! z5e`lk4lZ6!79L)H9u{_1&^km8Mt&w%R?yYB0&Fax2{7=ff1HebAhY;bI7PTvxp>3{ zBse+wIE9!+rC3Boz-9?>K-I8;RPwVau<@}ALCRufrYa^mCLT@!9#$rCULLlqOspbo zd8|U9z89M&s{k7(8z)!-!4_rHLx}T$WI-l!GKqtVx+RQE7K~dNSwLsGdT=nZNHg-X zu<_n!Wnj4A2uW`T9w6$`d!RJ+kb^<+AtJqnKjdJT^^k*M`$I^2i-4YYSp%hcpyLm) z^!5YCcmp_{6=~{0>sRp!jFXwb=|}@i27^gKFewa4S@F`MGS<9od~CdIeAAg2PBDWn zHRc6vzU5&#$1Zx7okx;gN`#41npKJIHWS-DCbqjwY!8?OnAt(aBqKOUu!}HraY`}C zFo|#rv4Zcv0k!tU8Rb~mSUH)v*myu~EIv-q5>`eQR(4i7&<!er0$i-D{LG?ktc;+m z=%tugL0c)nmnT3A(lln!iKyYA_~sJf6y*}(<YF;nvjXith-VUIv<7XhVq;^S&cwj5 z!5I?H0*@f!2MQ<UM;r|Lk2n}i9wF*a`$rrMA&)S}1F^SPAjc+&2edQ#GX}`}gT`x4 zFfuqrvskflu?m828w3qN2{8$>3a~+zw^@RQMHHE|m<3q0n86&7OBfg$Tp;e-0J0k* z#9(}af#K964u*3`?z{MigW=jE-0R$m!CUo<^)$4cN;7l7`xv05`VwXdh6D))7G6ef z1{Pi>==lK)p!RA!Mj9^<Wn{2_%)#LN7!fWxMXU_-L|Jj3+l0kl&~Z&mnE4qpKznyK zK<%0E7;&EP%EufGTOM;T>_D>T_+t(R5JsQxI<W;(o?@{FI&>y1V9(DeAjZ$mu!OmQ zp+cHToJoR-laZH^6Erd`&B6|f16BjjC@lj6gMuq0ye*y}%K5w}91QhOI2c-<AoAU; zCmalCpKvfddjd%}Jr^PFkU0sV|3J%oXp}M32tvwvEbatnJq9cipu>*AlXc*;vbRId z%I*bUO}B*k856?>MnxvpTpm8oB+ydcpkz4~*%)w53A!d;nuUc)oRtM!ii&Uv@d~jh zF$?gpGO{zVu*-3XaLYkY3Wd&X*)nM}39$=t@iK{W3$Qpb%W=tqvI}Sy>=`4IHK_Ol zdu4(fBzz*CBHAInPdONtJmp|m`4ka8pPzCt_&noaD1QbCp91JTp0IOxFQA=A8{vjO ze1b~zQn7_mdQpBkICu)d$A<SnuJLPT0v#W|gn2P5!v#<v^)mACaW*juFoEtoPh;a{ z5?~8rl4Fu$XAD;dozoAxZ##mIorRm(nInQZoQav0Nf<O;Xel?1k%N)9pHa339<Yim zf=n#zifo{O1Red!$<E8c%*M>j!^zF2$fd=^!mGe1#S6MnU4co0j}?^Egn8NcSVbgQ zxmnoxnOOOFgymR3r!ay>BA~%<&m_Vm%52QU$;!(HI*&G=NtsEMIhvW5g^yW;OO};~ zS&q#JR9S)|<PH-{I<qJX2QxE^HlrM)D3dHadKer$A@TF$8KT_`OTXgJ5%Ghbeq}B| z(k-s^JApv@g%&2CK%u=J6xvG|!HIGyqa2IuJcRo;ctPCP@Eqa33C}qg<~`?NSo|DO zt~`6r!65yDgW(Lc|HT4LKQYjIB4ODjwW1&=KLs?4-@rJJ8Prg>t><D`#{8F=K|_&A zmWhu|TZBuHjfI(yO;B8ji(iJx40K^TBP$yllQBCJXulZ~6AvqsJd30_vj_(ZGc%JU zCmV+dH?JT+B+41JLHoFwcvyHqC%H3Au<>)d@NqGTfTml-C8RhdZMcMmSfqJbB$)+R zbU{7^O(w5lWR+m!WVPWCXXoXT<P=~LWRU@Nh&K2@{r>_{uRm&MW|;7TgJH@Gg#XvR z;9%JD0`vR{*t##6|3ULZ!6iiu%b24X;zXGE8HG5QSXdd^n3$QFIhdK5m|1x^8JJj^ z)EEsw6eN>@)>-&M+`;h@;STAS91L<VIT(~)BHUr~l7qqdC8j%I=gXnFBPg|ufhm?@ z8FMT{1EUx&=WsHqfSkk06v9vdb;pF4i1K9KOAdxjFF6>tBe~<|OAdx#FF6>tK<8m$ z>pXm*`vzd{a0cC_%fKXFu#GXFvA{f^u|O}Mv4AZflqQZcG0bCBWZ`4u;^O1rWCFzs zE3*<48;1z12)6~Rz65wT1E_P($0*6d$|%Xm$;Qg+#KFqW%*4v5&B4lU0Eyn2j7*^M zCv_%HMjcS5WME)8;0FoMh*t=IWWC~GSn`U4VdX1Cc*?%!V2F8*=?|Hkko*VphfiX0 ziDzC)Dx|T$1JV{N1-HNWz+@(vGy{`DVA32+3WG@t&@SI)%+uKzo-iu1@v(5R@Nuzm zNHYnr2r&sTaxihRbMV-(aWFB1267nLnK+mvnLwAJak8_ru`n4kvaqtUu`;tTaj`IQ z2n+LbvvMi%u|Px_xfog5SwYvc3kq|pFbT5=b6PNq7;!UlGjelsGxMl}vJI0OGaDN# zqZUgn6AxnyGe3(wBcv)aU}0xg;^1Ou;bP^~W|3qDt@Hvl^li9UIYFUZ4hdm3W;T{D zj7<ED$xKR&;Y`9zoQ#f4f{ew`&}LwG5C{qH2d_C8G{7|z0|Vb14hDla91Ny!5anFs z8xDp=Z#Wosy@Aw2=;_A+TCPBiU|51y&Vl2=F|QJ-?nx|8&ddx>Ez#6V&d)1J%*+D~ z6?|aK1>Y8M8FFbrF_<F?Cbix(ax*Mrp25QKj7gD6kV8lWblEE_lMu5iBe<W!2HM)e z%EZK|$;8Si13F;=gh6+K@rlVBGRZKpeB<Q*%E`ne#3shU!N@Bv1}aGunUtA0nADl= znK+o-n01&qnE08+*f>}X*)&;$A)y$=B*eInkx7ivkcERWkWrLL3>1E=n3?z)<6#~S zfrO*LTg3R${%s5lYHv9hwB91pt;t&s2J5$&<p6ql1W&i%+l`hnn=ss9mI2+f$i~IM z#K9!PC;*~#7#yJXcD&_a@PN8y=35SiZErakb|cx#_>P0Y<sAou%?(KYfX)A&fu7q7 z%P;AvdBFuaps_0P1&qg-!H3iLLJq7~0CVI(C)F=w-pb1GhFOtGkd2R(nTL%-lSx>N zOOT02go&AjO;A9HOOTUEor#sro(VL<09vEL#>m8B&!oo4BWuFy$Ev8s%F4kgs0vQw zpuIz&(NtMB(9L1&%$ls6EUe7_JY0-Cyh1#D+@dV<d|XT{!a^)+%sd>d%zQ$uf}qV8 zvP@iziI5obVY<%9D#XUeD#{_x#K$SbqsGm{V#3DBq6}Id2I?Y)L*nPeJ4Ac*?K=(z zuJ;@a?(Y%l{M~yFhRq*17~Xw=q;nTBNKt=89YTKzfY2NIA>){PZbJC5@bk*g%tK1! z@J@m|xP2wRfcXg<c>J%81x!hS$wJUz;4<c^pi97589_~+$&5_#jBHGNjLJ+>jFn7` zjLSjEPk>1Qln!|r4>B_GGIB8SGHNk-F%~j$F<fEO2i=&=Cd?$j#KXwJA<V>hnU&E~ zz=!h~E9-t%`E#u7zgZa9GYax?E@7<r!xI0Sh3yXuFB9W`h^XKKHmTn%l?|+njjUj0 zf=d`%82_+{O=J_8#ir2A>a>(mbQz;Bm}LFM!uylObtxln5Gxa-v@(-8BP$axlk5Xl z4mQ@`EF8aBc%QR!FoCWaV7kJp&n(@_7UCtl4V2mRne><}nH-r|m;{-WnB-VlSXekU z`8asx1UMKaS$Nn*xVV|vn3>r?S3httbFy;qXfw(2vhuLVf>d$w35swis&mP)fEsih zydW_(0S<lzE><aaNfu5?E=e{HeqJ@u;mj<ma%#M+LR=hzyxd$0pfg`swB>ZT<b@b{ zIobHwd5!s4S$LU6c{%t*IeGawcvxil`Q&)5`Pg|`_}F>5aM0jpran^;(>z8dJ4Q8@ z21X_hCPyYK#$+ZDCPOAQCJrVJCI#*#jLf3UTw>Ou8yF>|#rcK!nXDNLLHA-#Wn^Mx z5@6(HWMz_PWM>0i0aw8q&&9^c$HmB`#4W{D2^uqDQetdj<P{SV5LDoEViINbWfEm& zW#wgaW#VOJ6Ur3k<>KJv710v*5|Ck*VU}S<YPTB1Lelw$kBIX8!$%GV_D>uPMV}Dq zyyi0pgVGlc2A408bl&q6QZCp)X#-_Qy1oES=PkD&d{{d7O)S8c%$>0dgS%$nl8j0I z15*>ndnU#YO!8o|h4noXs8KP4iBXw}2YlGIJQE+|Y(^$GMoy**#$YB+Mt3F-MsX$| zMggWM(2ZsSj0#MWjM7X3j4Di=+ZnlcFtUS}nlf!?<lVu@w4G5LOhVYaI~Z+1_x^w@ z1Pdl%hFR?Te4;E)Od?DIj4V7nyaECiOk#`K7()g51b?ydtY_og!^XOmO}>kbLy7Gs zBsXv_VQgT$#md8B^^}eC0~;HY5Ss;)2nz=XHxuJ_HpW^(KEWb`Wo)cWEXpRjT~ zW@RcC-M}sw&Jn>H&f&qzs@Tacu!>zlRY6edHYhJaa*jGA=PY6qn9nAg#r%jBRClwe zbEvT%W#eEH28;7DGFgf?u(JrVZfD{?#l*zPx|@l4I}7_4Hm2=NY&%)lKd~`wX5!=J zJjBGbo{4Qg3;PE)rqxVrUs>1}v2#l*T5y}QUT2eOVP|b-m-)rUv7SxFQ|1L5ry_XL zz=%nLQGkV;*$32yVb|jlWa41;;$-7u<PhXl5|CqOVQ1msVAtg0;B@BTU<%>nX5nU* z<`CrK5ENunW#wQ4EnQ_{m1NUp=3oS+N*@jmb_Wg)b|C>)&=K4Gasnd!!d&c}=3J~i zyxgjs;%vME9KtM&@?8APqO8*yxx_hHxY#-Qx!A$95R~SE(%`#D^;ym`v9hswF*!0m zWo7|oFbDPyCN6Ex(~N9wjGzJL8B9!!OiIi=OptuV2hLXwjI3^4oSYRrj7&<52Hc!H z?%W(aOiZG@J^}*#QEX12yd)4HC?F)u&CDt(BrPZ)#Lp|r%qhYx%r3$u%p}4q%p@WX zrFn&I_+)rlIb_&5m}Hn_n1!KLEdxUeB)=(qMb!HSUpW~3zH%@We?{cC_g^^}Jic)- zWPIZQYxTJY$!|H(_G$#QA1^QgQm@pkLCtR=`Jn{`sYMJ-@(Y+|GR|jWT)=dY2}~V; z@MPzMv(b4*Ms+3@MqVa)Mq?%}Mh7M-MmZ)0#$+ZbMinLnMp-5<hHD)9EOMZ$lm(dB zWmH_GIoNoa7;m#PdU6HH++b&7WZKNmyM-OpOXFc;-p$Tf%g4uAB)tXHR^7|)um!X? zot2e^bu&9xF_!?>G7g2u?2u|egprkri%I+qOvQV4Q1_pUk?9$`!fX!NCeYPM`b->5 zc1-MyER3M3IRz$hCM_mTMimx5W<Dk{7Vz`~rzDpO3kSOhBNyoYJVrGpc18mMRwgb+ zA%0%a+!h}z6N@mv01v-{06Ra606RalP<CTdVNzh`V4J|i#LCLbq`*|mBEihVsK5wb znS35p@^UfCFtV`mvdXhDGKn)vvvRRHuu8FUF>x_*aVjveam8{=@u+YsaDc~b!144U z4HCZ$-!bD?;5+H@`vF>>vS7roPkwo75yK=-ERi#v0~|S1IG7lj-m~+5fJM%CSmb;F zMb1xlhYyIL2S?5$4u$y~D3P;*gM*0!6n(2XppmnPL*Y7y>^@NBFfcGY$b|U!#&<+J z$^07w!`ts13?IKE+Of<(I2gEo5N^kU?xJxFcJ}lH9iOp`*`MJO4=)oRmpCVAaSLd- zI2!|#5R)Mz4~Vj5U@*vn*kAAiQGc!c!NDN*lY>F?CnCIAe{nG6|Kebn`3sVtIF3Q` zQwDUt@{1HC|9~Trfq|i>3BrffU*P@-LuOt{YEfQdj($>QNorzFx}I}sNq$*s5xi;x zD}z*A;vX2VKrS?cbVmfiosxOTLUv%G_mHlO9GHVe6-2u|SQ^51Mq&qou1Q<Q{F8Ai zv*a}9<BU_8S*I~C<Yed&P-GKi;bIZwVq@WD;R4-$!otTQ&BQ6eD$XaQ%f>ucKyrxy zE0ZA;Xb?tBScuVex&Uj704o!Rf`B}$5St)}1gj*g2rD-yJ1d89KcB=TK2|mkepV3) zK~^C?HdannW-%2pTYDz~CN7A{VyvQke7YRWOrk6xQ^i>1L8daXa<GbX$OuTY^0D!9 zh_N!Ua<X!BGPAM>pX8G`12U73l~aP3l@GMg5o~6?7^fDK7>gJu_hVl5C%i(;VrA0Y ztc)Dm(%h`9oZuU(m~@!gSj3q$n5Hr^u`qKoCa`F*u&}Z)>N5#5iL=QuF>_ln2{Q>X z>+o^#a`JKTsxfIW_25_P1UhbmkAoLPGxKn8&j24Jp$N9=2qRN1V<!`n4wE3G9^(=y z&xwVH*^5P-c?u&l7X!mjMkYzd<BUwKj0r3f%zs(fBv@ZDa;UR6gI5M^D1f9>hTn*E zD*hYNZ`S{f$S1A8IT+Ue=3rO?9bbo?kCk&7l3royF%vXK5tNvendhBYQ~;hc?_vTC z!zF_VArMho&&9ApP?1G}O$t8tAi~cq2D)MdH15E{WW^)|x*3s4k(q^&l^Hbhz{<$V z#l*?V#l#^jD$J-Zzyg-xVq#@y72sy(=H_PR0d<ZPSy&mRSq?BVaWJwo3xS3eKs{&{ zC1y1iE@sfMf((l!GjvSuJtLD4V<3|nqX&~ND4ZZU>_QPFJOutA$_;}*91Qk<I2c_1 zAi^W}4+lff9}Wf<==uWK{lhmtLc$|8C#@tuKc`qfu_(PDv8Xsz4>BU^nFqOs5Hw{Q z0UnyE1r5!tVE)bs8lcn$O?Y#Ob8>NUvCD&&dNOixaj=5MpII3}Vw{YukdC4-Xmutd z2ctNf2rFnAfdZ2VBNvk-BPT@Kf=QgomRW>_i&>J16Xd%K#Snin{Ds8x4i*N6w!a(< zbN+HL-1v(qkDUH-Fx>vf!NB?-QXZv1*L&#%Ldu;l;t+blbV&Vfa~#6Q^sjqriDOZE zv0G7oZdhibTV_rwLw>QIe?e*<Lt1W$UO-W1UP&5568P3G#CjgkdND{y!OO0YqRiaj z(zLY93b>F*VlkQsw6m}DfNdq`eKy7iY@%S2=RO-3!wP0ES2s5`)d01?^^AQ?8yGto z*E2?LVB}oS$hm=$jWL-?fRU96)VY^t6k_sU&&a!uQHP0(k(J4b!OD|`@e8xtM`l(g z#aB!L&zX4HoLLwR7<u)W)YWz{y0UULGqN+jU^8Y?d(OuBjE(at8`};xjXCUW`#DW} zSp@o6SbJFn`&hVoS)}_|gnC*0AF=W3t1M>X=w;#B#KyXsO-x)&hzHb)HDZ!r;$Tz< zr2rOICN54UE`CN1W)3EH(5@r!${;=_4n`Bu6-}V=AQld0c`j~F(9tE*OoAX5Bk0Co z&<K(N7Y7RmuY>?E6FVbl-bV<0wk7D48$KpgMoAVE7G7p9W=<w{MrR3Lb}bHYuTor2 zLWG@*n}dawl^48KPlQFB*#eZDj965dG?>IWL8E`}jAbmLOtDN3OsY&Fj8~XgT$#n$ zbXdi?EkNC3FD5reHI@M8KsH9EP^KNA#dkcB+?=4P5CIKFHWpJs0U=gFK_M<dX(1s& ze;!^I6($ZrE&*14CrI{VxKIH}rz`#=^522~91Iu#b1<+la56AM)Ui4+axy3~b22<& z<^+pGK<{fxSO-auJMtm)gH@1p`T=J;4FKg%&{4eXM`Z4^Gd^J7#(tk2lxQ|EwK66% za)H(rFtN2UKI3U+6lh~y#i$yf_L;erk)w@q61!@E+FRyUMx!>y9wvqiBbFnKOjdi8 zF;Cm&`p$`T+U`L{W)3SJcP2I_XC^ULRu)yJ3!s&sGE8R~*_@cz<XJU1xCETo966kL z9j#fOFtTtnDX_IMGO;<c`mkv5s<X0qFx_KZB*3^=fM0=2PD+@IlSP7!TTX(NmBW$M z%jpPXz(Ga}CSGn{9#(06CIdcRHdaYi0Sy*@VO9<iE-oe;VOB1ECIb$zIB1Op8=E4B zk{r`{Mm7!s4i;X?Ob{)~E5$1*%PtPO@>Pphk%JwyZibb+o6$v=iH}i}#dH&6z<Q89 z>=GQT=@v{vobH^FjN(kpjI|<ME0p2q|MHyFVr3LDVK!!EEbC!pH{@pIVC80HmE@M? zV3cO$5C+}b#bCr@$Rx=GI!Bj<k)PR!S%yW9S%yUoeA2D~_*`68PVjOd9(HaKK0aO} zK0_uQMnz5*W=%dZ7DXm@MhO;Xc79%KW*HU^J_9axPH9eNW+5&PPAg^^4t8c~CU!<W zE;d$fHf~N{9u966J`P@WE)Gu6nNW&ctOCm79E<`o9N=?_IT(3ZIOP45I1~lIr$Vta zgVwBxaIu1On=XqGqm2@aqN@t%23Qdm7Fm97kbC91d{{-9g;@kN*wr~eXR~UtYqBtd z`j31(9NZdQ9GtA6m2X_UoS-9!A@&NfLeBq{<kA)3;Aauw;OF8L;o?VD!J^N^!f2%g zwTp@0)QDMvMU;z|SIk5}k%OI`gN0X)&s~YvSYAs&nL~$Nn}t!1NmRvN5o9vL<(w>{ z%vwCkI)d7q`~pG(ynF=QX~M(H%>#2Y3ncvHm?iDlwL$iY3(5-#@Pdv=V&UQ7mXlH9 z<P`+%17hct<Pc(F=QQO2hXAt*A1k{oYc{wPVG3aiVMU5o=1?|XrUQ&j0!$%{f-E(H zGJF~=?o3X?GCajxp!?pLIGEg-6qt@PvU2hY@i}lLF=Z&rD9N+%GFz~*OY?Fmi1-QT zaq^1kbMY`+v+yt*u*z}qFq^W1`HaTQ{!9hR5=x>hysBbK0z4Jmyo&Nl0z7Wq_KcdW zNn$Sg0!GSAevFljyvh?9xh44=c;y8I)P$KlG<iAsnI%~ynN3+Z%q&c0EwU}S7#KD% z!4H4sf*$_*jE9GjiJx~Bqd)-vXJ$SQ-bw620fKLtq36ExF!wMqFmyx8vkDeY2H2e# zQ&>0|ma=d%ykbF=XDO_l4DD>33}@LO<(YvJr2M)AEzd%r=l?C(4Jpqye22(m*1O<} z)gv{hfPqPA0s94>`Rt4f*iSId2bXD<s@7_Zs_trxs!s8c<HVUkWt)YnlUllJfZ8ok z;kJfJH9)OaH9(C~HAqcUH9(EKm64~7k-3%8ppB8AVIGqa3wsx%bvq+t2cvr{BV!w* z(?12wA`f!FI5XscSPK@$I;ZONnt<{iMixmX)1rX%HB1=+<ziy#NdfVU2|>A<c>(F% zzZ6;jv9Zt9WS*zV`<IRT9~&$90CDbqO;%9z&Iq!N99$Qwf_L<>FoN?GoXyVY#Vo@m zz^Kgx8qHy4<=|jt=i~x!KIdfRV&}AH;)fp2#lgtI#?H#Y#?Hac4w~I!XXRt#V3lD~ z;NW3bVRR7?<Of}^!p_LS$ic)8>Y#&d<>TVu1kK2R7JVzTf!3yRunI5<FoO1cv$6@W zLTGkY&}b7sn*s+v8!IaZJBI)(zW^%-k}E;x_#(RnT&siK39$!s-6oQHdyqWHy&Pb- zu!Htf@d<G7E3&aLva_pm@iMb8fzQn~VoGP~VPuuz5Mc6U;$`w?n#{-;%)-T_%%s3% z!{o=9%3{sL%OuStz$C+@#U#q)DQ+b-nNhJ^B~(<Cmzz_aO9Hga!;;CGk&(rn8B`r1 zA9>8o%*JgY<Rq9b5+HnwQ7k}o4U<HGc&$``B%_Rzw542tEH?)$6FVm}7w8xP(ArII zPSC0z28Mu1kbIEA&dDGFuCW;yR_|qC*tC~{Ve4K72GHTPA`A@7VQ<(NlHagltRLF5 z7t*eV+#{5cSe&63Z4?Xc_ogK#rz%v}LJU0swdVqoJulfg8I-59FsM&QoCEfios&U? zgOdUMyx$n;z9fh}sf8G}Fo`ELF~&0{@Wpd8Fo0I4aWZqVf%Z%?vkGv4_CB&Q2{Ew> z>w}6sMW!q!IZ*4HfuUhC)c+jR^S?0`|9^nma{<X7%KVSb76yh5Qy~7a;6(W6%|TX% zyE|DJ9_~bh^XG%C3~Yxm*Mpfr_whr*862OOMVVt!x_?0lIAR^9LhM_CWM9!CR)*R` ztPG8ZSmEjC6elOcu0yO0E1>6N!`21df!_ZLu`jhy54UZgNM&XMtu1C_W?|!FWMk&x zVB-}KVBwb%VBr^GV&&jwgV0={LJ90V28U@7_jzz}GC+Dx3=H%3GccrbaWZ6baf02) zz`($q!^O!^$i<0~zIl-M+b5>vq!t+)>4B~}PERc^(bR*iU0cP>!{Eikz{1MN&cMP0 zwm1N4?*T4O1_7`L14CjG3&R~QPKF0a_JYJfSYk6IAHnwd!)Q<p=wsRoKGJ#>GZ#Y& z^Z<thsC@?9nDzy7Bm4=n3xr9u&nYKAIU8(g2E@VwsC^5#IpOpATevwHc5rht>_xKg zEjK3v0}m(0Ig%o<@wCL^47mSFp(lO(V`Nyx{Ev}g4<i?o2oF0G3lj$uGZ=F+u`o#r za*KiPW@KV!<&a>K(Bx*}WRhj!SL0$}0xb+qV=`ctW)fp$X5wK63%vpjs1!_xq=Nt+ zP6i8TeB|<QGL-OeGE{(;-ay2dn|L@GCi8GIs6f{<!N&Vw>ql|K2Y3RCfk`+aHlBlF z6>|l{7e-ko3nm%RUUpd)Rz_A9R%Rs@R%Y<c-m*-FOzcctOhWAJ3?HEGdclK;H(2^) z<we9BndvjQG}qXO;U6O;QG)z90}>7qyohip=H+B);^ky$N3w4!FDJtqUQPxZv~aiq z&A&LpA-EKB2ffdHM#cq<-k`bsRm?jX8Jd_Bnb?_Z7+ILyLFF!JJV=y<i&+^oQqRQ7 zD9IuR>ZU5PNHK9S$uqk^>P(Px4?x|^!N&<-f1tw0$za0A$zaKc2p>;APKGQ#PKH0w z_LvRyyl_~&!Po6q<zyxy_Mbzp2NqwzI0>=_!C*ckH^Um{r;H2>z#GwBLFZGj7=p?Z z&`MxVCdgKFP;@G@$TEYvMWD_Pc!CskmI7!?x*QWXlN1v_GiZq>B(pFuFl?9!3HKL# zh;V1+=VZ|5=VWl_N3=H=@^dmM32-tb3P9SMF^?hT!W*c6j)*|om!Pl#;VZu&@~HmP z&;sp$1|5P98n*$B_AyOo`p?MN!1S3BOtnII4q%QEm}L9U$i=XRxtIAMBj+JTo`a0~ zhZy4yGIAYa{KCYriBXYBk}HIhg-Z~$p^uZ5N0UjCMW0!bg@c)i$M_gC=TT;!W6b(T znK_v_*(90N8F}_Ga~)<@WD;iKVr3QJVN_t^W0YrNV^Rmnv6*V}va4~ife+Yc;b0Pk z@7U7@9qY=(!Ye2s2HHFg?s^F_@d)tpvIs~D3qg162{H*Wu?T=Rm~aYnfzA?P;t*mH zR%MbA;1T6z69sJ?VB=#1@7H5;7vm5&Vq!<gu&|5B2n#XuN{9$cKw1NZ%z`XpOrlIi zpnclRicDOLos4Weyu4hzOj6uD0^02TNL(&yCMj-SE*>T+MmA8JtQWM^j)zH~F^-7~ z6jY#CVPHs@3yJRo0*HF}o&YDqTLDgnPXdT^%O}XmU@6GSumF1AKfGRq&fDRNSJ?Xh zfO3Dv0DXVP0Aqhp?EPV6_`;~jq`_vyB*M<Z#tquf!7Rh5#KsCa0SHuwN-^@XaIk_J zgq*y*Jgn^ep!Jk2pzXda?4XqmLQI0-Jj&z(TIR|j!Y;zb!6d?L3<@iTgn1BuZ4l&S zaDaG~;j17ggOU&@Ly{089g7KbGDM4TGJFw%q~kl#^U`CyAn8{IZT{rWPKY=z|3WHS zlv2kpwH$JKRwA@o2Cu;`29-ea513~%-)Clgz`TnQOl@WYQ~w}>3n9EkEccl~f!50e zPVyq)B>#h%QHE(T^Fc<3LyWf=8I76b8CjV67&kNOY+*Fo%=n*i3nMd_!@8M~XA7ej zLkp8W=)MRh86NF_Oq_q2ME)`9|7B8R0%ZgZRz)UL77kWc9?+C22a`OL0wX&kmm;&0 z3@BzeSeV%41m#(j*qE9bzcY$5F$%IxV^RQJRL9HDQp~oR(VUe}`w^4FLnc{N^SBfY zjg90DSxlIi)R`0*dAK;_Sru5N`K7tI`Ia*(F!8KnG@8o@IY6R}xu20$O1+G=l--nd z38Moj9`!-1WZBg@SeSS?1$o%n1(`S)xj00bxOoNnSQwc>8)4bGIQT#tvsrl<RXMnr zcvx5j1%>#Sc^P@wB{)D^ci7nlIrx}(c%?;nnIxHo1bKNl*d>_R#kiQ{8ChAlI0d=5 zI6>=l*%>)uX0fY)OycF`VQ1&$;9+JL;oxQA<z<m(mJ&c#$pu!W!UxjH&&0~eC&0nQ z!pzLb&crDSl4E7$1i6e|TZ|QM13zeTo`ZuO>{ebuJ~1rrRORQF;gI$OyBgwJ9w{M7 zbvAxRPS8>d9xkwpn0Xbz?qdb#25AmKF>X<Db^{I$b_He&9u96j9xiSN&^8c#CIM!3 z(1HP0K{k6PZgxQq2PSt;K^{I($;G6=tk1?HEG@vxEXg9o&nu)L$j+n5!ph9WoXMvl z$}6GF&cn|uBrPZ<<}a!urNZRMsLLqHZ7Jj|D=5dw&k0)R12ciIf}f9#M;K%V4~G!D zI-3G36C0@gpui?7rNb1*q@fHxe1naP73{EHCMHfs5hi`cAIvObOl+)+nK>NTZ!vNi zbINnEaP~3s>F^ryfsW?jXXasLQRinBU}t3I^#Wz*gyoR@T_Fm|w;-|8WvmR{qMQs9 zL=olI%Q995wsKaCd)Benx8Qv?YnbOUF$Bc3Sh0jM3o^@rOlDwcSOKx`g(#w&<PhUz z5D?>J5D|meC&IwMEFs3pU@nH)KF88uK`lZc6(IwYc)&VFe{Ke@3ycgb7Z|}^L`DhF z;Ww<pC?qGdHYkHAG8HnJFmo{ktc19)Lk!`*Wn!ER9*<ZUd>$eCQ=7#&85qPl8LmM4 z!?1F^1bU7Pynlnsbu&O)kk&K$gH|lEurjeTftI{+F>^5SF>yh=JD_WL+1QyGCHPpF zSo!%u9UcxACRSk(OAxf>T@=cK%7VK=icCdJiXfjdax*rArzpVze_$2FUkT!fcn;mo z!H^}+$&e=w33pJxh|u}q1&JjYdf@0?$6U!ER|z&8bdJ|*i1`P^5#e@2oRi_XI48p! zB=diWb27+Ea5BKwr^4nhd7$gXQ&N*k)Aduz6N}RH97{@ypwo2>OyUa|L%@y9I#82s z1M^o#hE<G;Oy*1?EcKvj8Pr?@ZQKxIVr61x;%3!i5@KU#<pb|P6=vdM6oJIDIg<!u zHWPSD8`$X%Yas5fkU+S5q68<yatTg`H4=z$*(t%va0`pOW6nd`W617y&PgmTMs|1~ zq_G(acE13qk-Uj{8WV$rA`=%=H6s^W4A=uqir{Uue2iRd?5v>kY2`S$*_D~tS(sVD z$1{SGs0gD5lOCf0$S+{$GbF5qxIaJ=;r=X1PKIhpPKI_#MEyKdl9S<qBqzgrNl5&o zhrbPU|2f1|1_puOnEnFC2jc8?@du2j8NnNk4=~<m<Yw5y9K&qR62P3p63?)OQIW}- z(_A2cGlz?nIRM<gU||Psx@Hz)Vr5}w<Y$DOLkZytgU-wc-xY4a#KpnN4mx548g(q< za5?D4`xT&7j>{RDq(G*|Fqtz3Fy+A4O$)4tgolR|B0M@@vNI%0aWZ5`A>y+@ij$#P z3NszT?h(g~Pq@doF?TXD%wUyeN&-cLEE6AiwghrlI5?HbGWo$%*aE0~Zb(7G9TdJV zr8pUWNpUi8Nh922E6vGpSb>v41G?Vi&N)c<>p<uAk=+B%exQl#Ll)qf>t$U1j9d&G zn4hV$&EsME&cdj}`k0eJ<eB;o#twF)X{@W5b(pl6^wFWPXdiP>FSGDUW-&vt?Tn8( z85k6qSeZc6kt~ctY`mP}psi>etn8y~c1D)ba2QPwl%xSBR&btDW@BfRW&*EEWE5gz zWd!wU!D(wavO#_Z`HNW+&DY=w7Eow1fi}KEbJswyp)O=caiK7hOK8ewVr2xI&n(Ku z&IsCdet-+q&roFIWYT99XB)-1!a$ito#g}@yCjP`+cg$WNfvdEYs}oDELzNv0Ayfb zFt`Y52Lvb~+5z*fvonaCWnmCMi)hEMzRu3D<2pOWJv6X>4RXD!p#^FIq26(@0cy_$ zBzs;faWec>;$+}ahP1yx_sd8tb27Lnb29iVL)ro8_ullJg4Fk*_5ldLfR6KFvmd%H z3v{YcA^1?Evh|Ey8yLkHxSW<T8!u%RS;m~blv$gB#R+^4rN}zQ?6r*A3=DcKe2i?M zW%QsH6}T0~&5Y7aX5nXM16_cw$KuW;!dS+vz`)?dWXveSlnv4jau&mdOOS9qpo|FD zd&-;)@02+iz9adUL4}h+RE3j4K?S>iEe@di_YYS8f?Lu^0nQ}ez*qxXyU140)d2Fa z`5I==)y!55EauZ#J*To-F)(Pca4`z9fL2`bfg6-kAP;G=gfWRQvVlBg&g98x#lSG( zGQ=MRDhPl4@@HdkJIc!7c@!~^#U8-MAQHevWcq-*7aBpJ028<ZvF8SoJxUCW3?EfE z8NR9@+J8S)I2kxpG25fC`6x&_NX<#p3rj63&dkq)^)GfYS1>GMtN<Nl%fTedC<*TB zfKRq{U=jgMM}S<xz%T*ojs#VNe_`&ZR;9!p@OJSMkShePLi~FIi#_+rvIiWdFy9^n z*#Yv=1gJd*YMB1<R3pbf5PRUhIRUXr;2Oj~GqBjRjx2jX*QUX|^9o`K0|UbZs67no znEsJaC&xcvd*I%A1GP!uI>bL2SnR1M%O3w=M<-91hb}QyfMSP%VFJ{i3s~%VNr63Z z4_$%SBya=b9}5l4aP!k3C)`3R3t)?c8Ty!^-eH&kwPyhqdv=g-58OKwpf(BIg!qR; z6VpG6n&kM$H!(RQGY{^iX`Em$O@P`{fW@9}itK@VX$Ht9fm;y&+(5GDt0pJIUrkO1 zMlFPYShYAAM6@u=KiD`0qWlX^O)kmI&qIzTCgBFgn0gL|UCiYSH@M0{Wg#CEAEO)- zE29YLFd11UdstaG0qUL%EkyXj+|y36d*FV*1#$%_CkWhz`X7sZUn#NAEhjM@mg^R9 zmxJsA;R#UtJhU<Wm#$4gc!TYO`)?7<Mu9sJ|Ls7s4_2?-M6!?g`pr2%x1cDs7*xk0 z$MG3%NV<jEr=f%JAIv^)9fbeLw-4^eb08Z9?n3-G1B-o|$g(e>C_f$9V^erQ9-9EQ zhd~$9UoyJn_zP|i+(XlNDi{RrLHv_}WDhL;HzC<We0~Jk15O;!R?i+DP-2__wdVpB zd)`oH58OZdc*+?B?nC@zp@*1f_toQMDA40%DAhxR+bTUyhR=GO3_Z|x=MLz)C!HUV zb||8Jf$X61OUzAWV3MD}G>fU9iE#o`8zY!X1e5Y$Qly`Wi(wb@Ll%ZEJ$)txMph=! zz5os}K_)>a7HKX8HnvHO+$<dCEZoejJT|&qY)njHED8ebj2z<N%_I6uf=v8O{7gKI z94t~yJWK-I%1pdGtl%@k`55^HxcC)#SlC#>*SYX<^Ych?gD(D35CPq2!zV1l#LDQ- z!3)~13Nk2z$$-&`MS(e;MS<Cg#etQbi5E0H%Fyr-5<U_7i15kQ=VWNs=Va*6M}*HA zeNF~>15O4V=y~fh&~qK$yg?72w4B6rc<6LNg5?#2+R6k8k}IqX`}Fi#%$fKZIV3pQ zSlAS}xj1rJOC&+}Q}DBJvh(mUv1v1L2?`0ZvYN4QF|)Fov2qBp2yzK<F|l%Uim*$v zf?Ti9B*6r_phJq0gN2vfjtewk0$Ml9$H~vZ&o06RT8_cT$<M{bslaE$#Kq6gA;Zki z!od#O?kmi}#m3JHV=J(UunIuJ%8!YcNrB0Lc{U@H0*f${BBL!kKbILJKT`s`0LW5M zDayc*@B|WWD-1Xp3?M=bhYUCwE*Wq#+%bUk>p=HLy)ocq5H;jvP&0(|bLK$j@nPp- z{DF?&LXBXs`GX#w8d}gAO;ZolWCI_Xbr*7`7!T;QtUb*27a6TDF$OYR0u8D&Deq&p z-p%X|SwF)H9#RJlBCxXYv4VzfIl;>#SQ+J*B-vP5r69NeC^Bg<u`-o0iGyyf0WEH+ zXR>At1i7i;Da4->3=!qdK0{81JBFMLZw(RtR5apb=rZDD*lPsw=O5^KyfM)I{W8$= zYA-;~;|;*)Pw?iW%zV(Y9H`$x%T_?Uk;NA<&Vfvpyn|5v5K0U*X|jj;67xkyw@ZxD z7a7GaF>*0{V^m}kV&mqKVz=br0L?$Mi*YmaaB#4(Gx3!&1v2tWGE0ewa?W6No6agd zgH>!cB+!HyxuNHrf;I(kGV!xYae=npfKD&eWCI=c%gH9nEy2Re#LB_W#LCRV%?p(W zEtddoU*lrpXXIyAV`E{2m;hP^%*-mpCJH(zlaZfU3^I&k#H7M1#lgWO&8f*Ez{1BO zz%9We$;`>l%csIB$t1$5#=*j&!Y{-j$|TJR)^drN$&C@Tm<_&;=)!YI{3sYB;>X^Y zlOe#ElOfa?o_|?#jX4=M7;`fCK+i`$1EuFc@8{S6jTb~Yr=jJRUzD3z;#yRcU!<uA zrjQfgBJhEBdzcq8Gc+)Rrlz>rRxmPwj%@5>WMO4yg@h$5lQ1I}BM*4y3i;S%A<zXL zjQpV9D3cf)Cp&1-H!l|}rwkKRhKq}p3v2|F1d}wAE~7DvE_gYg9-}d*E*lqUMhuib z8(u>EZ(xF0cW7_I$q;J7$&hJ+NS~8UI2n$aa5B6!fuzqE==s8Ppye13G<|~11mPXf z^M(=O0Zx>lF_lG-lcGbxLo52A^P=}Kt3?_|aYnL6X@+uzX@&BHRWVFqR%BwG!)QE{ zk#iO!>tse1CRRNzO$AmiGah{|MkY2{CUHgqUInlhSy(~Rpv%}n>)$}v$g+qq^Dzo> zaIrEoax(F7urso73$chZ3kdLW3xQ^pKtq5QOnQu5ESyZ@Ok(UjOx*0CGjfHv1-Lkw z#6cyQ8j~^jbPG)uE@mwj9_A{Lw-_$Gf`pfcDI&cjm~t{?n{qM~n8MQwbB`$}!%9=k zbx3E<VwO*!J%gHhuoXxS#`cU30rp%BdzhCpGHhd3WDx@$)Wsyn!pY3U%)-PBnz3YN z0!5%A3nvpNBPSz23kx$h8!Hnpw;&fYGY6|MBzp)ku`r1+i!kxB3bJuS1Q{A$L)@=m zhKO$?GfsvRW}FOW=7{*NvgBm=Z^OxuVF!ut8JdvwL^Vesv_LC_1_ufQ1H%{SdA^AF z_Dd}Xd5nQc=>z9v=J%Y8A2=s-f~lWOVCpy<nCgP?Ca{2c7a%J4LwFHjF>NrZ1SWSe zf~kWLsvDv@3M}>lVi1Hg6{6q?ggODCAW~lMIYEJId6Cio660~!i;NPN7=tb{MqFZ? z%6O4c<r3pB){BfRml$_4B1ttcUu5LC#K-|AKQn?U2*(|)X9YW0&wS2{i~^S!w=;vO ztsEB_WiByVFg#*5Vq#y%?7xy(mdS%nkm&{^qa24U6CVpFA3F;tlNcX66DJ2d6FVO> z6Q`P_4hN&~G$vMl*7uCOynI|fOk5ny?1D@p8<_ZcIJYy&GI29;%5f@4tYVB<$tcPs z!DQ~u?8D*B?8C~)%_zKzQRO(JEK{_Y4X+Rr7ZVQx@|$b2s_7^q<hYsOkl&n}l|yF> z6U%-k)_?#eO(wQwj2ufDWtaqSF!J+o-e&~cs>G=x;T7R2$-)WR!)avR$;jNr$N?r< zjX}qCa0#(VaEi(@MYD-9flmHVli=Xz5a6(8mC}KVvNN$WscAt)IdnwDyi~G5>bXpX z&4fgh__>(4p)Lj;^T5NzBd8<6;m&HH6~xiW$gzx(BbSL)M-{9?SXcz21EdG!6OayW zCT>9;D-L&7KCKd{j($caR-HSHEYBHPdl)6TOqe(sg*b{?*myYjxmnnld09A_1z3EU z*qAOevNLmtFbnV~3+r$zPi5o_<zwH^$bN`XQ<jPG4HJ(L%WtM&HVLrLIM_g!KY=i4 zQv|C7Ge2`Ms}&2=9!72*ZCNJ9Nz6P#EDM<-%GsGX*qA}LNil&oO|deu+JTjeK$OcL zW#ngN5@ToOIm#%>$##HIQfC>n3=cOq2R}zJt0JpBXD28_8?k_@P61X)Hc4=+1av2! z2pb2h8Vetz1S2PtD60e;2ct9-D;ql#2NMfFuMiLDggagdW(79TrfHCFUN+EWb)a<| z(yZ)^SxoGV!c1IT0{nt3pgnmS0usCsRUE8}j67`Ytei~BtdeXztfFG<tStPz5<E<z zOf1sr;7XjA4Rj_1==3F!UM}#yBUVO!7CvShmI!7MCKDzRW(jaZ0J};qCSgV!mSARK zCJ)fPn~c&-ydambf!xH(=!(-Vk^-Edox=RQLL6*7T%t@Y$_8p2!m`{@m$R_2aR{++ zvhc8Tv+!}Lf+|K<(3Wv72~P0oH;nvzn%p9caF=0K&(Ffe$i*dw(7`FfEWvDr-``xy zY#gjCG8|0ovN^mw%sOnmtS%e`!+-~&E1j1cqKi$0QIU;DgO`a<mxYm!g-cIZS4dBU ziHAwlRK!AnNs5ULbeB3OKaVIAhdm3kEDNs_k0)pnP>D}MP=bSlnHx(gFk?|>6JfPx zmI3=hlvM<DQzw?R0t!cvtRNOM6u^4<*aVn3>_BNBwB&@#o7IQi8x+R!Ty{*Xyr3Qg zClfEL2oF0eHy1lIGdC|AC@pa?N-*oQ!9pIl8P-Ib!OJSa!@<e|IeZA!9v(<jlAV#0 z733d$Dq$)_KxfrqGYb@l%rY!`poW7HlLE6On-NnYlPHq_w*;R)6KK^T50kpE0*5gl z2h&eRaY2bhrUFSxDIQ5t32t#-303iQDN!cy+9Y-!CIvQ8midg#vlu~a77uYj33-kp zrUFGtB_2gdB~C_ed0quwc>^UGP>yCwW^`cG<mF*f;1gv@X10T{g{_oC*^*iHIocUD zb(DA&U4+02xRN>bc^VmY;X*u|0?|yWOfwjn%our$B`x%rge@ib<l!zh6XIZ!W(3`F z!oeghEF&PwD8MViCk2;RfQrZ>L?lF2a+IUk75PO3WIzQBle(}z$aWS{wH(!OOmQ7q zdkFzWm`Vu+4OBj-hOE7afC5Y&WRkFqfF5WWyCsu9<8f9N3FaWS2-c~LY$~k3SXo$@ zcQSHFum^EPa5gY=ad2{Qad3WSgfiT@R5(|#bFi?_=j0LK-p<S?z`GT6@*;S9N&}lO zq^V+$=%<U=b26ydb24b!Bie2D_M8j>_M8kSc0t-{uyuzF(DPRj?VI$}66lBwlX$@_ z(5b6p`HTf(`P>Y9m|rn6G;u02$#Af8GIMb;aWX}*iSvttdcTTHGE5ds%*-+@tjzA9 z1f|Gi&t%C2?rwq{SilZ(=L&nodT_0S3=D_uIT?;4x$~4gC&NX1PKGDY{Ub1UV$Nr! zg8Cnj{+}L*V%Wzl$Dk(1zy#{mvN14mGI23+GfaTmtKh&1-xmV2*VX|MJ}`TEZlQ(` zVS61*81&>AHbCv^a6rTZ%$~(q?C~JUf5EA#*$if&rLY&E_Iz+a>_;xT$iTqk$jKn! zi13fFBPWBDBPYWHv~{NV{R3&M?_(BauoGoq;$mWDWMg0f1tY@;sJ#V_i2ZRh961>l zJ909tK-kN&-;tByl_MuZ!460`!tTlKfvyu2sD|u+Mx-~$9u$xdQ;R@{jez13v?Has zB(bOjbn<UlK4U>{J{QA2&~}?BMJ5F%RyHvf4kj*EK4u>dW_AH+Ux|s0nVpT5Nt~OP zMTnV?i<cErRI)Piv$3*p@`8Gie4zG*B9kg;!vdQSD<6j}=zJAcUN%-%uoMHs0}e<! z4RAulW4RM2LyHq9L#GoWeCIfEGHi9?Wbilv@h5Em*M`FoK4JfX{0Z7|!&nBIm=>r9 zd2j_IL!KfN51Ty`CzB*Q==4!0aOPqWU;>>6z{;!&x|WrZ57hnuOE4KQNi*>=iLk<! zc23}gxL?8<G5%!l%*l}9%*l}J40k`vX=hFbEf-FP9_TsYccACpCqT#TK49thgO*5R z#uG>c-2YDppV;mWCNDz<qE<twT(B4)XjEz+^I2Ag2GAMCV&ZJ9OeTyxY)nj&IxI}G zx-7iReC#^R+MomRn0eR)nWfmcq?n|+m^t}bc$nB&w3)QonFN@vSrzy=qtrO8S;e>o zWrf+8gxFcR*qDU4Sp`f?_}oG3qM3x5#2ML{K&Qcou?n&%G7GbbGl4F$1a%ugXTveG zN^`PturSLq$uSDCu`_cpim?cTk9uGMt-NR9;^GwK6=CJ&VC7~}W@2Rot*Vt|l7?Nf zsKq1&zEF{aRgjH`Nt9ifMTgCflZ{K8nFn-#BQpmhABQ%(Bsi7vvU0O=Fo`l-f%Gu) zfnp6*9wzWW;^~45A|G?OaxzG`axxgWBKoUduAB^wuAB@rTp|6{H_&m37%1HX-R}i5 z6ND#Vj8AAF#tA_~70}ytQJ0q^-?RFGaVq32F-gz~V0)MyE;7nrV&uKZsCtOeMIhoL zqa<hut%*sMNxF|!zL%A^k5#pi&7~}&hgGs4oE1Sm2u40;(Csgrpe5>z!t7!kJfI;A zW@#2KHda>feM!t*EJDnpEUe5z%#x67$-(5tD#qf-V$3AK#LFbk3l?@@l4s;)Qe|>s zj$o1mRV*N1GB9l5g@oS^Xt;nxLF>}l-8dPz-Qek*nb5j)L_P(tj@`pt$#8+al7Yd1 z4`P0V8)7`9<^&6a;$8*@6{P*{<!+n|O>UUuA+USK5aw%WA=Oy0VG#y77B0}XRaRvN z200c%CSgWVkWUyGCP3}Kfn@)8H%^AGH7pFhYY^*@7~DA-c-=WM)|p_I^Jw-%$L)k2 z-0V3V_!$_~SOgf^K*Mf~VjzwJlL)IA$SM4ga7b`Rl!tZhoDAH%85sC>Bli8byK^#3 za_3~2g0?@6U^qaEL0$n{entUNP#}Q9Ll6=kQi$+KfV$&_JEHx7&mBG6A>}Zv{XmpE z97`AuK<x?eK$M@@?8)<h`1b(8dK@j>!Nnzm9E&`oGAMWz1R&vizynbZ-0|SVI9K7R z2PeZ94^D<2wE7%#o*OBZ!3JlA9bBN1%mnIObA#u%LD9^_$s`Ji=m}7FBzPjFcTY}+ z9#2k&sh*JX2(%t;o+l^6dQVP<U7nEg2z@;oZ2cR^Zy>Dl8xjx5`2f6P26=Usa06o= zs1gLP&f;g_GTy*!y`I^afyMX;qxE4%V^D(RVg%it0a~Uc#V839@BpvOl4M{oX0iq; z0olgD;2;PIPYo|je>i!O?+**y{s;zN#lyg2e2CHdAj~Bnpzhd!#T}<9a7PIicYvmU zkb;xN_ywc&bErcW2tmTb!W%O@0=>x(j}DyffCtAjM(d|gS1>RH2t(X)0E;{BQQ(d{ zSmukME8S3nWC5e~e6V9gAnx$+!3>WSAM(TF13q`agJd3~^<1zk7&buNaRG}v-caC< zBUs`EywVCKG%he%pJy@#<(LFfNO(l}VunYNFZtnd1GhWip>dAM`Ygm13=9Hd5O+Ml z;*Q@GxMK^Jcmb`VKnac>X6tTdaBwgjfVv~Y4>LR({m2iG6FA)g4~{No>rSXE7#Iq~ zA>r`>i#z!I$#=&bEb#(e>4g#`Q#h?Ba~d-+C`druQQ?mn9#bfA#|C`vfCtGWPV0#v zS1>SKfV$%ak~^MkU}1Q(fra7221I*^BY=}ZF@UK3pNJ|6G@k>#lpJ&<5Thc}PEQ#Y z_)gDs@J>%qu3=zUAPEhZ07SS<3*cl}6u`-_ECAB}6k%XsUKPN}a5(^TzbD6gNWBQ( z?}^nlOyU6zp#7d^{#*=PHy9aMZa{aWg1RYEOsp&{OrX^xQk*P|lCaI5T<n~zT%4ex zCPgL}CUfwXPIe|%M({DB3=L8ccY6dP+&y<63qw{QCqr={B3;!4ax#R^<6!6wB(9$C z!IHkAbM$E0jmrpDyBl5OvcAd%t=)N8_?YEbSee1KyAixfSCEFd_XigDN(7Pb-UWo* z3rmAWSGcS%gB%Ho_XAM(bOd3B-zo~+lY-klphZ&1dDCbfxAk0ZNO8rGAOi^>iC|3k zSOk+FJ{5%A0}r1$+}5*Uu3=zckcGHs0+M_BcQY_d+s(i*6RE$ztjNkBpon>{6s-LS z$rl-*bHWfg1Dc><Srrr`4N&_SLJ<C!-NV43v4?>{XAh!(q8P%-pz4Qtu9Ob6{|vD& zwNMYIZJ<H{G|R=y#KtMW!otYGD8<9V4LVMWotulnKn~*X1xW6wfwrHIg&^XA)b_Iv zmU0qpr6Y3MFh0d?eG(KK51{Tb2t|Yo%snBY<hv(<827-^hVcn*>*FBTFfdGzhlI}x zB=<~P%EB;rDGS5GrHJzT^i_6-dso>p&ey`Se;*^JK<U9i0b-9p7{cF<*Vq|+uCX%& zTw@2H;{__0wZk|W>aVe5?8Co+yIh8*KFE;(paNKsiIs(e2{fL>#x20Z!o<SO!YC!c z!o(`b&jg;HgA~IcXE6vULfo|?4AFl*62{4JA&isZN*JQPxDm$5@Dk+qqmcRmHm>*s z+V4Yn8fjby)Q5Hijg?MdtnX*!o&eh6vYnBEWjiBiUyC64&PaV0A!Z@So)%3eEhZ^; z$Z3`rpzd-A=VaIbb}0ixWH={7S~w>|Rye|4dEuN4jp3XO51{KFVeYDd_V*F)f(8?K zOdjf}sf;jJon>TTIm^hvpa)u?#|Sz@RgXm;;x3So8j~^9Web!b;q@UL5s$F+%O630 z`W3-au7OsfqE%8X#*=ugC-N9G1Smt?Q4xXZj;R#5Lj$in;2CHFk99u}xTsfwxPu`Q z;SN~6Egy*p4^r#xH(2sBC_FGKon1WEJ9&&57C_z6fyEt5DR2h^j_`oE0~Q`eJ9w<O zgTuo?6%rmlklgV)k%?i|bQXrSNasZEzR1RK;v(jGlz8hIPyj$HnFCOJ5~2{{UKhp5 z&>6+a&=ZBoAN^6B3=5(-8NNX0Jz(Qb9nkyeu+%R|wlE0?82d8@X!)}<a8)p{fOciE za4-om3$U>;b1*^<gJa=f@?m9%W>E$QHK_lQ+(otW6y!3fMGv6%Bt#?pUlGm8&>YRl z&>oHOe|Iz|!<J~0+PPp`n8X8;{TTzm4cy&~3@p2m8n~eH71F?EV`X8*+Q7ADQbujy zE>MSri$DzZ%2|*@K}R?yrZ|>BgM}eM17c4_3@5_`s35*_^9A%=QrzVx$QD?k$-rQs z2{Hdh3?kf}Z?Q9Y-C}3(y@ja90&cN0#NNWJFY%`Dvecry)YKG^vll?^afn6ObMh8D z!<Ad?3^$PMiH_xDV7|?caV}@dCrCYoC4IwffwY`BnK+q12XcazSFrI52(buC39$&m z+D`#m5dWS)atGD&vvWpDk(mj|y9^hg_87z={F`!_ks)q93q#_1L_5SQj*}rc4l_T) z&iTONU#KlC>`cOpEQ|sSEbL6`3=G;3e=R_=4_iJx7{|$QC63g7D`Fuo0|V&zNKi<# zunMzqGAn_mN@SUwn6x1?P79#!(1=I)+dZC>L30NSgYFK5zk}jA87kvBG2%G@cRV94 z2L>IV6zb0y;08IK2y_q;2NM@F8xso)INEsyz`ayaNW?R7gQts_RG8ernHY4Ae1Z-n zye=TQ6I=fwUWt_<M~TG#LuOtHcn1Ol1H%KTJr)Uw@Zdblg0as#IDwNPEdetf$lS)6 z?%}q`vamC8GVw66u?ujqa7ux9l(Dcgd4fvu1YL+fcOconC76*Ra0LrP$O=S!Ts+Fk zaPKIlKTDwPK}b3T_miRx4Pz0_Ft{tg$)4c?)IN<wgnwIavM@}z$-*$@Cc-}VL{5gR zM9g!QS>B=f7l&=26bL$Co0FNJgNuokiH)6|i<OCmUz~}RiHDgVlqwaOjF|WteVO<{ zDRY4yBpePPxr^d(Fv1-U0{Rg943el84p?n_0JUcZl0CbUI2jHmaWWi9Le!hblQ<c! zBw^-ztnq7MhQ(t{!U19a91L8A3@n8V46>lptC?7sjlijm$&QI1vX?u-0OD_rWQ4!{ zkFhdD9b;vPJBHY|?vc#N(0Ys&<6Lbl@sHItpz$*%c_t1<JP81lA`J{7?%9Fl9!lfg z$N)?5fGlHRU|0aPPa_54f6CH7cH0V!ApV+wWDmvuvcT=H2T=PMQmN)IthQ}1hWIN3 z$sUURg~eM6CJ=irAlXA<JYlhgf#Czx9*Z<YxKZjKV=Oi$m_q!s0LdQ8{DaLF1_lE& zh&>$X)bo!i7XK`O+EajJ4`u$rW(xyDfjPuKH<0Y1G=40x_~!xC9)}D>xKZXGY_>2k zY_Nd%=RgKxp5Hi=lfgTalOZD$(tii-3)ITyWH8R*WN68OjJxl-3aNK9pyMtP(EFb+ z)I-+MDSU+NR{@=`sGpXZlL}(!Ip?G%<}rYn2r&(<oW!ciq|!7^y~Kio)Vvhj^59G0 za7#mWx-u}yA7Fkhw4a&r0P}xlF!hLWKQkA@0p<xz!7L%i8G~6uezFI%gj{C{<_J*^ z<_MX{!LW%@pF@yOL_oipk*9@GfuBW$t(}oeK$VH3g^^W+S)7lB>o_CJ2}ULs7FH$> z8FnT{W+o;M7B&lBHZfinZYCxs9!9~vjG|0@sw|H{_wCB<U}Umpabgo@m0;b;$S<JA z#32YFxk2nrj2zOeOBwZ;c=j<e?Pp}=uwl||W8`4rP-K;3ZDeF+7Uk4q;@QK<x)-aQ z0u%QRM%JC!<T6;ySXD&NLaf}!$iybl&cxEe#CDaD8|0m1j7*GNml<`Lc&;$AUWFKN zijh~FRfi*yHJOW9w2hIOiItJHpHYvA`wS!NS#%|=%%T}AQHt6;ml-*(FtX~h=z%dS z&sj!}bBwGWypI|AK^AdHvdv>W!>DkcQA<*uiRTd`>tnD{o}8>qJZ+4u_4Z5#ZH&wy zA1AXi3%4<Hv@){Pu!_g|3ox^CvodqDa5Hmra`SOBb8vF=aWHdmav(@y4rY#Zi~_72 ztgNk!9L$_S;M;lynVK1y6d9FR+1Sik+1Sci#F!;m1evwi6j@bRB$*XhB$>5XcsbeF zBpE^Dpu${QOsd?VHQ9np0$_0&3~>$~UT$d&QByWaRvQ*cW(^)*K{hs+yb_x(zYvqS z0E?g?lPuUgm<-4sAto_KK_(Vf&^=siY^tnmY{HBxOp=TuT!KtSjG$GZES!>Jyi6R7 z{G#A3z+76K98$cJ3S#V{!dyyhhD@MdsTc=4r!bc;lLj|4lNh5U==v5e4o>g}VJ<Gt zCMHlrR-Z|gX%izf5Y{oXva#I&@m{d7vax*xv5qk!y8;wwf=o7y1}qA!5==sjyBHbw zF*0(nnXxD^KVk&!duwK7;$hTcGG|m^VPRgv$fnH1!p_bn$128U%Vf)B$;-=U#mmH( z$1BAr#c0LN%9F<}#UsV2&B@A@$0@}n#VIFX%P%CvBFH9UA<QFUDa<O8CoC@_FU%`o z$<Hc~$1g7+#cwFaA*w9FAuc3k!?c}IT0usOQCpH#s*6!vkdc)spHYBGf)R9!R<evJ zqX4TSvmB!YqXeS>vjD3s=!!jPbBe*h6;hr}$VIG^T9V7jur`;IVQVg;JUf}o$#5l? zli^`5q&zb~8`nMo?Z?2(oxp^xJcHg2$YPMH&uGBLaDZ7Wm?gxCp@W%^g_DV$iJ6h} zH51DlCMGrq1_scn$~H{Q%u*1h5)&7bIx`ysgBBADqZ7jdsJjjF5c>q3^Eep-^Ees8 z@;Jfs1EBM4v+_6@I`cRgWYEU*a!x|ZW7M)WD?c+2yq4Fcp0R<kfw7*O;Slq4MureY z7Jg<{P_f0x!6n4n2##gY>8-3xQp`eZGR!QDf*kDZ!W>-ed>mY$tA;^mX=*YFG37Es z&YGRz1_|c}d64h}g_G|=R))`coD9E_+?#rkm7(e&?sJw=-3wmpc!W8cIg~}3K_&VZ zOXyD)X^_zj3GNX4GV&qgXK9QK3`_Gl8FuG$GTg{V#OwcjP6oXKP6pcoh`ZK6&$G?B z0tp}3_|t<~kb0`X7!t3U`TCjpr6rj;dZ5+BjyX9Dpet{S^)$4cO4HIn>%QHK^2;#< zL08`vGcd_-V7kS$o{4b-lPH+fTF=DAaEy5l<3&cBON>qz8TG+i8JRCJ+FWGR0<BY3 z^J5ZYGnZie!6?Wk!6f{f(Md&Lkwb}DQB79Nf#U`vE352G(CSltCR-+U@MTo2OstH` zEUe6GOstIH<Ib6c7<rkr7(+k{See)vA-6oU$TKZqWU^!G17G|-hmpyK(TPQ$nS+Iy z*@lIK5j^|?3Qz-2NO<ljfUKX4Vq{=AUBJokuz-`{T>&CI`3pH2yb3uPq6;D6$#NVL zjv~<Y<TlWK^B^-p_zoj_c!DN@+%j`Or%!BPItK|QM{p?FtY_k8IL7RsY?s2B%#m`F zi6P66iSZb--C<_VBg`Conf19e#46dPIe6Gv#l@IyL8CJIObX!3EV-EYm;@NvnLsyL zL&AfPgBN^#73hjAIWACWDR9bhu&~=P$wLke&}Y$MvS!L+%wy7F3<jCy&t%8Q$;82U zlZk=Bz#9@CCkhe!2w00a8AOXX8FY&f;SpHG$pFHUMUe1--E)IZgTexYbLOFkM^S1q zXpFNYGdEQ)1Vn`9Wmfnl=H-K~F?CNZDacG=D6T9{PRz;CgK|M<<IZFRpN-23IvV!` zvq>|fLK`DvKcgI%TnnQ@Gb3vYBNu~#B9rhUCUzzf9@dMDj0f1{j&bpv=I1%X&-PeQ zVFMHEMo@}UWSR;-M%x5bZ?P~k$+7cr@o=&+Du7m)Gkk!C!-XQmeJ)RnI2k?`aWcpk zBf=q~n3JKjn3JKg7!nS!b-l3juVM3VpfCVog9!9+$V;s#2};aM$<JkA5>Ge)y89|7 zo{QlGv+_$u=~s*jFBt`1F)D0kl-a^)^pa8J6(h?_M$iqzFB#ciF|xj76o17i#bBYx z#1tbP$;QON#?8dW#JG-$hlyn^lLDg*lO8L}E+&!9Oe|ZNSobn<gt3RRrU>w|N3#lW z3xXHpGch?Z+OnuHOR%sr%Q5kQ7UDDUK(dh{Q$Hh<24f7UHdO|#uV)cpR$!B1HDVEA z2F<X7Pgh_PXOv<v@P(ush7w4*1oCT02`58#2`9ts5=40YDB)x%EahZaS_%oT9!W@e zs6g+P`12Z)uL7X$XPMm)c|^GslA2rKl39e-{PhBr@cG4hp2e;enZ+fbG~`+AmtO+s z!L;QU>y<!FV3L2pG==p(6XOG>>5O2?|2`8a<U5(z%{jC(wX<ZwQNaI_QS22X*GopV zSBy-VrdeH#nc7)?iE7LuEUZaf4C@&6nFM(x7@0svqH1xevoZ=XwK2Y8G=I*h#jh>I z#w4ySZNSIGxQ<bXiDfS%s}SoZMzNcW0t!s*rXoyS99)5d`l8xmY)lpcT$-%xjK7$b znV3aHgjxTC2H-%4!0<A1vam4ovWPSDGx4x+Fbgq?FiEhmGK(^TZVZ*?5MdYNkYH!! z66Vz7;^73{)d@OF2DFM_go%}rhlht-or{%)6%=KR65J9Ttn8q+0!Un!gM(dyg_Vbi zk%O0!gPmQR33TVA5Zq!WUM60~R2Exi4K^(%2i8tT4s#|kCO#%sCIKb|c6BaGCT=EP zRt08$K?jhYP9`REMlBX?W?43AR(=jKb}kMvMgdkPE>q4fMjmZ$KLIsH5hhlCE`|pI zkn|!^hA3wY$~YO^$~YOk%MkT^N*O0ZZy6^;4s`!Z4V0FFo~wyS7ts0&x_<(6;6pRy zzz1LOfe(`F89`N14-<o)B9jCYCnFb!0h1P^CKDGU8#5P|BtIxGu&^@nfi{|ecMmJD zaBwPtuAkvxXXj@UU<IAo0NUveQplvnWXi<BuEeFuEWpae!wDimNuJ?DAjDrE$`JX0 zx15tfw49ScsvO}j>vB#8uX0WX3F!Vl*nA{x{vtI8dcQTeE_TW+0bgsa2PQ$I_!~i& zAe>>o%*ddo$fCj~3a%XaxS4rWm{^!u*qB(EnV1<_c_c+au4Q3olwjgwN@E6Z&`@O3 zWJ+U_1eN>@3>ShR?p;uh==Vy$<Y3rc&dIPJ$-N*k5JoTGVSF6!jmR%zIKv#v;3mZ) z0IHwanYjcRK~Ce~U;~GjK`_LAg$hJ_&!mEr!MuW#!MXwwUOp9^48awc<FGE!eFUiX z`=%D9r!p{wFq~lyVTh4qVq@fC;$~uDX654JWCCrRvtkqgQC<uap!P4QK=^;|P6mb@ z6`Txvkn9JEE#Aq1vF-(j|8p{nOZ0qF^BB%Cb2CJ6GcYWG+QU(a@TX!WCxcEUCxc-n z!k;#koD6}LnEreN-Jgf*PbaWF!R3hs3{1iXLHdja%KBUk=a?rmGQ@!n$KzsD1{K}1 zO#Doss}uP^M*;8}u<*eI<yj5bAX}asLLl+5q7u<xI9SQaaH^7%;T)3tE?06gJi_8W zh7*u-8=L!lQj3cjm{<&4^cfAf8O||>Fr@J@xiK*CL7c_N%fiAc3*nlB69s5I80wyY zDnxiKsp4eVTE)rmyb4jD*i>^eY^~;GxK|CSPh_C&+%wSfK>|8112eZ_1EfAdb*Fo3 ziAPEfXm_I<cmfJl7JMHO1EQn>wd4>jW8}SA2N;_nJL+~bgErHhV^&i))o{#~&tc5w z&k13d<6vZ$<ltoIKhNlKgHic9BhL*+4t04AE_GHgHU%98#lpIS)pR>6i;ygfyfEV} zCi&}3j5nD04>Ged31z7;G3K%|3FR?1Gs?9wGPW{Gif{_^KV$TWRgU91&&V-{O@1jW z*D_XC2sxWgI{{PxFsXsAAz@@=<YVGuVP)oJ6k=jzRA6FdVrAjtX5#?ew#v@P!U&oW zVrAh5i7;`nF@o+s1}>^Gnp722RuQAk`=Pz;=v1-Zmn`8hfHIM_gUqcVyx@q<oE zV+0)q#>K<J4Q_@jGFdPwGl4o&rmSpiW~^*%!EA=yf^5dDd~9rtDomg|;7vglhZ>V9 zqa%wvGb0N>lQ^p?hd4VUmmDV}mn0MDlo=0RWj-E84o-QH3qhERkC~5=A6$ZkL(*+U z4I<sHsNrPTS;NV2s|JxyYHK+e;_5gV_SHesiGVUB9Xx4+&^i7PTA&8fADR;i;iIMm z*POJFd?(Nyiawx52CAe_e!3Cp)EU+@{O?&AKd>HSe9sEXjE`BaGkV=%JjryOQRoKa zZwT)NBbfJtk>LlU5erAXOar3~i!}=`vw(mQGY<<VGb@KE6Au$J3m-d&04F!AfDVfk zGY5+niyRXRBL|ZpTPTYxn-}Y9MiwDsR&EIaAtP2+EfyJlUL968Az48+Stb!SPO%<F zHl0LPZUF%{evUkWSmwAWRwXumR#s_NE<+YRut{8OoZK8d?($wr*BQC^IhhoNj5Gu} zSUHqASUFg^d1o`KaxpL%F*z`CF!3-6F$ppWGTAV(GI21eGD!)84ki;8V`WkUZGRP& z660mlV-;ZIVdP*I5oTtT5@Q$T6k`<ywGuhygcK!|Y?*~wc$hdCd6?N*IAl4P-B@_p zq?mZQvm^wWKx%oJlDOD8IT$%44WxuwIJj8Ud6jrMIAn#n1*F(TLCI87PMBFz){Kpn zkyC?RT}I4`iARV-SWJvr8*Cfc7B40aMo<F?WP^zmkEAb43^>Vhh;oRri}H$bh$@0E zqY?w}KNbZiRFDUGm|U2Im_UO@F-+GPnY4r@!~~dhndF(YrQPJzMS0n?1O%ByMO2w$ z6b)2_rFqzSIW*V>RJfT;WF^_n6p}d#)g=@JxU86rwM|rblzsIy)Gf8lG{ZsZ+lons zv6qF#i}@rIixBf~7B(-|7mRE|tUnl`1=E3eNIG_?N7O@U^_&a^^_&ci^@w!5tDcj= zwt<r&xdD=nd%i=;-3`$6+yT9(NMSW39pAv3j=^_WgVS*_Lt1W$9+;n#$G{~2fq4Sk zduC869pP>5^Nh(mz$el>z{i8(7n44Z<^o3R<&08HVocl|YAk#lTug5nMFK=wxj9(4 zn1$F3WWa15R(4iq4jxd?Q&bu}9tk>@4U`BFH#BoFhO@~su`tTAf;PNyfUdP;Vr2x; zyr4l>Hda<%CJiQjHV#$}Hhv}!Mh;dEE>=*&0NobN$Ii<Fxy4zCLr{@Tg_V;DR3d?I zk``hYgvx=UnN5>Z0MyshXK`R`U}Vu|l4Fu*Vr3F%GGdZvl3?-__GRG{@)HbV2dj!; zvSxh7#1g<9$rixs0gaesNW2_qfQ%1-L~k{4GCXPEWO&(tsJHzZIT@BVax(DTgTzM< z^t`|qn%K$_@OT+C{F&qzFzsWV&jbqd7px46nDtrI<d|i}!8d3!vv4povoJ}qN}4l^ zGI1~ou`sdo@-c(%yp{u9k;ulu#SYpW&&tuxD9SA+Bg-tuA<8V~Bg!hqD#|Lx2j#O$ zGs`f`vNAEVF)3(hgX4sQNsLL7Nrs7qTZs>x5jZ8e6j()>_&EfaIGFgj*%+1CL^wG_ z*o8So1ej!*c%%iTgjs}{SmgCsSVh={L2E-L`Gf`dnYm=eIRuzBKy3<rCTk`MMiyoz zwm3#ErVOS)c0mqdMsZd>E&(QIRxVa?76C2|&^d(+A5tOVYS4tJ-wT^K89JIc85T7m z()*JpP6q8}P6qd8NP1rYJ>QcBx^C|dbe#t%UO@PQF1GOY2!gCoH1f<VVSr4J6ze(X z=anR8<`p~URl>zQ5{m<hQqwXk7?{K#FivFxUxU;Kp+xU9f{OE4bxjQkbsh~Cbry|& zMs-~cR&`d5$BYb5m=!q$IkXotX)a=7<KpFE{l&!7%FNQn%+k!P%BIbt&CJWr-o~u^ zlZo{g6KgNC9+MFpD=RB!lmIgm7bgd#FRl+NTA4xT48?M=GIKD?uxK!gvhXm2dv9Fq zoa!vB%n~eo%<RzP{z2m48l8omS(!zSSsfG$pxd)d80}a*nWR{mS$LS2F|s%?Nim9o z>dROrO-2b89%dF67Uq6N7G1E(j~N*PG9dABpcxS#H<~#aUN&<wh_xW%V|xoH!;e-@ zhO9P7d@NCeg!h_R5Sj;iZ>z(4Ncj_S5+aUTRR*V)1SA$E<`#qMOSW|!@7WkXus!2= z&jt!$tJRFr;PEIHCbl(<v1=J6)-ir#T+Jx3hH(|kT1M7&jGU_(4c9QP<zSe_V#LDH zqrfS^*uc)qr2LmnV6GAe6K4|_=XXwy33@EudMxaWOpG5GnOUru6y^zXERq!1uVumF zz@^R<zJZr<uK@o9W-dN1ZayYkCIcxkc@`#az5pfzJ`Q<S4la<G2#CeT!^LDHD%mH< zI$w};o&xJXHp#zi9DmrjXREVXR5EHXIWk%?=`&`rH!>+(FlsQFfVtVAgl@#7#w^1o zz@*Q}!YBi}te%6FT>^Y?j0`6?8xJcR=%x}54t5qU4$fdE4o1+ZohBPEn>x1&2QMoN zqXI~dgM-}{%rgcZ^u)%&s>#E}%>x#J$!qe+F!6$#_xxOpV$1@3oSdv2Ow8=;l5D(; zOiWCm`4RAPZW%UCRs|6bCRR~lCJyi|C7>Y$KG5C0ObP=0j2uiH{2ZVH7pwzRX!A00 zFv>7-G4e673b6CDFp9D9GP8hqjFL<e0_+4-bAkNC#KEY-209*)l~EFE6HFh!2!}8S zBe>{hM7IfAGlK(=F@%MeiGxXiNr1_YX*VMiFH<=q52F=JJR=XIA&WbhWMlRg6cFI# z=3|y*kr3fwa$wSBQeYBbl4g=)(qfWevStcn;$c+bl;cxkvStnA;9=5XSKyLk;t&;M z(qfWlGGPkW<YHj3V&Y;lU=&~xWa4DxU}0fqV~yqEU=rZ{#wftZ#4ostg@=`!lZll{ zl97Xnn|Un<sMujpD1_u6hIYhwp;S93gH}5yLqt0w|7>aJWQgqGWa#RE<ex1Pko@r` z4?@rQ0I5G7tb^pAB_|-_sQCw6U&C`y1KV--|E!D+Y&QSF4NiClVg_ZPWN%xatBk7| z4c0IUtY#En!x-fq;8W!t7;m_Sk)PoeC<pD4$CZPap*iRXlNF1mw`~9`Q#ecID=veV zTmrAS#9wknoo5U<#Tdw=867wSDPNpcV+FN(V2NLwk%bX-|0k$^SKwf0=KwYGxWJbM zak6r8aDq=F<Ky7f1Z5CDR$dNJqUYk^1SeJj4rW$vP+|quI5JEE0<8Q(jC@R>bJRE( zMIlKQoG?MP7#{}*yFMtB@Nw{(f|4)<gN7c!lLvaBtboWApb`=0NM|NqCQ&8_##|O& zrVu7wCLSgoZeBiBCUYhm!BP<iaeH=24hfJ3)bzp3%*L9`W6OP&k<WlvfKQw^N+5v0 zO2}GJ44y>z85kG>N+IckqZ3iSN_TQH$aQiuD0L#*5jvfm491<9{eSG^9B!F;DGW>o z4Cj~)7`j+NO&c~gHqgL^6eACaQe$X<+S||xNpGOUI=PdRVSXnkgBc$)d_HYSCnv+* zPEH2cxHW7XI|tg2MooX<`6(vxf(wlKj0M8^pi;Y)2{bhZ+U*GHNwTuBvVx8$0-q|v z!OD)p2et4xSlL1H?94`>_8I6{)cK68U^d8w3=9*>AmL@vg;@8odM^XUxeH-$*cg)E zVD2B-1D&@-UVmv4o0(UVT9lTUoT^Y=3$cfx9AeJ`Bzs=6b22DTXJJrB+Nbc9os&U? z19RPF40PQ%#Gceby=bhqFdTr|!_kfKkK-u@h8a^?7-mmJtnXYZ$I7ry4s$&zEIuIi zfY+0vMhR?)5b7<33W&cdknB4&g@s{8Hzxy25n?^z>~2nmCEb|oXD2}Cfg$##7V6=! zjZ2jQbkq|Q3oAc}Aq%yhApmOs4<!33Tqlg?0#GlUf#Cwwo`fDmxIWp%!tj0<3&ZDK zh;Xg#;bfTC!^xnr4^qCu##`^ag79(q6JiVKo_o-I2Y5>_BWURh3ln5d87r?Sq{*eh z#LEPpnS+EtK_w*ofAk>6fyvoVhU#ezEpVp+X|sbt6~vx|UQUJ#h}8_$y_^ic``H=( z_apWpbo6pE%<ko6*z*G7U-W$rsP=$ufo*mG&ARo&c8D?PfsZHwmBmOq!#J6gVLQXB zA@2Fmi>L=V7}y!AHn1?%Za~C`AOkyt1Oq$9cq%NNqq+y=@66&7P4JK^*p~rNdkXpx z{pFrMPKK#{oD56)AoVTiJe2i)oD2v0I2n%iLCU|3EfD|1%DFeEA$+i*3=9lQ{zCXT z?FS8I@*0HbGaAS<a2Xz9Ryxege}tLqFtaEFi(!gVGJgtJvM2+C0t*)tGZP;p3#e7b zZU_=cWMD94QUafyEz0l!>VAWMM7s6q=VXZN=VVCgN4P(;pOc}XpOc}xAG`Z?py#y1 z+`j|A`yoTc;s$B@j0PI|Tn1tcT=qwp6Av@1F|gRjBu1-&ZWDvL95g`0#KLdDA`IG= zsK;W;YQQE8x@yaw$&`_cNr_R7fq`K|4J5uFAo+t~0w)9W1WpF_35a?PBnHB;@p5z; z9^M5xrRkY@#d?kf1v!<DMY)MNCBC5H?E;1i%moZ0ax8Le+{_Zpf=~}D)I#i!n1DzJ z>}*U7jT1N-S|>ol476V4!)8dl60}!C3u39JUO-WPa%yogw3&5*nUO({k--3J-vuQ5 z5+<@R{F=bY@DItqATbb@Al+W~)Dq|XyyB9g#LT>sVulOMG7M%iQ13DXK<&?%h!~IP zn#jq}H<6QJ@<d3w0j*QqFp-mC??g_9qZ1)vFl8&m|FCqw2YSvV%v=`qbOVbAM0mL7 zCFiH4GBB-SWVpb*hLOQej)_@Yl8IM~nT3gqm7Rl$NneghSWQr!hnbmyiIqu|$%v7k zDS(|3#97A33feiw1e*UdWE5oLV}y=;)j{G<VG<%A?1*D$aG1o&;5rGBen4U%j2?e5 zKF;)0T#^DxKbM%7F@jSMH2uI*&<3deGbW*?pM#S)8IDeZgdOSWr??~qJ^fr_W@Ip8 zWH<n|Phc{_K2SQbn#{>yHyPnykQfM)mVUtYqNSfp%rXpC@bvQlYX6GKi1c%6GAF~i z$(#(ACnM6&kI9@2Y*RQH_@+R@fKd98z?*)+?t-PCPmBzgm_IQxILR?F>&r6n>cP{G zu^f}I7Lz(G74a~$fs&3WlNlpF(<DYnLV5#DNLq{{Oooh1OrXRh3rkE43=ird>8WE1 zB78SY;bb^Cg_Gg>6omgorgAbAPvvBoJQd=<80h)<Z=mbPY~Dii3-mlplMu9i7$Sc- z<s@dOqDMEAcmvaXmj8^54NPrJ{~5U$t}ypAu?n$qv+A+2v9hqeVhZ#P(qT|hWHD#5 zVKHIjWo2jMV&Y?GW#i=G<X|xoGUhhXGiEbkF=k^^;z(yvW-?>Z<CGS*e8uGZn2A?j z6x6>`WRYi;09`V`$jZjSsscJUn}dsqol}^Nm63x(mx+^CjE#fUkxhtI1SH31&nd#j z!NbDD&(FbJ%A(4~3t2eC#?Hvj#KOu7x>uczl~sm`msJ$fDwk)LV9{byXOd@}&crIh zrOa8%rp4yUst1wpXJP_fxxvFE#K^_O#>~dT!u*Pf&6iaNbWdk9Bp!ZDMZ`nS0R{%e zX`BqI(-7%gZyG0q@iff-4n{iwBfQa)`4wg(hB8ieb`C{$Mg|sEMqLILBL)VB0;oG0 zrXkY%!fBig8>ewHY(sL#!)crha??2(TA=OT4^Z0YF(e&elrNwr2{_(i$y$B^(*x%D zOpFVdgyu7W;(HDYLyaMe5sNGf8y7zl7mFv81?UJ(76WEh7CR;dUS>X4QC<!XR#71# zMevZUG7|?AClfmp7rPJ>2O~QRE3*s-J3HvMGY$?`_81OrcF^ir1tuOY31${{(15ro zvjB?_sQn4L1l*jFi^-8GlQEXji&=n62()08fnh=`Bpw>3BjRDrbWVmH(>WRTPDjMU zx#^q?ucu@71CBt)Te0~+KR-LQ$j{jmGwmmA1TABVi05Ls#=M$|K}M0qgvppi0hETh zp($4eo^n}P<=9w3BLs{b%$1OIC&MhkqQb<_q`(dqU|?W4&<62`#|(r&K;>iU3{Hm1 z83=#W%;02boIzT8LihtMO<iM_VX%;4VBusGW?+F7p%0+$crgQ!o_J?+GRV&4WH6hF zC||N?ax$!$$;ohZCZv2xfv!`Hf!4cwpy!&v>fZ;@b3yTkGd!&wV7kh(pNa7Rlk$Eh zP#{~e3vuwUYjKFOgKo6p;;>+6<Jiv3V5iT*Z6##MW2I#-YQbgBWWi-_VZmn1EyN<I z#UjhX#3aDP#Lmj6&Em*Z#bPQiC<94}ERcZ}J<x<NlRc{li#7{r_K%&3ixYGe7HC4o zo{gPVlF0@<f~dg4&%wbiz|6_42uqEN7@0*_%$d{~g_s^NG8!-|atJ|&PJ|di7emM~ zi!yOBGO=(mTd=S(Z)av;n9vD{Ux!(U_|2Tf$xu0qlc9YUB3y-Lb22QP&B<_mHY8lp z_w#E&_rb!#RR?#y<dU0gVqk!ocrP&i<T%gBc!4qSJR=vw4d%U!oN`<OoLpSr*%^!! zSv1)!+0<Fsm^j&3^yIV!bhvbxnQU1=3#wS9SjE{n*w{FkjF{L3nFRa=S%rA`S%p{` zh54B|*g&G(tX%%wtUNrNtURoY!dzgL;;dr+;;a%pqO20EjKacTF;-R<e^ypD9%fcH zRz_J)cF;Ty3o|pTq#|g}K!HaYG^@|T&Z-10{aIO6n9LZJnAjP`*w`7B*hSbtE3i~J z6hK7=sC4I+WD;W)VB_OrX5{DPW8(x()bg;hva_-9LS=ZES^2r~$q4YN^9l&Cf=m;H z>JeaO<QI-*;Q<vvicIXxN-XM38yHzd*iJCA3a}}#^0BqyV#IRrfKtR>MkYB%0VXcS z?~tPt8u}pVz+es{9sIn^zz{WulOb*nBA+MD;bh1FVYL1n?7U4Z`3M%@Xqo&5vn4}~ zB?A*X6DOl4hyqm`3<sd@xG)Ei&-vzZGRV*6WU!fwNRQ2PIT?1%<z%=r7m^-%pzR2s zOOSfw4Rk&hmL56K=cf?$8q9srOb+(}cm<eyYDsWLW?G4FVsSQTq4fdgeQe-8Jrluu zdTuaVaSCzqak6pAa<Xu-aO!X|b4qbdVC8h+ie_kH)Mw-77UJXMW#g0OW#MBv!^FX) za+Znt9Fx>pCQ%k9Av-2HVNMoNW-S(LHXG120lX~!EXjMA94<2Pi3u<(3W94BeQ>#; z#h4GexP*z7QGrd0)q;hCMUmZvOMp{^X&)ma=w1*7&;$jGF1rBBMDPMzeU@#EOm>WM zO!JtSniv^%*#+4A*qlLE9a%AfMsnF0WtmtQSy*(KnOUTmC$O?OFh?^Sm;^}&0rL>) zpmH83L+3nBhUxPV;V(6xlVR(8PKNjMA>of+9uz>w(_!K7fVVs_HNsjRoZtkP2QlXv zxfpISuVdtv;}PKI;(5TqU;---SWM*f1q`^1nOSU^!dc{*1Q|i40S5;g8y6_wLrMb? zRz@CvRsmst7Ua@^kCl;!la))D3#<}S8c4A+@`$pE2@8Y8Af*8ZD<fzkP?l4WQA8MA z4#@DxV<`vJ;pG4;lPsqQ_~r~&COvi$E_o(tMl}`%W?nYXSUC%{Oc3T`X5`}K<Kkk{ zV-(;5%kV?;w=f?wI~O-T83`5zW&tdwWuTS`3qfUqGph*O8CY@9j*F22DHqnk%7q7z z`4xc~ko4fO0FfR*<wDT{PKL4tl$HzNct<N2ZZTUjR9V8(O#syX2MZA80n<WG2H}OA z3<e7k>9KJkC&T51oDA<5Lee98d-8=mq#pq@*8p#M0CpF&JYbT4z&xMhJ~QJ3=2eXM znL#Phid%?>kDHB0mYao#g<FS*nOlnIBOA8^PYFW<D9>{uNuLAd`SVQ77nr2ZgYvwL z9h00aEYEwg2r<R5MKJwiWC><vV;4Qd<ZzjZPeFiLiIIa<Kp1!K=ip$H;I!ot;Fe?J z<>BC#V>D&aVHOhL=a*z+72xI<=ZWLCWCXQlp@jk?GZP290Lv;yMsSN4S}?RQGJRrZ z)Mesk7hv&YivhJ<u@wy;*;pKyp(nfq%!Q<ff<=h*Fmn+n!^%aR4BHnW;=^PyC&S^z zoD4q~L*fIye8_>$SHt2X1aJ9ZhP`}v4QVfUfXatE%$pc_<@iK-x%i&3BgzL36FGfR z11^0F+${wrRzXnNfYwrAV&w*v4d7M*q->DjVPX{rl?`AqNZG)~!^FzU$|B1t2q_t) zcx17b3~JyeogkAEy9k#olQg3&i#l3qz{kY_E)6&_N&`MV4sdCJTSlBu0n|bO&9;d` zN)SE~4n{8V5Y*CO5vVjE+(HO}lmweVB>||p_zcuSFjxeM_kbmccn6gORZBP->XuMi z4uInqtsJ<+Y{^goF9!;s_P<zyC<nNfaxzFS<z%o~ib!{>mU1%uSjx#DvJ8^$(93}u zDb#Wx0&h70b{D)HXka<a@t>Kofn^~hXp6udW-DGu<*os$+<8^_n0d|lzOwO}@-gu$ z@dYu|>9c`u83eTsG(fF`3rrkLG8dVcFEN>4WD;XiXJM6dWRjETWMLI!<>cUE6%~Lq z1egRsQ}QfAOaiQ|Z2D|d7?}bXjoBiZnK?xdFqvLuV!FnpbeV~XkyV9@Rg{&3l>?=j zz{JBehmlo?jgu90;fn%?6uTD}2eSk#sI-t|(qhtPVqsP1<K`9Tisuw&a$)4*<KR`` z;^5R_GhpRl7T`)?b%YcWjZ93Aj0H?n7@3waGa7))j|4VH<U&FN+<s7DW@a&G{>sK; z%FM)~#2f_9(hbWY>7`*ABE2kI#>udD87ITWWr*~$XBj8M*=3mXLtCKd$HQA=i1wOC zY6V8;K{z2Qo`c~Yb18$AERzV6F=${Ebo38sIU;CX8Y`m)_}~u~3nod>F*6JdD<JMu zSdMUC#BOE=_vM@n-pdj03t7&|5I&QI@O%lH`_K~eJ!UfoH6A8bMlmMPd>x}6IFJ|^ z7#yJPIItX%u0Jm4WMEvu$zZ+$k*--+ax&ao$;lwK3X-mGK-;$k(DjFVno-kr0q$`# zaQHzJH9UC1ja^^RZcU{HtbP3RSs53wn$KtDVz|eg$ge1{nweiv;0kDw+C(OPW&uHd zRsnl{4gn#44uOk|{2T&{K%)HMHWstMQbq<nBNinl9wtUNMOzmpH!e0NW=0P1eIfT5 z#YH>>HBDI9nFVA7m^oNE7+INhS;U$6*vzH$n7LUv7<oY}2ADXQIhX`EI5=gQqM3Zz znB_%SSVaVwnArt6ScT<5yQ~;FgajBtSEO=))v~d&@(L)4^XM_VF)?#;sB#!EgUk`- z5EamoVB}@uWE5a30GT8x$imFeEWppts=~>{qR!07%)+e7!Yv`d%*4#b!6G2Up~Y&? z!7geg%*Y`sY|aTP(2ZD>7(u;q(E3$z77k|6#d4tB#la!U#KOtUA;7N3!OpJ6!3w&L ziWzh@p9q^67Y7rIpdpK{fH;#N6E~9tlPnVlqnNk|hn5Ivsh_AciyRLVr#uU@42Kk0 zM3D`&&<1jEAfLVw6ORG2F0UMiEQ<n%yrzt+Ea;*<StBVX0VWP{VMY!aaZ{KH{x;l9 z67mW%ifpP(ApLTtto&&D&GckBby(a*1=N^CIeEDSSj<7W+=!``$qAIjbvZ>il-Lb9 zGFSwdCNeULvdmy)3TKRDmSNFkuHk55V#;A`XJql<7iHt+6_7F)=VD+;WKv{Y&CDdo zc!i0DgLxto3p2AI3oEldXrmMh2lGWnHV)QBOl-`o{A{eO!Ys_7>rELPHbC;-hE<4s zcWV_V!;4j%41ZQ3(!2C(P6nIRoD5#8A?Y2x{Q$eS0+x>&aF^rWnFTqSdFdEshIj*G z4-;r#GG9Fx!vp3rK_MYdK`kLsK`tRCK`x;%1_ebHDJEkUjv%2x&LAycQ9mwUCO<A; z6VRe8@Hx^fQlKiAk(G^=6*O8X%ESszC7ew5tQt(9b^wzdlO|IWBRiN^#v}x~oR$eO z2ouJ@(6A8_9xGNO!UHtEc6l}GycQYbYjA&~l|>JjWf&M1K<!mngD7{L*Kjffui<2f zSc544rmx{-xU`0o0eu{e;cX~5=u=_)r4Z#G++JuI0~(UQ#ta^kw*ZaEKVaU#!eFD! z!ek|EX~4qFWWy%J0$SFt#3CTY1zwzK$HvRbs>s3w>aKAxu`#g;u(ERTvGVeB2(ojr z%7PY)DT3DAF>y01vvDwSvI}$ZaZ2*AG6^wdGFx+lE`3*E;b0WvQwQA*EX>6s4Z0kX zhaI*#!ii}O6QdN9H7gf~1!ypYfq~(|W=K4EtVPU+#joXL$X?6IP_h=0E+?$zWLUbE zlVS5(NV<g0gTZLn{%&yKFfcGoz}2n|PAw@dU|<ptcmz7goX?+&;SsZ|u#Ct?MuusO ziY%bfIvzzCH8v(0mJlW;(2{LNKFC_tBqnx7MJ6d$0Tyl+0d@&ULR-SfEWjeo4Cc8q z$uMq&PV;@(0`V8eI!=ZJsH2qDaWWXK<76;jhluC$b({=q)^RfIK^xaeP=(Yh81bBw znU@-$kqTO{yMS>m3;4Q2b<nNikC?x)Fl=E|WU*mlW?}>F{AOci=VZ!YWMxuk=3o(F zWM$%FOXrl~V&)WLVP<A#;b!J#;^a^Q6%%YitQ@TJpeBYQc<W3M6R01~%f!XR!mG{1 z$|T9mDZt9_F2u^j%)}+CBf%}o%p@no%Fe=@1}e=InI^F?S%PM07z(yS{LQeQlfeP% zZ@KlH40`K18I0E>{GGm@lVQqwP6nG(ko0^8N;kZR@G<-i+U^)%oSL4Sng=>r_yOY@ z$SUOs&?@Ce%*&V=&M_*oNV3Q>v$E(jbF%QWh%<4s@iKw7-`TJ!3G?&Gf`$^=SXn{$ zvN16U@UlVtD+$`o!NkJK!p6!f&%w{6#Av}H0$P^LF2XJ(B*M?aD8eDeE(Y;u6C+a| zlO&TAlMb^EI0Y;0g!uQvdPF%Qxq*{GVFM?F$_9jg^*3-bIBmdeZ{eA5@J~xCPA$PG zK7|v4<2e|fFz11qT)glm7s$o%QW4VVVq#^|fi=4(K;1b5<Thx$fXcf=8#oz`A-NMI z2EruF!@}H&R@^;dmSIqnfm+3|0cyX*Mnt)1wULv-b|WW)<3>a{d2Hll2;IoZAOc;_ z23xlj0bT!&kuEf}VD`g`Jxzuu%$f`a;!K>3oS^wsR?yXpjNFjvR8}TAc2E|50CmrS zjfi-Axsj9M*G5hTiA{)d$A1$iL+d6^hFO~+<qmp%c;^}<y~6xiQ;Z|uYG?)I7nOhx zlt5i)!6d$b@f|Zb8#gh6^6@j~iHzbx5**@kV9Y6QB*7-mCBY`nCvk*{K?yRo#2Fyu z&k-O8#+(60{%iqU{%iq!{yb~~%zP}qkf|q55pGb!3A{8_k%gUA7e06nsx`%!SQ$am zAe;u;3e9H8DZ<6d!otYU&cVEx5mLUfGwZUbFzsMs72!}|hX_t&WD;To&5sB%bFlC* z39)jrfk-|!Hg+}^E@sdOAPf5uCP;`h?1AJDfz60;2F;6FZRTXK+l+{JGUi2L;fa>+ zo-rFS1i>ao84f`0pRgH`?lx`aWH_{$li@g${l7MIGFWdxnh)I%iPxIv5E@ImgV_&D zck&yU*06vNxDWyz1M-ad1q(xjK8rF7mmI6CE_7Oym&KDsoXLQNmsyO(keQXmkx4<2 zS%_6q05mZwCIqTt^_jexK*0;180F&NWM|<3PmF^0whM7^uru>;aHn$Uu!D9EDRA+# zNU*Z7gO;!(O^${$Suyf5nKN}UGR83mAZAAy7!3A9;$y)UM0|kyhsU;XGMw6ihz~OQ zhXEA@=!Mk_=28Y3q>dp2!v?7R3R@BOgZqD5IT^gSBJ3xl{|B}oEit@cHe=9$_5VOA z@&nZV9a|CU;rdoihUZ&38Thv$^8Ms(oDAjLIT?0rhva)$zi)#IB!4>;K<EJIdWki- z`+Z<{!4iqm1lAk;{j7`=Sbag46TV<hkra|*lr)uUV3kynvXSJFTE;5LEX6O$Dz%bP zl0zz1l3D5lBZGkvixP`~i;yFulPRcY$Aa9mV+&?VW0VmUaZ^!e6)<FCljD$O=HlSy zWs+bNVEW3)bdixMg;|4(S(uN7S%q1dUxk&|fJu!>fZ2wLS&&7JgBx_KxuG(*0W&wV zAQLYOvkr?6i-iocHmi;pFCQZ>Bey&!XkR_3Hx>bI1hF!b+8YxD?UG~?=VIXm-C@bj zEWo5Cz`@VL*uf~m%f!vZ%mnJTN-!x%^D&Dv34pd)fOfty@^W!-25@n(GRyJF3MjD4 zDzHdNaEP;T%BgY4GqXA|NpdkW8psJSvZzWbfy8B`j0HfQISwWPaZY9yVbFm&hHM-< z98AoT;sP8@yj(0yB1|mYlDhg#9Be#79D-u(!W<kz(y{_9zMv}Jh-o<^YR^oEMT9AY z(UIAfqnt^BNsGyhF@o_SBV#3#24gUX9{XBGc599pegO^+Ay-iEEQLvkk&%g?NsYOI zl}V6EnAwJngLN4zv^%yE)H#b~V`lvTs)Ru6<WE5IqrnbDegNgiupJbS*90Y&qvyw0 z%%z}A0BUzb?OlOnFDM_L-$C&>4A@?@eE5pl3}UgsNr-<Hc5*TVK!g}9cXBc~?c`)| z--*coaXUE~GIw$^{MiX9e_`!_3~0LmqdZPe&5JKeEC=nouhnNX5NCMBJd=?@kB>uz zg@uuuNtBr%bU*|X8wWqDIB4%Z=$ec$W;GC#i;0V=9O{-0Q1{)~i6|ew@8o1)-^IzG zz6()4T-wFS(7T(HVgGJO`4A%pDGzF(=OO;dhw!1sGOWPePX>n<ynNWevV&(m3*!bB z%k?Z=AWtw!E6Qj{bIROil;)7>W0q!?;gaT-@s{S0xz57iX2c@Qq0i*Pq`@uEBgw+3 zq$sP(!Ysup%frIU%*s;4D99wj$igHF8U>Q#5Y_?>|ELIv>#zhViLyvC35YAObEt7B zbErtLa|m(^afk}Cu(C6Yv9mBsa&u{d`#(Hl9LgL_98w${66_qzoRS<;tUO|p0#eyb zj{5N|{4A18Je&d?0!%DS+>Fd@to)#!g@g*5vZw<qBQHC*G&du+EE{NFtPu+bBR2~> zvov_;D+enJ=%g_y8+13T0~;%=H5(5rCl@!f1gj<+JF60Ce+&ydGbfi66KGJ!ibX(x z7dof|s`13c`9KZ>?~@W@l3<eLWfAve6HpRh5@zCH5@c6ZW@nUUGSt)H6l0TP<lx|7 zVi9MNWMNcb;^1T9*Je`D<IrVcltnT_R0y<v-<q38l%G#nm_t;6#S&5~STPwhr81pj zWU}M7;&NmWV9H~ZV42Ct^p=sSm`Q~xnLCt^gI%3di&H?(66D#LOiYT58cdvww;7o@ z82gx6n3=g)xS73KIGC@qfb#tXNIr1bgUI)pdpH@Y_HZ(E>_McneS0_=^!IWy1n-5U zvkvHe$XB4}2Gv0C-7SFDLm9aGy&76U8Tl!x#pp!>ll%pyeJtmh7%wpWXFSiu#qfr? zjY(EQ&P0|)ZXyeVf<B8nlMRbLlL2T|my?98i5-h0s1W577GmM#U}I8dlM`kYiQ;Ev zW))Fp<(B-y2<k0^bV2sTaImU?=U_l*Ff%i1GjT8)Fi9~=a&WV2bBM5WaA<%!E$ool z6Am^ZZaEfi(1v-?o*6b)R%yt-Cr~N@?QDY72}~S}py^=HsXQP%z}x2ZnF5*AnF1Is zSe`R-3V_ZY<l<(MWMXAfWm00Y;0y=#_1c)2Bp6MYSQsa=FdVoH3I7#)5#hhEkcHvW zUQUK<dlBW(&Aprq_x6&sz6uu3Xhq-~W(9@>1<+DGX$BU^WjCO6-=Xd>*oP=@g7$GT z#O&i_NZf~TN6$V^hUNP>862SZ+rY}hIned+808@%zF|cRi^2>hc}4{`hBwTMnHbXe zSOnQvIarw4n3*}mK;1-U78WsP4nA{XW)Ws_W(grC0Z{=qB|bI=20j)+rYt5c&>;dW zicB1w94su166{<oASotRrUoWfF6i+#3<6gn;VQ8o5w4E=IT?cXb24P?N2KfJ`#Bl@ z?B`^VH~>l4ItL)-yb5$3q7HN&;sj{Aeu2Av6`YZplT(S2uEjqv{$_s9$oPTrKO^Yo z=y%NBO!7hs-10^WZ1OA$`<b$Rb96xcDbRvcQ#KCN1*v92rrc&mrfg;`rfh5i%$zJf z&?TuN+?H>ce4pZ3lFFva$ibq^#K{R-jOxn7&M3rV!7swb!NJ1B&&|PH!6HrULe!n0 zZkIB91)BnkD>HNvYBypLDj#$a>VDWFRM0xq8<6mCIDiO$P=9OH0ZxW>2N3CrjQ$oZ zoYB(tJ7yz>9C&}L0BS$OK}331I>^ajbdZz5{2;>qq=TFc^ABS7xA3e#h1m~F&+-eH zULq|(eaF0%g`voh#T4Gta$~XvFF@6W^s~SVP{I8yA;_{nCeQ*@9;5}RkbV}ZbIi)Y z!5+h*!46q~$`4(D%E5`$$I=J)u|Nw@qma5-3vNNepWzT9{6XzGwL=v5uYxmk(G&Rx zczaIaHpJcvBzr;ax%r1E?pJ~BMT_(gu=ZR6)ZPz=5bZgx!<-BfhdCK!4<q8c<uE71 z{==B#oDz7)Ig2wvhXgh-PGkkm7g*GDF??Wt&B|b)$f3`{%*4#e%f$vC<TPVsWfEmG z;};TO=4NAI=3^G+1RX2GV$Q<JA;Hhe!pZ|WeSnpVm6eN`LqLF=Rfttk7&0Wt$;!pW z3c5Q@fR$B9h?SdFk(CFunOzZlU{fL!7n40`D3lx2HgIEN=HTIs;bCUt;pG)zWnvN4 zU}9xb65(QG5e3PsGkbwr42n$OSeU%PL!#g&V8A^{{1_ZT<O}~JoDA_tI2qcHAj(CL zqnr%o$2b`d9D|gLA7mlz$P{k~ozV)RH$clp74-8?5c2`xc!OmYr3GwF!t>b}7qB_Z zX9EReilUGbqoS$OF&0HtrR%JU97@HC%t}0pOiFth6*-jB6`7Teu`q;y`<)6xvW#-3 zk}gaxEYlf<nIu@91RP~qdKek)m;^xQVT+0=sj{+i@i3~furUiW88Cw8*|sr)7t5+M zu`(KR=yHIrC=_E7VYFa$VCP_E;p1SD<>wG!Wn^XKWHM%wWMdZOV_{}z=HOvxl2HZs zPR*E@<yqu7R5_$r`8d=$xH#klKx(zQEd(@~1(*d{*g-v2T^0pBO(qV|LK{YPrk9M2 zI-Fv>+>G3U+=85vprd?Plo+vhS_RqVm;^w(v*E1@O%6eJZVnC>4rX3<Ma+ps9u6iJ zVM87}E)GEfAzmh4CN?I1CLSgQMgeg#Mj<8vF?LbV#(oY)7AAH^J~<B1nI_<a9RlPy zB$;Kz7+GXxBzU<vm?W4uK<!y3HC`53WhOyJ8yyZ&9wt#P4kmdn9(ET-RYndz0q~iF zoJ=g{pgyb~8;1#pJUgQ(mjWLz54aD@D=i?*#BD7N8v9}6vE#56W9Mh$5fkATWZ_}w zkYW*3wqdd6U>37sx8`tScjR#4aAt8~cjjXk;Q-AGa4;%z@UpAQu^Mpbv&iZ)3$QqV z(k-<AYr|y5XvWgc$fV0F!lT4($YaM+%mg|esFX2+#gW~VE0S|P6OSJEF-B%zmQX$$ zCJ`oKrX0ouMoW1CH3!gYT|__l7z>jilL+&5(4LKAHfB~HHYV1+pnh;V8#C)MP-7T0 zAO8Z9KP--;mYea%DK0m|a#Jx1&QGv{^8nP|4M_Ha%FP?cDK0l5_M#P>pI`;&ONf6R zP9VyIgcF<$1t&NeW}HBj2Vy5V86KYGWRN%oDG%O!gtn8Q>*_=bA?3jZXnBx;yF7rn z2VNjNVCm<(&%*eC#pyl^7sDs!6lEb5MrBi#)y&GODmKa-D$7`vnN|3eSyfgtDs!l0 zC^M@(B`^@hFXE=E4<3S2<4{Ezg1W%SlnNVy(qY!-*I^Y!8iG>e5QYvx2{4N=3$to4 ztFnNHp>$a+WI(x@myuhX;vuLI0hEy@aqvi!47)Ta&$1&%nq>H-d1SanEyXxQSvcjC zIHW=2Or8oXOae?Ss*(yIQ5i99AxJJ3VB%zAF$CpMLpBaQ4kl)KejyGfQ9jTZ6brwk z5Vth5qBdxxiI0O<j9r9-gHKvgfW-+Zr%hpGf~^6tV=0G^Fg;*otb&g)F}t$Fatnw# z33D+pAo3Mx4FHn}Gv@eG1{*W$Q|S0o!v{z@n{Wz|uXdi|WH@k&li}zoME!i>6eq*O zQ<(Epc-8}jXXd5kV+=7d2`6O4b2EHlZes*ZP$_{YsJK9Df<UYFVQYfG0&cKFIT;uj z1U^FC8E_imPSAM~Ri`-_T23SKL+@!$hDoP68K$0w<OlTq&9Hq`;7XT)fuRO>e;DR| zv>f_{Sz47%jZc-4K}|Z2EtW41yuC`BfyID<L7EA4q#!709iZ-gaT<|-t*0_GaGl{~ z;5&l|SIIM+3@T?hG3K)rp#7ax%z1p6yP!Gs3$qu40S}856E71F3pWD`=tMdRMt;z) zFF_^?@MIQfz8mVEiZhUK0r|i03@5|<Gn@=dklge33@5|SbDRtwYa!*xjT}h%(E}Yv zz;F-b=nMG|Of7=%nHWDX^)kO_0?nE47GZFC#mFYh8pR>Y9>pcg8O0;Z9mOZh8zmsc zA1)*%7%n0u94;m$8ZIFv9xf#%87?Cw9WEy&8?GQFAFd>&7_K6v9Ihs%+R4Je06GQ= zd~^mQXj7gr6KGL3Gphs}JNSGcbTRM|Y8>qBp!4y-_c=h-OG1`@Gjh;bjunf2plvB2 zcaF+&up-A1O1N-vup`IqK4#FIqdpTSlOwAH8wiUsd9q8giL!WdO0tQvd2&m#iE?=I zO0tP^dGbrLiSoD!im{3Exe1H0i3+%him{0bxrvLhiHf*Mim{1`xk-z$iAuQ1im{1G zxyi#x88<~SHc>e@Wid8U1vgcQtrvbn%AXzQ5$jN>b$$n|Ne<pP3(A<F@xMP1`!p^f z?DM$5$zZdOfx%%P;-2u}3!DsT7cloJ%0SP7!#u|W)ix&K0C#`J042}`h_WmkOd?D? z(EI=7K$Q?kC<3&Q;Q`b=JCNK%b^pUQ9x^a6B>aW?|02!&k7OGI!w0B+Gmz|Sn#RJ= zIgN#(cN*fHj@=hI8M<y`p3{NlzHf}860)HQavwM7-a!`d&6nDsaAsKW58_{mO9=l; zOlQG3cgOM)Cqu*~PK^65??CTcz#ZQR+c`j;RVF@WHf8}X7Iyf(@QCXzZQ$2iG9>(m zxN8HFyJ!$DrbY&kgfGD02WBW-MudaoWln~3Ggufd%|OJ1&t*=A?8{_^1IRWe@c?Ik z#sF7P!dGNL4-!Eh7Vt^G@Ebpk5kUfW-vOvQPawII2H|1`3zq^$NVr&Bp<%edZDU|i zV1n4U0@*$)ozDa-fuIW#!Qt5ewNK(I!hcIcnHkuou`qBV<v(qCRt5`sR*Z9tVC_2G z=^xoP28IVv`#O;9qjvm37Jz`g$1s5z5}rSh?3294$)IwLlR@Jeq&+CYz`(3?jgukx z8s@#!Iylc|f`um3HYV|a9M}cbM;IAcj)0CCVPORw(!>lpu8D&QG_%RZ$-*ef$HFVZ z1gd;lxj4b=W|>^jucu~6V1c-M!!<;_P`liR4BSG(=>gO}h3g3Ws9WzL+s439zzXr- z1SI>K*RU{jtzlv4U4tlZ`nA~^=4fM<``FG^Gcq-cEiNg_%u8orcmOs31CsfyI_wOB zI_wOhI*9YABz4#sEOgj0?lJxH8PX1ir|W2B(-{~RutD4(a03xul%5M`6brAqz}pXy zk5Ut0huC)j$-c)oI2oSZ;AD7t1Cfs3+~8#Rd;@oX5y?NeY-4g~;BseRac5v*Win&t z0Z~p22~hhTZc@*`Cb(PxS_c8OZv&Ejl=&B*Z43+w9FXu;xJ5nxnd0%^1gL!zknE$( zfB0-;V0ZwvkKs1re0q-CoD9OZIT<8wL(&fe0|T?dZB7RB+nfwnp#5Oj`E0OqJ|{n2 z&o8x{fl1sTN1xF^N1uz~8}lwkhC0v{J)jnqA`3esCleod!<7&lCnG<z4ygB_$Rx)M z+Jhy;!O6tW2^InuJPSA>;XVW84zLIV!@}E~3>$BAGHgR~*TdVK44iim_pjJM_qU&U z3CX7*cV(93Co(X}Phi@^)X&5?fvL2g3FNxl%nY*_^;ra2#MxMwnb}y_c?6ibxtN(* zM3^L5*#v}`IvJS+7-Jc^IQc-Ou|8<~hcpv2lMrY{5)%uzIG?DXE*C#&J{)vH2$KlA z1jwWMOqUs%RG38Bb-8R=MK~lt8_5|M8n_|;X}E(}XT9SNC&S4*oD47TAjV53-Q{F> ze2<eM={{s!fCqYh;}s|^5&-G{7eMbH+OP@22l-P&%O^iQJ+(+vFD<_)H?hPcH8CZ% zhym#as}N@-o=aj0=(4X5O!ryABLzqJKqCd;m?txBWOUxdxSn$(BmX8wu8oY$n;5S# zZDcgu#JH4|;Si%f(?mulNzfs*kN6p<^D*+Udy4yTF!A&9oMse~U=`*Q5|j~O;$l%^ z;$#xz$!3yZlwcBNl4n}M$Q;Wkz{JfM!OX_ZDj*=tDy_pL&!od71IA(;8Zyk%VQd_% zf~*=$ED=1j*qJ}FbA1Alid-C=tc(e)vCOf|yn>7~*bJw#A#`f6a4-oOaF`}B$1=zA zNl3CXXM!Vwg@;K3yv;`nv<ZS&TtHM<Ld=<)gD09vjaiM|h)aQmnMq2Rg^`6(L_k+q zLQasAg<oDkgiBIZO@S8_uhMcdLX5mD?2IhZl1%&pJfb{u+_LH_ph*Z8c{v4Ec3IHz z;#{24$}$|%;!FYx67npJGE5?3BBCH#Oi6@EfRROzpOJ+hs-KOSkC}->giDiMM*y_c zN8OQ$SB#y5MII_I#w^9m$f3(FgCu6aEX*OzuEx%aa0N35hbp^*xU>e?UYLC_T0lX7 zl|`C`Nl1Z%mzNJiAEw!uA`-IVLLx$-FyNOI5Mkv7O|R)Q*)rL%O0X!iC@@7c=`r;( zGFdW72ug?qFbRsPiFh#aGTICCh;R$5^A$7pGBJrT+H>>purUeovh!?Z<mTbw<7Vdp z32`H6i4{!TqCEEEJQCdE>=I}+ivR~Nw-B2bBQGdECo?fIGBGl-Gl?^bGx9L6=j7pG zWai}JV&=TY#AnF6loeD=To8rivlsUv<=J8;28O@)IT=JAa56YQK;*MI4>%blA96By zK7{16KhSd+u0ZJn(EGkXW`b}I^j<X7eCA(TQczj~x>t~SI_r97#tqE(nZT6TdS*}& z6F;3vZU&S8bSB{$Od8XfBxW!vOlM-6!SsV=Iuqv%Ci&@1LNl0Dz-)1bHB44as?!<e z7BHGJNwP3naWiq4Ypi6H*u|)@laXl+BO4C~lNZw@MkYZfITmv!HP#$P8Ab^fZe~|T zq1B9>YZ!$XIha{Zg!DN@SsBG9GICC0<X~h4Eu_(BQf6Xh<YED>?BQSr9o8hsq06qo z#l<Aa#LlF}#KR=X#KFiaEW)8CAjQwZ4m#vpL_}0vQcjzdgF}R!MOaLPS6EJpou7qM zl7nB2N0C>FnVXq|Tb5slgGWSGLxr84MO;ooQ=C&oh?$pPNP?A}lV6^N7c^3#&!WN9 zz{I4;6vE`jE6F3sBE*!*Xw1SR%qt`)$S)wnufgNMCCzKkCB`WRQXkJG$LP-@%&fsC z!K%Q<#QKAUjgwWLMTl92iIY(r6c->YAO(r%9S;%b6(4@c$#D81C&Pt@h<fYRLr#YG z4>=inc0uX`_&S_J5I!hA!KYQHF)#@?FqYMGGkjy7!pQK9MV2X<i3>C#EDO4Ii;0y{ z4z%)siI)|8NtG-UCzBkL8_2;R=P+!5y2s-YqMZ=(h?61u5hp|ZBZPZ0A8|5NJ;Gd{ z1ly+vcMs^c3*myKd=7?h%(V=kSY(;}nYftQK?C!wjIwa2fCQL?ncP4!Acq)8L&D_( zk~?;NVPm-Uh?C(yk~>~M;$-+kz#VRhC5br<-<W$D8UBFn=Vjsoc^DKRFc+wSa;7Yk zFjFuns2CUyK<y8BjEI-$$D9l)k2x7KA0xt{^f4zx*JJ$g0=7Smfl0i9u^DtF>Nn8N z$PQLTrer3lOBB(f2J9NpVa;+(;F}{E7z$({;ql-xB%g!A=;dQhhA)pf8GazS=g(tK z2Hq!}46yxaFd8<W0*V*N(7JFzXg*^BUp_m-H|9EqDU5ZXC;^`p&M3{q%BsNxjuIng zFoWR()c%Smka-e$Mh1q?C!7omo^Ud3e1a&C9zWq^@OsM0koOeg&YB01^tlH*j`Rn5 zzt#q5y&nR-=Qh7szc@2JFEK~YFTW%+t&#zBUB_f5@HuabA(Rp5xVIn74l|i#XEFU^ zp2;LIi%Ey!0q9mhZ`m+27DFaZ0U0TNCUzzPMm_;Cb{!^VMsY(fHYui1R((z}cL6t6 zR#{N&g3j0BX5nELXJZE+#s}KZDagjo3YtD=W#VAuVpC-WT>zoR!~@z@&&C0|x{p~I ze6%0v{!e!BL_1g|7dx*i3o9E7ry7eG_*g%7R?uEy=+eM>j7*wL>P%wH(rn)B@?0`Z zlKiSHoXl!mVyvQUvY-;pfk~F}7c-LpBj_wYP@GPXhsN_$$hp3M85tOOo^dj0JmX}r ze1-_;3C}ng-aq4H5PA*?=bl@TaQ68Iq0d0i+fbMdIp1C&3evtpgmXa=_}EnjgouV# zMq*w{4yfT(o(S6QCVzqXBlCG?#tY2ZU{VWAik@fYV)((F0FH+S7H~XRF|1<-mCl@u ztTUNpmob^KG%$W;V*19!{FO=R2NM&U6Q4piGjk6!dp9#v4>NN&vvMCZ6C<a97(W-A z2`hg$Giwhs6C0Df*mNd=X-urFVlSCQpMV<H`b<1b9E|dq(Z$5gC=R-vgB3KtFUZEh z%E!XXp~Ef;YGQ$IU}TnOQR87_l4s)N=VTTW69?4{qM*a2#aINHCD_<m!7FU_nYtO7 z7J-hwP+^Q^6Jz3Hl4F!+7vx}K1jUgyD2@`CWEr<HvM@0-vnVmAuraf;gRcE!Q)bO$ zV`Y_M5@3{O<6`6nB`nbRvJxczI-v0aiqq-OIT_|X=VVy)98qqpe9p<R<vC_Ph4n)a zv67RY559FySD&5X7qdHqjx38ZsFajt5(MAE3(ls>pwkXOc5Q&#&+!71E<j=e#q11{ zFE|-wUm)z)c)`hF{DK(!L8XPB0mComAO;IrCQwt93v{9asH|jX6am>Q%cKXQKvppb zC`0`ZG8-(yz!1vM$T0H-C&OGM``5hSWZ3<JlR*J(|7i_$ohicqpkkpkm*E$4D1(nC z8!PA@Nj5eXetsqfCSfLaM$pYH%*;$IIt)zmOdPCCY>=E(0Ck7POT@jG`7b#c>Rxg( zw7*2;qm3^)8BV<9WVrqk5?-+K5=O^B*Zo7i!f+)LJs&~JE9iBY2xlW@rs7o4J*lwr zEj2GODJL};T-brSna=u*244D%1`_(9T-63zwr$1G#H7l^wUbfd03#0*<4#7lJ>cs= z7*{fKtYBnkW9Q}K;62R9y#rKfDY6)_a<Q>6a)PccW#MDzVgYyG6qyW|xmX;Tp<I0? z1x8*LHfGTMAgWB@@(JuE2Ng(sS-e8jb2cih456<$86saH(hErJ=vQ`zE!!aF3+#Mj zSU4r;=ar;Zl;~+_rREltR63VbXzID7CZ>S44Kw^<7H7D@1a9*rK<!)c3R1p-9FdsB z!f@~vC&N)B`#@qRUvV<P&Y2_1zWlsYhCj?)3=f#N7+APKMl%#Z?UQ(oXwMkD=43E_ z&B<W?8sR^XnDuK;2H5=%#MtLrRK&o<$?%7nli>vuCj$#81vNnJ>v)X_PmtLl`xarb z?+se|#}}Sqi8-aI3?G<4_Dq1<^8v|U+;2D;_}*|b2)#l0OYRLPgZ>*%1{bt;Bdnak z7H^O{oEVrQ8U8RwGW=o!T`n)iBE~Mpz{1A}x{3}oRshBfEaFT~;Bfu`bx*?^kn6xg z47+of8T#LFGE7Et&mtREhSfHh_p_tB2U_a<VYXv<!y?Nh0BJKaFc_#p((4N(d%nKm zWMF*D$-wp&;ctnzoD8;aG0QjDetnp~LH2-l<)3BrXAE%k=VJK7yn%^f8&iCkCX?zd zMz@=ce2jvja-hjIc1Cd)9(L$CZ=jQY89A9)Sq0eG8HJcwS))Ofl_HZCvj~eQ7z?n- zF@pucfsvpFarc6^h;)DOEhodpx10>uklfAsj+4Rd9hP=Av|a~gGX0Xor1GN7lGGwS z4Xto6LsKs?CB-K*FO`8w`~YM6en!RvjQ!xdG5;~oU}1Q~sK}wh#LFbb%`V2nCCH@5 z$jTze$ibw;$j)RWynvCNg@av$m627Hm5~iJ&I6i7lxJsSV`l+v66IoJXVYL8<znZQ z=V4`HVP$93<>C-l;!xyc5mf>mGbSv_#m~bd$;-jb&dnkUE|i#5nAupgn7LT8m|R(v zSX7ynSnQd3S-6?G*}w<WHfTV?W5YW{`F#EzC&RUOoD8?#A>#4fJ5Gl0Si%FAUT}s- zQ7Wj|WfC@s*5_dO$6UrRg-Mo)mqi$~Ux<mDiI0hkA5?R)STRa~Dlb`<AW)^sz@Q0n zm&bcf2H4efY4151ir#ZFl)p!~YwmkahF$MD8RkIu>B7blU7+;^PIr0cWrEHTFj&E; z&uE~l&&BYM`8y-S3T8znEjD@3_zn{b_~r*rE*@qk4h|Mh7A}4+UIAus%T<v{pNWH2 zh|Q9blZ6#@stpG_GYbnlGq^lgWHM(KVsm0*W#Z=);E)CNa2OaEv>^Ub_yB3QgA${| z2Tlh651b4kAK?CBN%_FZ(EfpwLEt!~e249afaRAB6T?AT8F2awoFEMtK-<dG!Mn;s zL7U3|G2dfk_`|HoWXB}P#?LIk<_a2sQDhNdWC0y)$s*0p#lp@a#td3`0}|n9;e>jI z#f}Mdt+fD?ETaZ17sCUnKOTHQl&cIMIT?69axw^hg!_Y8^&=;P%}31n0hmAVyWbB~ z6m)`$0#1KW%xqy~n82dQ#KWY{#LLXZp~J+*$O+CLOgv1GsV^(Yb>FZH;X;@=nRGxQ zzd#!j?h`&D!hPFEPKHw-IT_9)x%WND51%me`vhpe9>0475>rw@(?Lw)37bGCL3744 zCiuiNCdkHvTz`UzVHJxa6F&<thZ++H6DOMw6PF+tJ3AAbf*BJRzbt53pCU^xBR2~l zvobRmn;;7ds|BbZ#Kz7FNl`2-8Ckj5vY5FTKIlOFlkf=<{w<$48K!*VWSIF05&oW^ zIT_Y{=43bnJ<khv4zqwFq}_zyKcEt<n1M<B0%Hf{s6PYHQGfrKZ*eeOV^L(0=Mi*b z)n^qHWD?=xWD()#bYf){W%FYa^cP@aW@Pqb_T^+!WMg6B<Yr}JW#eXH_0kPy^<m{; zHJ24+<>ugHbzo&>wPqD!6J!-)<>F`ZXOU81bz}y~Sg~63Ix#!4swgV5GP0^Lu?evG z>#{PkI<fMzvdU<)a&y>l@vvHR8L%?5s_JsFN^{7t8X=j+%F4>>CB(}s$ScUJ&Iw8Z zicB0#;!K`QB8;4jQcOxLB1|ltB0P$ml1z@wLVWDJB0T(@tc<Em{7j;Z!hG^fBJ3b} zRt`~N0VXacQ4SVvO#uO37N`v9=rvU)Wk!%r(Dnd%X7FN8(6K3Dj4PO!EEz?aCNVOK zGF@R}a$@CT2rz`im%tZ9d>MS<WN`Sx$>9105nqeHa5CKaf*D^I2*np<Xr6&d`~%}O zR`7Nw8PIO0f6P}{8IG|kvM4Y~$S_OuG0C!Uu(Ha?a`3PSsdH*@F)1=}ad5J5DY2*u z@G!Hp@-y+U@UZX+sj?dBGRZP&Ml-XphOkER%CNbxI<wlvfSUVEtc)Uj`kWlb-0Ga5 z4w)hg=$JQCP~F5R%VEgm#c08##K^)f%c04t%EiUR&d9<oDZtOfFDf7=#KghL!OO$q z4XKr+nOa#`1=y5Wc{sd5k;GtN0tu&puZVhR##c^;C0{uiR(?hF6V89-WO(+Kli~AM zNc#qT{U`eUHYUOZ(tB!NifeIlVnHhIBDJ(2C9xzmJTs*v15_><`ZESJGlI*dYm5wS z?21gBe5_0?EF4TMOkCWooUBZYjsZ*@PFzeJJj}wZwrn<_BdJ)V82Om^K_?NiigD<$ zaxk(%LV}M;iqVtF3e;^4VTxdsVgidZ2$({`!{ZyG+{*pN$<X|blcD1qBHgU{#>w#f z8z;jV=y=W<=sM1jcaZu6XFi7vF$5&$WhR3wiv~t;Z4nJ7;~{m%T_%QI?21f^OiCQ0 zOrRqvB^a4Fx!CwvxH!1DnRr<F`32%3g%>L$9}A=>%EQUT$HA-0#ly(T47w7RkA;g# zkdsRQbhRQMw+IU>GanNxALvF~NYTdR%p}ap#KFO?%Ekja(Tho#iHlv3m5U=DoGuE? zAmI@49nx=@&%nS?{+*Md{W~W^&v$q@u*~|-$*}V~CxZ>zesNfRGl5XOnU+&p42s?l zj8hrG*@*u=BR9i8=HrYEuh?~%LYV}aq?rXEaR%=1g2pjeIG9;k*qQl2cZ@S}Fq(j+ zSrV9Rz-|Xs)DGql_iOw>r0cRDoDA(hI2n3=Aky`&ADj$de{eDg{)D9K6Ne%3HvxLj z{2jFOGd&2UYp{R(@?BEXQj3alr|pu|qTI~9#FA9!)SMg!&?T@Z8Nrvpu44optn`n0 zCNskyc10E*CN2)fSQaJ~CPyaj1X)nKEu9N|pLqZiE2DZCXC!MBYdD)9IH@Z!i82W? zi8HY<2{CbSi!zD{u<{EFv4VF~u`{xWN(zW@amn&2@H4RqbMx}BaDqw~aM&@eU}TbD zWM$!JvSs9A=VMV|=3x<LVguz43#JlAQ>Lkmpw>PkD>R!hJg|U-Z^uu_I&2AU28K63 zIT@ILaWZiHLWFO@Z%&34e>fRf|3bpoM+8zX%z=*2wG=@31<-WT@)W|ynSa3Teca)i zSWu9fmx5cqxFoTt1X}PgDLr866a(+YXkY|W37{<*|CpysFf8NnWXfaXVKQRkV`ODx zaba`hV`On*V&P^nJj~R{$i#VsiE$_Bz?fZ3yiAON9ETa1m{|5Og7#2zu(2LzWMyJu zXJTSyVqs>|6y#y%<Y44I!o+cy$>SfR;a^6O0sj~oKQnqWaeQGEWa6I6$jqd|<jlm) z#5;kJOBia5kQ9@EI0qvuC$j*Pf*>!ufC!7OCWjW2CWji+N=A+;j4YEG1(?#NFtSc& zlw{(lW387dgjmM<kCEdqW56CJE@3Vf!_{CHuVG?b2y*cv(5O2T4+~=_6Uz~pi@!5M zH1e)t;#ke(agB+Sg@s8nles{Nos~oKAyXX_=OZRwCeC8e<*6JctWTMQA2D$}WD5Ai z$Xvi7VEB=#mWlHdlK_+0S0>?4OdKDX0$wqSbue=93twg8c*e*a#IYSrTXF1W<W2^O z@IZE!FoE_baDeXDWZ_`uU}0w#Vc}p_W#eG#VPpdJR%Ah)0zq*m306r7E+$!KW<fC~ zQ$~I^4klh!Rz}dhwrtFbLL8v`C>TMLS6rN;ta><gI!lQ%i7|<Rj+2uVXXFN%!fwOF z!6+mqBnoN=YN+rqaqxmJ{bUiBWD-~7)#lS-<rd>&W|mSlkO5u)4YR|ENmzk}NmiPL zi3QDdju6*zD;j{do3S&p=*sDFF^VduGD&F2Dzbvqvq-Rua)>dDf$ZlNwg8)JENQ~e zD5`0xATA)w#A43EC=OP|%B;mK>>$9*&cb3XXT!=YWnKo#vPLX7nV9U^CE3<9vMRE1 zuvjzagSoeu**KVj8F{(+*eqBL1e`gW8NuR9!OD27xtB9CIk1beIkF0iIP+F9sq;yQ zib`-YsYwdU*ojJslz|RYdBg-d!ibS2m|2XCja7k7j9HM0nGtlFg$JV{M;9YIBUdn| z3{Mjy_hm*N4sI5nGHzBLMQ&Ce8L&cLb`FjJc2~YGMqWmNV15-L7C{9*unD{zd>*`+ zBJGUA79zsJ93lb2HezjzqH1Eoq8wrYqJ}IS%-jMT{M<a;j2xU|pn}{LQqJ7?3#o@d zLKNO-iB_~Eg9>1V1gJd@{}A?2v`!MMEes3?p!OX22btGyW@KQv`Hz#q`adUw&woU@ z`RYF>189O0ys4Fef#DDIyaAaPkoxI@2Be%6=!f)683Z8wqS8FjIuZSXf};F1&}EuV zrI|S?o-PbbN*h?dFo91vInN2E4lsf#J1}VgCJ!PDK_nn#KSZZLSQSLAC=#0+bY@Be zOX*xjyLpV9a~V12F&+?M&~atbXJTw(vHQ==*}%f_li8ZZhl`iVo>7R4g-t+>Rg{H| zlaG_hlF5#Vi;;uFjzfx(RaHdIf{BxjgO!<)lL>S(t__<52S1A|lP!+`lLV6on<JAW zlP!}1lLV6s8!wv$lOfANMoxQCLvA-FJ5aMg($LF3++N(C#e&I>iH(t!!%mD>ot4jC zl82L(#a`5qRgBp%iaQasLlr!J4muTulZAs>or#Nuk6D<Fot1+Tv=bGyw~Pf;5-}+- zax;lB$}zcuwwrLVaO;CwQ9K+hEc}*2?1C(O9E>d7wtO6HEUX+HyrP^ELQG;z+^ll! zEWD~r!i<~{HM}+unvsQD53b*piGz_vh(k~jNgY%cNmVA3E$F6UMp-5$Mmf-25R(AJ zl}zlQbF�Sy-8Ez%CSKREOJwaHTerFry}u7#zznflh#7;X-za5nP`&*d#%ypM@Ds zgoFh(Aq9>VlPHrl(;P-7d&bR7EX&!Lc^NHOVp+_X&ohF~(0#|qco8Hg$aIJiG|ExR zWCz}bdq4!V-Y)=>j~6g<F+i83GaLY2d&9`ZaF>w_en0ROCN73RW-f*`Um@w-1WHFh z_e-IsZw)O_GWSg^(A3Mx&(8)QFuH*8Clk0a{R?us-*skCqq>RZEf<58A{(f2#Ldjg z#mXhY&B7$Wr6IyB%)=za#>K?X#VO1xCd}l(WX~eR%)`jTC?FuhCdH)3WY5IOBEhD` z<jKOx#KOqIB*wzUX2rzAA<V?WBE$+h4vvYHmx+au2{fp~#L39S%)>0j!pzLi!pzLX z&Be&V3M!MC89{TWo-F)KtgM3UTudNQW>#i4E-q$nRz@y%RwhqARz6likSbPIK^az7 zZbnvqZdY*2&VUJY1SmTr3#&2{F9$2AVZp@A#LUdYrp?61#4Ihq#wx)K>i9{DFmbR7 zvT?|;OS3SFD6lIFvIsG2aIrFpOKCH)s0*?&Lu8mZSmm@>nS_}nbp>@eSacX!bOnt> znZz`?n4u~_dX;!Y*i1ntxU;Y_v9Jnqac~;2fX1q%nOJ2+6?s`$O;~wZnYgs=QAGJc z(^T9%EDE4>qsX+6k%<#@oH~a-yC9byry!3Iw+4$QmlCfapBAs2fFZx2fCayxP@JHk zh>$Qy)?J8GP*8|N&_K*llvj+Om5bkA!cm-8LPVI0nMXtcbkL1M7$iM$K<7C?^@1`B z7lS?v7lQ)}7Xvd$fPsNEoP~?w8VeW0Z>T!hyasIibk2Q9I)f-@U=YDtj)HFI4JgV_ z%gjjy4bK!<<uexSXUXScXkk%a$|SvvX&=i{CV^#4{0twM6q%TAGfLlM6k%D#a+y)! z93v|v6quNJ7$upxSXfy=QwS`~pdD($pv%em1wf;iOstHQitvEUVF7jj*cl-vr*p6~ z^FgdvXXawzWU^%yU_-?`Y__cFkU?u@CTYffEKCB7{0s~aA|T<L0q;>UFtoCAF-&0P zVwlB>2;UW~Tnsx|xfqT>)xqX(U^Hz03KqULSi`q84>g2^4K(!`4aD@>8CqDBmNFSG zV`69MW0qxNmE>jOV-pi#XJC*8Z$9K==3--K<Oh#nGl?;Cv50|~N=$-`Tufl5LnOo> z5^P)y5)2Fsvlti{blJEVY}vRNoY)ZMP5>JhLp~c9Mn4voPEgAoA6Q{?fpG#O_|6{w z^NidKEi8u^8ICdQGO;qLF$FLRfVxkLptV_ytW2zo;FX9>>|nMC69=OPIAT~LnCw}( z7zCmq?mPf>Cn&r?bB_1exELNGxzk;RmBB}a73X{wsyj8bps7|<&o41Im7#@2oZ%BQ zXd==8YM%!?qCC!I=VEAJ=VI8%j)-4o4lagS99#^SIUw_iU!eUr3tvckV~zxbey{-2 z4!PkB;p21%xc?TC&%mT~faM_Deip_9Eb|$`l<a;MP=*Rx%EZ5niE}9v$1<kn%uAVM zmNBhoTFNA}j7exI6Z<kI)}>6G%a}NpGO;dWYGh>SVl`qCX5;<M%-_bs*~-Gv!Q#La zz{Afi%p=aN#N@-s&lJqX%gVT(NrZ`G3sW1T$V$e8OhW6Jgw`^#FJNN*$;|nSnPWZ^ z>pUh__E5<B7$X*8CSFE<7C|OncF^#NIOv>v&|Cx)bjbxLD;Ec+AQu-S2fHwr3=0Pn zJCiOGi?}==KWIS)rzDpIhdAhXLw0^nVGeaZ4qhbzc77IiRt^q!E)EWMSq^?7Q1e2F zpG$z9pOuq~Lx^31gOy#Ji<eV?Nr;0-fP-I@lL;)!4w{-`2M^g8F&Q(tGKnyGGjTCV zGg>gI@X8B#GmEpb@~ARNFvTzm@vDj`Fu5=(uxs)v3Gpy#GAS_1f?D%IO#I-AcsVnR z4D)&>79nOK7ItP<CQe2U7FOm)Mo?Nyh=Zg*2Tm>q*dbYScQP=<b8;~xb0YEyNNn*= z28{b5VEG+2-iu2Tle3{o5PYY03kx^HDOPR<h61R48#uWbEI<xmU|_h&$;EJslZ)XV zl6{XjxftGaaxt7ho4;KEy<Z)VeZe5hLFW>B=rbCyF|@D*Gn`=!1|2*I+UN|LyOf7; zgqb86*`RK?0CiUa7Z?2e@Dp5I441gL818T(@`VjI7sGLGE`}eVmJS00!v_UOy3hFn zsZXXr^9j^gh5#3cJgR#OiZb&`obyZbN}MZ`b5fxp#ek{^+{h`(Pby8*)XU5(F*ETm zC?TYTfk|lr_X>{r+@L~fJtLD0V;4Ka8&)G0Z6-%1!RIWDywX2dJV7lQ79JKsb}0^F zE)G6UUI9K{UQRw%UQRY?CRR2fW+oP0c2*8=7FTHvCN@T94qkR<4i0u+4pw$YCJ!c7 zCSDdDK3-l{F+nD2OK^)Cv{s3WiI<TVbSVNGJF74g7ZV4wG!q9zgoT}17>OOs#Kp$R z#K*+Jq{zv|%)-XOs>H>`DJ&qw%E1Y0Wv~cv@JkASmbHN9#>BbJL?oDad6`+I1UT53 z#f5n|csRuEMYuVcITe^V7=>BHxOlla7*#;!su2?plQ`21MkY_DDke21Pev{#VMfqm zB?p!`CJA-{4i3h%jEsVeyc~i|(x5|D*Mrt1OEY(YF8=|Y=ame}4;egM@OjV!JX{Rd zdAJzf^B~eeCodO+6+ail0e(pNcm_J2rE(Dx-+Q3v2Qh4hl#h2BA>yb}j7SHtB!Jd^ z^h+%V7o0`7N4DG`1F1?2IQQ|*=VV;Kd6XSY>CEQ@6{|bgSrgfKSb5pLu(C?C%>^Zi z$7~E6*o;_2nUn+snIc(qn3y<(SnRn4IaJsMnKGD+ScI5ancSHYSeTjJ*f}^@xe{0e zSr~6IC0=LZxxvJHo#`7RQ!rB#6Vn7nX2}xiYy}Q*>iW#e$Sd8#=E=g(qR7V0$H^-U zO;tS5RK?1o1x{5S;@T{{%mOUD%;HSuEIo{{q~s3Tb;4i--e@Av2x?g?vv4qrvvII0 zb8xVWaj`IRv2%cKH~=km1Id6E^>PVus`7Afb2ITXig9qTGcielRPb@|vNG~Bu`&v9 z@UpTqf|3}h6c%UVWfbF*<X{mM<zr*y;1=RyXJz5y;FRQ2WoHMiBw|;QVCQFHmH{83 z$jS&Rt?faz01pSZFdGLe=nQX3CJ7OCVGbS+Zjf6bhncYOaBzb*{_$~faB8vfu<@`e zv2nxP!3}Z;Xx@|qYyuwLEF8=Rpw1@PG!9O2CRRpfHV#(M@HfZ@8cZCFoJ>NDDxkHR zpv=a`!79YV&MnNu#lpb~&TJqNHg;A6HXbo{Nj?rfAw^MMR*>r?n8X={xp>&Q8NnG0 z>}Poq4q;F?RGia{g@=h-OjeXbfLoYHjvagx3ncvHvA9QpO;Aiol7mqNvIWS9Nt8*O ziGxX+NuJS{g^Njn$%$QvBZo1Nk(VioQI=PfkB?VKfSb{cO<h<;#Fxp3NuNoaNsdX0 zDTLLCLyk$F$(2bP%nM+w<&kDmkmX?#mz%-J<iaG(q|W5dD9$9zD9$9n=)jW5F3!Zk zA<D?jQqCO0$ipbaDaa&k2;OzHgPkdnk%x(w@e3=9H1k|WHby3C_Q$Z|onb;ABwuR? za4`r#3ulo~Mg~s-E(Tu#L_L>N#L6&Flog|%!`>d$(8^A&EOx2{7v-AJnvS1g7dt=H z3Wfzxdlv{m+MyuvLjqh3X9c(zE+N@_U4V<>od6exy=%m=l^baG2A3y-uGlcB)MqqM z)d%JJ1B?uN*bjiWs)80*2r=<7v4D<G<JV(>^b1(rS$Wx5S@qcXK#f%fhJ<`b_;?5+ z+5uUDTnr6@Tnw#(i13*%$i;9$kc;6Cbp6K}==f9%w7-s8zM;9(C$$*VjoQHYh;cn5 z;|4|tFv-215#;0xObq+j6`8`A@|jqf_*on|!Hpk97EUH9P}&9c!9g8e7FJ$<K2}~< z0dQ}MpH+aBUxW{|l9`!ToR5{4kB^lXGA+bp#U#!IYLc5X2{7?92{T%Pc7bgufcjI2 z3qBr^F2u!9C&a~YPzX`JI|_3#JP_t$&=7%??;Ozcp9ESU@v#KDzhuEeNcp}1cRdeo zj|8PA=NF}bM&npNF|B81+`t+MCTFm%X9fA*@;Y<I4d!OX>&&b-n44H*IpR2CmE%NW znc_}D#8|I0bKPKOzRt{XgP9GiiupP-?+s>dFq@0v3cC>t`$aZ8CRIk(2W%YNOiZj? zY@8-c0!*BYTpZ$e*;wzfadR?>v2intF!8uB39x%H2}rYYEM^vD<>KOC&E!bpNK}qs z1r>>jOi31uU5xDPm)ThFu(94|V_{@wVm`yhe435p2OG08^JO;HGi=Of*?51kasOmv zJ;w%W1c1tI7Fl))(AmVCf*ewy0eu!$c4;mnP9bJC7EoCVDtjD26M0M=jQ*fQW_eh- zLHA36?nDsa;^5?CVr7(MV&&oH7Uu)q0?h%M!37P=fu;$BIV3p5IV9M{IT%G`IHbW7 zfpQ$O23%~Md`#?&dR#i3vP=Se?7SR|BA^;VfnA<YhC^DH*?|e<JSJUc2^JA%K{h=m zJ0>k=(CT$o4jv{CP97e0Ms;Rs4h|++CN*$LCdOpQB+n$lB*7FaZ^6>Y$SkH5plHep zHph}FgRz;BiIuU56+CaD%q+sf#C#GY!pg)2J{Au&H^I!r%g7Ddv;)fUpmDHLNV;1g z!o|P=6+0lp#c*7Ni{Z2gB7cF_CWA1nU5!qo=C5Q>6Vf9Ul>3DX%=0-IT3CV@=5fd} z$usdomUS7FLF`o!<zmo)+G`=o#o!>y#o!`}u-8YFiy>N+iy;KMeg(GA1~#9IYHvAc z@g3CO2FAd8ki|I+t2kttJV5<$S@23OMHVh*Xb+u}Nt;Oow0fFh0n|Mkklb@pl#Ah_ zC>O(3B=_7E<zo0intL2`a`KbGyMW@r4yt6>#v#iTif|BU>81p-qb#6~VqjoUD2Ieo zf*7J-@<oh`fm@u5!9yHTF4#+OG0c(SVrT_jm&U-r@B-S-OSk|j7kr@Q^@1Ica$(LP zh&Yb$^UNzrEy_#GVMr=X%ghJuz=byV(D=cbRjIJHzn+GcZ)z^I!3Zf=H1$05LW@)V zld@8iONt3AbgC=?4QN?>NL{D*K9%u9>Ln&HW%fQ5R2WTS?q)LSVd7*>Wh-Ol_{^mG zi|GaHPbTeOOkzKo1b#7fE3pc)S$8vaG4?PqcQZ+XN!1>vql^suI6PQ{yBIlg<(Zf` zjG5G#n3(vqxh>fESta@y)fJdTv^ba;tx}DZm;}L>Zh($;<mKcr;yBJIz%IIt(U8f8 zNrF|0$(+f6Nt`t>ovDbGi<7C5kx_}wnJG|Enn_?gqbR%B5k|4Yi~>g(#h84Vm6^<$ zG?)V!r8%@joI$gPy^Ksqhy{ra5obBi$aJ2O=_(`B1Sn#GFlI3_&0=Kg1EWcdOg)S& zjH{Uh*D+ZyX4GelmSg5+<rk4-mQ~<oRcd847Ge?v9rLcjrq9K|;K3xw#Kfq>!p<zi z#LMUl>XtC6vv4pgf!39QF6d(f^}RW{IC$Ck_&7mz7wEJq*v=bcCRQ$PP8lA5UNi9B ziQrNYR1z{ugJr~7__;VZK_w&zb1<W|K0&3O5W66s5Qm^Lcxi+H3p+EkWeSrM;Sknk z5oBa%1Wj&$+Nz*dq%apNtd+{?!llRwD~mZ;1VGD17(p~IlLor&-~&8C?PgYxts)%4 zGE4$Y+{|JuB53CGFkx|t5Qm@)s53Y!hZ>GVg$paFHe}{yk^sjE2YM{S%^*&l0H_XT z;b0aZV4eV;xT3in3kNeOy@L8y>fjb22OB#l7Zd1CBt&Y4%QA5=u`^?n1*JJYJpMqD zL$VX3PY78bx*RC4v9L3OZvF)AkmFzkw@g?#m}SBJHAtTgw6Xv+<p^5n0J=9*l#Pc~ zl#hegh>wdCG<X8qRR`+9i8Bc?N=WfbGIMcof+j-2tJ6G~gqcE^0+>{pVi=7$*|_*v zo-i_5Gf6YaG08D0Fd2fIDiTZ~g4!bDO#X~+EY9Lv8NqECEfFKIq_4cWgfcTfUksB! zqa7(&QAtgS$(Pj*N;@j5g87b2{*35Yg{h1YgzcI989~^Y$)8b$gP$pqQI$oINt#K) zT-{37S(J;1Q`C*a)rgDBj)#et$$(piNx{~@hRv3XfngFeiwQF)TPkZA8|Xw&QO*~v zJfciuykdL;yxmFyg8bGjU5w1kOsq^I%*-sR%tygvn4o5j!URbD%^`<qUunp3G3d*2 zF&N7s+BpHI*cm_=y<G+4qt?^l_Eks*=q^0xqEzsC3&IIT@u238FT*r0Stco1JF5U{ ze}^2RowY)ai(#W27sECr`}fIlF<g}+shx#p{{+UYe(;<_2P4BGE?K5T(6ASz#RY2M zfSSVm;6@JU%ugmxCKo0V&>YP~NccF&Bf>}T7Xw3)JQqWaJfeM*8N<#1!X5IEeh(~M z&}mSZgYX-EZ0#FxxVh&S<(HO#_GUl>RnM<97a`cfB72mX^BA)j!x}Ext(=@YIAM)N zMll8k2dH~*$Ron@t2`G2ivkw|rvf5AMHRRhOcY28PlOYg#3wL%^)oU~V2p+Y=?O-L zZCr{>+)QRH;9KGqnLw9-fr3+lg_Rk!!WJcXLDG^;BFvmj_K-kkU=WxD3Fiq4h<@~D z1ulle3S0~)klg(O<PSwI2AlJcabua25ZY%qgoXwgbUg>Sy$yFaxI+o)NI!#gqy->d z=ow55N4OL@beNb#)CF}w3ywLN#f+Flgqeic_?Z~lKx37lb6ljEgc+roL>O(Li}(eZ z_!;?`nV>@hOi@g3Oq@&#Od{M&Y#c1$Ya1C1CPVy{p~wZlXR=F?i(!@`7sGr-M0{*h z<YIWL$i;95dY{A|=sau8Wt{#Bf-GHuHfX^cd6qJPck;092agP#Wny^8r6{1p#Kd91 zqy=hG^7Jusvw>2mBB<fP$OCG2v2n2qGjTJ@fG*)>19c=p9Y`V2yc;VQn-D8>bD%$y zArl{y8j~WEE|U}^8*~((fuUgv#D5-2h<KX|od?NOLii6PM*KVoG!~&=1CI|a;pPVA zn+Z_+b|@kG8@H9X7@jF{F}y;u@0}7C!+#~rc@WrsQK${jbOPnU>;oqh1}5QvP=Ce% zHh<8pY8k^a?lRCUDrhc*8FX(avpl4SB+R4$nh$|=8yN(qLc*g!84@0M7#JA(l(`sI zDRVLGRz{Q;_m#OAL{zvKG*uww#RKR*AXxtq=1!2GLHG#P@*)_tI@38I6zXvB>iSEN zWx)yH#lgW~QuIAIJ?Jb2ZMD9}1f6<6!>zNGOZEbn8517|CsPOuI}<OH5tFo_3$wsM zE`bAFtf09tMbL4+jG&FvpxT+0g_T)@g`HW0iI>rsg`HUlwBQF!v$CtPfZD9kQL<8| z5JnRwT}C-pH4Xtr@D45=CRxU7Opw`f28IjMAmP-Yf*4nvqr%0oR)vdUlL{i;+*9FV z&{ySRcySz(4+@SzXcH-H<tJ!$OJ+_gv@Zx+CEO3WeRU#um2eBoA!ddNJc>-3EKF>? z%)Cs7Os<UVOss5d9IRY?OfpPdvY_jPnV8v`*hPvV`Gk{+gOLlg*nowTS%!&^1$65M z3kyG|B#Q_$I}<A_8ygpRk0Yo{BErJW$jZpa#KOqNB*ewe$;ZeKx(k{K+*x3RjLR`` zGKn+sF->7)WMyaLU}Xf2H1RPPLq-!B7#7Tcgv$n1NV-_Tz`$@;m5bq}Di^~qRYdxc zQR8B;R^wuDR)eTRuV>KH&kY>uC%*tC`7B_Z2U%aw4_*=kAw@w8f?z8*W-vmN&mkT~ zCZ@IA(i^yqSUA~0iAR!2fKz}~U?I1_0?-N_1_nhY&>{}x!~?q6%L%j+9aQ-$GM!=s z(V%q{GeBof@iQ<im<jR!3^hc33u}*TR70c-P+J6q(ftkMLvu7Ve}n5)C(xP+hC4h+ ztq%r<4^aC!)G_T-RHw*3-_nxQ3WgV`_BqUg_-}$bqJCbf&c$#*or~d)IwF2;G`JX? zHMto2KsRkNFfeS9gQWL6xe)pXblx-pI!~t41QAE|H@N<T_?t;-0qace`K*i!SYI=N zDYp6G5!CBU&_Rnlw%zQkoNP?pOa~cznAS5geBm)-kz!(EGG<Z&RUXW&e0_{8f?HV> zm_+ula4<1mXU@CFY|YffsLN!)$_5>6NM#A+muBK;y1~fgCB(~S&CGb0mGcoR({&bg z#%@LdE?L$cEb|%p*+7HJMojWd?2Ixjyv*h-9L&Nj>P#GrN}weH%%CwsW$<JY2P+#J zA0sE|b~P4OW<eGXW@8rc$`EkpMvn!w6d1A&8r-qwVdv)K;Q-x$!pMtFj*o*^mq`$O zzZ+<&0tXj6Cuo|7m5+m02IK}V&_U0jbAVVmok0VuEUe6+p#xqP2_}9%4qgsMG`sjX zcvV36x-p9}i7<&WbFv6AORz99gYS=H@nALp4Zt#qGxC5sKcI9E#UTI5u?R7P4>vYq za%YNXieYpHd6h+<$$=?|$)8c11=1&RWK!Xr#>k_^q{QUQZ^Na`%Fo9O>J>2aNUAF| zGs-I~IkOrtDKLWT_3KRFjzAvkZgvh%b|#ihjG)4cc|E+n->?vp{(fje>L*a$Vxz*! za1XSOMhlVNL1G{b%TMSuYC0=UPRvOKr!!bhbcq+VYKDPf0o1+*Ekt_Xsl~-`L5qvw zvlb$~M`?30RO)asoX~-kXR!3HkOxWEu=IWan%-YbgNUQL1DxI=`3@?f7o1uG7Jxd; zttc_MBr`t`G~v&>kO!O*aOQ`-j6a#!e=+@ML&+Amc#YUFv&CFi1tyUttQ<^?`&sgi zv4FD0RCe|m?2U|uOeT18$8k2!Gi*!;Sz)<j0V^nXfLffi%N;t%IRiAU0II9FI5<J4 zWexFMV@Yw&*~`em&i<c`i<1*M`y{M{<QoHBM7~M6%*YU+%f%3)i^w-1F%U-2FEBnd zSwib2aK1rKo(wH4dJJ3m*qPKp854A00@U6Wx{!PU5>DV?W;m|P#c&$Q-fRwL1`vkX zgHEH`o0DH$0?iPvWuS}dJ6H@D^g!#34?yjc&_mRRc6wY4-g;aN0eT33#^`Y|6zXBl zyXHX8p+vP0yd(#-8Wg;`9&}(3c-t3v(!rsgv4PRBo{OP_rJs>u5tA&7Ig>n-5NPA3 zEa)T$b}lY<CKh&3hZ1}oo-C6tGZ%|IlMuMc6tD^sFAww(^OEuUTnq*JTnw%Hi1OvR zJ{LoY0T;t^14uZofu4V~<_4ra`9=~_o`Av^gj=wdFPQ!)NCKa-5mH$IpJ#x^Em&;{ zWEm287nN^nadBcgR%Iwb139wSGcP5z0=ZMSmj%?T>tIo1WM^Vz<Y9_n6ld~blwtbJ z!mx!&k%`rq-Hg$ghsS}5O+^rN28{R~Mn+8!CMFHW-HbB(7?qf~H07Ci8JU?(nT%PO znL+C-nHfVscO^1{PVtgw;$&oIGJ?u+F)=fSgQnp?a&q7$)u1Eu*cn-vL45$w3JX3C zR%Tvi2_{xHkaoywJ&-szXk{K~uN{X3i!l?6pr{B~o`qEy)EMSw7LsCT0__#zm*9~V zP~hh0=I7&)V9{q{5fl<(2QBr7tK>&k$;K?k!Og|b2bz;qWQu3vVai~VWzu2RVN>Q6 z<+A1!;xK08;T7N$<K<)VW)@<zX60wGXBJ=)Wd>_eV`67yWa43RV-{i)XJzE@VrS%% z;nd++!o)n233LR^T1Yz5Fhumz0}Z(t;tjbNatsmasKbzpVZ9+2!x2MhI(h<0KWpwm zXc;ICN<$#*gSFiaP8%qZUXT==m|KvO3O@Di1|uj>u!HjiD7twVd6@PvG8_R#vopI3 zk0XzZ0h1{cFPkE0e<M3P_=o`(9wr_p9#&osR(4Pyg_n($RgR07ot06BiIq{9i<y%J z)YevH5@s@DGG*dt;$>1~l3)hw1qBWd6A$AaMurdTAmLzOgy>&K7;!OV8gVgn8zI7h z*_exAnlTr{Sz~B8pyj6@(0P7P7=ZAPbCCQ+s9Y#W@<~ie1?})Wz}UvLpONtZ<1AM6 zgwM(3$|%I7!zjzd#3;+On2Aw>X)P1O9Z>K@v&S(;gL8q8AQR(FM$RrqXC|g@Mxh6w z9B`YFkyqvgBhyU~{fv>xA2UaQQauMK)ps&7@`_JlWDR2V#gjMOaODjqF?M!P;}SfF z1<DtoXwcwbWtZY$WtZfTU^8Z75f&AL<_%G3-jHEuVv&?&;+Nu)7f@hk;^5~H<l|su z7Uxi6(`RB477~Nz5U6^7Wc6&!5**xIg5cy1$sr+3pir`7M9(9_ynGx=%mi`?CzC5^ z#Ui&34<nNdFKFkh3_lacLQvTw&dJKo$^y<e2R1>{OM(faUR-U$#jwqUi{YFJBE9@F z;bIUp<zi4Wg`}4YJ0SU`U^j$@odXF<D<Is1b=@Dfd;>ZI0ycAtrRXiq%!4igWfD(F ziDyi3j|WvXkZdK!1Zp2EF-kD;Fp4vYF}wpMp<MPno@}0}j9hI@jBgpaJ}^o!alHm5 zh98VPjZETAOkB*M5`zJ>*_ek(kyVL}kyVC`9fU!ZmLiKVlNzHElPn`UlQL)o8JfG8 zxR@E)xIn6z#MyaR#F@d{^(JhFgx>;FE`|t@#~BzHPMLBs+&1N65H&-D-vKi&hHP^# zhGpiE@U!_23Adc<5Ly6w|02`~h80hU&2PSm1qF~ZK@KpUgygsr-~mKPRl~#R%jC_- z$>hbz$`r;Z%d`xX<yJ5;iZgLBf|508@dhd_!tf6ijJ51_Jk>l?86D>^F;+S=u~jfO zGjVn?dVq2x>kmdQ874L*CN5UFekMj<nK?{Mt&EtN5nerkGNVi%6Kgr98c>Gi0%h2R zjN)?`Su0p4GlA&YjI3*zSS48}BJreI`;mCEte_SIC>A3b8Ck#?5qvfv$Tt#9tY{*j zNhr`Aky31|tdeZ3Om0jpyrKeNT`a8p{7j%D{e;BWnOKA+nfOI`Bn4!+`MJ6ISQyz@ zS!LKHM2wkO<V6M8LHouK>Q&g8SQMe^*_ahL*tul+SfC-x#Lp}aTG0g|K?w;|cF$pC z3Skmt(qXj4$kk$?T+QguD#~HaF2rWfD!?Yn%Ez{d5#&o)8suXV1VK(l9#3vYK29bt zCRV{PAx0({VJ3kkOpJ^iGNP<Ji$Sr=%FW6l&ce#b%E`qh&c@2j%Fe|i&cVvc$_m<^ z#t^Url5Qg`5bN_AEw~uwS#UA1S|ZZz1}iRxUK=h3JJ2*0Xgv>f-M7GNNcuE@-amh# z53=qff)hd$swZ;tlil)*0-QlB!+3uPuIFXkz&nc<O!<RJ@%6l*d_ITqHgnb;=9x^4 z{7n3yP2Y^1OsCiwIhm$1GlB-XC72=^Iho?Xn3buJQGsbY6QcstCJ?cUiBX=(o{@t| zlu@2(1}IRJ7(pvxIhZCe-e%^%!_0h}S^N&OCBqtKBPL5GP63UbOtws_j2wHI^qB1U zgg^s}Y$CEOX)MNaEXqvsjDk$e-x>LTG4g+B<e$pOHHn#HA~WX{W?o(nK2`}caRo0{ zNdZ|V6$wKQBMD_zK}!}pCQc@0CKE<sCT6BHj9L<^I!wGO3X%p)8cZyknK^eb$1{mB z#c`;!&0=I?+|I<mi-}`96aQ33&I`;6YnhCh*t!`xcQMPZXR-z}_b|(DgfLn6F{^XS z2njOD?q_D?l|RPJx}HfD+&VO3GK04cqd?0IKv@fv{p61@v#!OV2wq{zA7W-*4OL`{ z&7_5l@^ctD)-bUOD)9+yW#U-D#LCIR$gz@H{s^;xpae6kuoVl3nuIg6ln)DsEVC3l zGb;zPnuI>90h=jnFSGb0W)3%2NdZIFZYcXYGsiw=4r6v!RwfR4R(5+1R(>^B*4<2? zrFR^=n3#7mu`&v+VUnoeX6C5`t!D#oKLIslLHQhXnHwjQC?hims1+s3E5Rqg#KJGg z$HB?R#lgbLE6t(BuFTBFBE!YaDJ%e5tIG~L*PKa=k(r5K#8Q}7j8~L{5u!Fqii1&= zMTePJ7+fka^U86^LY6RdFiC@U5kf^I7>zhM*d;hP*mVVX7+C}@L^${bm^c_MSp=9l zIQXTknK&5PBt@hISXh|3K^we5n2keTQGuOPfQLobnT><dfQ3hjN79tpR?ePJK$TsC zU7dxIl^JB43X1>}hZ;L*6ipqM2xw0M$QIB-8yOZkJp(2db5Q|yEp|;7MpjOK&=Ndm zAscpe@agR${E`A}%zWHRyrz6Cj4T|?NILY`b#dsBViw`%;6T!0OspMj9E{>}yo&Z9 ze=w?Z$Z{uvw&{U)HfVFRGjj`waxsf?FpD#RGoy$oGrM37vnGo^sC8?^6wYM93SO?r zA;wh7$;Kta)WyhT&&10l#+1&i!19ZcsfCeAK-@xrgGGx`o`Zu)fU$*<rBc>e%7RIa zMSw|}Nr5SX$&pcx#gkc#m4nBV+k;P!SCuD7TU5bLUP#ADTR=xzn@>%ZB}h|L%}!NF z%Slr}OH7kbO_eEB9W0{Zq%NQ#t<I;WqiQb|Bbg+|&E&%@#4O6g&0ECC&KRg7qs-5# zsi&{a#lSF!ktvIDCKC%kGd~MI6BjE72PgX}(AdLNW*&ZS4jz6cPF@88OD0Z1SrJDj zPElD2M<!OuLMcWjd1+ROO`sB6UY1p4EfXUnpS(P)z*bO^#ldgR!@(`eCC@p7i9?)S ziA|i9mxF_S0wWVYBQujYqa_0aL&FJ3`ORR5D8Ci#xEKuWxERdr5dHidJ1&NOc3ccP z&mirL8t8udH5VX!)N(p6wH!LE0PX05&*r+%4Bqm$lo50|R|m^7R)$+FicH!ZN*tox zs!TjwtnBJcX-uJ@RT(UtOyaD<jKYl4j4F)6%<MeO++0k8Ow7!zkO~mA_)8cxrOd+0 z3_6pSlSPnSl|zhOjDww-lZBN<h+BY18gzOO3uwfcg;|J&m6@Gcj)j$lg<TX%f{wxy zVqVG!=}D?F@iSSntAb8p=TV2~=HX=0<CWv#<Q9cs7FH%9L5O+=gVT_33$RD*bD3bz z#jw+!i(#KVqTbVR;9_WZ;9^+o0BNt)K*NvaASB!}p!)$~?KKM``-zDuDb4|*E{P?H zXl>rK(!69)btry-aW@ON-xLZaMZn}iMlj_ICb=Q~smJUL|3JsR+34t*=&I<k>T-*T zih@=;tFW;!i80A?GV`%9@i56S3o!Gu@N#i4W#wAM%CeG`eGMxolOSkYrZ|fnw>T4b zAR{ZYpPpzYKbr^_t0=RW7!wPVVi2<~n=&i6AFH1qcPO}%Bmz2661)?eg^8b+OMr`C zgNd6-lqrr!nn{Aml8Kc`f{C4pnMqY#jE|L-onKi%h+l|}gA2k^XX00oWU^yqmgVMw zhzJR=^J}Q_s>*_Lz9N$~Qw8IBMy6OsRu&T`DOL^^6DEDu5)hlwgh`4Ke1qC<W+pE1 zVce6U*EusNoQK4xf+J$Q%gvFCA>5IRq012wpMFkU47$!-45iMH_}n7~X}?53=VR^! zLih^Mb?sknK=`Qn1GE2<1Uhblfl28C>n^VItc({}Z!(`}1vOl94>KwqVdOu|D13-f znCU)fk}-ghl}Uh6fr*Pz0W@rLkdgNgBNvnCVMe|qj1mmrSdExWSlE{{b2EuAW9Hw; z%yx#Kw_i~B2Md<~KZ~dgrwG43hYg3kfDD@yha|5wpA4%siwFxd3nMd|Flfq?Pl%Zn zx_`~aS~G~lmsyNeQV^5^*!Y>;3^?SCq`A46Ec978m}{B1n93NLn7Ek51l&L?PniTV zZJ1n`-2Sp~Hn6%d@iels-ectmWM*b<WM$-)pTf$)%mik2u(JMSVdUkVA;>#jkn0&M zM=I}TW;O|qX^?%_Mog?sd`trDf?S$Rri_w|EKFQ1>`a`X%}h+fOo~igyezD`JiOe( zVnUo8+@NLgEMgp@l49~qEKD4X;w=2qTueNS984TCqQV?vf}%WP9HJs(9HP1s9O42} z0)pD?{8Fs4>?|@&Jj@(ShRk8CPGSP0LTVhUI!t0L>`EMpysRQj95Q@7?DFi)EUY3- z{EVQsGidn=sMh4wVb|sZZyn;0;TK?G;^pL5l>oJpK;k;=+QLkdEF7$$GnqlHct#x# zZ5bX$ZXGshPH?x}h)J1Qki~$>n@Nz#o5_cfmkGAX&W4GHQGhj-%Z`(SM}bqAQH0S} zfP+b&$$+Vj)r4P`F;z-Kd@nO2A7nHumraS4pM#B+mqVEIJ{J!scK{zNuK*t-lRQ5c z4<nnrAS)Lm7q1|%5SOqh2OBd7GiWO}xTs0E21)M%E{OD=<HE&I>%zs*<bp`=OI^4a zZn$tUe0G7PcZU6tax3OJgwBDMXCI*L_5>o!FVK=OSdWuX-bhSIfhu*)D=7jU@xOuT z4%2!j#tlsUjO&>|xnwE}!xuJvCJiP#CNU<)Z;Zz594xG$CDPnK7+*88zhPwm2r3=) zSwM&Jf(`=#AM3`*#Z=8E&cw~g!kx~;&cwng$;8jb&n+Op%*4#f!3~ZokfHjFYAoeU z!kp<G#-KA&x%eQlxQ3DG03)LSXmvHHr3Vh6h8vJ@&2UAGgLJrZG0bq~V%X@42v<!v zE{08RTnu+X14j%D411vSxCPMj6LX;9n*c3GG_dcFNh~S`?S4%TPR&X4O)My8V3I$; zyn|~$Gvfhf<^9Z{pxMpX%Ou;!)WX=y#NWpx-pj<=$Mlb#p^IH#N1RPv&X<XCF`Mi% zHclo3RtY{MCdQ3y{9D*K+4y#{vF>7vWMX3zV`t?kVFDcqBf})XQ3z%Ufp$nR3UIh_ zSupVm^GHc3$ub4l^4KsdvI=r=$!l?_bAT!aMpkEkPBt!4Hx7Lcc2-`#NG{Ob$$Cs2 zjG%o40xT-bYD^rADr`Kg5-c3dVjL{sGo>WiSXnc<IM|uNi;+O5(}0$XfYz8WF^MsP zWI<wFEF8>COfrlbEF8>|OnO|roXV_BLLA(}jC@S$JRIEoj9e_-%<N1eY#i+1MHx); zj1pYN%>0}JEXt6?AkHMt6w0K}T*P*ok@XZ4hX#`xQ=~AHSOB*%R}{MhvlF|3gfeK8 z>uyFSSy20spIMxRmH8h#1B1XlNIYA(Bi5}%x^ppPx^pq)xg*+5&F)+b``j_-N8Vh4 z<R2_)A39f1mI&J9%m7+rcZ(6c$S%I0k&B^?<u((89O!IWK_(VvL8dY`c_uDK&~|h1 zKolRN4Co*`R!~aj<mTZ4rDR@qb~YB!rCqGNEbL4yC~}}<T|u=nQxhZT=sIS077->< zMq?&URvtD1R(4R^2wYwi+=uvA!voPy^z`6j2=L%y2=PGpH{OGbA;$yLzqt1Q6%^$| z{hO1Tmkv6xQrN&-pM#-|C5=H(7JOb2<k|ohRz}d!i!5ZZl>(CzWTDjqsJjjzx$B_^ z7sCq=E`~Qq?)vV*#lYf8j=LZOhYU=@2JT>YB{5jYvdAz=FmbVij?-Xa1rL8g-6aEa zSHJ^Ectv<3=DVvrxfmvTaxqNvM1<E}Pc8-(FD`~Xmmuk34s<<ZfHKZ@12nuK?gA}i zoWR)63{D_BAnC*&l1^5#GPr<F@fBbaVB%xsV`61vWd}__D=-N#xiY0OX)v>}2r+Rn ziZTi_u`;u=a51tn3WLr(WM=0A9iJ@AD$1zH$O%3$lZl@R)J+Cm>LtObz{bm@#uUV4 z#>B$J%p}V!CCDYgA}lDzBFfLk#lg=3qWL+vcsbcvSy{wbMYWl^SnNSLPLU~!DFM{+ zvg7395@i%-;bP=x)M0jF=Hjvk4Vi*c+G9w#t?)vG+et4jh6i3;3{Slf<)^+k7ekph z7sE7faIuRs{6I#6a0%{u2^yZ@@nMwU+=&vLt5_K(F_IsijK;D?R0&a5B0|&|7NW~p zm}D8#7#J8fJcWcSgAXEHoqf0%f_=CcB76|xn&rdAFyDuZVVe&mT>rq<)k5hrX!BYf z1j7|FjS1gWg=J_Sq5?GI#WacOKO<uUliz=EN!P^8aD-8j$(@OjTbZevLz&$Vv{6!# z3Dg+nWRzrLW(KX;lje|OVrCX+0^Qri$}Ykq$}h+w$t=bNTByjvD#s=QN?M9cI!t0r z!AwF-dfb9+lB{AJpwo^SGMVNvGAc9qfl?<ZnJ_p!hlG=WFQS~&_vK=+^5tT%^F`!a zFJCT(XkT*5lcfCo90n#~2U~ka2W5M9hBlUJhI@=EOiWDLEXv>_1-$!DhM9|vl~E2- z+;K7~vU0J3u5UR2b>9Xg_g(enVtC@q#qbizeTsfu3=w`@80TpRK<5i^*E^|sDX<x8 z(9Kgvm_T!o8yV|CRnK%5hAt*W7Ct6ba2b-pqz*1aSeUq&L0g}gFiH|u7EwkyMjpt- zBp;(H6R6}+V&Y)ZVA5yeWaZ$H;gn?M6JinMXJQv(0nH4v@$<2W@-y+Xaj`?oj4Y-$ zMn+rEA#*J3jIxaUOgi9`f56ej@ZcpRzHaz&F$6$_7{2;(F|he_F=+cE()CAwE{5*` zTnvGMkaXRl1u0(>p!5Xjcys{tTtJ({5P8&a0<S9q?b{3hRa*>9N(*@Z^33ODT)_K; zc|I=}Ll?^?MrJ{lgRIHgDa}m(nG_nBpD;7JGBGl1vam94Wnz?I5ob^^V$x=^VUkP` zj0N?TT$oUckbR5-ZhIAysxnAj!dgk)JbIQXQQ*x5jRF-_2^53;NrEKHJ&tYSi- z{l?rP+t{?{vT-VNuu3>_T8r2S8M3nLa<GaC$+B8WaJzP}3$P3PWitiu8fIqVW|_t4 zXbA2!GBY}}v3dwH$qKWwaayzTGu{JDjNWHt4B=#B<dt`2y#tCR@bUm01Hj^;yr3Kf zIw=ISBo=gN2|F_%_=plN76nin1Ihy(UILTB&JJb)Ej{OE<78B07GehN<B?(!XBOt- zW95Jx?GHI;ffH0saf4)-c)@$Vq`35%6uCi1s*16Qu!ys_gBpk|d>p*u0v!DELL7o> zJp7>3czHNLr@e6t^Kme8a4T_gFlux0aWb-SaDk^!c|oiFKx3t%;L%JDDNiOAWl=Ry zTb_lVRgfPt{;9<-&cZ9n#IMG$Ex^Xi!!5w82%d@so$SxR015?ABXScHlM|B_lLPp$ z1u@WJ3ry;a;!K`Q0Zax={OsafHyN2a7#a0gWcdZyl6eG~q}kb7`PkhgxJ6YMLpep2 z>=cE#oHzxzq&fMxR6*gpiIGW=@gOUUHghu*n*fsl`x9m^S58JAE+%<iR<5l~?2LTk z{E)?U3=9kfpCI|*L?EJke-_Ba@GX#w;ddaS+!74pVgO<EbwMybYI+B+O9G{L_@aaW zeSgLP4S&XfiH!c-3|%ZeObkmH6`8o0WEi<PxY<P56qp=XjHJO$%7@@py^u`V@EPL1 zj37k0+8@NlFeiwMVPO!$eH(+g81{hFtcA3PVD2)2_B&DChjdOCc&&FxKJ-2%CKm8D zyn_0S2B4FC`WPA3u<|ikfR{V)vk8Ir5b&{xG089~vFd;hGirb>j1>3+ai>NwA{_mK zxftStxfn`=5#`DBU@nGB!CVYagCXS!&rOJXZLUJ-KhXZ00kk}+aKTodV4PnAbH4Zo z#xG3ZHT!Ju!E5%l`<X;0Fx_M9X9}IbB-PKvHi3zYVH=wk6XQn~&}q3WA6RUe#F&a0 zCAYJN?qikO%gVM1JXFdi2io%o&P@iOGl;;8W<kw8c4ihPRz~p7Kps|ZQzlLxc4kFT zpNoT)9eMyE3sW_dGouw-C}^t(Cl>>QHj@bBJw}#LW+@i%8P^O98@@uqD<T9DuXQ0@ z4DBIY4AVmp;k7)3i(y>|7sKWdNO-~G6-LAEPlBWt28I(@!wX*i!uEZ`!i&Wq9vame zKbd%bF$sZ5S%xM~jebU+35-HuQkH>%kHv;j6%^x%3=A4fJd8ptJj}A7{p;T#{@@5j z_(MIEi@_k2i@_umkv=U#xftw0YS7Xr%>Ah86YO5_dRT-*{h<zxXXxRL2RTTeQ3>Rr zK(N;vpzfFevI8u_zyNc{ddl1ZUS9}x#}|;*AmblE?c)f8#0yBwB#eu}E{u!8ISlS^ zmf$chhNdts2H3uoH_&xH79Sz$eFJp-2i5;L#yO#mV3NPUbe-`$6E{N#OCuA*JT_w{ zPDW0qFeVeo8Grh0>`W|7OrRk>CJsh%&`HkRtURpTJY2$TtgO6DT-*{utb&|ET!P?p z?)5?AJxuc%nL)e{-y!k&Aq)|pV&Pm2YT;ZAhT({G86M8X&=StYus9szf7m({*!?3B z&~^bRTtHYu0Bb%*ABTbYP`uzPV?JYnTRvlfcs^(}#uPjlvzUot54#o<cPpE02OB4s zB$EY`7?Tl;Bs)8&0FyYABqJvW2P1fCydoPX6KL6^8W$&%1rv)Pj{paYIwOmqD2pUB zJ0C9xm#6@XBr_*$>lq7^8KWyR4~sFgD2F8IQd(vK4oP;Xv?-G;Bj`S0kUt83Lc-?< z)ZZX6=?E?cjR-CVod|fmGn+<mF}Ou=VcZM)1bWX5YJ7v!JE*<@w~t_fAl$&H0BS^< z)w45nu@o}YsWS01nSuK6vTQ6&oSa-Nylm{ON|2^5FEba58HfpT5d*^qs5=)#K;j1^ z>U)rtVRHl*!ww{OrXFNvs5;1s;ZE%5Z-8&_YG66d$e<9-6v`;gz;NIfBs@4G5$R?1 zUIvCudl?wE?qvX<vn#^Dz#R65jUo9B8^$?9d!YNaN-7Id_4G0li!=11O=B}b$5W&w zCZ{S?*MeNmz+mtjVow2*Julfg8I-59FsM&wf!p(yos&U?gOlL{+P!%(Um^JoVoz!z zc3T)2K0xicfn?9Lr7R3{m$EP{T#B&gU>qmIl{n0MgiN6Ot04A({ev3Sd8y@YrFqGR zwi|*yHsKG%Umj72@N<vnWYFBf!l1hYVP94h7ei${C&LP~b5iEKLGu@G+Z0(in7EiY zn7EkPm{?d?m{^$Dcm)Jl_@x9`_(hmlnZPqV0e>Oxxq;-KEy0Wofh$-TLRKK$bMYuE z!@Z-J=T((piBF4I+>SZ`wa+6O;s4xdE{5u8E{6JONPYsPpXO*Th8@vd3^$<ne!$9` z02N5N0ts(WyyCG9)Gtc_<)|Hu_1p|xyO|hRb~Aylnqy^BXA)-QWaj7KVq#@tV`t}L z;RP)o;$dRtVrAmy=HlaGVi5(2fF_ywLA_o@CJ!cl#&i}-W-cav&=MhVbTTmfhlHO* z48lK(J6JH{(;|k8AutBBA51X)<fi8OCKiAl4LXUNiG@`dd{(kB3n#NS#9?tvCU6HG zfVyV|l6#KDa4}qp;bOQJg9zVSF<cD%v0NDGYXx+C9Ui`@Q3-VolX$=c&@Emm{#*=P z)0h}ora^+05p@5n91|-G=#DimCN9v;In4ZQEP@guEW#q7BSzR+m_albCo2~ZXiJkK zQv#DVqXY{pBR8W3BNxL127hqCHpC*<)835bVt5tH#qd5BQXh#hFtGB(aWPoNaWMqN zLCU)|ry%(v26`|1ALzbduwftqYdZ<E-bKuz=%(eOO&Q};4Zcr#Hsm7ZiHx9|lsj0i zF*DrZFlJ&DG2jFrKf@%&B*nzRJ(ZD@l`9+6Ow?zK0bLEj!~wd%3N*dKnZm=$2&%>; zS@^-Lvq8hspyR5*^8u_(DNIsKZk#DR7K}Wc0<h^7V<twX?~Kd>EQ-vmEZNYMaDWLC zjvL|-<L(>`><m>KSQu(IAo8^!13QBR13N}JjcXhlnjE0379dB_fr3|n8DgJBJfc4k z7th6z9nZy36_1Fw&Uh|{8Sz{Ui{l~jwqQ3T-eBz|^!s4v;21YUcL#h6a;iUL0JlF^ z06znl`7dV8pUjfKm|1@^%QLW;FXGl*$St{un{^?#JOhIoi#!uEcsNFdQ4++pVv=O! zW|m}NFlW*PpNS(68V`i}>qk6w!x8!9T0|%^Ffgz{!m%L%5spg|xER(Za53yjK!oGz z1TKav30w?!6Nn4P4LHIP)g91K<TZ%UXEcyv;4=KhECUNcQ3e*n6Ra}FStU=fvL0s@ zWnfTX(PUy_HU=?tnIsvd7#IwhWWXUO%FqDyhe0BuTzs9##IR~Q3&UEZ`g`|9Hii=y zG202S_6VdL0@tg>B}JvlB?{FF#g%!<df-;NwE{F9Ku1d<`<XNI(iK3Xhv>2?`FW`d z$r*`xU|9u7OM?{>-ZzlknWe_eu=WNE!-gA(d}5r$#bA}h#qb5X4jYzU6QK1I#GR>y zdenC%3p<k>vj77NJChy*g9FsP3z8u7rt?61U6Z&NE+la=JWPV54+aJX*56573~I?- z45rDD^g(F9d;nT|y`(5HIaLq5Umm=vW(s6MOdxnc3_q9@1ucu|VbNU6B)g931mjvJ zfptv$44)V^qh%A!ScI8mS#*T>m?UHc0tEtCAvG0fu>tb-W+^6qMtK%?W`59Zn@sGC zpzY3lV5Ka1OtOr!Os<f<@S04rj3=Nwo<XGz1498DB;Hm){a44pz_2@+i{U~t7sG>O zL^%9P=3<ac;bKrsfrJCB9}T0?_kE|}2nWy|;o=h*OThC-{9sZDG=0>=qP&(#d>vB~ z*!w&T^FZhGcQT6iFd8v&v#>G=unW`*)G&el1m4{(%)$=7TMV=<2y~8$I^ufKUPh2I zWhQaPBqjky9<WCZ*dhL`NI{f8lTx@CW~Fd3%u9jP=L`%C%xhA(81|-cF@$V}l&3KN z2|&wNSUy3DSEO!7Ks^UT4@)*f1CuNh6PGf(FcUxM6g_zs(E2eJMrkHKRxT!X1_pU1 zYtZQ=3=9klpzab#MXZbXv7dp#D3y!BEEVA{iQg;?vcFky)-UOqB^jkjddc~@`UTnP z`j8Bqs^^S2qoI$5kD-T=4;&f{3>%>Kb|Be%`62_us#Gq9bx8JZOXXt73&Si|VD%af zdl3iTG4!#BG0X#9fWpMcz{1N2xn7Ln0@QwvG=#tP)3_L{(zqDx(h&akOXFflOv7yN zJ%FAwj>CSWfx6%lP?{4Ku;FJE;Na(A=wnG>n7|~>B*DSS#LdLX&IyVkX(lEnF(%Lu z6+0snvk)kbKtfuqU?%9CX%0yGxsZmaPo&bh7_`&57<Q*4@`-0A7ehi07ejw8B%h=} z&mU!Rg{&`^c@Iff4F@3n9AOBJ!yk}>BfnS=dYlnHW#DvZ1Uj&v=N$8X9>xPa>vi_? za540;JZAA#_G9!Fk2PDv$koiu-@<%{X*Hw38phv@2#NR17(z`Dp?)TWs!wPd_0}*R zVqVQCv4(LvlB%a@s?63fLWNE-F|1=UVw2^NXA)!LWCRVt^9pftD)O;1MY37&urn#} za)|ITamsMXve+@rVPun17nhab5NDdr=*_9j!^kZ@kI}53k&}(_05ktyW~W&0I5wu~ zj6ICZ-Hb-Dwoe!ZdKncMxtUrSRlUWOg&9Ra>en-JvhgqpGu7L3JFqd`XG~^Jk+27; zT?<vK=xwB|&u9Qrdzz7x%}JhHfsIL)X*%NxM&{#;Mv5S{C!uONxe~aTytS3}7<EDF zb2-^~nVjmm8yG?E$YRbkst2jghB(5^J6$=8F;jdVqn;rrn+j7Ti_?Ba?gNZ$Ox=uH z%$joh8Ev01O6Y?WH-OeSv#N60a4~teGAeg4GPW~<ED+{obGpvReFJR15VN4sb&wtb zL6DwSMn`W?WgkXwkV1b>HmBK)+;hMR{g{1?W`h;_LKOBgvT!r=@q{o3v+{~0F^6(+ zv#JJy#{G@J#VP1U1Wp!7CeS6F?3{copd$rXIY5&Yy!=c8{Opk92YA5~7oa7t{Opnf z{QMGZrd;ft9E=i7>|E@etc;)=4~1Dcm_?!2!>KXxGRlKaIOO8vWMg7yWCk5|z#_oG z4mOX28MIiIlZBsMk%N=HnURB?8DxPX7Y8Q?SRpHi3h1yl&_aFi*{u+H6C?{{Sj3q@ z7H}{LgVPe|{!Gvvb;2wXAWJwn*f~HnGsrxcWvT+4{GtM!{QWQsLARL+vyfyN6X@6o zRDXcZY2*SQ7lGy<e8wv>aWTqb_=gL0;siJ>gb4)}KEp8sii?Ghg`J%Rbh`})7e>5d z`Uw;_q8yy;`S7R_z#BC(EWC^&jF>3^<RM9BDtm^NnHM~9N6=V82}+UKo&|Jp2KZnO zCJsjMY=jY$5tA2_5)&^c6Bi4UKBF_IBo{xY1fM=D8;3f(EEgA(Fp~w7F_R9HH<K}= zytJYMr+hP`oCu>Kn<bMGlM1IMk1&&kpr(i!lLwOqlM<5!tGuqN4yU%L8K-GKqlpNs zCYK{fA+M%@Fq4J4rj{9#HIp%;jkBT$r+YJ_n+T&O8(0CSrm2XNFq4I)ri}xW9muj! z#VF3mX2u94B_PYZHT`l^nbJV6bzw1PmQNMU;mpp@5@FWl5MTm3idBW3H(Vq`n8_mE zILVC35M)@jVgn;*eKTVnnrVfaB@h?M_cAI@V&t62*vvSA5y^kOjP|n_HK#(x&L6Wd zDKj!Mi8GlobFuNWI&pBbUt;92Wfoxm&B&$9$;c(nWX8Rmk&m0Vfr-zSQGok5qku9$ zqkuS*nV`1_xA1#L5nDz9!G0z&Wl=^kaV9hI2q|vKON>&si~{1n8D*5E8D+$o^kh#k zDsanhVpOnYl#rdyq@=9Ks3gv0rtGc8t$K-3&6ZI>`8T76vO1%NIFp&Cw+^@VB}N@v zMgh%JOpu08f&!!-Z^%Q`<BRjS7&hf`F>KG{0*{A++JT4jxELPfaWR~^2&u1i&Oqon zcOf*virA2WNqhri0r<r9(DjVm41Fvw7#WT+DKg10d9#FpN?t`48AfRqRwh<P5oSpy zcF<xdHdZEnP9ae9Rgp!UNtH>2Nu1S`g^NiXG|U0=L4YE}{oj$=ArARm4Bq)%4F35D z_ebV)G34ZPG4w#&Nw9ua40N24NjO0!o-x59o}HnOB_F(pnV-o5I!4aS%FM;V3cj{i z0W>r&%f!jd#lp!X$0P`9EP>o{0P2nh`H*%FNQ}9Fi$S=6i$SFT(H^oa;9|%r;9}@3 zfT+`e&ST9v4GG7brw|&XAA}43L-+*4*BBhWmmufqse{hb>tpd+&d9fdaRuXYMxGUn z{0vRZu1u_6e6AggOk9j&K0LmVjhAdJjI1my%tDM@9K!6v9IWi1IY~|q(DEH-ZXp47 zW?s;(1&ln*Vm!?3kX{rMXdDi-D1eVqmQ96Qh)tVOgjtM35H#cI#l*+Bf{}>_bQ>1~ z!viHq{QQ9W7vv}DLM{gFLM{f|LPWTP6ml`lFXUp_QwRx{1ZcSIc?9wQj?WMpq#uM^ zhz%DL@Ya`=OyI3A>Y$x3eJoQM!2z=c7BCB#gP5Fk_|$orAVH(UqYn=nJ{A^cRyGz^ zAr2NM7H-h-{49LzysUhzyuy5ZygXnUbeumsKPMkJ$OMJN*qM2G#hExjK_<k^3lB0O zCQhbMCMhOaCKY}mK5b4BW-%T?P(q%{$i&CE1s-M~|0SqE!p)!v5*{G2;36)D<RUJH zsv<<VO)uhNxL3r*@E58MJw3gF?h^v(2jK*L$T&B_^kfR|o;N}^B8Pyw<b5nT;9z5H zX69*OhNdbThJDPgOzM1mtc;tOdDb&S0+5S`mz7x;G-Rj<PhG4W?Ce5ZGMvmj?A**; ztenDJtemVo?A)B-g<{OSLPG4!yqwTr<74Io9o)vs#mWgi_z;rfbQq;kQyh~nsN~3D z;$viF;b8`+I~$NcAE-jYub>zaev^y27#0_EF>EbHgx{HBE(YNeE(U`Vh&n>yH-p%8 zX9f<x1(5JlhlF1@B;9R=rMm~ru1s#id=k9SbSKOs08e+2EC~rTR!$aBa}peAe4M;s z8h_xy(;O$05R*50pn<Y!Hz>_*g{Qdybx3$EfQADo3=fxZF<dU;Vt7)52(RBITntvF zTnv$=#D&)yV#CWE99~}_!$BzN4W82efKr-1p9Lh18S)t5NMnlRrY*G643V}F>FR(6 zB%C%t{SOMK%cWclk4w22UX&v0oA0Gu3@T+@46yW616^-^20Cv~F#lOFFo`c<+zmMe zPz-ePUmwe7Mur6}HcYJ0<O0rLETFqRIT^XY$A+@9f)WfT8@M3i6yjrN=4FNED9}oB z&>@nXOkAJ>h+BwDn^lBa3?vNl!vRf*zZR51;uRzYi~qxA<j4O3V&mVEVF!yVlX^B^ z2_$-Rd2*o93vvpBfi}c_4&{jPk(6>ShLUnFhRSk;`=*z3F`O#rVt7~%@kbA|o#F#M zzqJQSKbQ^~XNV!zeTD|$2>Ff@A?ukK&afylDKoh;iL*EyW|BAsx}*Fs6DJe*9wsKx z;jEzJYK54Dn1mTw8CgI_5HPVaN`Ve_1!Go5SvE0NanKz_Z0w+$QbEz7$Rx+a%A~@m z$SBUHz^cUI$jt;2egL}ZUYtn;GJuz$0|^g{3dA^gNCg)|LIoE?Y6T)4c2saNY_8y9 zh=88k3ETI02fA-GH7_|oB{MHwKPj;|)yxERgM%wnh=EBw;0$Os&eETYVFJr?CWZ(_ zCVn<SCUGt)CN3t>%{HuzJZyZRqxIPMrDfP<!3mOypGkuW)Fl>S;$miD6lRfUkp$f$ z$jrjR&J5}hf@tU&oIXqjjPfj!O#F<NpfU+`&Xz93Ujmg}3=z;pVWyQ_433pt3~rSO ze??VtG1OLaF|hoAv<qPOqq0Ef&C&e@^ORnAW=TdsVhYI53{2t%U5xsS2A29D4?bmN zn7|mtB+bFi#KpzNB*ZDh3Gor=Vi+b~CKe`EW=}@YiM*igEL@;vT}<q-(?s)`gcvOu zxfmMsAnv~a+T#vRxeN?XE4diHR&p`?LUKP(6&HhR73R8*GbbVbL3clR#RQYm1OAE3 z_xTwg@H^h;2f6t_Gs7Xq6b>V<PDZZ9jGTg;g6z@^;48?4m{?gw*w`5%v=C?!534)} zD<cQ1G7|@@2phUcA}Ea+G08EDuz+wWvj|HmGguG|8Vn%*S^;u1ScHLr!u!n8y$FjU zu;~w=_DNJD()ZF(W(KxtEDW5}5c4P6@~jLN@~jLR(0dhO?RwaFEPVb1uWbwr4u%l_ zbyP#<KR}Ksy2!w=q?(IiMKvPbt*Pc>kaFZ?uz}9cpxXzxCaX9<4|HI7QfiT2a7j^S zUOK}h7Ey+OOri`dtc<WLyciOo_A=BU{Ha^R#b8>)#b8;3u-CDMiy^Fr3*-LOJ?QBL zY_En^XkKw?K|y{|NoorCU^LgFqWmIFJun46?k%C7gJB9wHNzWbS*CDsrv^0r&jdP% zfsYk*jEV?E&>3>a%mb)957Z#ecVn&PVi2q4V#usTl)v9<xfsIhxEN~dAmy*jOGtW- zc?F?cUPI`B8c6xea|+TPMGd#KoczQRaC%5B(gRDSGJq*iNd@&Gn4cP4l30?esh0}! z9%%C&(=O)yOpFJZma^_=;$oP>qW_VR@e?D*M@DW&HYNc@@hMCklbKkiFp2kr7GE;4 zPGIu=&gk@m@gU=OMy?->t&HCpd4Di|W}M6<qQt_;#KiN7k@X{^>nFzWkBoAk7?l~0 zaOl5cWqi)cv6!8G0x!#9F1BM_0;hS!AFy+LXJ`4r&LhOd#JHM+RhU(Qbr}aglNkq# zAj@lZrv?r#Hg6^#LoOR8HU&p67a=Bz*&JLmI9Qp*SooP)Wi>fi*)_hh^L}Ax6`##` zk(v1tbHGD(k#oE(N4c0zaPfR(WnIk9I*ZrgFlYD?PPyZ(lKSAudnqOkMsucQ(1a;d z4GRY|3!?(F02>FRJQD{aA5#sZKIr;CE_O~%9zlKu0Z#r57LX3mK3Yy5UVddh@IVhI zXs8FYbC;iklbwqPG&0D-4cVl}6v=dmiB*6@f=QLRhRuXYlNVx&Hj5IwvXCxkBB<cj z2OWUG!p<ngBF@Ue!NM-i!^+6Y!piF_;KYBBQGkoTl~I6~e*vQqvtR(52(u7KlF>mR zoL`Pn8I;o)7z)fF`H!O>l5Rkv()C;n>h)X<phF3v!xhZ_^;`^7>oLns*t{+{RlrjT zq|_7-*um`27?A1D#W0Cw3nSA5CPsaRC0xZXm>nBAf|!Cjn9Z5|8Ts0oMHoezI7FT^ zOM{lhurrFYa5A$o34)7J7AAH^eij~fP>~7}=f=VYotYrO#?A<$o1w=V*fEQ+=rW72 zfM^g_XBJ>lXNJgv{CL0u;%|lq#5~-zeGCj=LfIH@X0n3EUqI`YzK60gfN;hZNdAG< zcd&8})!!OgU_LB&_@w56>;mBjQ2PoR5bIzb9AIFW)WF3swE<Gzfb5&mz{LQ<ceavY zUr=gd3ImrC1B(&^3p;3a9|MDcCB&aE8W82H)<FgazD6zv!A69=B8^-OApC$Fe}e1< zT@A&+CC9)b2eKQ!KHmUpe?}vs{iw2&fuXyRi=hw6{)vrT3?NKaI0vN`r<O2q2{Nz< zf-DE6<N&C>4;m5Wdv^pILtg|N!^8+Sc>GR@U}FGb7IMNHTEBqew*YEiKobq)7rfRV zWE%s+0;qilnh^B@zVu{4PP~HcL-Hi({3@uu7R`uo{qDxe(6xqzp?3|UeZb(($-wK* ziBWIj$~U0O1zbtO>Pm<=862!2>32mlBK_X+;KW#;`qYDy;fn_+Ll1OZ5!OC{-6s#u zm+<llZX2{>W?<L=wMU=@F&=B$!o^_I!o}dwg2*Q>EnE!YEnEyw(Apue@k~^Eu+*oZ zWoS&o1yT8+W<V*!5sp&OtqP!vwLvQgK-d7>0AS)^l4H__4RbOWSVO{LLkl9@Yfi8* zDDGuoP(fO!d%lH>p~;Q-aQ7?CO#+=q3yWnr7C}bPeSZ_6_9?U??Camnz%Xq$1H;VS zh;_m7N~{byN|@_NVf6x}9SC0UgBmng8%hie0yYqT&OoyFXe$@Pg;p+xE3JrlxY5eR z@VOOp{f^E9NVy5IH?<Jbih)Nwc3YW*0|NaS1JppPAEz=huuNrSV31`2^$S6R;w+%W z8!T*~v!}R~KttlPEF4T}pz0U2o($^Vgf>LFncc?4u%eBNVNV;PeRjKzi{VEb7Xy1c zsB~grc!QQ7Vd)f_>=`;3AnmjC{G7zRbiMqdbo~nb;>u$E(!9(Hz0CaNk{kx?a;Zf{ zdHJqIMWB79j2WQSvYz!^4AWS`K!?jSi83-Vu`voTu`wzz1Sv8ZFtIYS@iB4ov4HlE zf~DBlSVb6_AYDppW+oPPCLTr!CO$@9CQdMBVq!r^@-c}pdV<0P({zYWCfGvav!NZ4 zf8&+d8RoWgF>Gu{gwF~kc7~%$><m|wAQx(HL0kWg9zGlJhEHy3UT$Im!!(vq3{St1 zQe@I+Vx2C<#>dnn#m6GjDJ9w_#WY!pZHkmYzZBa9DX8xu?k})|xZj}zao$m>Iy*yj z2Ny#@2g3cw)Y%#Cs<Sh^QHQvH4YWTDYcHU?e-3{4gIqt0rJIqFgUNuAkBJY|h-PGC zQearZrN|V+!pY2fk&}&&=@cg)i_&pUz7w20%uE+K*)DMkoaJOY$0@?d1Wlh4>>=)d z(E&+!AYU<eaxw6Aaxn;XLh=)6o=L2ei$Spyvwa6Eud$~!NIOqhK}ViZflr>DVGc_u z!vXnFP>IIM#KNipTE7CCv;i|fRx^Bnx+kF%QBVHf$G}k6$;Hsr33nr-LLQ`_g5BQW zO3)6y9EN!;tPCowV1peX{@l@t*bfUc_d1ff4aAsh#-If;lz~A2YOY2Xr2PW2#jlHt zA-0Q)A+ZY)etBJ742@lw>w|tk>m#Ib$KuSQ(t_gDJpG*f^rF&Yy`s`QkHox`oYW!) zCgBN;p5Vo3pj8133s_1RoMf5Qc(|AZcm-h90z3GG5zq<+=++T6CN0o}88{dwK;3(z z3m%pX|Do<>>qfX&u$zlPshf)dmOf$e3|l`(lzWRAn1ll&{W%!IWSLZ%I2obt;b9VG zVqq3%;({zPlVuWSGKMf27z7+aA;`c`(T!+#FYD%FSli9Tuo209ySlj;PIq(R9ACiW zJ`Jsu)U?FXoRWb2{2WcafYK7swsR|c4u(Z6p$s~*OzKQrpzxAq;Q*h71uEmAoo)#x zNob8*0ClHA55k>(JzNZtJzNa2J&15j>EU9i?!g=%m~a$Q4-xN9_f)Vu?d=&Ir0v-m z7O|8vn8-2(f@7TpbQvHQ6Ax(ZJuB$cCvJ$aIuk#mG{`RuAE54i(SwM0<6bTXyIw8^ z=UzlP717JZP}$4H(9sJ~haUfXPD9)cPD~683<<Du3bC#aJ^g|T0X+i-JU$IhEpaMM zOG_;R#RvnFxI?Wyql1Dy7sDc!{frDziY!u03XFn`jO><NT%62Ykje)<`UmRHvNLmn zPNQXJVHM<HWMOA!XSV{4nJF@fGO4l(vhXv5xw%Yoj9g5DjG&X-c^DWrI6>0c1E@bi z{+YL*fq|)yi-D~V5q}^t5QeR<M5poi3hZw~h9xXK3~t;^&@s~kP<tc#5cOSC9~VPk z9~Z-9Bzsr(aWNd}<6?+83<>WH=)CJ0==d-md(%@(0uqz66Vp@u5_3TZrWdRQ?X_de z=VDmGa+Q%`ACn>rD<cz=6_Yt=NfnPAHydcOQxSB;3HTxzS<vZeZ0xMs9IWi}pvqg3 zsg03|i%EnDa%USTtQI&!!q1`~QtpAoV*9xmO8U7N`uY*+=}<oxgX#n>2JZ=w^we`3 z;-4Dm_^=JyzKs9_XuAe?dP+|%aZbzu7l-l(n9s6;4|S3TlP!#(vz?Z(m>y>0IKq_v zojLUf^A48p%nDl=6^}59GTdR(XJLEK$T5jYhe?Nti;?v&qXUy_6Vn<-CJi<t_I-?8 z3S2V67L81TjQoO%lbE<cw+rbrfktJdnM4^4KsWBNh_Q=uh%&Ko3WA3eKo_ENGmCSu zvU9R<u(5JVFdMLNG4XJ*a0_z?v9oZnvrDmXgU%>sgvn>JaWI-NN3ek|^<-z10tK)> zlPOagD`;z?AcrWE1(Pbb0oN2pCROfC77i{G-Ut>QkSbFq4#sqrROTHlEDDT@jG~}0 zcW{Tq!+{B03>^#%3^y4W7(6C&F~m>gVwf}$5f1{BxEQJ@aWQO}1c?V0=zY>X4<Ye! z1iH@*WF`pD*hnxQz=w|M<>aR`lw{_n>V<#^&*G@mqI`ULT|*1Jl0UpCu|QMLCqFqG zpW<{_!2rIw?L0Gh=eH)9oWum$0KSB!;69`I14b(*E=FDO#ShX<R_mE0H!y8uJjx__ zf{Eog6X!7|9wt`Ci2IDf4;bAUwlF6P@aA(fiEm)x+|9zihlTYZGY1D3lPVMQZ6>aJ zOuTGDEPQjB*%vW0E@YNo!feUGxR{9-wBT?NlQat_ix?L_n*cu#4=XnlXj>|;@m?lL zc@{m+bxf=}?Cs2~H<-lOb=jC%Ss7WFI1ezh?q*@z!@_ZqS=~nrbS4jI;t4cutihGU zDapgj#LUda4BFkx$|%al&dSCl#KF$a%E8Lc$_U!hE5szp!OFrZ$jidXEXKyqD8MYm zBFe<Vs>LJ8%EHLWCdetq!pg+Q$1BXs#lgwVCCaJJ$Ii|IoBa@FVrA9fNMe`dieR#5 zRAOP}<>lk#6%&x>ml6<Q<>b`jGUpWIP+$igf6C1v%C0UT2HK=mz@h{$2v`}dIJnq# zx!5@wxuiL*cqF;EG4e^WvaoUT@^G-SM=-InurYHmx`WPH@Ped6kI9hoK$Ve!p=>f2 zL(gO`hNY7c>G1SqE(V?{TnzG4AnEV|bbmz(be^CFIuC153u)hNz+4xBUcYE)fm5QU zURq{Rsz-i)b}@Lsc_tHhz*!Vb8iGchm#~16NjK9`CUIE8-~ip>!O+2?&%`~InQI2K zHIp6_D^nqh7^m1*CUH=-zF^{*%FH?m)TPm9;a~!7>|$r+WaVIEWff%OVBuosVC7<C zW#Qsw;^SxMVB}z92OTZU3c4nXkBx)XgGHQ)g_RR>D26^$0FyB*6B`SYJ7WN&At+Bn zT*M*{N-WF}*MPDg1A`AFJZDUSgr^xJ1H+*yTnzW8a54Otf(TEQsay=5Q@I!xPsJXd zG0<`T0BCsLAR#=#yOzOWxe5}N2N=PDi4mlCnK6QN7AQ!UGjpwCwq~+s;$$jh5@WFf z1+1W0J2N<7e=%_^XJ%c3D`5GVSy<WGSXo$^B>0*5_?bCa(Sz2ALyV1uR}dEXSX7_| z{uD-LNZ6NP#=%`?)Hq1+gM`1tG(^9B{xmLz_0zZ*c1%Nr|LJL546mkfG5nnd34hpm zWe1@95@n$G4?rT7fuVzh@b}42$5%LNX!+!)r-KG(Jd1r&%TjY(^AeMCQd5Z2lLOMh zz$D+mV)37uv4Ld<<9}vQ1Hp=khj9xd!xm8d^)NE)aBgQ5VwwRyBp;OinVI>((-;~o ztV|qC9L!>D9ISH8;-I9g&m_q#z#_>c10I^P1+^)xSeO|(LB=s8_(Q@oV>)8I=+Ja7 zhRf5r7(Pr#glG5+E`|>?xfo(+LBg{GdQS?A7o<Kq@fK2F!NRj=4n!PJd#Io&H7&Ek zEi*MI#W^RjI3u+fUkHKK`6d?V6{QyE=ai*_gXlL4IE?1-g2HG8iy4y>qc@W$9H%pR zGWs!jG9Ke*2-9a`H+3@OWwJB(bmC>QbN2M(WwP`4jN)aoQ|B@fO83kXV6w~hED~Vy zW7^5c3_7o28zVC-FE3LMBa<bgJTogNAtpGmS)g~6v$3-ZGpVq#vw|<>W8`OI<zivy zV<b;jjuEsv98`9pnkml6!wi~1LCCUlF|*5q?r-7Y;07I|F2@L(rUG?6gqhIg<QYMi z5Q5eth%<44T*}75%F4*kB*1FG!pg$K$O@5X0UcTnmgnGL2g&nd$csSq!{tRmjVRF7 zfovSClFY(vVsMiPsT5=s1)UTGIwO+>w62l`>JMI4bTbhu37QEm%a}Zwycs=NyqP`O zyjeXtyxDn}1y};P+&H;;+?f2BRGCbebi@q=1Q}U*RGDI>4Oj#@ScU3kMR_FH6`6%u z1ld@{>g7ebBv`>bxfVu6Q4R@aFh`+<QCXBtf)UICr2=q5WbtJ7X7gnA=I~@s=knzA z<MHG^#tq9K8zLb2?!+uaIre!L7X#yLE(W&Qh<=XfY%T_i*<1`7(DS!<K*y(ip!>=2 z<S%g91Fm=V+%k(m9gYUZHQ*bXgzLE(*04NcWH3`?ieXY_6ygzL7Xb}Cff_-eQ+9-y zq(JBTGI212Hj=Y5@iVG0u`)vU-*YoLFu5_Qfz}Z+Ff53K_+!OvNPP(M-}TvC43B1W zF}#_LC_nzr=3?NQ!^I#v2U33EnlA$B2jM@M>x?kl7f3DE&^+)hsZ7EKa{7!0^7`xy z%UFz$Gs&G`VrQt6WfEm#{KCl1#KOk^AJPNoX60fNV1(R2&I01dgSz9!OyC3TKn{q4 z_$y-$!e8xkxELnS;bNFE2N6F9=5R5*pTor<0__iefS&ub2iji36F+{b<)9@)dq7Ku z?EJYHma)uXVpzqf$R@?a$0oqZ&c(_p%>=sWogH)*DmOou5G!azk%^HHl%7FR1=<zJ z#ROW8%+Aco#LfcB=FFUeeC(_&?9v?U>>?a2%>2xDuwFMGlQz2`2RFMkcy|p88$Tyl z3glY`g=mO>3+5umO%BcFVz@Myi{a5+L_9Lg<6_X9$Hm|<4-$_vpzFh7=g;s!*NcG- zWnf@<K}<Zt>dU;;a&VIoUp?RlQ^O=4&<YAV6Mx14E^zP#GTJinGb%DMGcqwLGaO)4 zWYS||QU{$}&8f$4$i*VA$85-?C&CQb1PeM^mKn4;gPoZZH0TKnM-EnQDHeWaHPF2V z;DBb*V`gIEWRhZ);^1dj<KSoJV&r0A2xPKl<Y!W3WM*Ol&B+VIK*DdsJVbuxp3lV~ zKA($0W<DbPT;_8zWX|VeXqXQPzc<kEg53vy13LZ$3qOZ&g7qk(y+L%tq$o8fHL*Aq z64*@Q1<Lu11#=klL22+IBSQzXA`|NlCT1PB$4sDYZ~9D(dl_Y!G#FWSF*5ID<NyWm zHc%KSvIww(*7b8S^02Tnt1z*%uyFEnae`N_u`=>Ai$GGI05cc(2u4vRZcbhv4Q{Zo z8VfTc8>2s)11o5?I5QhBsQh7IV2Fi;<AeE#aTx9eTns`BxERD1Ao88k0xkyQ1(@?l zu=y)I`3@0YZiyv{ptCI#;^H|Nma$YaJYkk)GGPLZfI^ST1^G*kiIq_XTxu|Z4gyjH zk2E$w-Py4KkzY0~;9}UjfQ#WEk~?oN;9_{SfUNOlggad;GD{ekgcZ!>85Q*8K}B0V z!y;B$CRQdMHX$Z1Rxw5vW@a`X7G`E9kmJA-Oajb2%zBW4d{!n6Rxp!+fguhOz7Y!{ z?LE-u`>ust4D%OqF>F|fNT)Xzaxwf}$i*PA2$D{Fp!pm&KkEY>?}DXM2EyY*2>%49 zmbm2?<tCPtfX2?nComR+$Ij)!q#kHH_cE5%jK^W!!4pg(4BuE4nOK`y+1gk|7+ILa znG~1=7zG#w9<zY^ELT`q8(CQ!KuHL6jTt*=g9a-r8!M|22Pd}>ivY6#ivW{23#h}# z1<BL)Oq{Gj90KeD90JUGptP*U#Ku?;?Q4KWmLc9|_y7&Bf<=(<0{M5wA})r-i?|q8 zEJCEm&5O7g4lUwhfXx@d#%GC0kKlS5w&smVxWFc#u|O-In_(SGD<gxFERzVEJhL{) z)v`<?;Jx{*%pxEcgShgH;!GkSxrTU1yece4ls|5Zxfq%kb1`%*hP#vH-eN8W&m~+8 zHqiLvfv$&J16^;u0ootMlYat0hlhb34PJuY1X+SU4MNF)k0b~JlMwbEh(srZf{5jU z*7vVtnaR#zqR8YR#3#tY$HgQoGM$lMR+vppK$L|`hDnx3R-8qQNz_D$Rgh1NRg_ms zfZLEyR@#D1l$C`^hDnx1mYs!-iJ6s!iIr1|RniosPKs3$TDr3`%Cg9@GjRy8GqI>L zfli9%1?~7}1l5tepd8I4#i-BB#KFSO#397a#=*nv!otSP$-&O9!UDQ<l8c>-!+_b8 zgPmQAMI0*6%ghOq<6vi30MRU5-~`8{&Lqjo#3I1V#KOVC!6eGc$pTu#WXvQ5x`K<7 ziG_ukiA9K+jYSM33ld{xV=`gnV&Y`v0-ZDs5@ohx6h~3P3zB8>1w}0=$uTG-L*n<x z62!U@t)*NHrc1dPtd_##m)UbE7em5QE`}-4_9AS2x&}IqgC|}!w7`+7sTZ1;n^;tw zk(d*bT2aElBwkRQ&sZP<PLb;v8Ily40-0EuMA+C_gh1ClD>8wGN8~`)>ap-M^RuzC zf>S4GpPCgo!3u#w=>pXK2bLn-|8^-CgW@tS2DN2y_p^8{<6@Y*jEmt6v>sG}(y)6L zClF4bU|;C@qIh8eWA=PT#s!S-NMr<<?F=SE!DJ6)vAX+wMo{j1%F0lo$iyoJI@;BP z*@MGFnv;)}$(z}Qm6Ms3$wRJ}QPKm{nO0;1El}rV;%C%kVrN9A&B1&js5mzxgwM}t z$i&OU&d3T+i;8U0po0P+dKtMG7!;W-nZ%idnB|d3129_}OzMJ3UN&7;Z6-k`afmul zFf$xTgQOFS<%sgCa5)!4!*VW$3Cj`X``YDP4Cj_}G2CAcDd;9Z&o?W8_A6#U+q2-r z$H2f~L3lnXIU_YW+cPaBwJ0|;FENK9zgSO0%Plh}Ra4I`1=P|_@MjFr@n;NR_vd2R zz~X+AQS=m};z>sFQ;bRsVv0;^X{<t=9PHIH%&b+Qqu4o1nOH>Fp+|NIg940&m7R%$ z6?EY*Gw3E6(6UPj7Iu)o6`ACjSXr6a44L>C)j*lXok^5Ykx3kMR3+F84e5|@30Q%s zujj1bVpzI@i(%~wM7Zo&!NqWR1sB7q6_9X2pI3s-w}K62U|=XA94?u~@F2lHEA9ze z72=*+0#=p}nw&kr7`UI2gJBbkK9f457=xHBlTej<IX|e2BFhBoipfLTBOV}1fys;! zd?zQ!O&_5CR9FddKS<1JB^QJ5N-l=LmGFGV9J7*(A$=w0x&fE%kZ~M5<pg;C%m_3$ z>tfI7AZX9du#KevH0No^#KkBGa*-?(ACoYvHl*>P$P8j6WI)3I!b(K=Gp^!d5M0H@ zAh!w;{#L8F7&2FJF_f=@xPJ$992%A`=Ro^aAb)|d3gPhA&;pfX@CJvb9>@a>O!5nu zIw9wTY0YQiV%Wyg$;d9l5ydXS;l^-=QJ+bK!)+s@%oav_CLt!yt&9?z7=_riKuJrV z#h8(kNt#g@Jbl3ezTiWGLx>&J8{=bQXBFUMVPt3L2b~=N%FFsJ(oE+W*#+3ZnmZYp zWEi8EBpBU5u4iC4kO>W!Rfuq5Tg}BFw3>@Sd^IAzoL6%(WUVH%9f|J$V(0vv{GwD) zrYx||XDrwNYWi<u>1ASg#;C|7%)%xlE6T#A#l+9ZF2Kpp#3sPXB*4Va#HP)~$`7h? zIY1K+pf$gsqk-5NWmqJb*_qgxnAn*(*qHg4K$|Fd83jO--=OePWRhf(WprZ#os7c7 z5)BFy28Ih+5Pv^djaX0Oy@rb+W(^m^yETaPaAh4A!`e+;3}-h((!&hsy;TbSka>ud zJV^h@VF!foBLJcCq+g8m07)A7I-TH-lAcFmF?52nIKQX_+F~naU{Y!jTP6Bml(9kV zi2Q$1P*PgSET}HDi-p^fr$$g+=qdA6ChluYhZwmXd1TSBouInVGRA{U#)p_V4l*$x zVlwA;<N*oR39<;e3bG3wU}BfykY^X?SjKdfN$eUE$5kfQYfMjAdYN4Nm{u@xJM#Pl zncL1H$SS15?a1>M#Jda<Kf)-;x}J&k5R)218<P=JB-3<8rW6+CX^etQTueMO8P%Aq znS4Tof`vmAf+d)I83mX^f&|>nnMBxBTA3W%n4Fn}*|^!H3&6^YIg%veMVWMXIM_fV z{v52FK@#4aDIDS)G6KvTk-}0fj2a5^qAncfc9WU7XMj$}VdWN+;b&!K;}hf%V0U8U z`OW0`he?oy=RcEU1G69tPcyS)3y5xKcI*Jr-OP?X%y#Tx>v%atw0NA9Wpu=@G8x}s z;<(Ple1l0_n5UoFaRRd)H$)AKgqAp~j5Q0VJUb5ymns_vs{|)In<^g%uNbo$lNP@q zA1jFF7UTla-2a(m8knWnS-&!g|6}4~1c{|G3o@~C=kc=iGl_wBI<R&ziAo6wbFi}V z>bY<*3$SoFbBJ&Vi)skib90C=En#FbHxV#qWejFBHxSTg<z<}1<T{gS4x^2Lb%c$C zC(mjo$2CmWX&lTP;%pKeEF7r<Ud*1AtUODZ9G5X!=Rn1iIhX|knEfj`RhZ5&vI(%V zM(`!F7qRk7r1JDLIZj}*X5nCNW8{=z;pt>@>;iL}8JQay**REP8kroMn6z00nL8L; z7+H5Ru{JSr^Rlw?fbOa@Vv+_OJPaDJWdR+;!p#Ue<ckG-J1`Fm=(rR?4h|+3Mh<p< z9(Hcf`SYxxEArS4*f@kZgoRlILDL2TpaEkRQ8_ktR?y`^98BDd;-JA{F%D5yDGo_4 z78zzv76l0>CKg3`1rBiz33hQ7W=R%l2~la#&4$XLgHTunq*%Dwd06CG71-s4Sxn>v znOM{Xwd9yM1cjLd8TCXtKvQ;X9C9qm>;lZ9Oe|ay)=ZMz68r*M!kT&l?EDfeEUf(C z!(s%O1;jX*cp3Es1XzXnSp-DnW!X4b*qJq0Iiz@)Iarj1nOQl+ID}br*tJ=}Eh=Fa z118X(Igr2ES@H8g=k_Zwa-gW!VCG_ig@+vInkN<>ISyGC2@Y{q9u97CW;GTLW<C}k zId*OZQ8Q3D!zUJnS&;Qgf%Qr-vw()xr8p${Sy(w(SlJl4nYnp5*jbp>Sa_KEU@Q&} zRu)z%79JrMQ9c$yW>z*H&^1uZNFppe93WjFQ$gVjQYFO3&dQ1q=ip%F1;@1v8!IbF zo|T1%4K#$y!OW_{p$x+EAevQ#g@qY3Wx%DtE6vW#DJ9Ct%gWBr!YIe&rNzM_tna9x zD8w!(A<e=Ji31KH7H$q6MNuXeQ6Y9w76lG@Nd<LjBvEG2*+Zb12OSP$t{}<A&MG0v z!phIa!79Td!wk|Pr=TRu0jd;G!rFjOP>IEyS4@mYR8fEh)I$S>mL!v~fE2GJ2`U9t zSd;}=`M|Nk%FMya3pu|>jzgA1j$IaU_>dwCuLQ3ovk99x7rQt(6(Xk;P-@U%5!7R` z=GEfW<l<sw1*J5wi^L?jMLAg^7k{C;3!Jt=>e)G1nGx!Rd88CsS$Nn%2Vr1{GI21n z$g#_^fKQ+kW>J+8l;_dokmcj&f`@^klrWQ!xFE9zsJ=8}k!F%-)MhDR^5!<+vtv)? z;$ZS&7vU1*bmUSMmgnN&apxB0%VsKK6crbgkYh1pR$&Wd;$Q+*y%LO`EOE>NEUHW# zOrDG^EQZW-Y@l<Rq?zQIUNAG+F@`g`vWYP%FzGQ#b7t_^aW7!wlVsv$Qs-6V=U|j) zG-9sS2vL`0naap4s==-9p<%8rs!^;i$Px$U2WXXPiYoJ}iSdex3j|0ondnMs32LV? zi7NA|Xe$eZNHCcgN-+vD%UJ0%NiiugS+km1^0I1q<hhHA^GfiFb0x4c2{T!Gi+TvM z)%ili*wa8_CV^6Zf~j0mtU<y|CZSSHf??6&g5o?9V&Ysy5==JHLJ@+Q>=ugFTnr2= znVHlXcd@WIGS{%Fvp!|!;ATI>$mPf>%j3u`%jd`|E8xg4E959>C!#LAj8V*3ltYYJ z)Lg<*+)heevQCOc(p7|A_yCiPjI_Lrxb!k64l#BP4p#OjEOM^0E0`1<<$p3NIV!e; zHYBU4I4ZwoRC83l%%tI{euR;URfJVkjiI0w(w^C{1+m`v<rXf6Ut72s^tK|}Gat8d zF=*`IVmP}4(w^}NfV5v`a6#HF1{x4P!v+Z7rW3*^r9A_^r2*Q+Q`*3}muo#I;|9(r z{OdVEt&;$D1&+XeM)wJf27>BBhlK@Mh4lLw<tH$z_A`o4U=&=)B(sRAlZjoN!=Rs$ zdjg{<!x?5H7H%%S1lFl63Wt~_n1tB4e0YroI+zXTuyZo;F69zslTHO!%1JzW0-Rhd zTx=aIEWu0;OjjA1Oj&i<C$q4#vb<(uW|g18$aI5|MUaP=nN^H~kr}kBke^kGjgyJf zfs2EaiC;&GLy|q0HIBuE*_cCzSzES)S^hR?%QO?Kw!ktjj=Ait^VnJEva?=hVh!ft zV_D5CvxYf_qm9vo!<bc=i%H-xv-kmKR(>v4RwiCX{ySXU2f4UqAs1*f>a(zeE}~@7 zWa41bWa49#;T06(;1uQ&<W}J0;uQiF{45-dyv(dD9Ly>#tjuCepk-tn;L~YAM}Wcj z5L$qlkBL=?TM$&qf?5e2BA}bu1(-M(L6}3B1AO-jixh_>s|>p|pA3hzE(?zskEpyD zXcHl*SYegq2OZMP%)tmT5oD7D=*Th=4q*-vc61YzI22_-MF$H9GrtOlvKnZ{AM9Qp z6$xd~AQ#Aupo@)6pzem4if|{t0*5?@0?3sd{GembaJf?-svl$)$V`Yi9BM4AEXsUL z8gLhaOu}UbXk3VgnU6^Tq#7I&p!;vsSXh}kR5&<!B>6x~x71iwIhc8wF#QZttH{Et z#=*qN$jZXY#39Qo!^+Ie#wyFf#U;ir$|o(t!m7r_#i7i?#m>d7%p}9b$tA<9$<8j$ z$Dt!&3fg}t!o<PCYs8@|&&<lm!7L3jO_fEBU6l`Xb|&cRCq^L^4rO7u3qcz|IT#h0 zSXtCrd0F_G9l=E}Q#n&It00FNqXLUJlM1MX#iYQ*!K4hwhD`EI5=;_IzD&VPGE5d= z83iUKrVu7~UOjCAo@@gSCIxj%Eb297g~4(hTFR;%8p=#YV3U01HI>!f%v_B<tf3{Q zi~CMSR&h3t02hCCMpmYxAR#7@1!9qN7-Pg@xfmD%m=qWT*$f%Qm<>48*$)eIu(Ip3 z$+N1mfQIH+nOIo`xnwvynV7^G4OqCDK?@WQOoEhG9y<~9ER8$47-sI|VwkrR(eJ#l zlZ)ZyPBPbXqxC!UQd3ik155KuK%2Xn#1pnN#xo{3#xo}HL9s+UH^VlTOOQh%_}L`b zxImXhGVy>W*`TB{lOQt-BNMZ>0KYIlD3^m)Ie>eaEb5G0OnHo;Bq_thx~z{2 z)JvTYx<K5RNtcm}i61mr1nPM!Oo8}6VHX#J0Z4#>fnoM8E{5g1xEMC=LX_9%c5yMh z-NnW5Zx^JzmVus+0XwGzHogxvf<b_R^zu5fpdd9b#XGeUyz?A1;MxdU7%Z9(Dy-EG zGI1PY>SAR0#-hl?`k0yH2{S7jXlcF<6Bj2R3oA2p)wK}#%y$vc5@9CL5=YQN4OT`a zCU$V(fYS>nlL(U}yC^7CgRU{{0xf-h01bzT-H3EBVK*1Ug56vUOLxQ50n4u4Tny}c zxEOTaLB@x2py!afK<NU)<FXk2Ziv5Oo<6|%9Wq5w1DYJz#xj+ep@UVCNrj1xLzitj zBeM#7Fm&dY2{eY!$-=?J&dJ8Z1@bMs6b~!6Fb{ZCm7A4^otu}3m0N}fbZj6CH$O9% z04p~O4=cAA3okQh^`<ljKQjjtKWGI5Xf--ND;ozFlQNSmlP;q+iv&NH5GSKFix96H zGZ#a{G)OwB*aL}wP&_Z$!^N<94;RCZJ&5o*xQC13*&f8ci~#63TE{_1cwHbIK8XD! z;FDNE2f$rmGytE&l?ghBYXQq{M$iqJ>P(s7IAvi44H&a>v4OqK#LmbC>i&Q_BaEO4 zEICj-D>BJ4bFsNGg7>C_-0m<P;va{-Tnqvr0R{#JjbtW<jJ;e8IeX#$VKy-5U~n|& zz?je40v#vD(;fj0ujv^;{ji87gdste3A{HFWZeR&{Wta^!c$})7lX<^E(YCwh<xX{ zkBgyT9~VQ@K8QNld>U;0)dza69>`1(_92|_;Oo<&PJ>+ISghxqpI4HYnFkukS-`TE zX+8_%0v5jcETBbq=NM}>>k1hjFc~qaFln<%F&Qsr)Lh2M#AL(8%_P9h2b%vdV&Y`t z0G-3f?8d^%EX>Bs3YtWcW&xdsDabCsA`NPBfG%E}!pLOIsKX2wImgJP$yf+-JOcxR zz)VQIeAtJG7l-{^4Bq>>7$Wy0!ligW7emW_E{6X7kZ?gi#{+f_I@DMO4Z`!Xkio5- z{B&rz;ECFTQs{;d(Dky3`iurb`k-JkVPss(B)N`Bok@n_5wk3l7!%`sX2u3a@apVF zM)f(M!}1syjG362RG8QqSr`SGg+NOKj9HkN9N5836DCF`Nk&;lHK@ZkK*Qq&)Sn>X zx#}zoiU+tDR1YB1spbJL2D1a0<JdLlAo&iDXE4em$T%Q06t=OrFtjkcFff4b{9$2Y zVTWurVges%$iTob3ld))2O#kPavyUi7sHAJTnuZF+(&SK9`5}VpnSxzgC&$fB9wt4 z0czim16=U>O5-5r{#w(6Tnugpxfn{0LHrM!|9f*5!p9pP;F&W`)TuM!f~0)L0=9gv z0)Eit3|x$opc5yVMA$_kw>9W8@iU1qvw`kw0EGd=Y)H85IEa{kdVG+J;ln{LhW`g4 z=~#q;ftBkJ7lZU6E(WzjknnRsUth2T;$E<s3=9lc{zLf0xF5PFnn}38FQ2hM9_(~3 zqa`ddi&;dLu!t{aQDk5-Qjn1sQ4&{Fgm?<ngjZzYVP=DPN`py+Q6A(aBPJO}5hig) zMVLDlK>gQn2;n~p&-KCMCHMk228KD1aQ%T~@7s^83~GnD7&H$<@`DHi1GCOyE(X)X znCoI-``Svt_dTT+>Jeotmo@{7HUkSglOPidD?f;#0u2ZQsCyP1hQwbk9|Oa;BU}s` zN4Xe29)-j+=>CJ}C%70~&T=taJO@#y0NpPo-~{R4`pks1mku0)@MqXS=-kB2JUtMB zZ&)5>9SI%}1*evPlQurHP*$f9RPC9UMqoD$Y)u<jCCDRs&Y<~Gd`^HYM@r2}E6LB# zDb_D7$;{F7O{~DDB|Nbx51%~3S74P)N)H6?$=nxUd>|kSCVj!=RAw;sP8Lk<Wdu{V znePj5G3;WQ#>}X}Bp}Qz@{Ey@UC=-zb26jT6h^7Zj8an=IVUrUO<}yo4wm@M$f(b> zi-l32N$()jEXG4jhgl9Xi5y~LKFFkai0M8PSkYVvwE;q%hfqxrlk>nTUT{rjbO9SJ zF@<p_V>U+)XEsMpMK){BZjc3OU`5T0lNnj3FiK2j<e0)Z6Pe?}s6P=Q)x)@*F<=K{ z4<kd65sR0ED5nCG0tW{x=mts-CKefUo<J7KR7ElNAb|}m%&S?rxcImtSlBo?Ik-63 zm^j${S=jF}8a!s=X=7C75@AthV*JPC)XXf^!YuWZiSrkeSR*ql6CWF^0Sh|^D+eF5 zfRH_h0tbfxhbD_Clej5|nSd#WnHnpvzT*K#j{S_x3d|gA9Bld=8XOz~`T`mp>g*i+ zW`fcjj2w)tT<#n)0&@JUasp8Tk)m1x1_F_bnG!+vmuvJga)U#JV+x}RmjtH(mjov} ziz*XiD-$b|;t@uDm)ne-G6JzI!WJCb0!eJK9B~qfk}O;t-b{>Zp-QrJSs4GYGV<y( zX1!!pWf9^^wqv$+k>^n0WjAJ0W%85ek7IKbbz*k(V-#Z-d&Mg9l9l-ttKxfBG0sXh z4px3OcNSh|2^R2_fSKk;Mv%kA#LN|VM0qYTUIueng~hq06a<AOB>6HFCHN(bSh+PH zf@Rpkn0_)og)lX_IXGBZIRu5dnPpgcHBW*?1znjm&w^P35{3d29Bdqt92^`xtbrzc z9K5XDjf|YEmdp~|rW|t25-yN%m1C6vb?XH{C%dz8m~xo0nsV52*>czkFbT+VuyIIm zh;wiV@Nw{R@NqB+*-OZ=DhM)NW#(rEbpiyLxwzFi<e0g9)CCkc<T>P6Svc4@I0V>L zSy>rb899U*KQOtxX5xIqB=MTblZlhVgGs)eqmG4@wUv>hj}bJQ#UslBj#Lf}3D7z+ zF%EfVS&mrNg58Wf`m9oB9Kn2y92~5InjGve7!95?vP#!2U}l}k%puJ&g^^<s6UR(u zR#q|gx)sc<3z<2Dp@Iv+f|?=vcbHgLGIMZ4g;z4O3TrX(3ULUsayxf11++8q%5X@t z+Olvkv-2=9GqN&*)+2#gXPCHSIfA)7d1E=4!J}T%Ow7!DY^<!H6QViUcsV7wI5;J^ z6j(t6-<%x0OzfP(py?gZYJJe;5$GIgHg;A{HcpTzXo7*2i;bO4fL)VIiHVs}jD?w3 zo0%VUyEU5t8)!!y2OB#p4;u%o2@5YX51TR{i?AU0C@pqIdp1h}&_J#tlLeD2cnFV! zk%xsBG!-Pr%PT2m$;8ZWCnO-qEMmvRVJKvxD6A~TEGi%_z@@4tU@Qr8GZ-td@oRBt z3TSa?a<GCXAK6)X**I8b*tl5v(A<!~!e_$5#A7OEqG8Nq#%#&Q#>&R3#j42%I;$S4 z7c}lB!^Xi1n&$F05#vx~VrP_KVdmyHHefSg6=nnNvS4B5Vw1FCu@=;0=992iWaR@L zXUHti2AU9Hb>Mbq^5D1UU<UadWSTN4lvp^JMcCL`rNL_0nK{`+IVA<ySy@2GzJugh zg;+S4mDzY4*zH-IIJ}Ly*jYhSFp_NgU|N_Bq??shn~leY-5R7qj7y4B6r{?8!&s6{ zfmMguf<=jm*NDfMON3omf`yll*Mh~DmxY&^i;IKRib;u)!$g3Chn>Ti8x${442l^e zD*;O@7G41sVdU_Fj<7K!i-A;w!bXOPg%RX4S!Om44rX!CF=;Fu%<?82#*QYU5c5H! zdQ9x#OA~Zicm#O(l?0fD1;M@t?LGocQE|9&xN^9$yF%kkghN=7g%!iTASMn*HIQqO zOa!?>85$Fy?Ux)#a+z!#tU7GGdIHAa@E73_mbB7h;x*;wlmmqpXy*u<w3`wWhrR+6 z2O}?&5F@WBw}%3g0F#k12cw=WC@*lZ@PI>ul~s}rlDarpF<q-A!tW%=#1gG7!66Jj z@C{@ahk_rkB^M*FFgF`Jzg!##6Nf9i8%wlgjIgUIlY|Jb5eJiWJSgvIv1oHJv$Djn zN3+<lTeGtAv$3-AuuCw@a&Yi43u`cOFeb)ua7Zu-Tk@*%Ff%hE#{(#C(9<_4K2%tE znR!|GxOjQNaSRF-7ItRP)UGZl1lc%Pl~@EgSU|U9uyL>ov8XaD;Sg5@i9_{+(xD=Y zD(F;IW>D%^VBuxrL(W@n?5>c>RM5^zO%`5uGZrJz=z<ZGA(I)a1RDpd1cxP)72^#? z7D=WACR0Wm7F{M4rbH%7CSfK;CJiPLCP!utMh*@Uc0p-D8D1_)?jSw^rbH$IZ6O(V zCIJosZdE=3HaR8`)MAogGU0UOG3CzS69T1b76m39CO&5-7ZxTpMgzu7MoFejRtcs) zMy?bl2~Q?3kZ=`?uCJsQx2I%41e1KAaR4`yCnGnz0*@P$e5jb6l&xq8H<M!^C<}?X z<hb*C@VawFq%d(XsW90@TEz%3#W9&NI<lBDmnO0$$urh4i}Kj827~XI0gd!3F<FBh z<jQU#W+R#@VIy9s?8qWuCCub)%gfAdEvLjCXTzkGs=y)2C?A&_>zSm@B*z5uh;^o8 zoM^qa5=V|OlOsQGA{QesmyoKQ7Dq-2lSDc%BS%gFlN*yQ(;P-lg>;1iB^EPgM>btn z%SJ|CCg~1Fn|?;kNsQ7{8G9Ky#TLLAix^F&Gy1WnE@1SV&u9mWjA_hFicIXx0&L8z z&low_nG85Hd7QYVc%-;FdBnJ{vGcJr8Sr0a6jEc-7oNf*rpBZ%t|!IFG?P*KFpG?c zG_#DN^nE5db|wS)xlBszOa{sunAF&r4AjpvX|XdIXg4wHu`?Oy=NYjx85qCdGIKHI zG?Osh$!Ni0&S}MASz*CyzMIiT-#X2XoyowynURN;TY`s!dnSzG;-qg15$a)d32^QK z4L&k3FgWak^lL7hNA#yCytfnF*mf*RF9vs2_Cw4!xPUO<`2rV%{{=3F;0uU;Q}_ig zh6xwAFz(@$c?Ris!uw4K)0xBr>iro5+89B{Pc3I+0G;^Dpvb}s9*BipzRAkO$Hd0W z!N$eN#x20c!py-a#lix<c$1qQLZe*3X@hhDC)n`}3<d`v?*D+~{+OLC3{p#37-W&| z#g(|o#h`kT3*&w-Z1=(%8pgsq8Hq*d1)%lZdX7cu{skqV6M)2+Secla!6SCEO!iFt zpjC$q8=&r}xQGbHc^A1D)?Vad*mw~Uj$1EsF?_qo#qa@o&KhiA&>2-oKMx*`(fC}! zB;LT-1m3Fl9DHMJ4>JQx4>JQObeVLSSeRItgqcLxIhpzSxIp*D^0EuC2!n2k<>BJu z;O6AwfbjS^xOiB^LE`)zT-?yzYj#YMjKVDZ%pOdlj9g6mj9g5Xh*<#!23bZ1hD>&D z23vM+1|fEC1}1iHhEr_Z3{%;-84}sJ8FbjV8Gf>IGaO^(W|+*%%@D)N&0xvO%^<?c z&G3eWn_(XdH^U4TZiWIDZU$!-ZUz|^Zia8n+zjWKxfxb6b2BtCb2G#-b2C^mb2A7r zb2B_);%3;(#LX~+iJKvxiJQTViJL)@iJRd!BR9h}Ms9`;jNA;}jNA<AjNA+kjNA;u zjNA+_8Mqk^GjKD^V&G;dWZ-7-WZ-5{W8h}^_n(X5_J1yhZU4C#dj4}UB>m@NF#pfR z!2h3%;pIOrhJ*jO80P%rVkrB^#Srk1i$U`r7X#xzE{3~*xfr(o<zndj%f*oVmy5yr zFBgNrUoM6>f4CTq|KVa-_=k(3>JJx#?;kD()jwPeUw?Bk9R1D3u;4crL+NiWhJfE( z4C=qR82<g@Vz~Z`i(%6*E{5)3Tns6{xEO4IaWRPh;$nF9lZ#=;PcDY`pIi*_Ke-sJ zesVD||Kwu0{ez2P`wuRL-XB~H**~}#oPTgJNd4eqc>kS?;qZ4ZhN<7V7}CFUG1z_Q zVvzXG#qi`C7sIA+TnvrhxENx-aWPna<6@Bf#>MdcD;LAHuUrgUzj873f8}Dx{>sJR z{*{YC>nj%n_g5~4M_;%Y_I%-DnD&K>q38=2gWne}2JJ6g3>;s$7@mFRVmR`di(&C+ zE{5jMTnq`Hxftv|b1_JL=3@BziHqUFCoYDSpST!WKXEZ6eBxrT`NYK_`iYC-?ME(# z;~%*g7JuYosQ$>s5dM*i!RR9w1J_3`h8G{W7><A7Vwm@Vi=prX7lYFWE(WO&Tnr!I zb1|HI&&9C(Jr_gudoBj|_goB8@3|P>yyIdx@Q#aN?mI4qns;0b;qSN@jNWlEaJ=JU zxc8QeVcT0ShK{#f48d=?7?j^~F?@T&#c<*c7eoIWE{4K4TnvG4xESQ#a54OU&Bbu* zH5bFS*IW$KUUM;&zvf~He$B;T@|uf5^fed5hgVz-XI^nJ%zVYgQ1Xh4!Q&MdgUTx| z2BueB3=dv%G3<ZI#W4RR7enDoE(ZITTnxf5xfpJ};9^+*f{UT#1s8+c3oZtU7hDXl zo^vrAdd|f#<vABa%5yFT^XFU)+|Rif9zNq@*!7HyVe&IBhKOfe3_8!a7#N;$G2DB~ z#jyS<7eoD1E{3qDTnxHTxfocVaxpx3!o{%b2^YhpCtM5}Pq-K?pKvkoJ>g<__Lz%d z&toozxsSORk{)w0Xg}s+c>jotVe=y{hKfgA43>|$82&!wVmSDai=p))7lY43E(ZRG zTntwqa52n$z{L>zfQv!p0T;vT`&<m`?{hH}-{)d5zR$(*^Bxz&-g{gO^X_pml-=WE z@Vv*xpmdLm;nQ6%hLd->7#7^+Vko`K#o&IIi$Uft7sI<dTnvZra4|I8;bI87!^NO> zhl}CYZ7zn5x49UyZgVk6-{xYte2a^r>lPP-(=9HB?>D&^Hr?c6$hpbIpnQ{y;pz=8 zh6y*g7`$$9F}%Od#W3eO7emZ-E(X5qTnxvqaWV8<<6_WHEXvg{PA*C;NzTwu%*rgz zNHj3ihpZLXFD^>fhnLo=IcY|@sk!>#N+>;_uuj~AV*05SkmIiPC^V-ewW1_GEg!m_ zi#XG;9ge6EJ{*xa{Wu5B^-G|;58}bQ5#qt8E>i44$c6@}k$L$g;EBuh%)C@eT?;)) zjzR}!fX-H-)G45&RY{FT+|fW{dcbW^4)`byN<EjFS5j0-sUb<=qtN0D^7C^jH7YTu zBtEqwvxGQvu;!M;;@tS0%)HWy_{7{4GZVdHA_@bn1{FijWP}(-frF}041&6fsFaP@ zS;XgHtj3_a3hceo;v)T=%p{Zo7J7w;J}4LHgAcrg<ZXg#Q&N-jQwXbt+!g}6G=!*7 zLF5Uf3whxe^MZ`P;y9G+h4mqq3=>dVP*9Yg1~RuKKQ}cOR|vrjMp8|J(xlQfB2|MN zL!8pw!~)_Jrsm+Z98`Ddmn0^Y7iE^D79m);3{K9^18afOxRm7=>lbIH=OyMqDMU4d zCCbnh<1#cSKOJ=PC|H{4217wkX?kW}v3_}GUP^vBd3wuW$%15Ci!*aea}rB3^Yh5F zxi}*=Cx^Il8{R~M`M4+}KP9!8JbQ~0$qcH33P_qHIi$c%u_E$Zo1d0eoJw3(f)PqY zHzYB1W@i@UWag!l=j4pk3i33j<R+UL8IY~llmfjb24vcsl%JoST9lWZNuINlauTy4 z6%5I#DzPZHxFm%<YZHrd6LUyRRiGJb;`=7>Bn8%%lvz@olbH<hDfVJAwIVec>=`W5 znfdye`Cx-eQgaK^GIMYmgis05fXl>!#F7kskU?NZE~s-xoKlc_yd^$J0m5Vu50}9y zsY#{jpzfc3QEFmJDx`qG;(LTTkb?=EhNnt|7?o0<Sd^xp1MA}8v=X8Y(Yz+0FgZW3 z7?P$4Da=VME{=z+(8KQ?hzk-+N{R?52Zggf-e^qD&n+lQEiTrt0yPiuhdAhF1pP$N z;ortaFdFPoqBALM<Q0)&u!IRD1d8>cG}s0_%92VmbMPb+xChg#G7I!U1TF*7RF>vd zWkPB;ES7_VBDpBp*hn8tLYw}@4En?<PEXBCEy~21uW=ZTqfLvh8khILEqu`B5=ohP ziA9zAWr;<Zc{md$x@w3fTxP?AE-fdqBvn5%4@?uOIwdo?Bp%YIz?s?6EXXKLOUq5n zi^taw!J#E7vm_qU^d-`HCHdK@khUC_s713RB^B)Pv_#xF9=i@)Zb{26(JxL;#A!cB z9=!Dlp8=4834AKRSEE51j#xaIU#t(RhVYi}P&K6`pkqJd3ySiS)9{%Gni46-Yap~| z4Z24pIWZ>((p86MGaNYq)T<~-EWv9mObvJ#0auVf72%Nvc@Up;Vo3%eXMoCPJVt;+ z2#-9d(j#OASWQ8GaVDhYi9KpTig4Kji-amj0wFRIz>0Ah2}&q=Ww>NB^GZ^Sz@13Q z2#r2yC`3OmKQ9S%)FG_xjm0ByjRpBRIr?cS@p!$Bp&DcjK{tVo247i&H{dZ02kDE4 z4ki$1Nm@#LZfOa$!$5>@ahVD_3|+q}KQA>iFD)Ohqfu3Y+5vdYEUv_#dr^$TYZ6E$ zUIq9|FL+>=q!yPT4;NqwHCSrKGK`2vHB=WQ?c;JORFOXF&>Q~Z3Z@52<BcAu8dzya z$Qi{YIBQavNd!xDxWc?LyiSA1Kz=be<LiS-oP|GJd0K7>=tvQL5P{P_`9&rARcWPp z$z`cU#hK7C6>O0OQb^Dwyq&G0)U=$`<Pv>Q>VOX~W3dXRt}HR96laGErU+Du<MJF# zO>Sa#D#(kt)D@Q$CFkdr>8F+CC*o3*3+lLmx|8}K0+%Y7NvRbj;M?8^sY^*s&dg1O zjQnE>37B!2cpL^(Q&^f`f-|&WiV{omAp-_jECc(nxTG|x7@DiFx3$5_a`Mv&D@v^> zAW~5=&JkjmZHACx5?szM%_~k!!|8jlA&E&ia|~D>U$lZ1q!pz?2jZ}}BB?YjGaqk5 zGO4m8wOAia;z|_|L%<|XC16uPwMQz>0tjq}K4fAEmpP?*nV_aUXmC0QI-rTgS1?sM zsh~qO37J(|l4hX~B5*0p%-1i-EWjB};4u_jdW$RblJ$$q@mAUpHKlp@YCNzS&}>^_ zX)5m01+EIObMo_Wk1T;rAnaN^^9_(vUcbBu_ml)w353GsRCs*=Ee>-sOH%dm6`NQ! z;4O@?>L@M;&1mCHrQiTcEXmK!Oa|2mps7YIDG*r|UME0Xf1vss(vZMg^uSaXl^Bqq zIyW^pH@_^ED3fzjbCYw5h*FwTnwCbCLV^`1B<bS`PME*TQi}5-9><kxU@GzDXP6pL zegjn;gv^2`6VTO_nR%f5*o!lhaTd!k<MB5tpnfjSOvjs};f8^y(Bg|T(=!sm*X$8; zG-S>V5?Ih!!qGcMvjW`2!Pzf_D^3Nqi3mA@K*0wy3`!F+4Ky7}#N=slNn&y~A+tdJ zlnM(oLdw9C#z{n&2Oc?!Pc6b(Zb1F0k9SZ8rU)8&_&T33r3Hz3xCabjit>x034y=> zBTRitY8p%jI5`jsCYbqz)a9j?fcw0uAd*PMgp}nLmn4=D%#699#6!p^P=P>5O>TN} zadKi=YF;|d1_3M-K}v~9iy(F7si_4-r0v}F<lMv}f~h+<Jvk?_h_DNbQ*&|l9FTnh zavc!?Q=U)QX^_Etf`+AK=Hc#Nz?=roodk_a2F=J2HY_(eF*$>9oF*j}6=mXX#KN4G zn^+JJ8tpB{-Qh-8YGy*vD^Np?jR>kN&a5Kr7u+p0nA<>J$jMJ9Y+pLgNeh@!P}-27 z(^H{qxd<vu%dAMu$;l^Zb1qS;K;ww<Y4`@$pgz#gNds3g@n$AT&{b<#T7YPZ33&!z z!xm;#W?CZdhC56VXx4{tO2XUAhZ&Vx0UC29<Z^H;o}i+<GW-LtFgw9BuAp&!LMDP0 z5>k|0P)ba>pIlIymXnwc8$ck?PJ%j>V4lD?6#z9uKRE;U6b?*HZc=6mp8ghGSw<@1 z{G6Pg4_*sQDBy}Kb4wDF2&n>@mY9Qc7zpOF<n(+{!!<D(zW51GegP@YCC0nuNkr6_ zkd9h>K|Z(*Psl%@OqiXS2U#S7$Bm#)1Hl$YVh&_I5EgU5jsZ<(fQCF0bJF!Q^HNeP zKpbdG9s3X#c5S3-Ni8f*%ppxna(-!E38`9g3lfX)_c-zT21gde&;xN>USdgR8Nuof zt{IXjK;2EauWS-aOY*^u<HVBG6g#Z5^>F>k1*Q7Q1*P$z{sV!SLRL!1Pf)d>L<-&S zHcE|#z-R~z{Sf%C;GVAlUXGNRmuAJmFhME>;;91=nvs#KhJ%5D;s5{t|3NfpJFu>< zE?4#Yz2EPFR6h9s10=R!+4p52n&HO(`(Rq*zXphQ5Dyjy(F)=wVEV$6|4Tsp2C+F} zAUZ&%UIs)vtSDarq8V0bgXsqLjqG6lQf;ugpY%WLgZMlOyb2)NmD!aUM9*Q~!>qu- zz_69Um3aaa1A~Tw23THGK@&{FE^>rjyuk!=GiX0El*T0wQ^&vn@-+hk!-l>O(qKIf zU^1Z~8B8-kPv--L4+u|yS;UY4;{GROKFALsgE*mp!G)2*&5e-(Ce9%3EzQUfAYCI3 z70F;^u*gElACUem&B*Xa`aeuwN?MAMK~73u3aVbDUW}1pgTz+}2!8<+Bf|wIgnkAV zMh1TtB)$YAgS7+_-++-p&jg9j%E+M3ip1B^(_v&V(23K5m~X($$l%3{kaskgX28fW z*I>Q@M4n*-BLfISc?=9IbyqSn=&#gY2@z>vVq}<u<h}qwMusv$gn19-7#V)ZA@~j) z(Hx8naU5|R5d98$j105#5b_P2j12QR5&Q%(Mur?Q1pfg0FLp+TzwG}Zsg0q5i;-bI z7eYROnUSFi$v+JIj121h2>ApqMuvJM^$a|W3?4j4@*Io|^&ANP0Vzg?A5sXu!OGy3 zj12KB<DvdvAofa(k>Q=#4{+{aU|`_T<zQqm;IM=GuVKZ}6^sn$R@?;V5(b6<7Dk48 zr1*O%$jI;oDZC8$85tsx+}j|%Uz(BOfXs1lu47<e5a$tRWZ)AQ0q0Hzh5*T2Nk)bO z$r4FOcs8s$w2G18_Nx1<AbdtXD?UaB2fk=N2%lj=&k{z42}_QFb2S44gA^l!JCgqx z8Tc3=7?jFESe}8Ap`KwrG=+cA|ESN%@J0VOICnEJT=@I`FC)Xh|Ns9(%ui6vS7c<^ zrgB~d!rv>v$Z%GG5t{257@mD(WcdFP5uV%<yr7i+kN+P;Jp&^j!+S=M8$fn5FwA7$ z$*jPzPkx^~M4k|T!y85h5QeB`VEFx+k>USmgnjxcsb%`5MTvPS`MF>lcqEkctz81* zv#L91E!}nmv|%S%zc@7~O+T@;qKx4c(`zP123A9TLq>)LhPw=5=7RMyFfc3-{wmDK z@I&~oFe5|3>iw(H<sUJ>XJ%w*(D|&x$naC=KQ!0DbTcqCtUSAtk>SG1n=2U^?ybBJ zO;rea1{rf1Mh0scPZ>rAUm1T`Du63^u<F|?Mur2c->zn4_^|pXhW!iz^92|g9<2Pg zl93@{RXsFSz_l|Zi06niGUSODh%+)2i5Ejt6++%XH(i&JAz!yZmyw}fw_X?Be+CSe z42%qp3~mgJ42cYB&{TrZzn!6-`2tg>aG@|G!!5~M&{T?$Z<T11U}TU`)Ke5=m~Ak} z0Ns2BHdZ!9hP!P1>_!ZaI37WB6+(Z5-b_74hS_?b^%xl#^showB|=^t%7oSm42|GY z{{MfdFoOw{0W0?z|3DN#g&7za_!<8G=ZE@BfZ^|d0Vo@)f}4@SlpB)1EV&sO?4fL^ zEW?E?MuxZ0^8Z5?Bg0oH8zyhS%E*umEuZsQ85uwrB@6@^8BCz%gP9;BgBz3$)6cNV zY!xGe!z$lZj0^#*B3Cgo#H@-(j%CgOPDX}c&SXwThBVH4PDX|YEB-^vBCr{}j120$ z5ceDKGBQ{~*<d*a1_s6rj0|!cA@U5L7#Tnq*?kTij0~aB@-m!*ks%(+hUrh>W@IRW zhDilCBSSru4U>1^W@HG3ibZfUGQ>mKF!=@nMuvj|5c@&p2nZwF-ynWhoRQ&y_)Bp{ zh7aODL2SwY$f-<-kwH)hqF-2uk--kihMB)Xh>_tHRP2KgBg0Q98z!&7$;e;{t&i+E z85uwr+5Q7uj1149^~q~4Muu-tHcUUm3r2<`FCg|Gf5FIb4a$bgi!m~|K<l>vF-C?+ zC>ti<z`@8c4;rS+IT#t%L)mb7$<>mK3>zd*N-{EB0OzlJlJ}AP|1h7Cp<p8;L%$;f zL*Yh7hToeYZh`4%U}j`chSrz5%!~~BP&Q0{0W%}RVW`*%W=4jqP&Q0{0}CU=WoUhN zgN2ddH<S&NZ(w0$m<ttKz{1F|63T|jGjK98NJGO{o|BOQgptFyL6DK*EHuqu5M*Sy z4`svjH;6JaY!!w0X@@8y!(AvFCVxSIk>Le2{d^E$WcUeX!{h^`7#W(O^<SG5Bf}yn z8z#@d&dbioz|Zc*&dA`)?$6H1kie0JR0=hSF*0n2#@PWeMuwA6HcWqm2qVLB5s3RP zh%hqTgtFoC%!~|kp<z0onUMj6k^R3wf|219w0-wqf{_7)k>zFB_!K@#@+)X5NHb_F z=qWHVq$y;AThb64I2m{u7#S28S{YIq_!RgR7#TF6Ep3QAhy<AjYCkhGFfg!y4i{x$ zU|<7DAYn#EMn)zkCMITPW@Z)^78X`kR#uoaNCqUz%F4#Z&d$NX$;rjV&CSEZ%gexE z$Qs7V$Pmfe!OF<c#oEit%+SZ$&&tRk{9F7t$X*6XIY~wa1xam5Mg{{(Ye_~12T2!6 z76w;Ie{d@9VCiIGWY{NlS3#cbp27nKMutZUPZSs#3YHZu1L;?gij!hwNRX<LVq~b7 z>Xc$+m>@M%ijiS~)LL+h+LN)EO^dahzmlJcVX^oUaYlwLg?t4@22EuxWsrV<=6FE? zz68N7;vsBX#ZwhFaHJS6_-}LW!~Y-P7EL^Zy}|=|2Zay?5eDObCjS^2eE<3XV`Q-Z zZx5E=%^;}|CoijDr69||tjDUy#K5J;tH;bBtEZ?33QGls1q=-g4Pp!m4V;V$TndZ~ z`U>$11`G@a448EtEI>i!E)pBe)PVJ0z&tns@&lNo0O{v|*;w=+a6r`$*9X<$U`U!g zR2#f5RM2pT34v*J^A+SE<}Uz;1)~C!0<!{(0;>X>0=oi-0;d9(0w`P<U{?mf%>^3< zA_(~3U<2ghzlQw__BYI*u)m=nqzMZaXJn8PhvY|DaYhDhDBD1sk-;9y#-a-$B*4hv z3oS!}1Q;3eq3n7AMg|Z@_cuZ#gyq1<$k4|Kv3~(0BLl-FMuvn73=E8y7#UnILBt^{ zv6Bwjj111%5OtID85!p1L)Z`U85uxjJt6xaa4<4_g4Q8lI2akeLfOAL7#aRU+1PD{ zin1{>n6X3bbYN#>2!*l}*clnpp=_v1tW<#vBSXIoME@iiMuz23_DUH>hHX$bR*g`> z1)Piw+oAQv9!^Gv^HBCBPDY0RP&QO4b}FBd!37#-0r`v!bx?LcQdnZw2o;rJlwf3F zkzkWxVqllxlVD^JkdTyMWKfW(kYHqJkXQxk%|Ml6qa3mr8RDRAz=SMDhD<0sFN={O zAIiq24=yIc$PfvwL!w0(8Dd2k89-%soCqTW2os9`1|CL+P0;@C0Ukz%Pf+$R9!7@$ zP&V8yEQ|(jMuv&dHrh;XMus_1_DXI>hV@W37HtTj8;lIyw;=I9`xYa^d?<V2Ek=g* zP&Ps_CJU6#845r(8Uq7k0VBi5c8FOF9gGa0x}a=KZEz9H{))hV$^VQDivQLAGcq{- zcLSH|aOD^bU52#`4GdkZY!Wp>0t$i(j11y>zYU%+CI937zltICe<}g}4ty?rj0^|( zj_@%uY?a(D$;hxva_g$!6Sl3|xr&)#_o_X}ZFdaY85j&cGBS8V`_BO%85uI6?1GPs z44qIm7Hx2$)eI5}x$@EqG75|g@(Pv;QVdLbEP6}~oO(QZ%nUMm3gB7`t{j7bS)W1L z6QI(YaIMC`!0?ih!RsX?o&sJnGDJezaW5Ge;-PE|OR)&R(m9NV)h`J-ka_@%78D^+ zo<R{%h15?EWFa()92O3`{sqPmeG8!V92PAoLNIs3Xa=bL3xqvU)S+|I5=#<u6u=YZ zRtm}K`3j&3ZqTYSg{0K9{GwF2801)ZbnOfbEG;aI4DBrXtc(l|G95CE4BE04vc3!t zbpPuzGB_-ozl@PV@1H(wGzLTeLW5rhj0}Gb{u?kdd@%fP$jETP=${cI1A}q6F(X6T z|NQ@q42A#a;>-m{8Ba4ZGkjv~ke?>oDc>*8$S^~GzC0tt3i<W&j0}6^&&Uff{E`0y z9qqxeo`Heiou84xlRt-_k)e=(IzJ=BO#VImj12qu&+s!coa4X3&&Y6-{}w+yT+xhp zC-y;%ks(2`Ns*DERk1^nk%8Sn(Ljnp$w1S9k-@^i%7BsK*|PWGwk4WYFqh4M-GGrn z5lJ<J$yQ%R1_r<P^BEZ!e&+sSWGMJ`^A|4rIg#4qptjHhQ3i0Uki=XBF7rVSg4+X4 zKMuN(bogK){(JySzv%iIpzXi|t9(GlV_+7j22Lo=2Q^3lN((`05vV+d0WypX9MF26 zONNob49d2UVPtTHvIAro8FHX(4E+j>3^SD><p+ZbBSW4Fgk7M*$WQ`hH>fZ&IIBX$ z(e*D7I4Z!%a9rT502{*vfnNfQ41Wav3otTFkl7`}$go#tKWKzaS5=phL0wl@7rht6 zz`(%E%*)8Y%FDyc$iT-dz{|)W#OuP#$l%87!OO_t#p}<@$PmJt%*)7-##_&e83>^E zUkSAR=Lj8p=VV}DxQN`hM)DWB`xzMc7?{AAfq|KUk3k+hUdzDHz`)1wAIko~$j9)X zA2EiCVg^!b<@?3|i;+R_m+&tp29aO#zqlC`e<}ZBVo>>|{)?GG<Ci9uR18{Ot`9nP zBQ-}qHy3mgQeH7=em<`xKBF`}wIDG)RX+nn#uwzK#DkWOq1X=Q$TKi7STis%L@_Wh zR4_0wOb5>gF)&<VU|{&nz`!8L$N=sf`7tsu<e~-PMAqZ1j0_i8U$HVWyl4Hw%E%!5 z+w3<ZgT-%~-;4})za4%vF*yEq{>{YT^4sk<6NCG2e@H(QY%>GHKF;f$k_>j-;oOo8 z`?#-jOEMhdxymEVaE-@~H-jOZ_dIU}!+l;J1zjOM1APNVhI#+zqm*Sw7>_YBF&t++ z$;iZTit!916T?Tw&x}kA4f5^sj0_Xxr^_=kERbI=&&aS@{;<3N!)N)=Sj#d{UmN5k znD-nEAo&(n4=_OcI||CE{VI?i5JuOp;0e_a)wjSN!k_Tb7o;2mqw8;g*$>sv04+xv z^dRTtq8os&ALedY{Ru0FHppQwN6_^<xI)|u(+BI1Zcy?=w;Iex*WUoEccJAV1C;Nu z9DDe|!X0J~tbe>=2Bh3nm;j+0rbB43r67WXk%1N3PvYQUWZ>d}w7<DI7#U2V;^rKT z3>Hwf4F@BGJqIHL%pQh4j0{<OAZ1g*9!7>5D7#?~BSQz2Jz)<c!%Qf9&K^dF`A{~< zdWHv#3~Ub|`Z*pjGDtw#(hnFJ6rpV82aF6VP`26wMg}b?8>Am|yqwj5-GB*RqgjJS zC6LMp^ct-}h>@WUI!4+d#K_Pk1o3~j5F<k`RJ>n^kzp>BJzt2CVI`CevY#QFk--(( ze+|fHWQc^aqq7+q5~1wmY(|E1D7!YBk)a>T2I;pGW@HE#W@L~AP4S8_GVB#$WZ-3B zU|288$dG!Gkzw0z28O(gj0{B=Az`Gzz{gMzEf+!hl^E0+SQ%C`>}C*PkWi3UU}UgV zuu@=TaMI&3IK{|oz;D3FAZs9Rz{ueE&*dK@1Ji%@|Evs2|C6DmKqf;L10#bzqbHLT zV+PY)CR2t@OrMz@8N*m2SeO`+WT(lRFf5T>A<M#`D|cFM9z&smhvIbxPsJJ?W9SqE z6T`J-Opx)7<xC7F+aYX+?Mw`^J0NU@9ZU=h&q3H5&M`4Ocnx8L+>bn;wqOq<!(wRK zD89(ZPzCifX#Ni5Z%{d_h~|Hg86XTAn*!0Wd;rV8uyO~Me;DK;`EmgtYCa;QAC@0s z?cxdisO12B@DSt(1_p))Mo@d8<rd5xuzU$C7of@+(DkFcAFdu+4#4~Y(~s_cn0lCf zF#jKbl_z?r?Q(SeF#BQU7P@%}0$yONbs4%?V_EmHGKe_wu}KIhaB&DKh=O~OQhLk` zR(jTYj0_HXG6r`T4Gb0-6fhY6Gy2EK5b-bhA2$Q<e_m)R1RI5wSj)h`7R<^Bo;8zU zyUTWvfuH>m$7M!732ueI>^$HRU@h=Cae_h$c(fQa8v6g=&;P#|e*OPV(0m5aKrmj+ z#0Y}SOw5eTOw6Er+1c4xS(sVbnK;>5IheWFVIhar`EWs)Drj?(0T#ZnejY4*8=&n~ z164>p@j(Sb!wtg0KyTR{&_+#vu=)s=eqr??tbL5bShz4OeZuk=JY7NSRhau>=@OP- z8rnSIW?*9^$TBjd%0kMvbXi7*3@AHGmXV<k%AO$0$S@Jgo-E7AFaye7Aj`<G63WJA zHbjhq^63W?gX}LvSp_i<m2Bc|=4E7P<(<aM$S{j{BQGPvX5QVrj0}5u5AZTG9OOO5 z%gAtw_dG8v!v)?eyo?OjcyI7BG2G<6&&$a0jQ2e+Bf}@&FId{ua9enIco-S@cm#MD z8H9K=co-S9cr1As8Eko6co-SnctC4Cym)+h7#Tu&!gv@NB6y;B7#R|Hl6e>z(s=TD z7#S*f>Um(X1~(PXh!tjJI3~)-kjccra9os;;RJNPX@(RdgHtvm!>RxV@c1lfoN6u$ z19(i<<s~CSawP+U>q|xkH$r0^EQ}25&^a>Xxi#c*JJ6iae(0PKX#Gq)Z2injMg~O! z=4&9WA3~n5Lmmq}Ai>CRA8LL=9wS3N)O@9vj10~M%s+UIk>U0=Mg}Pc28Q3)7#T#b zL)fO*85#Vc?ELGD44qK+%Ik~_hoS5b?-&_AzJsJ~cz~lZKzFMOGB7X*LB%;37#P?Y z7#Ki(C{UgT4SRs{9;mVfl_5L~pnehqxQzfROZcF3Bm7YP=u`tw4-X?lAI}6HMutf| zD|i?gR`G1+VPx3Kvy+FBVGqv{9!7>^JSTV<8BXz><zZyF%5#l}k>MWC10E)ZhdhsY z7#W`NyyszL_{akqSw%OUfdN<fAFNQVpuy0sAf(jJD6U6-U7*6Sh@p;QF~d>@MuvV? zZnheRf8e!JpEy5rGBW(;{K)l<;U~8}pBvL{@dXM;ITkAHS72nYSn=oYk<iS4EdOUS zJox|cKO@7_|IhH&1)#77`3{y-VD%oXoQ9Qeu<{wbJ`b>l)Q2E5uwiubVf`7HIk55> zR<5GkS0IDG9zZuA?rvy51ZFOLJP%sW!2CJE4ST<;fwP&Dk)f5dhm(<^pK}5yBg16Q z6`YI=t2j4sGBRx9+{Vemu$^-!CnLii&Lf<R497W7a56HS<~+yA$Z(zW4ks(aUC#TQ zObib=A9FG?JmdTa8)$(C5@J0Td38O*4bTcuTs3|pS2GtQLn~J=7b8PI*F-KxhRIwj zxEL8$ac$sYWZ1;DnTwHOE7wjgMut6HN4Xdoj&q&lVq`eYb(V{f;R4qKE&+yzT#vzP zB0h34GW_KF&jm{%P!9<H7i46B^sNmI`#|fdjO2_M84`@@K;+6A&<dzEi?1^>Y`A{x zIwQmB>%XrvGA#JN?>{5Mq5sGKGcug|fBrus!=?Y%|1&b&`hN#4mHuU9WO%^D$nY36 z#vshdkbaSoL1!NWL&il$hD>PtxcVX^LlczUdXbT#8_HgFk&$67l#RX)4eEMu>A}qa zDWgC$>uON81_L956_jnmz{p?=W!p0_GWbH-0St@`u~2pc10%yPC>vom0|RC4dje~f zKo-d|GO$70035Q644hClw=5%r8I)}$%gA6O3+dO{$}%$8L)i|pj12BjH371W43SVa z$XFO=<Ywk(WMJjy;bvsu=N8~*WDw@o;AUjd;x^!BWH9132CtU_t(3CicHw4ZaOd{m zW@PZ@_T^?|2;&atW@L!rjs>p+O6F!{NaHT&MoT|Xi$LW*lnJI1p!3@gEFkSTc=@0M z<y%5%SiWuufbwDE9$-@#7@D}7xfvN+xx2U-8Tz;<a5FMY;-1RQ$S{+87I?O69yb%i zeD1~EEDTGyS8%g1tmIzB&B(BhdnY#|!#?gq+>8vzxKD61GMwT*%gxAef%`f)JHs>X zUvOV+XSl#5$#jv)fi0i;7rPsu58E+5E(sS-XNl!fDNJTE)iSOOtOkY#It)ezrr?#h z^9&dn{uul-U}O+oz_aW->-m+>R*Eweth&1@m*MlOFRK_C3jP<M<pM~Z2=WrB&I8ft z<tMDY2`k@V?O#|s7gnAwxa|$n&hViRLW4P=Wv);LEOub}73?743Lj5`_MaM{@c=8o zVf6ycT^pQH`-iaj#brLMyoQfALG6K?4~<ut`3cMMn-B9hy1QZeVdgr(#>b%b0WAC$ ztS4YT%ze=Ie*=sUH4m0vVf_tQ_`~Aqf+7C!ht*54^bPB$!2AOnUxc|AZa&l>6YNpP z`9SR{XcU7fn0|OTK<i0#^Bkc03>KbncR=j{8wM^#AuL!t!}{AFX1RhTHY7mSvpnE% z0`m_jLFk4q2>oF{gkJCpLQfEI0jp!=V&-CGVCCZCVr1aw65wKF5a!b0Vr0<bGT>rl zFyb=iVq`GoGUH-ou;8-fVq~!4vg2Z6u;+5(Vq|dV^59}*@aFR6Vq^&9isa&8h~kRj zVq}QpO5@^SNard8)n#0a3?Lgo>5`R;k%0}m-j4@t6Qo`c<zi$Ihl)#r?SqJ0a4|Ai zLB;L47#Tpefy@cuVq}PbiZ}8#@i8*A@U`<XGj#BE@i8&<@=f7mXPC-2osW@WCf|HM zMuvrai}@HCmhvs<V`5mvx0;WUVJ+WIK1PP!e0$+@%g6Xm@G&u*<U7m9$Z&!0CLbfi z9lrZ~j0~$7b}<MrY+%~K#K>@f=^zuRwtT|G$l%Ic#cax;BMa(BR>;nlRc4qYyI+=( zp-`b-fsbK=!W__=E5&+6MurP2FI5;BYIJIK7#Zd)o4bsWp<wxs<sA$^m&dG5W{zDQ zkGTdJ95YY?$DBQAjUr-gB5nJiAeVsp2_PC2{vaBbUSa9{0kj-*fR0DO@)4}u!6+A? z`2m*RH}GO_A2KlKGcz)@vb3=<GI+CvvN19gumy5HWD4TkAQdOLQEHzQBf|xmOEQcM zS7aW@Ffu%nc_G8d@K)xF3_HUQnV&L@3<qR?$}%$imi;fw$N<@0b3x&Q0waT#fvy1~ z!%Tx&pb=c~dU}O_x>)CNL4F3+3!pJ+P#+1@Ujns<LH#IDeguULsNV$YD}nl3A`A=+ z5)2FsG7Jn1puIa944~y}3=9^~eK`RP3=9bj3=9Pfpm`_;h6M}^3<p4CdZ2ws;J`&C z(Cr7=4YC(xC&)gKT_Afvi@`vp0LbhHsF|RF(*|-3Ky?BG$d4c)P&j~SSh)r(KjGym zw482$wJTudH?%x+fQ>Ig)g?gr1$mJE&4xq>4YmVBu(7Z)GO)36voSL8vPrQqGRUwg zurV<xve~mSGB~n%u`x1)vE{QdG8D4iVPj;t$M&C%ks*M+o}H0l0ml{&Muu%1dpQ^x zE^|EOU}SjA0a~*a@cZCzMuyA3ZT~Vd1pMv)%g8Y4@A1Ek3?Kgf{maNu@UP?_BSYE0 zKPYJd6xJZ;fPxZ4JLo{_ZCJX7)kCm+0ZZ4g@hVt8fz?mw^#ClNz{0h_47Gj%joE|j zg<)9xp#hffpzA3ZpzearZ^7KhU<+|CLlBfkt4Cq=5X@b0_cJnKU#iN-$ImYyASfs# zBqSs(EG#S{A|fIxDk>@_CMG5>E-o%1At50tDJdxh1=3I;0|l~BAcqFz(E!ZDIA~3V zSOyb@S_W(WeSEh3bHw7=-4yB+EExI}IF+lJxRte(<ry;7OVybej;TLaS7dmp{$8Dl zp+$3sCKJO=&D)wx41YD1_0(8Y^)x}NdJF^&m>5J2qz#xD<iN8Uc7G-Q-C{8N=kkw< z!Q)@lKOu&ge{uhq7&!mi{x@NW{h$0FR4U+b99WoxL4ZMl!GOVmA%G!)p@5-*VFJSf zh7Ak{7%nh8VEDkmz^K6Jz?i_;z_@_%0OJEj1||ol2Brf{49pG83@i;S46F^T3~UVS z3>*xc4O|R74Ll9J3<3<o45ADY4U!E~4AKk=45|!j3>pnu3<eCQ3>FLy3?2<$3;_(G z3<(WcU@O5c!AI~yC1L3so^GJ|7nXh<oFVB4UcRHHBUt)@rAt^p1D@_-=@eQXG?d~f z_o42IV@P0NVpzejo`IcV3&Rcu7KTGiN0}HIE-~F_Vq|y<-fi@j={*w@!w07SOpFYr z%q`6P46V$2<t=3P%O8|yWH>8-UY?QRuKay@MuxZY@8ua8{>uN8XN2vZSuVDmkwIa( z;&MiY&gEUp85yEiZ~7e`uo+xN*!_3-&&2Tf|6`2)0@Qg7iVXVTo~#1{BSSPp90Mam z0z)-}G(!zT9Rnl7LWboGj0`IoRx>a$tYO%|z{IeTVK)OS!+wVA3=$0A83Yt0<pmYg z6&M)|6zmij85|XY6&M*p72*^a873&kgZ6}}mZ~!{Oi}-@&B(x@SFgv&z-Yj1z{tR1 zpkTnrU|?YPm+!3oU)O(ZjBfwjP)aO_3pE&`8H^dK8LasC^V#sv7K>+>Qjk+%VQ^Kb zRj^>_Rp3yrX5v!TRF-GRP%lwuVmPY)OkI)Th59>nCWdCs>6%OoH#Bc)GBNzoRMJyp zQPI=TV`6a9<1jeJ$Ymg4z{DV8AZ5VBAZuX%SLv+yKhuA54Ceow|1mMR|BL)5#1Q>2 z_8$`i$A9bp#thN_<NvcTB>hi92}@A@1#&59j1ok{#wkH;5C+wAAR1KHf@oMf8&n5^ z_^^5jR40S@pm9tP4XUR>G_2f)<x*HWht*@CIvb=8mhREVIhC$3GALhxv`53PFfxQg z*<Du{8M>kDeODM6_Cwj9t}rruhO*VJGBT)Nh3JjC%E%B6W%pfWWax*o4_#$sI1FWf zyUNJ$9m>|a#>k+34Wc*h8Y4qIls)MhBg14U8`<5kaDeP;W?*;$^{{|CNEHLaf;NZ` z9h@LOY?w9!BJuDmB<N1f0xdCNV0gkE0uGxOWgg(YU;<08ya1_OP~;~83VequfzP0Y zC=JJ!)b)ZaIhg;cO__m#p)0n+HJOotA!YgIZ4Y=E7+!R;C?D@~WQYRqbgN>3wWSX* zTw`ElxWVv^fsx?{1E^j7fC<#1W{_2tWn|Eijge(!NRkE3*<{O>%Q7-l%I*VQhAa19 z4z+cyrKqjQ$Y7-Crzpda0A87vpi{5I$e^^meK{jT_wt>~85zDW|G%7(!C-aNYDR{b z)hVEs_HVY|pw+8Z;MJ>9C}|PoO$;o|$iOTNi3e6;Mg|@zn_rlbK>*4Y7G`A7fU>oO z85s<qY$IVt24g7ORG5*$63Vs_W@K=IvfYIl89bnDZ(&9TUnn~OI&Tk3_p!o^49QUO zG+{=D94Na$n316b%EoXvRA3XsW(G!voeX;zm>Kpm>|<bL_`>;<6ST(+G*a||`x7^4 z2B!`*v%?$5%g9i`Tfxi7pv7;@&&ZG|Tq6wIC3Z`)Kw%nNi9)3UBSV8irvf8GfMUEN zBSWWRg35KKM3vbpj0~?-{;M!Dl&McvXJq)RGs$o&%Vfi;hKvjfMw&*93?4@QMvM%B zM)5|B3=Kw|MvM#_jrJQcG8n8dMc!WrbvKMEU@m3`mFnf-eaf}Wj12Y6EzGP8ZOk3a zObngOUCfLOJ<NT~Obq?Z3z-=i7BfF&W@Pxl{GXYTK}}XmmXRS=Hc^(5Ar0J9+b_!? z@5sm~FDlQ-AR#X+&&Z%4?<&v8;34lT&&UuUA1=?x5Gfxo&%}@*Un|eZ&>+7>o{?dj z{1JIZhGX)V<rx{S$=?RoCZKxcll&KXMuu<T+C)t;b+vsL%+;7QtXzZSLIwtS{RwRc z!|GeuxCU%~AJ)!;)xWUzA*|gEt8ZcLHP|@C18BP%Hg1GoAH&+IuzLB!PweCWJPhg# z)(mzG{fzUNIXTQY!Z<#2uyBcRNpS5IFco|tC?wP_)G5>_G*5^{SX0<g*hJV$I7%c& zgj2>`W`zv9ESIdctetF{Y^H3#>?GNImH4cv?4<0_D|4@`y|U}do-0SLuw50sDtp!H zs{K{htASS+++u&A^g#6i%R7a4%I`GZ8Nai5XZ6nGo!2{`cLDE$-$lHOdKdF9?p?yW z<agQcir-bdt9@7huIt^BcdOnV_;BHa{m<&3n4u3l#Q?Hj3nY&o-mvh6haYTx1+={a z3vXCB!@?C79}aGib`fkml>s`w3XdOXI|>$`46`8Z`wxx~dV>>${*Vr#L2d+NArB!& z1}`CBAx4G(p)esvh6tf3Atr`sp;#eCh6EwVnj+9jq6tEvy_uVYwhA#a>=4=~#K>?! z=#UT-!(pMLLW~S2gsurOGTaaXm03c<qQZ;}62ei!j0~~hJl-HYQ<#yVL3f%iBf|pS zWx9+ED|FZCGBRw?-KNXPutRsBE+fMM-DA3p3@3EY=`u20(7mS1$Z$jVo-QK;<7&3m zj0~Ks`BpPB2(A`e&B&mzT5UBWgT`vT)u5g3j0~W??x1x4?>`g6|NoHr?tlN88UFug z26ayv{{3fR`2U{;%4TKw|DP4gW@GsOpAE`pXZZi09m?il0G-wWF_V+w|9?)XI2XhJ z|6EWuH^cw`pqU2-hJXKg82<m~fr|4o{QnP{i2#Z7G5r4zS@-$xKR?5N=)UED{{<NS zL-#E!GUzezGT1Y8vU;(~vB|SBGF)L}VAo+_WM^V$WcbN$&SA%3$zjXE!eGad!l}lX z%IPKWl+9ZpNm!gYT{uISks(jaRQx!DnK-k=Sq4rC7fEYISIHGp$&4$dR!cE5L`g?W zGcv?U$4i5Dt=q^jGSq=j46#wLRbXUrSMXF|WYE*I(c@!aGWfsbZwcQr{$-2|pO<}K z#==krK2zk}it{T#Crq4JIg{b!%Ggy?7~;S?nm?@ixQdbC_3EI1=|N%t!v8TcF#hNJ z&&weHKk+|g3=ovQAp1KK*fQA|89uQ6WMgDFz<!b)wD&n5JfHR+v=5pqA3Q_#o(nX? zkk8G?@QnLCXtn`7{|}kJP2<gnuQ&+ci-eD&De!CZGcq^`c!Jgp3(N%Vtq1QthU@}z z1MgbAAbC@gk)Z);H)4Qvq%<SL2I-yBj0_Ioz5D?(kur=73d=N?F*00$oDQ*)6MhoF zhm}89!uE4RcJ)JcsW32X0FT)nW4Z_*xqHL(j){q(gt>~DjUkfNf$cfNQ?_Spj0|7c zzp^tj{9>2lP+(Bu(BNQUDCBhGyUKEm?~i~P({*uYi5!OIQWY{687pOKWk4+zd}Du* z@xFXreZ2!H^Apwva}AOh<{A7kh-3H*ou&A(<T1<7C4ZMNGVm;`UslWj86^~7F25W! zR@kvzg5k&VpUW8;FlRDiz@vu+|L6VJW0;S&@)i`k3=GJ7h>`cJrwJhT;vw(zH4|iH zu!rs$2JIid31uVi^$tMVQ-!=o7kNK6^4?z1UO`LfUcm<vj0`WKYb8MI)<Llf%E!p_ zW60}nkoSBcuYWvuosr@Hbx6Amd0z~~*9cNxK~YIrMMYIrO-)^0T|+}dQ%g%51a!ba zS65e84-EA6^$iRRjo`o-4opl;O-)VBK)@UUEa1Qr46M+AH5#x10b2yHg9Cf4zyTX@ zL;xoO02Txd4hIw#Bs>t95OBd@L%|1*4v!NWD>7b4%!s&Qv7_P#ON+}9l_e=pM5csX zG1*e`g{Q~ojLw>zH!^c#?%3?9`NP!YbVzAY(j%csL6?j+6@B9B@;arpD(jWhtf*U7 zyQ+S%wYeQrTbA}rY+Begvu$PH`1<_L>8;CqCpRzdp54B>e~gWe2Nf44J`|i7c+qfU z;YZF+&y$)fGha&1jJ#>Nv+^fvtLstKrKwLvr-oiN-CFvUx7YWq?%Le9vU6ka+U~9W z%iQdISb1^sW8ulcmyI_Uf9CG?KCQhv`?d7!=-bx2tADe%yB}9yp8i~XdiZto?d9M3 z`~A=Bug`xkKR^Dy{r>v@42+=Vbxh2ROiaw6kt`SuVl%U_u%h!};vhK|R#rAb^62Ve z`apU?+q)^%Psn_9`(XBh>;`QvXJ7;`DrD#2<l^Sx<>MC+6cQE@6%&_`l#-T_m4jpt zRW)@DO)YI5T|IpRLnC7oQ!{f5ODk&|TRVFPM<-_&S2uSLPcLsDUqAnVz@Xre(6I1` z$f)R;*tqzF#H8eu)U@=B%&hF3+`RmP!lL4m(z5c3%Bt#`+PeCN#-`?$*0%PJ&aUpB z-oE|`6DLicGIiSY88c_io-=pe`~?daEnc#8+42=DSFK*NcHR078#is<vUS_`9XogJ z-m`b#{sRXO9X@jO*zpr5Pn|w<_T2dk7cX7Da`oEv8#iy=zH|59{Ra;pJ$~}^+4C1K zU%h_w_TBprA3uHm^7Y&IA3uNn{`2?We+~xFygX<<IfMqaGC(a5P|E{EgIXFO8pcPL z2em%%$rI8KYOzqFpHllj3^4deF#w&SfEx>g4p@L;WoBj*78Vv4Bs;YkY#0O?Y#Hnr z7{Oz5pmDg346F>-8NM?pFnnWXV-sLvXX9XFWN=XkQs85#Qm9d2Wav`pQ2?E?<DjU) z;Hc=L$jIQWI6={sVWQ#`MMj2MinA3N85SrmQ)FgXr+7|Lf#JO3B}Hb2D~ewf6&SuM z{#RmTP*7G>W@M;Qo~<m;Fh_Z_G9$ws<%i0Q4BJ%?s&X;>Q2npU$dIpgKs}K0p!yMY zMuy|+Pt_S2KB)g!XJlZ|Y|><8n5lVPlab+$=5I|#hJTv>wHO%;v|Y3r8Qisfv>6#R ze<%I3WKQ{)_K%T)`@hV8K?d3Xa{n0_V*bbdXJUBt|K)#nhFAaJ5FX!v))N!{_LW}V zwai{Z_~fr|$=mFoRNm@|=s95DbM3dK)PbY+Gu~{`jXQtJo+pE8r`VqJ_EzkCtJz&H z*>_2w^{$w8#r_`8+_Q?0uGw>m%XjXQzG=Up$>RHK_uKXYuZ$i9r{A@|z;-&Lwd%h8 zGOKG0tOp<1^Ie!KXSn~N{iP~<cjg_B?9&w&{Mx+wv3)n#yeIZ1b7!bEwLG;y)8XF7 zkoC+ygx&9&y!Uha;(~owIW=C`pJieYd&cn6ev3fB-<wxo+FucA_{y>TmHjQF(EceU zukAw@JX|!#?v4F44z*l+*0=UKU+q`VJn`1PI4f!Mv5t54p={^7AGp4^hj{-3l!ow- z$+ZmK%)QKum<?Dbu-;{}=ZNRn%JGP!g>xn6M$UVjEL@sghFm6G=3KU1v0N=&eO!~c zR&s6R+QM~=>l7CQHw(8Ww;{I)cN}*M_eAd5+;h24a`W;C@@Vq7@_6!u^Yrpe<XOqH zh36>GNuK*WA9z@Jd3gnSU3oouTX;9|?%}=4d!4tLuaj>E-vYiRe9QRO@a^I|%6FX4 zgMSA9UjDQE#{@iu!i6G*I)ye0?H4*IbX`bLxIv6pJXgF>TwXF>>X&SUe3X2Qe4PAN z`J?i8<iE=IE1cB+udS~WucM~BTz9?hcHRBD$92!^Ue~>^J4?^Oz`(HIP~Paj(YclX zSE{epUv2)|_P70Sr{Au>ga4)fEB*KUKXT|WFobb2GK53t;zN<nVsjT@WblBV^{@}= zOd>}?Mh0hSoARh2Bf~K$8+2yPJwZkWK?Vl!nKc$dj0}+s3=Eb+j11O7pt75R!3MfF z26Paaw+JJH4|HGuAyGz#!_albCq)?<u0z?5VvGz<(DP+%#2FdvplwhmDMkioDM;IK zwiF}794OmShLOPux{vZZ(m8y{XAOYPqcYECWB~P`EV3CHT(TJ%Kx51^@*w+;*!>t7 zI&Lw7F{oXez{ki?#|N>C;T<CbGjzQ!>pMmUc_>@)9V3Ghl&$iPkwG1#j)8$e^Bp6D z5memd9V3G|lx_Krk-_>MBut#%F*3NnV+8N-@O;O};0qP^d&kJ&4^<!dj*%e<$_{zQ z$Pf-SKk^+TLn2gt(mO_m6ev6W9V0^)RDI4nMus9NyW|}sLphXP`HqpH2Fk8`$H>qC z)!X=vk)abR-u;e|VK$V#@Es$=Vkmp*J4S|;Q1<F~j10S>Y;5b96&Z9GxEb^qtQdG0 ztQqVXSQzS<+nG5TI$001S~2jjNwe`Yp!UnT*clmk*nhCUVfe{zz+ui{2tHddjw6MW zjWLxoitj5+G#>~5S_WQzA$~>%F9B}>MutRiPd!&GPmGb_wb)xRMg~)HGjT?SE8^G0 z85wSgGf8MLFiWsXFfwpRa7r*TxJbH6GBT_{>cPiCd+_#ndhm{VK6=~?etL`sn;Dr5 z{w?`a@_z~MGCoE`pPmt|Pk(&n42BacPp)KShz0lQ@2~o>N|52>s@JQ3F$DYz`p3u+ z0`1xJ{O4xi`!DsMhe7(k{C^gP#Q!PanaMbY3(Q5#7nv_HgU+J*z%0%1k@*WVGs9PA zF$F~#BLx!$Mg|9kL<L2LB=G*0T7^0VMuuL6J_SaG3kr7>7#SWaJXK&}c&6}LftBHn z!g~cq1|~&zMOFqbMPWro22n*xMHU7rMOj5g1{FmOMHU84MIA+E23<vcMJ5IVMMFhK z24h7FMMef2MSDd?23JK-MMj3HiZc}%8RjU?S7c;ZsJKXxkztAAQbk6F<%%m6nHW|n zu2p1Y*r2!(bTXpic11>pJ&I>RD`^xjDl#%$R=ldn#BfdVwjv|LUBxGg><mv8Ih2(d zIhDDU85y=HZw1w|$`_O+86GJwP~FG4P<5RuFT;A(ZK{k6dsGjoGBO-fJ+8{ga7y*G zDkH;r)yt}k43AWws4_7;SAC<($iS+`rpCzNpys5;#E_tttj5TYtCpw6$Z%Bsm^vfF zGxhiCj10}1Gc*|)ZfM@tWMufG`B#&XA@N`GKPHBZe>VTGFxdUKgV(!|@fFNbc>E(S zkTLo+jB%GD@cPbY;8A<n?hDY^J!A|f0z87B1|GqO?EOF;i2;r2Bag&D#`hsR{E<(D zLmis|ok2*<*i3;!i2@5lDas51<kWypMMj1MEHeb`23*X@Cj(3(VzvM>0t7j605Wqx z%4iU3-38)-);>Q4t$^cT0G~^z#LLKF!^FU#jC9VQ8$TmM0Q8K}odS#uy96NRxiQjN zgT;c33<m^3YnK@qP6;wHoPpMBrb3JiX3+hdFOkm91I+<}=6XQ&9j-YYClN*lHxWkg z8Dob;7#R*j>%0A;j0^{$b)3BzBf~Z^Muu_*2JpFRp!1nZK=q~sBZC!moW%rsW~nd( z0|V%+FHji;I<Ub>mXW~)x>ng$mXTq)EF(h@0|Nu-+{G`@vvrWq`AJ7w6P}B-XOx)r z;TMq3@^eAj*W-b7z6mHkuwzi~><4&+_yc%s_`!b`?$u17Q`Z<74*X}~Itx05jdL~V zJT`7sM$l?rMuvv}EWG{93=FJbSr(pBMg|5ph#KB<CI$vpuu2wQWhT%W$czjO|5-UL zK_|I!rh`lat7m7|2C=z7WAbcVlR<3mPVnv-Mh1p|Y+&{5VD)U=yFsgb!RpyLR2di; zz~j(=*uiQz*g*5n>|iyVte`n+4zL>V`1B7Buo`wQLy#`8EIXGbXrB%zD8|6!)*m=H zL8miwfYoqA)bK6@so|XsvKOopJgWVGgC`&4La=&nh`oFV85kINm_f6(9ANd_VB5hX z-v_ukWWnZ`gVeBs<{LS{>UqKH+4(^0GkDlRE&-qF30hc&6iJlqkCM8=$Y2beEBCv? z$PfZ$gXY=Oq3otBj104(>}^*V8FoV1yRR@Z?7aeM1A*q>4@1R|USVW74`si)!pOi3 zollp$%E(|2Wd~hlWXOiH+paP)%!jgfU1emr3}wH&%E-VDoo83N#>ij|Wrtm3WXOlI zyRI=ZEQPWUU1Map1!dp4#>nsx%KmeWkwFAHPAPVskwF^DHoMNq;0I*~TxVnmg|Z8- zGct5Q*<IHe8Tz5@Ro59A4nf&Rt}`;6gtAXxXJi2FGYc1FU{J=g-wbp<;ss_#22k1p zoi_?PFY*KfYP<ad7bC-0XdB)N=}cDS^QzF>_P)?EkkYn2s7()QCxiC9gWB|q7#YCr zdS7T+2RgG0)V@z=WMBZb@sC2wJxbd73z5#P1hx5{p>6&`8Ab+ByT6Tz0el8G=!}#K zRtAPbq_a}+^#v@U`|d$~g6VmT48hC{49NY0_FIe$UFc_aQL=yW3L}FgG_9LlVPx=y zvNNtQGBiTjbFMHl%!B5W`BxYjmV)vG0|UddD~t>)q3l&x7#Y?;)vvq4$gma4zHo(+ z;U$#Ka+Q&R6`D8LuQD=lLD{@l85u;NY_Y413^Gu*#Z^WIODNm=DkFm(l<jbpk--JZ zcDu^R;B^&Jzj<F}WC(zY=UioEXoa#DTxDd~31wfo%E<5*%I3Jn$e;+#V>Z_q8A74# zf@_Qnoly3QYm5vBpzKH27#V&+*)rD|8BC!0C+s>SLmrep;W{J33Ml*3bw=>nTV{d` z42*d8i7sG?0GEan*+Hc`0|SiS02Q}`2s6Ow9oLe;>Oo6dKnxhIa5EglWnd5ht>9%~ zusH;(a~LrA3IdRM<{yI4l|DicdcsZ!y#Pv2Xi5W{w*X4NSOww3=yPch`;=24G{Xgm zeTUyb_!oL0G$?O^41&=M-a*7)LKj%UXb&bxIKWo#!f23QdSICQsBITJBO`+`^!%Yx zMn;ATD7%Z1k)a#Pe#peg@EdxjzXH<vz!4mb@bjH3IS}XMBcDr*e2%0T(%HUyI2jrC zLeFPBfaBao2c$g_wOou0b<i?=F47tQpfi@=L&cHLi$*?M8u=_j<Z}&?$1Y^~85z`| zZQwwDMure58+4{;Dzq+mh;%l;l{h1VHFUh?71Ei!QAlT1A)jH=kjKa{4Z3go!6in9 z&(O0y6D~6{R9uF%w?XGYpNF0YUHFEPVf7n`_}Vv&4C|rnjc*tkwm{ho9~l{Vh&bbq zlr%$XIs>nz1GP)hEP<_igspFcEW(5Ay#^_TW-kyEj6v)BK@1oTTmJ}Chfc%HhtdE4 z|E~w>huH(O7bXug7e>R>!|Xv<4{HX(Xqb9X`w?UhOg+pzm^$zx4A4FYsC#g!V}b=V z)MGI7IYEX)_f>$HFm*5*c5wnsJp%(|Wo`lkBSSUAN(M%T)eJis7#Vgm>}Ozv&I0U& zt|flS#K`alyo$JlxsI8Op_REEw8o5;m8}Lk(j?F3z^2G>m+cV;D^m(*D(HN3zN`Gx z7_af);Adp`E$~|G1M3^4@gpV)W(h_HR*B0p=U5)fyp-W!cqQ{jhLPc&%x4)!hOaW; zL8qrFa4Rq}@PS8P(i9357#T{yV=xWiF_;D5{pJjcs)~#Z>WZ3*j0`%^F%@4$Mh1WA z8oX{r(0XAt6-EXJ6)zP~ZyPd?P^`_!P^LXqn~`CL_6co9hEv-A!0U+p^cWdFK<=b4 zU@>51U^Cz_U}E5et|>M*U}Uf{us49MkzQc%+aQ|ZkHG}PDGU=0=NmFI958$gUe^a& z%U5r7-iVPQ!uY>2Bf|mT|Ddz|z^kb{z^kbZR#>iJWYAlAe&s@j=+$<A9|zk175I0N zLGYjCKSl-v=ol7g79-<d_CH33|Nj{OGchpzSNPA!VEy0WKO;jl_>5548ixn}9T^^i z_u9Ys|L#8{!_WVJ;bSeJ^nigG85x+M<ur0z7<6v{s6G6Gi2;0uI_R8wP+AQ@+FJ&? z_XN~7E@WU}0PW6(omCIojSIWCfS5M&ZUIJyJ<u`|xxMUwG!|YW$jDF%9Wwxpi=Tk9 zLF3}L1wkhiF)-XkI?o+6K5h#gS3qw&`y;iTW1;8W9ur|?I4H`<@SA~w0o0yG9wT># zj*%nZ9fLex4!SSLUW$={g^7W|L5h*V4LV*v3u)|p9$K3kb~YjC{9;hM8kC(uZEM)w zHlVgO?EFD|ZE9;AZEDyZIH0q>K<(-mvXF6i*jc$mpN~44KEP*Vj;0Tck^>?jz{tP^ z!VC-yO#+Mz8w3~`^cWZzHVQB@Y=Y+L?E;JpJD_YGK}H5wLCAS)Zi0*qO@fRJHVh05 zn*|vewnFpte&|>sC{O4JF*4{u^RbZ-BZD!NZ7Rgb@IVMuTQM*^6k=p}1QllzMyy8% zo$Y21UH|P!#G3MOVMc}|VMYc}mn2!3k-=1ik>L^p1B00eBZE1#%y1B4WN?JCT}2of zHi$4XWP|)G!pN`*D!x;MkzqHqT-hVS$gmG8eo%xFe6HL(&{=b$j0_v0eRa@16T6}8 zeWHvE24aj1MGOoKhGL8iM$odyT8xpw2FkV*V`Nw(#>kMzz`(FhjFDkIR2($UsQ|i> zfPsNQQJj%MO&l`sr6a+}pa&i6w2)wAumqJ)ObiU+Qj81{AU5dCOesbNeHliE8H@}J zb~20%p!3tg{*qy2*dha3AHu-Ug>)v=WLZXrDbVv8rpYoge3fHlh-YMA_$J555SY)% z5FNn45QKCdI_NCDH0W6v$YZ0-oYtHc40fCjoQw>foIae441S#coQw=j;B!y*ae~_R zurp20fX_5x=F(xX;{vtqo4NLJSu^bC0^ON%lIt`V6T=xUCT=qZW^OxfYX%2ycW!nD z4{mR6W(FVbaBgmfecb!G85s_uoT_k!n~~u<HzSW00}~H34<myk_*|zZo@O3KhJ8E- zc$gRtg3stV4n3piH2jPnCh*CvcDxQqr?vX<GBSkoHt}jRH1nS4^<lWcdy$us;Rg7$ zsZYG0c^Mh(`0e=_8S4491=cX=2p9^mGFS*$3otX-2!shpF@y^w32-wc3#14zGNcRS z3NSJ>3$zF@GPDcy2rx4A3(OMWW|%E7M}U!GoA^F)4u<{WN5vT#j*FiVXJk;8RFh<6 z(1hN6VJOMSU@U1N$;bfOVaUi3Ditop#E>YJEXBl-B9$w}$&e>i1gf*7O{EPPtfg(G znHcP(9i<r=oTXi)85x?S7fQ=BER$X?&B(Ay`nj|%!z<}G(u@r6q(4csFnpF)kuhXY zmC=@AWH6C2m0@IfDDz21km0k;cNrGwX)MYsR8}xDsIO>P5y{ZFV#^9+hOH|OuV7>d zS_!)Gta;^@m4*ykSMFNL%CLLo-jz%Y`&J$R-2}JFU=<^S@hX#5j10c3s#obT)UJBH zDv#mas`slH8NRN1y!su(lhw~wGci10{c<%E!>iSAKm*;7vIq;gjA11MGs8OY-m)f^ zURG|#K2|=q1_pk%du-<!Ub6jV6Jhwr_Kp1q!!OPP?lQ*D+&{P(8Gdos^RzPf^H%a| zF);Eo^D{CC^NaE`F^KVt^D{C?@yqiwGMMmN@G~*&<3GyJ$Z#BbTJ(8-Muw~4w(dOe zJy{dL>&6`<?@7*MxDUQZC{a2~nvtOda-W|fqaq^%vm%=!BLjybry?VRh@zMx6N9*- zoFY4eyrQxqBZI1<rXmxAo}!f^4}-O$mm)udk0PXH+ofpD(5*OCk&9s(&e=lHJmGpQ zbAz8185tOq*_9a?c$DRp85xw6HIx|{w3Vxs85wGoXMyJlZ!0r0JW@uqndgA_=`(=m z33q_!2@k1$2Tdk`Xa5S+(!u-i_Jh{vK<<9j*4JiaaMgCxW@PZy#@^C?s`E;Rk)Z+O z&MipWo5euLfR91gK*4~Q!OQ^E>b3*7x+fS;G-PC$hSbt#0H67zW#n$e$Pi$ZU?jkh zXjE^+$k1i9!HALJ0Q5w0L<<~pU!4Z{u1T{M<|`N(ELW7TvSX@PRk@0h;qTuk|DQ9y z`2Y4lE5p10fBy3^{KeUdM%{n8oq?HQ2g3%YAB-EB{FoUT0+_>@85v@klb9J9N|;N* zdvsdBJ*Q@te+(@w?JS_XY$mc=Fic`y$jZpDm~}5JBg1~ygRD#phggrWGBJ3w1+g(Q zgtJAkF*4j|d%(uX09lRwitP;>BZC1u=pLbE>>Jn_8D4|eXG?HMg7<O%<6va4;q>Jc zWC-L8;bdee<lMvQ&2W~}hAW@JmunAKKEqkAzgz|k|G+0I*>L-E3o@ML_T_0{*u!&{ zN07mq*M^so!I#&aua?1s&xenZ!H@3*UlqeizB7D`3=;g3{EQ5y{FeMo&=ReQzlEQX zp^d+tpON7hzqvpmgQb8Kxa8_ZD!ERI>#?5_zbwwka97+?GKSF#d_zwu^tPQ1Qicp0 zrS?iOGMGraNDDAzOBaJnpViW!bG<?L)>VL4mR~?R!{9sk3<JnH6GvpvgK8r1c?N&M z=Nask-!IR^Z~*T<*Y`Rfbr>1+^|TCrGirm+Xr2kaH|~!?{<7lInq{@i7#Zr9%~>YP zFn8I9Wx)&|mx(V|V~~LM;eISHVEDQG$cobp4_16!!N{P!Qg0<AL-5MYD-#$FtbDps zm7#i7?J7ow{os2PzpaX1oyq`NmHuWm=#D+P-wOYjm=(b%<lBLF)53akkN<;CAc5=; zgzX8u2O1t=)MI2~Fk&=gWMZ&nbZ2B@@M5fBWMZgftYu_kXk=_+WMpVzY-40%XlLwX zWMb%I1dYS>F-~M;WSGo2g^`hA8siK`Mus_za~T;K<}-qBjakIFjFE|9Ipa!3CWbYP z>lm3B)-!HoWMbIFxS5fWVJqWyMka<GjC&Ya7>+O=Wn^SH&Uk{6k>M2MX+}ndvy8VH znHcUdz5}0K{fUv0;S1w8Mka>uj6WC|8GbPuF)=Y%F<CP)GT1WNF)=bYGC4CbF}N_f zF)=cDF!?btF}N}pFl#duF_$s3Fw`@*F|#vtFeC3<o5;+>FbTSQZ8b9^!+GW#%$y9j znBOroF??bE&dkj4lld1jBg0>2eHKQB1eOLCCWbDS11wAo2U*UtFfm+U(PL$T-W-K| zUOVKjMU<1;<)+9nG0c<uC&$FVA<r)l+Xbf~uP@KYU?Fc0-wOxX1(zY8FVD!(fpPD^ z4*5Ouj0`8_&&V?}+yL*0dm;Zuo{`}P_$C51MJ+`Z25m(nMRtZ}MFyQ(E=KSzstGzt zI!p}7Iw?Ag4Cy+#I!p|CIt4n63`IJnI?N1pIt@CE3{5(ZbeI@k>%7%rV)&%vu*|R0 zX<5!PCWeM(vz9S2C@t4p&ctA}Ja0J@L*???<xC89%iESSF?28QUCzWXVfm!xObpYO zuUgK;uzLBr<%|p)mTy_k$gpksj^#`YivK}-KGCbtL<Z2#)U6En8MGK4FhKUC{s)~i z#;DK8#9+*5&d9`I#puDv#Nf?X&d9`2#aPG4#8A)Jz{tqZ%-G7v#L&Um!^pxgiE%0; zGs8^AS&WPf^B5O0GBYe@T*}DAu!3<dBP+uO#x0C24BHrYGBPvlW!%rm#Bh}H7$YOY z3C5F*j0~q4&oDAFoMXJh$i#4$@jW9G!zaeijEoFl8GkaeF#KkeXJTSdW-?}CVz6Pd zXJTe>U~*z&VsK~jXJTRqU<zSkWC&vlXJTZCWQt~DVu)c%U}9lNWJ+aXVn}1kU}9p( zWXfS;WXNYKWMX0{Vk%`~W~gOqW@2V&XX;^MWSGP>mx-HU9+NBca)v_YVrC|Wa^`Ag z7KR#T&~D2$%<GvM88$MXV`gNyz<d$Bis(8sBg0MR_smQTADBNgGctT-{>{wHV89a3 z!pM-w(#XQZ(9N=+g^A%1%Q+S%hVv}?tV|4vtZkrk=)pG#`~}}20J#Gca;HY6Y^^K{ z1EbtjIVOhLatr0y7#7R@mjeyWu*)+t2!Qu%D#)wLGcp*+o69pYIDmI;2FizncWS1~ zGcpu__iJvD-zd+>uuuMiyb!}h`3Lg+3=id>%Cj)|DYht@L2vO*)JfH0VMx=-(P3gJ z)+y6rW@yxTti#0cM(3Rl6T@d623=kTMx+}J9GB%TV`6ArHhUQpgYt6y<xC95%k!5r zF;p$DS<b{zzr1}p6GPAPiOX3TCNH19oP}ZL@|DY(7`86oxtxh1cy+;QS%yOJJ*STU zvF$d-R{jlC$#<5Knc+N2Y4?SZnc*AJ<y--C5i=7*8FM`|C)WMBnC09hW@d)V%vYEh z8Ey=k(r$<RZgAOl8l!CcEe~7!#;wS!$jBg|D1@;BPD_!AL0eH*k&(eb(O8j@!4A6W zty$5IfnhL~f@te5K=Z|*aS70zF=)OR#9l<?yfHCrGf0_32Cdm3cMch}#sjuC19=|! z5ZXEo*qku>It>%(JTUq?4cJ^U`Z|pjQ1z?O)@gv&XMn=+9MXQZ(RIL(c{^O|fRXEH zMFwRCMg~;|bp}QTEe1UXCI)>5D+X@pT3`o;7zP>eDJyIY+ZeVpFf#0CsAJyG)Xp5m zdYLhrHJ+7`p_BCht2x7A)?=*944=U_pm4Hru`x36u<^1nGDx$@u`x5qvt_Y)Gi0;n zBJJ-5-DCQl{U<x@c5>JX;sQ=?hQFL;T$KzTxLSCoFn;0n=Zj{D0^b<U0$x)r!r#hY z$Z!GaZY3`Pe*w_^P=atO6aKZvH^gs&?vw_vHD;IKg0D4(+<3o0YMB&h-7)B{&M4^w zX-0-W(*MCbhe2!lAUlU4cb{LD5mRtx7FSSE;ABvQo-kvfz{p??J!i%lyt_D1fsr9t zAyk2hAxr^u=FCr>KRS#I|G@Wd7w9tRO<-WuW7A`0VAtc&V`Sjg<I`hi;MWt=V`Pxf zlhk8mkk*saV`h-o)6(N+(ALw_V`MPUv(#f`u+g*EV`OmDbJk;GaM1(JHbG9Uu{HQ@ zu#e&2lK)E>8F;}vnTwazE#qeRwCwvbMurlk{megB{93`t@MneIN+SmSmGLVL7!HDO z{=T;obmuqZ&gOfoK)1HT_BjjuQw<XQC-#q#LGqu$KXC?E@Oe0%|9t*2qO6sU|CjiW zks;$>);}hO?0<~^r!g@7Xa3K~!1|y4KO+Ozf4=`r4E+D4{&PcDQY-wo`7Z-qPmOtB z9V~rnF)%XdK+giQW?*EnXK-Kuod^Uvzc!wsnn8x4mSG`-3d3@S)eJ1qv<jMogso?f zf~M6jRz`+>tOr<`=$vA$_|+J!`EB_b8Cv--@Ov{{#G85<L~_M)nexPDi|I1V5qmA> z&wxDZ30XA{OUaa^VF3kOX4v^ipcLz>07<c+w2Hh^oddk~8*)c&hC1lPB>bzjv88iJ z>P4hg4g*00Mg|e^DPRT$wg$Wmka<wrq+Ywf!B}TTL1{JlU&cRHhAi;>C?hnja{Xsy z;Q7!0pOHc8zx01b26^a=sqKHzUAxi$Wf)@r$Ny(yNC3~CBA2MBY~=MVpu4t6S>NJ_ z<329rJ?BGx4U7TO9q+`hhk@O3OU%Cdhe&H?DBWWZTW<r}V-LFn8??tBb~hZZJ@&}= z!X+Txv5LIkp47EE$n72Ebvpq_cUV$m4G-@AJE-Sw0q(hb;_bQjGEQP-Wthr1laYmC z7UOJ;{`)FMCWh6FYZ;kPdhxp$_cAgw>|@-|$jESv@eCs~O8@-><7Y-@c>f*Lb2nkK zWCHCh!0f+IW@2QR%Cw$|5lioT0`nSX8HTk)^uGTv8?cx#7~<`NCxCAihuq?a*#~Ek z)s|&sfStKD3pDoy?vGECn=8l2FrSG2IOP1K1bIY%9P^g94bT&nwuAfWhvZop4nt2@ zIxf%1Z~=P8(sg-8h6nP`<rx`ZXDoe}XJp_~<Wpo};8zq@WMR-(v{Yneuu-&CWMpsy z?<vC7$1l_=)?s2O(J9kmVkp<C)?tM9_n+vz(cxftr}J5dm4N|of8Sua;c`X><K>0R znHY+eS1e~l>-+aDXJVMReDZQ8hAGRZE@xzz4jutmzkKU*Hiqrsae!aT4OW{m8-mXv zOIV${nvtOZJSM;ZK8*}=w>$Rn0dq!127BoGl}biNhE6EE2kBny35<*kpmYoBXMjdK zFEBEKF9yHJ$jI;qs=l0qk)Z;5$Jz-lM)2L;<va`wHrb2}_RuvJpnJwZ_osyiGB7Y) zU}X4u0n$!qxX8$$2A$i~yvWFq0%d1iWMs&JvYRh5GPFS1Jr@}nRzlh9E;2H_fU-e% zcRNDY^|@YRWMH@qT8G8Jz<8OFAq=|4kKqO*!~dHQd(Ce#GFU;^OXuEVWGI2M%Wg3; zG(*{~w-_1PpzJko7#SEoFfxQNGcYiIU}Vt#05Qkl10%y^D0{&NMuv4z_Qns44Ev$% zgC7_f&O_N3KQJ<Ug|Y=cGBRXC*ARm43|<3eKl{kY!1M`XzQ89&25TtW;S(c+2b3M~ ziIE`?%1-#i$dC$UGkj)b`1Kj0m*EQ|!<BCk_JePX3~!+958oIWenQy{-x(Q-zeChC zd}n010cA7%U}WI_0TCDY!N}kaWe5CVWQc^a6Mir<v_shwelRkug|ZobGBR-ggzEjt z$Y2L$JN#s1D1fqye=;(ZLD^M585wGRLiStK{$ylW1Qp-#laXO3lzreQBf}vm`@&B~ zhW}7@!Y@V!&^@o9^oiQ~U|>*T&}Lv~umSJShs-sBPR?XvSj4c5fstVac*WithII@~ z4C@&-GB85V0EO({C+;NBZ_I@Zu$j?*R?u0(pxyr5Y<z6YSY}C)Z-4p4`J0oG;UiZ8 zcRj;rZqS_s^*sK(7Z@se?fH&TVczt%xPxRW<2{UdQ(|UyTrf^6fUOk7HRmd!$jBgv zWzN+>krjHzffvfm>jXtshKY*o;5k&t>?!74DP&F*`TPRRlMARhGddeQb49KBQHJGW zXlK7_Kxa%XS1>Y^gXc=4S8M)0=f4Sj8tfnN`Or!KQvNY9r2Ye~S%lmGgna%2WR44R z3c~}Wb&7v*%&?Y$=S(5_kP**W`TWo|+$u=>5*a}2lA!C3ECd)CT%m0AbxN?cNuY~j znV@Ts)CCzCjG%1LdL__WB~bosfUa2ru{R4cGVF({0j*Oqfv!O^7h+_v6JiA4BXwVh zk>LeYoB?fZ60S2YeV}WW@U2IJtwC}YVPpWULjt)6v=#}p#t6g)-CwyCx~2%Uz6i9g z2qb<-l#$^sR2;O<2(*?6B<>)_$go-rvX%+7wrDGKO_8iPBZC%nojPb85orAoNIjzz zBLf$7?U1PybWIWHjvOgQ20N&DpcErRG?d*X#mF!n$_A}7LcXUNwB870<|G+LhUL(` zMk{3)8MZ;$hD6>a;|OY#F)%PVL+_OVEsaKAvorzi9y`#wC6K+J<ru;1mOyNSY(@q% zXnhY_$5fXONqh75FfuSaU}Q*AW?%pvLQU?SsqQZs84Nx$GQ4DGU@-j1$lwXBF9SX@ zGGs#8pn9|u${uO$8%pkbqqJ?b;SFT370NjagS?$IlG{e0wvsFJ2G(q*jTq}@j<OzS zWnvHj--zJN7S6`V5Xlw~z8&KlTN=Z4@LdQG*`Ba5GCXH{!N$n&mQ9ddg~5Q`o}H0l z8F)R77>76qBZD-DG6y4rDu+4;BZDS~4hJK{6^{Gh6*ez97#TirFmp08SaDi&GBVg9 ztzHb}4CiEINa9T9WMpWBu4F{Moei>*@ewCC!(&cHE;$A!@GUcTD7VJ=aDi@(Dd3W4 zDC8>TVqt*Xq_z*`mb5cmj0}&!_oMyd`U9!~xk0yO*>NM^gyq8xy9q0a8*~R&6ZbxD z8;1Q@RzWiGXfiN@S3%l=S3$yVxAcMEZkYtW*Rm11IuiNr!ZYx7k&nQ)7BWCrM<U;4 z4_O_V#LLZ)%-h5(4__mRelI6vrKBOB2_G|qDW4S|BZDvBM!pn=Eqst$Y4-3jGMwcr z<X^&2#NWuz$k59_ou83mCjTCOMh0yGGXX~Uno2tXMusqfNC8HMIDrHKMusGTbOBa| z41q!cPKF|Z5&=erCV@5qMus^8a|IX~;)R98H!};18;Q#^7>fsq%QFOv7m3R=6pL>Y zcV^fQUS-)T(I&yjuu?)nvYbH?y4Di3%2HfPS_-tvGERz-AyKMCijjdqnnjwC!BpB= znvtPFx=EUmVWIR&X-0-M(rcv|8J<gjlxAd50k56>1m5lPT=t7BBf~cED!T`||8*G| zN|seGV`P}OZ2mGv2IUp%D;OCXRy3|)WY_{;M|pIG+R8)*^_5yH85!JH2CZadXjs|2 zl96G{%Ka-D88la!tzu+wUgfijlfie@hE>T7n^tXE#mKOI)s9t+4Et8SUZu<M24zj< ztJRDQa=+zJZnp>B&r-**kfEHpiWzjSbQo(SYZq%T>v7f#tgl$#vwmS^V*||)@v;lB zd$IenZ)88f{)_!DyDo<TM>IzqM;6Bdj=db0IeIujcNb3P1l?D-lM{4P;c?CroToX@ zabD*H-BS3N6MQov7Z(@kjwN9(OD-EOCoa(aNub-40=Yo9CxLEFD&uP8+R3$t>nzs= zt_xfbxE^yo<NC<;lZ%HNbT^zZw<R~|7C6uyaG)FDK=;4Ja3^zvZhdRw?&9v_p2|Iw z8+6+m=&rR@+@M?5KzFPi<37uMfg5zU+I4Qw-D(UxmOQpRzC58kQ9PiVT+?{+c`A5z z@_<&xUFEsPbC2gS&oiD+JPf@4ydk{FylK4kyiL5*cxUl~Zk;{Gdx{ry<LrH2&>17( z+hzHz`0V+j`5O5^_b_$w_40x4U|P<%if=F90lu?*p!<|Sw<$63=kOQu-{NNwI4*Ej z;H<y}0bij2p;(~=p<ba0LR*D)2pttVAtWjcI++-BE-~m-V$d2U&`J>okvU=u#D0h| zh>M6Th?|K!hzE-&h!=}Dh~E_lon7)l{HHjB1g`|>>=Ffu3W)}ZRT3K{zDh7iYD*eO zT1z@eI!L-m=1LYwmPj^8u9n;&c~bI%<Sog2lG0LgQZ-WbQk_y0q-IJjkXkFXL292A zgS5AFfOL&?gY;+VKhowh)-s+lzA_y$pw(1+Wsb{SkohUYAS)^lIz=3GhB)X1@c{W+ z`3CvR^4H|w%YTypCoiC&u3(@Lr%<3!s8FIXM*-AB1KmBupxCWAK~YTwv{x%ZrC1xZ zLu-ciXPuuq>Y(#Tbq#dWb@O!#bnA7e=`PUq(`(TCtjC~#RUf=+)L@#yOoRUhtcJS` zLA$U%7;g1F;QM|)!-AeApjDq2mS`_?SoVDx!}6WWzc1HbVX(q-g~N*S6%8wnt~j^i z=86X^f>*|`tXbKx^5)8WE6r9ptnytIuqtv@%&J4HZm;^b3Urz?=qzW@NzMwZQ&$(P ze!CiUqAtUl#n(YMOoHy01l@68@ayI;(C8It>`M0c!QYpE+y4dKr31Q22Xv1P!#~M? z3jebI6@c#1`M>W!=q^goO_ZQ}C_%SSKKKt>dHVN11JeE*<hcmsb|Lck7HDh>Hl~HV z?{)#w-R}6tu3&5QL1S02``?KfyF%WR3L3Y9jaMP>Ph}V(Ybmg;X#kDo!}r}%sZEKz ze;404+<$0ag7Fh&V{#*@tqIyusKCI-AP?G0!@$Q-&j3CR2Gr!lz@UC3Xdg4^{1{N5 z6SRjIv@e()bn*-ogZAZuFz6l<5awoJ0Pi#60i7y?ghA)vAY;(|E65mhI|(ud?N7#s zLFXso!=QT?@L|xoHux~;E;mZBC<6lnC0LAsfq@bXy2FJM47yuxAh9F^1H(XK(7o&f zi9x464HO35UkEA;(DOg641kpbpt1mlL1h9m2JP?1he2fqJ`5^LD8aCDh+G=I{GvA> zxvU%rHgefK64}Ug(MV(?-w#jfTm}05y`XazmoY%jSp>~v*fB9Ms3Og0xFMahh(5RB z2A$ie2ajn$#;iemv0-O1qR(xZK<6!x=QcoRG=k<gK>4T<ZJq;kb|a{qJBf65BWTV8 zG}i$V2hDeY<~l%U?t%7YzY>DD0eQ~DT9}ambgm;<JsJD4LFYh%+F0p0?)U=j*9M&j z2{Jzpx;GniUgJijvmw#vMPTPdqR)$f=0iZ{faXNDBh7_?&W{9%gXThD=SL#Xg&5<Q z3vriXWB|>FfXeD1w0RNGnUf$h(dR`#YgR$xl+KH|Lgz)?Wf{SHxSbgo7`l=6a-+|S zOoysRo)<wr^Agt_3Fs`$NznO{xqBEHKyxM__k;F+XF}IF<dS$Urqd-x1{dg>2!>mX z3}(=^4A!?88FHZP(p!uSEw>mMK;_TuTZ|0zq2dc~F*2-&vO#k<<j&ckpUvs@5}LLd z`54|a;_erK+6IvOnVVTUSr{265*VdMAD_ODG(P=`?JXN4gCM&ByF7y-JMym2&+Lp0 zf7!)2BpJlPdp}V}taUjU86r8Nk#6{fj9A-%N320R?E^8!s`qg2<78sk&xt%@eHOg; z9x`J6k5iffaxc0K&fCt>$F28p?c-u%*pD)LeHLl-`agX1+J@VXn~A|5WenSwh%xN5 z;GO)CF>DqdMh4U|Y+tNn*n4>P@h~y$2k-Dd2HoL*7VAhhWIwDOFY<V{FL<{<@_6<s zUU!D`yoATI-TD0Z7#TM5ZQ)~P*ori&eFA*Hx&+4V+Crq=waBC0$N04cQW<mvFvqzO zCkPY@K*qU|_u+y@yJLmpg&7%y#6`pz8H~hD#2Fca#Dm2d8H&V<#Tgm4KzHeKOXxB1 zNbpK9GGH6|ww7dMKpy!{K-tL)x_cZl3J%)O%Lv`i3mXduje<Xu{tO-k2kq^J-BJ#@ zpZt>yE5m1*FQ5}A!8?4BZz%_j5i&AB_U+c|KG02McnBUZ*Mjce1>IPlzpMzfVtyHD z_by}v{ll`4%NQA$R<NyLVc=e&yh4IO1!YYA=n6*Y9^U^e)K;o9sISxokF0}ED}aow zZw8-G@N}i-Di;PVl<{@YIR!P~eZCu3ZCb^|uo-2neg7&(hJ&kKuhN2#xPM#4$nbbI zXv7`13z*^GBPPaw{Qnpk6u^6d!DR=C0N?N44Bja|ILZ$u@QjxYCliAsrw1n^gD+<w zCksOmN-463)0*KRxcoTF`G`}L;V~zq%wXbTWU%3K<YHw2jqbBDcyM`hF*EpZ`C`m< zHE}UA?BP1d#maC9T4sP&<(=hv#3jn`nClmpIKyx7&UHp^8*Xa`du~T=CI%;Pnc>SF z#?8q9o9jBjZO3qyn}NrefrZD8$BMz8$AO2D0XDORSt{(|v1ZuMbBu?b;S3L`B!JCk zG4e7(_ryDb%K%^ABwkU5WZqM}=?s^kIo^;jn!yA-UqyWWF66J{XJlx=nZI=f(i!vw z3<MY%j0DUD7@@oBZNWJ{0%>LomdjfOm>JpxdIi`S<_g3LA7F|jkjuA<Gcs%!-z(0@ za7_H9I5PumcRsFsuO!LHpf0H)$;e<NX(`Fh0NKGWE+rww$RI5hCS}bKAqAQPOOQ&E zVq!>@%8_DZC`Kt2K>Pa{q?x5byZp_hnHbEat)v+lY^0r}+2AEaqckJKBI%{lj0`Kl zb7rf-b7s$^Uq~}Cyp(<`&BE{=Jb$JmV=MzZ8vs^*Jdj~zc!YF9zz;0t2lBc79~7{c zCAtQT3^NTNWl700R)$iXWr^wvDF(F_M^?;ZIJ)A&3TcLi;FADQOOZhE{9MyYMuyER zx2<Gm*uHY-N=Ak~E1#~^WYAotw~CR$aFx+2Mg~))a;0X~hE*nL^LCK3<jpE=hPSJ} zt>R>Oy86XxW`?(`<$fFbGhit{2C9F;2%d#yWB{F-Z7IOWfZRW6g!WZ7gU+#mvXRe? zNAIg>L;EVaXy?fz_f@tDGBTKg&UhDOWY`B)kK9+$7h+^Eg!V~5>$E^;&V$BmLH!p{ z-vu-#1M0s#7J{q+d4hBnJ*W@E2wjtf+=p=xW@G^MNz@n^7;yDTEJPR??4jpBfzGRU zfwDpU6i^>U0(AD32qVJ|sQ4}sMuz<&kaL?3h%hpM&b0@*^DyXqDN#m-_aOD6j0~`~ zU3)|s8TLZgb0MF5kKCUz7Gq?vg7#r-#TXgv#USfcL46!h{|0o<(^@e`h7Dqj450qi zMlnVP*!nL}-v_h?40JlKoH!$cyf~!ot0d0IpbBNHi!(C7`bGK@j11<`v!*O15NGV8 z_mM21Yr(9M){CL{k;0&ABGLLw)1cxrq!_{H^@I8t2H<i4;x-EzMh08x+A&Zc3e<lJ zW?*2LjI_RNl?)@p2B?}%GK>tHq3o?>tUE*QUxE5ko(v2O6J;40rpiLn5~x1~>Py8i zGBA9RV+7wH@W-2h;bRsf1MD6F<USRyeiZWk0>s=Y;55|xPN4P=1L)2OMuq?eMh4Jc z!3G9KhF{=QP$1`4!sbLl^P!+M{-E{!APkxZ1(kgbOpFY3m>3xrFflS*0N-&6Ig6M9 zdJeHJbdOd5be(AfGb6)cW=4h+;FBB}85md?8RoJuGQjq1ZD3(!xXi-HaD#=B;WrB- z0|P4~gE}iCg8?feLozEP1L)jL5N2RwWH4iAWN=_-WC&$vWJq9VWJqUcWMJT6WMJiB zWZ>XnWUv68(F4Wy9E=R}I2ajVXCFV{U}X5p!N~9%v<8Wj5qt)xCFnd=C~n|nWZ2Hh z$N)MA`8+2h!zE5e1~x861|BX(22(CZ2H4n=J@gD@*twkv(6f(0XQn;lVq^fFe+)Xe zlYyI&!IYbk0d#g}C^sVm=q%(S(B0?Uj0_XG85v;bc*4#?X5e9D@Ze!&Xy9RF*u=xg zaDa!A;S&!d1L%BYbzVjW*uF5>o-hV}Mh10$Mg{|ZMutd!Mh4LM73hq7(D}%q{a~PR ztAhfJ3>O3#8D0o5GQjqRf$9R#+S>p@Musv$Mg~xs4?6P`boc5PK}H4!=vvzb=-pSK zJDx#fPq4jWpt2iuCq3*wdeB|;pmn#fd*xww%7gBc2Vu~fTM&+g-YE|%-*bc+8DMwG zGl(!UfXeL#5k`jNB8&{M`&}ELWin{3?Ojnu1_m)k1{X0#2H2giu(LxO#2CT%kQ@+W zWH>3t$iN`Z$RH)o$N(y1L1n0cIAre$g9IZ3=v>hS(6gccOE5BkFaz|ik^m`2hGr>7 zhBoNAq6efH8Ge9P9my~<fX)_$?P~*_FWN7|$N(w>LF;K5plfNZplf7dd)^Xc85w5C zGBUvKmVE%d--|(kkzuAXBLip;9OyjK1{FpIXV9r%28;}PCX5UWE{qIrZj1~J8H@}T zS&R%0(EV|+GfOXIF*3Z(f|Pk*vltl|vKbjb`)ELW=gPAg8EUf`8Tzvs865H$8D`}% zGBD&bGPvY3G6X=+4g{5j^Ya-Q9^^AJJj`cgU?^Z@_}I?Kz|g_S@TrTDfnft9gWN_& zhJuZZ48J!qGBE66WXRgX$WX9{k)dV}BSXU;Muv_(j0_X@Ffz>C!^i;IzXLiG6}AR~ z0lIewv~Q>AA|nH+Tm|jN0qw;B?ZJVqYk;k3fURc$tz`h6{{TAs0fd)cVT9iq06P00 zbnZXw{C?0m3!pO=K<fg|U1wwfoxutkrvi;lfySjI9xyUUKVW3gdcerQ@Pd)y$O}dW z(4N3+FCb^^gT|X+`vPI-w!+pEfX+%#f!>K`{f?0Vbl({WyT4;(fZb&Vy0;8;XBp_O zG0;6@p!>vNYg|G5fk69!V0(aI`+q=te?WVDU~3Fu>kB|@lR<lYVEcPudwXE}dSH8c zVEcJMdwD?X24H)4VEcApdv;*^bzpmSVEc4ndvsv?b6|UOVEb|ep!;xOdvHMeZ$Nu) zVEb)gdu?F*Y+!qA7#Nrst}SC?U|7z?V6vTw!C^ZSgX|6_28A6=3=7XOF>E-;#PHxX z=z<F-2H9Us3=GgQK5$ipg;>R~i$Q>4H{$_DR)&L&hZz|erZ8P#wPC)<`ihm2;XUgo zRz?N|HhVTk21hn8Hb#a#wtO~5hC;T>Y>W(7+1{~9GQ4N|#m3F>o9z!9Bg20-0d_$K zA$EOsMh0K@rR<Ch8`)2>OER2he+8~PL^&8495^C47#R{cvN#wS7I19gU}V_Fv6q99 zfrS%PKiF~xa0)X-a3*pxG8A!wYKd0P9!^FE(E2+@hRK{OI2jpMac<ybWB{$jV`13N zxswxglKwePL54e=cR3ju?sGomWMufj`H_>6;SVP(7bgQ77Z(>J188L)BZDxP1{WiP z7MB4RBZCo_F}Sud1J^f}T#O8nTrpgX3~^jVTp|p`TqRtL46R(fT#O9;Tobt%876bB z;9_K0#kGNpkzo_pW-dmCtz0{~7#a3*UEmUCc)<0Li;>|c7b`ap0~<FFHzNaRO&=qJ zFt-LbBZC&V0XHLq5w|h8o&wcUw%jq?@(i)u@!U)d3EYX?j0}a`&D=r^t=wJQj0}C; z6Sx@}CUH*%*Il!?85!nq&*Nrd0ImLGVF0cFV_{gyy^5QW0kjH`k>LV2D~~Ay8xIc; zBLg3g01qRB5RV2ABZC%?B@ZKmEl&iGG(#j$6b~aq0#72i-fQJyWoYB+;bCOx<C(z2 z$S{d#1rH;`DxS?ej0{_OcJeSX?B%(~qsZ`p=OGUx!$%%a?a0c@!^_CP$1A|g$RNaP z#mmTG%j?H0$PmIC#>>bM&zs20$WX;w&&$Zr$~%phkzp3^MqWmS&AhvL85uxp3z-=X z@*d-5WMJeo;A3Pk;xp!BWN_ef<zr-M<ZI$%WB{!%WM=5#>*8Z#0Ie}(XPC-2osW@W zCf|HMMuvrai}@HCmhvs<V`5mvx0;WUVJ+VVK1PO3e4F_g89-|hnHdi99pPhS0If!3 zWMJTT;CEnf<ag(1WbowA;b&y%;O_y~-C6>S4E6#60)h;I0-*wo3{e8Hpj!e3QUzET z(ggAZI2iH;3IrG#N(DfDy*>d3!6F7mK@TBD1}`CBAx4G(p)esvh6tf3Atr`sp;#eC zh6JGwAx4HSp<W?Ih6zHTT6~kxRv|`)9YXtr7#R)-9TH+<I4pEjh>_uh&@~}Oh8scx zpwUZVQDH^~(0WKlh6dq&P#;YsM~smnU#wn?k)cs+mKY<$0<l+Oj0_*eet>IR9&ttn zK5;>DMg|3OLvcn12k}60Mur6O9C1d5Jn;f?MurCQ&Ekv<cf=JWTo@E3oIodxNK{BL zGBilENH8+AORSJ!WB{$5WMkMU@l}G6fk9GEl93@mGFOt30knFOkpZ-Rl92(lf|8Me zK}t-DkwHN!M2eAtRoYmZk-=5kTbhxfS$csqBf~oB_0o(CyQH5=Gcqv9D9bQ1_{#Xp zFfv5Rl*=$Oyo8>G{}Fr^{x=y$22=1&fuQw|j0_FB({vdb7U(Y1Wn@^PyH1ypVT0~A zT}Fl-y8Cn)84l<k(`95hp?gl3k>P^wHC;xA8@l&&K_}VU7??3Q8cZ`_WSDQT(13|y zk-;wmMh4J|Nj3&HLw!R=21CO>Lq>)LhPw<I89o^PH)LdBFxd(^x8Cpld`1R_1tJR= z8N?R!EMa80u;lv^Mh1qZ+{+jl1eVD!V`R`;roD`jA#d4&Wkw7Ompxs^$k4z1`|=Ei z-^&?SFfuT&U|qq;z`lZO1tSB`3Z)f{3=S)bS1>X(t=PPRk>S&d?<*J?ey{kuf{EeZ z3a*tr4BRVKS28jftaMw+$dItIW+fv-%gRkF85tg|e6o^};rYt<D;XJnt^Bu=k%3{A z#wtbzhgD9i7#Unvd9Pw*NLZD>ijkpkRoN;=hN@L{t5_K7SFK;g$gpwM)>SMF+g9yd z#mum4)!tQ%3<p*nTE)b0d)1v)j0_J}y;{Y{@M#s}YJLW$)oiO789=K&85uz9JsBAk zR;#UMWYAcxx0;dR!0Jb<85tPXEWXaj09yIU$N*aV$;bd&{mIAxTK~z&Ap2YXHxq-x zZ;juK3|ha<els#y{I>bc$YA%|;Wrb5<8SBRObjl+-F`DMxc}bxn~~x2Z`;3&44`$Q zj0~WaqKph5{{H^U$WZXF<R2qL8ECC2L)!oR|BMWU|L6W^WLWTj-+x91(ArT(2GHtJ zMh4LOQAP&P3Q|z77*t__&a;N}i+LFs8Pq^$_c1UqXfQA`SV7q~42%r6P_{j^zXj3_ z>vMtFuznYq4ee8b*sy*Thz;sf$umRj0`;pLpll~*Mg~tP8`RGNor4Ne59({pg^DkL z_PaphpuX36sCWRh{{<3<^|e53Sbqz|hV`{TY*>E_#D?{`Kx|mQ3&aNXy|_3aV<6ld zj0~pGec$HLJ{d@j4F@9ws80qO4{_jNWC(?-N#I~)D1)*apnW!wdQgAu6IA>QwC@HI zXW(RH5aon~i2^4h1E`J$iGylpQ2!0Y-p9$vupg?1fs2tr0E8JB7zDXM^({oNC>JAx zIB1RxwB8F`>q5jq{ka;bcmo$B!yG6Z)W16pWuN3?WOxE)!}@t3dmXqL89;qK5IcdJ zkpa}-1F;*ReLfHy*3Sd8c^Sd?K7!f&j0`gT5I4a3b)a=hhWv~Su26Ai0Y(PUm^Da! zvj8K*dgxdmtd9p0XBK2+P=k)wxd<{cfckl$HAI1ej0{CkaZtanS&)$dv@W4Vkda{% zRQ#YIBf}9W`<5Uh!yQ3LoPzp<g3z%(VIf8aZ73VmM{I+#A+-%eeYX%J1E}5sxu;)< zkzp=W&3qw7h80jYs7AU6WwQ!1GJyJ&AoZ|*C5R2`TWWynAO;2oEn!9m11Q@_n32I4 z$~F~dWUz#?ZG{;bKz&Zo`btoLGZ88d>SLNf#{=C(7#X~vY*3$bJ(PV!gpuJWlnv^K zg8H9385kHEMHv~^LB|7ch%z$VhO$BZQGMtbAgEso>W6~P-ES0QWLO0ihxJ84egXAA zwV~s9uzn{aBLf4s6eEKXbo|Xqije`-4+X8ijDhw?L3%-b(oU$Fxl)V_puVXx0|UcB zXde}%hCzmrfde|`#wEkZpa*3;$S^V#$uKe)F)%O`%P=y4`mSL0vWyID&~*tMvWyIz zP&T(LBZC2y?Iz2}0P4qr)*~j$GBTt>#nWXO88V>kELlc|PAD7H-v#w^Js24n9?CH? ze3FBtXIP&XWG}403t}@s`@CQ_wBHM6L;JoUHmLs_mjy8g*7pU8gZjPt(0!%J*^CUJ zzAs1|*8c^uVSQf^8`S>=^>sHeFfcGe`@A4=Sicv{hW33yY*_yn#D?{OL2Ot*7{rG4 zg+Xjse;CAu^*O<8(B0S2`=&?l;D*E_@*Ui;{v$Z7pnXUX8`h5mv0;5l5F6H?1hE-@ zFoFA&U^b{~W?*3GV3OfjaJB!J&F}wU02O8^+i$n1{^7b^;ppOPLJbsti**;FiN7*E z7wgsObiM~oT-Q1^bk&CJS<BJH@4HIbGM|@vb`VYc)UjE|#s3wozJn(2e`n9WKO4*c ze?t@Jxt8tteqz`@!9QT<|A)EX=1={EwelBwb<o6{XN4MS!~|Y;LlfV6a@pGcHHC8% z(Zo-8zf&$(n)9j}O*}Wa!eOH4nRV09#KX^Ri>(y0Y1)V;ZXWjA*KCTwq0?yM{xdu* z@?TAx@C;4-xK)$t>DH3#41ZDm^=110>1WTkEs#bNf4W9Xd<K`vds8&=zD=fDztb%? z1)zyr+aJ4h|H_G$Y&7v*XD>^syWBn6iY7kor0VW-^Rp)}Kof6SnpCTjv*z|LH1Th@ z;&~&|RxiGaCa(7J@V&`D9({g~CcdNL|Ed*hw{GS7hw9&MubLdz_@s7KH1S}AgC+_8 z_nfpx6Ax9}HL<7FZ(0<Z_})6r0+sTg_e;>k`*%FuF@Nv<W&LR4Q)){(&d0C(u@X)E z{OlF|lM7sS9zhe&7Ukdn;{!+6eKhfHhK8x3svFM!L=#_AUwz@&Bm0>m5bwg%Et|Er z))9f2Cwge&mnRix&QwuZ<%uTFcZ#9;)7JLC$!OxQr35FXa6H{phbDfeBOssi%$}Z^ zXyQ7ll8++9w_n_hCJxC@4s*_75f@l`<;4pO@uW3JUstRJwOb*2A!G~ZlphtA_Kk87 zE}Yyf%6_u?-1Gw$a1jWjAm&x|IitFM(7YK$1VUysyj;D+;?mVz2p3MeD$NpCQ}>_W z0T+QV)PEnjVz?;z?IH*lPOeycqQ@fj-iEz!5eVaU>T0Pzj^oWYAY3>(OSaJIr)=zz zPjC?kBjUOAGM!A{NxaB~Dgy(<tKI^sly{7`K-W<~R6<Cv^7pf5F3w%#gr>eVyLL-; z1?MNw934a@ge;f1Te<K_$d+<6^@onmj-J#O-8KnL+%|UFiKD@$C)S{eZ(cC{SIicz zsmIa8AIC44xp%(Xy@zPxsy&vsO%Bao`Ug!sX8w%DMYE2569Y{OL!1aE&+U5|61@M; zc0)ArM~+IL#6SP(@Iez_S`l-3_Bn+!X=vj2gB7bkM~Tg7L=(3%ke_~M>w-tK(Zr{1 zejF2g@#czcXyP_5%a`1&nf?0$nz(?=lI1==WxGK`tYC*j38^^_+urxB?q)+vxH>K2 z%LLxbUr<03*EF2?^63(R+16;{b9v@0{5@OXc_^B=<`?Uvn+h6h3edz`&o8fv^LA<I zMibY)yN21}iQ@jHXyX0<UE=iTr1u>_6L;LvGiR>2@s(R>;wz;eZ<%yka^6=o@tfuM zcjfJ$_L?8GSp*seAS(OahuPLl?d!GB#6fb{Fywr6$SHrT7`8HSGwfnGz`(|Ekl`8w zBf|~oiTwwe7#WT+U1VZpc);`oe2e2dCMJdg<`QN`hBD@I@Z2ZpH2Zoiw=XPYW@K2* z{FRxJ;X8993nN1pi#{tOgCT1eE9mZ$1Xgy2M98^$tjHtYm}A{f*#sD#vAtvCAYsJ& z3;0fxU+fGVYz&MXpwVwB4iyeA1`Q4e4haTFqDR6DM@PcBN5V+B8`Vd`kMZ5%6Jxl` zcb|`%<KYVggcueI92H<>0PXZ=V}P7F`A2{rBjlhH0~i@VX9h4bFj8r>{JQuZaaIP< zxdPM}CtohbDjmnbM#5-$tqdar<faVJ`2&m$du8@x9Y1G~-4D7@Tuu~zvkT~K0!9YV z=>&`nkn=eqH@hT&@5^X_p2i8f&1IiF@@br)n_Xb1aoz@>#tFLL1$G+eA9+TGLWOz- zK86Vja};2=B5)}R<GBw3bYdqXgAMdP1W!dq20z7SMN@_rMFyQ3=nXLjI&nIT3<)|< zbQl?4>%7xpWcZ}>S%(qjd``&hK)!B+t{_7rS#t#XdaMT57}*RA4YV1I3``9e8LSO# z3>cxa26GMO8!$2~1kW1$G5Bx5$iPa}tN~=c;4gT-fCp{9z+qV)_?D%)%NQ9JfM*TF zm&-3_WKde(v7C{id-=}gj11qYI)iY2<%5-i3=hHc2+s)2BM?7>a2II?;mayU2GD5{ zxMvUyz~`ODK+iiRJe$CPbQ&rxW)>hf071@KO(SAv0n#2B+Vcyr^<AK5Hf(Jdhz(oc z1!9lRJ3z+4BnN2T0k*~r6c?~{W*|1h=zIidB_zX0n~wmkiMu~KH^D%Qxe14CMh0gB z^Aw=9di8mbG68+gVsbts189Hglzc{p`Ov+fu(f;OJWK1jj1h9i(1B}^@E@rAno#D9 zUNSN`!}i3E&L4rxWZZW*LDnCF^8yv-j~p2o7@{BzF$M)FoyfqzP{qK&@ZkS{@VGXJ z-path0K(6q<8dJR00RTVHK-n#UeLJfJv6Zg3=9n4(9HY6z`*biO$=li2v<V&gK0(v z27Rz+5vLFtFfxFqQo*V$p>6~%G6G=<C?7-{F)}ciqv^F|WMBZz*D^35%=2PoV1SIz zBE%{f85lqqWJx>J%t}TEhB`F!>KPdrK={f(NLYdB21W)35Izjj%)r0^q8k|*7(f^# z1iBL*L^m-qFtnnX*T%@e0Ky>ob0C6&fdRT)8MLAUjyo9{7(mOHK}ybo2+*E<Mh1o+ zH1qlx85lqqq{JUYFfcImGeR(kdkRD_FfdGDgkTW&G>8E0L1AQIfQ(Ng!eufe0|N+y zl)&tr!pOh?!XUBpAcBE`VJag8gVGX62t-d~WMBYc5dSiW0NoqU$iOfi>}Cdri%>Dp z9r825VhDR@F)}cK@M5SM5Iu*HfdPa;LNN2@GBPlLFi7kwh+tq~n8yggO;A3F1}#Jb zVUQ3k4KDyKMMJZ986yJ&2!qt!0uc-h49giA7*?RE11%u~VUQA-I?x#YS~PX*7#SEq z7+KwV$TD<z+=9~PMn(n(&~kK;x|<*Zv~P=%fngh(dD|Ho7(f`L<Ti+4U|`t6$iT1@ zO&#d?>Ah%T`xzM+Ko~Z61EP;GGBAKJ$S6=&2WdIV$iM)?ps6AdA0&5-k%0k(LDOO& zK1dEUHvz&RK1}ZkMg|5D2Fb(po@8WT0AXakrx+O+Kp0u?X+{PH5JuK}hLM2*gpu{0 zWn^Hu12!CyUhXn7Fo5tzXx;_U_ZS%%-lM7e$jAU%q7Jf_0p{jUj0_AQjBM{`Mh4JQ zbOvO5zc4Z|d_^<w8zTb)2!jlq54HO{BLir;I!K7&1XSz?BLjmx$U>xYNP&rg0fa#c zLGykfT8W8)!5B@Q6%zvk2!rIcwLl~TgEbQagAJNGTP6ku5C*A;h1v@`69$AqVj57r z_Dl>64onOT_AtH{1A`+I1A`NqdCp7>3?K~BYYR2cg^7Uygh67iAcBE`!IcSuL0oqb z!N9=a#>Bwjk7ix~69WSX%R}u2(Sb}13?M866$8;hObiSlEC&?>(ZNg%3?K}eX9ZaS zqC=P%7(mzv>NXG^#>Bu74hlo4nDPJr|09_g7(mzzDh8sXnHU&A*c2)TqGOmC7(m#6 zDaZx}1`wUV#J~W;Aag*XAUctWfdPcQq3S?%G7|#>2pdAp1JS8W3=ANw0aXX0LGx!I z>;e@7(HTq(3?Qrz)eE9CnHU&ASQjb=qO+J77(f`L2jmtIoz29+0K(rjK_UzcAUcPM zfdPaap>}}id?p445C)k8QVF69nHU&A_%Bp1h%RDcU;trlsJ$S%l!<`>gteezAi9=` zfdPb{L-m5_1||ju5LSb#1JTV)3=AL)VuS1j(d|qO3?QrpbsvcCU}9hZVURpbT_+O* z0|@Ving^o0m>3wknHU(<p<*Dqhlzm!gm*&4K=dRg1_lsTg^Gdbxl9ZUAS?>C7evov zVqgGaA*dLLc4cN@0O1gjLl_tsKs0C`uoyfihbVK(m>C$#(Zs5m85nAr85lry4oEwQ zu4iUo0AUcn1Vk_}Ftjm4Fo+A<4-BF^m>C$lz~(V9sDcC-7#Mn(AsEDU01*rf41LTD z4E<1b_E52j%nS?*p<=MM*<xk}hSg|dYnT}rK=>9kTtGBv@_#)u1H)~o7>M4;%)oGv z8MRG#h?#)_gdalHf#}1`3=F5y)SY2wU;yC*P<0^sEHeYcIgpu9v4j8r|3A;nzyQJ^ zFK~be&^1ZS3=9`Q_CVDg`v3p`MP>#D5IznS1JPHR85ltLB2)}SUt?xq0O3<mF%W&7 znSlX>e?Y}R^bKYPhWFrbLB!<;W(EcjUIkSLqCYY-Fo5s|s2GU;#LU0|!l3=%ASZ+9 z&&&)AAlw602co|)GcbTKNX-$bIA~56gcm^hAo@Eq1H%tAxBO&gU;tr|-k(slznB>q zexs@T%gn&=A1ube0INS3SQr>!bHo840~i<>1Xvgt^r7>^u(V;o!oc9qf*QX8EDQ|s zEDQ`Fe}c4v=mZu9hDNYnL|ApPFfi;#6Fb1dzyQJ^eV}udK=eTt28MHJ>dvz;Fo5t! zsJ}q;1(5qdhaV!<jfSiY3}Ij~2JqcmAoCJg85r7F85k}=^@8XH0t^gCK?j;LFfb&@ zfK)Ls92bCK5DzAHR)B#4gptKA2rw}G5@29B06NSNB*DPI@K=C=;Xj(#0$~P*_n<|$ zp!7K%qVR(-1H)IS7%c7n5N2TbE6l(EN@E~ZAi6<@fdPakJ%{ucKy;%F0|N+8hKhmc zCK(0>5S{}S1JTVg3=AzY3=H$2Vj#LzhJgWu=R(CmbejwV0|+mHih<~M83qOrz6})v z(H$}j3?O`{6XZGu1`s_#hJj%hG~67%K-{=jhJj%}npmYQ0|N-NbV2lj=vr9@1`vJ* zI>-=o4jqV=V_=vHUNnSAFSF$s7(n<aG~Ph;JUIpi5C)m%_y}U}0yzeT|7dza2kNrR zGcdry7({c(GcfRj4%%fvgtdS?1A{1<Ith6O23a(93i1pL>S*dT<QW+B(bO5pGccH= zsk4x0V6aD1=OE9(;EJZsL!N=b7foHDJOcv=gWM1DGl&kBXJ7zf5E~{ID$l?G!pLIb z@(c_hj4T!@&%gk}Abp^82BM?o85lqq#D<B*$}=#4FtS*@JOcv=Ba0=-Gccs1`6EM~ zfgvBw9|iIZ42ALx3|=733=q0Vo`IoMo`E3@Dh8s<<QW*s<rx@Kpkg4pLY{%4Ql5dK z0V)QftK=CNs^u9N3ZP;jx<;OXp;n%Op$;krq8sEH7~0X?*CEfq(2wT63GxgK)6vw; zkY`|+kEU*cJOjgWG<7TF85q{1soNmWz_1Zb-DY_P1`r1AUjR7~MDLJiVAzSKcb7Z^ z!)~b9HfY-4BhSD9!XSO$p<?^w85ltL_G3ss0MUo#85lqqWHv}Gh(00Dz;GIB9;ki- ziJy^YU;tqd8)n`Gc?O1yXl}kC&%kgKsuz|vZpkw++=hzHfx6{^JOjf+G`&yd85lqq zRM&y*0nsny85mwd^}@`1CC|X{8Y(scYTg@p1_lrY>4Vi}pXC`CKp5mFkT{6`A<w|@ z6RNiXs`r;X1H*5qSQAw2k30hd2!r&&{HvzOzyQJ^vBl7`L`#u@0fa$fj`tzqs;$Vt z0Ky=#T~IM2MFs{C28lt7JO)2S1~7gCwG%`qC^9hQD}q!pFhHvth5|(fhI&N?h7VA6 zAi7zR0W<@T(zoBH!oYA|1)>+U*8s%2pu)iLQiXxx8B{Nr)?r}K(*emM>O2D-28K8t z)H*Lghk+pxtPWAeCh0IRfG|im=QW6blXVyvKo}$@^${YLqQk%d!XPn8sJc`g1_ltm zdKIDuM5pO6Fo5t4s2GS&*I{7DL9;hkhk*fvLFPSys?F13U;tr|7&Fw4d>sY`5C(}o zgsLmhVPF7Zkk})rSdk6`Lou2ir8*1@WoTk`It&c;U@-=UgHXK<It&bt!D5JTd9B01 z@D5GvlMVyJXEZSeT?PhKT?U2)&^!pD)pZ#dKp4aZxe-L`>M}5ZFo+Ekb6Cc}kPAA9 z6{-Ewu#ACWHkz2yas~$d<se-M_Zcl`V8}-kt6a{&P=h8`yPSamgh9IhltSXEZaD)( zJDR%g<qQlxXkxv~85lqqr1wS?MDK*<3=EUe)J<E?z_1ccY}IlG1`r16-2>ITdN~6F z2!q5nLdDiBXJ7zfkl0qJ*xKa`3?K{=+Yc36x150igh663^ENDJU;tr|*gL4YjmsGr zKo}%;4Jx*2IRgU-gT!7##WpWzU;tr|*aB!<c*}AIhMj2s2wu&=0Ky4>ApQr@1*;ht z_OE7OFvx(2foR453=CGFL1_liT{a+785kV@GcZK`M{O&E)&Z!4&T|3pjfAMvU}0qN z2c7i-&C@sj|NjqLBLGsN0U{U};Ag>r<Uv9p8a8JQ;=}rRu(@kc{|O`xqCs<+`$4;i zk=%Dcf{_6<zX=+*2dM+mpgFgA(D@ih>Jsu889?)JAbFTNhL?;CiZ8)~S`11cK?Vi} zCFuOjJ*YomG)Nkvl7ZpErce-<;l@r-&CbBUFats#P=e45%1|20Z-CMcP;mz+pJN@w z904f(;21=oLL7u{@PW_{F%X(T1wuPO=>{l$07^5cLgk@!1C%}hr5)5D@(ob>0E~v( z!=Mh4cYx9jQ2GFrX3&7hAAtI!0mg^Y44M#m2WKc90i~hh4N&?3lx~QI$TMg`Xa^|G z0CiUblz#w9GiXE9G5A7g2N(?%KLDj0pyCWVP<2qc0ZJc$(hRx~`2$e(4p4prls*8Z z8T26P9H8_8D9xY`6^GIdQ2GFrW-x%tL+J)6eE>=`_(9|u3?Z}wl-~fQ4?t-Kf2cfE zT?3S6FoKFh=>{n609Ahg%4aZ!s)N!EQ2GFrW-x)uL+J)6?Es5cQ>Z+YZh+DUpfrOS zR31t<z-U-}K*Q?*)ZGm*_d)GpFo)<r0M+LJ<uh18#2cXe15ld50wV4Jr5m910VvI2 z36W>8h0+cX+5sxw0HqH=X$P1+Q2GFrW^jV&W3YzM4p6!QN*{pI3^ow?23H8}0OcR> zfbbh&d?@Vzb(aG)-yML42SWf<JyiYxlxDDlh&w>(1}J?1N;B9)<)L%~ls*8Z9iaYi zfYJw`G=n2lAIx4TeE>=`1VZJZbOV$=0Hqm%Ao2{s5ZVE1UIUbNfXX*O=>t&l1ECQ0 z4AAnS0ZJc$(hksYZ-CMakr4F`Q2GGWyapH_s?GuGjs_@w07^4J%cBM;eE_Bp%4cwa zn9mRer9&XJ15~^LN*{pI46t~H(NKL2Q2GFrc7U350IH6`4QeiwZh+DUpfrO!R31t< zK<NWengN=g9H4XqlxFaR>Vwh^FdAk*l+WM=RR^UTp!5MK&EO4@KLFL|0Od14<EsJ6 zcYyK_K=}-?avYkT9iaRJP?`Z+e>sFh%x{3w2cUEV)I5eTh`a-oZh+DUpfm$iJ-G4( z5!1s#B*O!NWH8MD<-b7Ve}M8IOo6Ch&;&Z65i}tS;WG%L>gPb?3qbi3CPCDLhiO1# zZnGeK38;LiC!{_H?ePXVXu1)E59>3nbA<3gcL0IpRcAu@h9C|D1B0_Kgb%u72PBjn z58=c5X7+O+d}EL#0|SGLB!my@LxO}JOoO;bK?)L%FunsCKLCwy0Ocoq3j`U%kZ=g1 zzaay{|F9pzKadIG?}3G17KDES%6EYBBbrgeHvt+xu=vS9;}^jA=8$-R4lOdkXt1Lg z7#JK}Ao8+M0T>PCG8D+*msco($b;?`0O^6zAT|gefSM1BUl<LN1K|l!^I_sJ8YBn8 z39*oHf;Pp$=YxX8;Wz;z4;|WNfYC7d0|fLdtbpj>1=Rqfp<D)sT!=g@eZgp$JOcsq z9nvBCL1#*U^uTBk8-x|oAo8#wV;BvR17U?ih&(L4!f22j2q&aK<YDO*MuX%)xIqyj z51u+@0PP+Ji6Y?+h&*`F5J(u-AP2D-7#ISe@dFy80SUoq5F3Qy`5wf<f*Z0S=7a7? z0!hPY5F3OOG9dD>{0yT(a$u|mNng;ZTLu^nmSbRGXn>Z3;AsH{2GDc`ObSdVh(h$k z%!SclNsxXmh&(L+!)Tbi15`ig><^F_j0UkmSXTnIy!ckS4wh6w(hLWn?!y)S2jn33 z!`ua<!J0w&GXWwG9b#vI(Qx@dh&*f!7L10;E3AUZAArUyjE2cCI0cbk1eJ%;F!=+; zkod8M3czS6m%#*D58w)aC8#{qcz7~{>F<D+Q^;e{FgXydOhA7Fv|k5HmoOTn7la=q zLEI0^cQ6_x2gdObd6+&J4VDA-bqVNifcD#9kq)C_`Vahsgs&Mi0AMss9-ZE>7LuNJ zKxuUO2he`<3n+~)kIv`7VGg>wc>)l7Vg83YmtnIEL>#?bhv{Fg1(63$)quo8^&ouM zoE-yN{s5^##=dCvEwUVlZ2<LW2b2bhA>%q#h<zCG3RUC*)wcjjLxthgIZlXvSo(!a zKp7TLeG{NGR2WWu<%Q@6-LD20L}I}7SK!d^#|_bsUT?vS(17Y|fYLB=7`>K&eg~+& z8BiLg4@N(-hu9CR*D?Yie9*bzAT<-MA$;_914s^xtsv<e7XL8%fi*-NmY!fVtQ-XE zWnf^yRew0hL-fP)6O4xGgVDJ3Pk@#yuyhQgVftY70au7UpgSNzVlcWP2_g;~Ux(2k zHOP1aw0?xeAB;v;gO82V{o)Y&Vc`X%(al-_^(RaoMx(34#rMEbzHWe;3kx?GjcyJu z_irH5{Q)@aN4EzT{{YmTFn_~nT<X!q7g$2V8J2EgG`c!md|duNpaC%#R<6KkbaM)z z?F(4?hSBKiaPe{3-#}#kiJ&n2FHji%2^89Y;5j6mGoc9-Mmv0fh{M(=!)Ww!`T>RR z#})n`pyooIh0*ST#V0QP7l_P1xb%M@Qon!%B%EOB6Gp?_M~I$4Vftk#gV+!0^)fKP z=ml#b;yzFZz-aXN+d!fHxWbP?6JkFsJYY1`IdBS>{svu$epr0~qv0B%3<qfc239}9 zXs9$n3YYy1LJ<22rN0N$A?hliHo@qGY=}5~4hJfa9u5W+rXO7H7a-F8xbz<&GW>Dr zSD?^-10wYw2!ez&Y#kkph6Xo5$^n{AarK`F8Q=hQC#>Ft(F}SJcfryvj7B#PSNH`$ z%_WrnaOrpOgV+zNk6|>r{RjLZ>R{tmF!}(I^&dkRL_cgU6-F~CK*VA7F^opHKLJPk z7hN4LJ}&<=m_Y1@m3J^2-5do+h&tG~0gOghhl}q3jb~W@4n`*sY5xHl`9A<!uE5eG zj7IlI28HbhT;cCPWc)K|L&6_6?gpdL-HD5z0L>>b|H0@2BK?oc{S%1Pf4~{yepvqw zMl0axzoGlX;UYvo=;{xU9E^T&9U=}pcMV3v$^(#E5XR;H4Me&hm;MVx>c2pv_QMAv z?N5M?&%?$QVKgXgh`|jw+7AWLbOj4<7>#ab15_O>-N9&db-4Jr!oLApE)lAi1SBEp z1lF#A(YWkG7sqA40n}WWe_%AR`U8m6e*j1LquYav{{R|JuyPwl<5G_<-rx%fKiIfB zj7C=n<D=K(A1F-!7icv8(LiMRi!1&ch%CPfXjFepfaWV$zJt-Qc!1Fhx*+kF2^E0R z3-Tc1&}E(sFdDrbg3JE}M5bR{`WF!Ee&{?U)cJ5~1Cjb0C~UtTfZ7Ag-!K~P5CRNb z{+~c$_#L1y{W{n{;vd!@gwg2U!R7u7&~SmJI~a|wUqB3E4=i25XmoYB__*xfKxFtO z?1k9B1!@6|cGw9K2d(`FNx^6k8yVxWpFt6#ALbtzjjR{M#-;xOk>Q6+zXOH#Um!C4 z8ld*T`Uf!j1BLEC05umDZZH}YX2=+q{~MtF3RryuqmlK3*akF8e;<epKLII7I)Sx2 zU^K{W#9&<hXHbHe3kx?Gjc#T`9Yh`Id;^dijCQDjh#P<e84&CDp<Dzd3{eLw_h2+a z0e%)P_ZvXN1!f+MMmMd3!uqp;LjAbh??7bu<I*2Mr2ld07l6hKtlWjs=;4P;zXFB& z3n+BI0fqKE5UKwIjoOa^MB2XqTF=79mtZt{JmKQwioXdIhW`c%^&cRz{Ke(|1Y*Mv zNBggUSp5ef<rl2phtUs?Lc}2?0|SgkkB1H#l^+)<4F3iq%bx~lIKj$S7>({vT>JtN zNXWqS!Dw9S(d8#lX#WBV^>3h1Kd$uqfx`GZ0Cg9v+=kKU;fl+C0cqmm1(*H<M5dnu z&~aMW`WF~|fk^i^q(R*21oaq<R+tJAw}gtrX!Q0GF84nm(tcd}4JZu103!8&fVvZw zFJLs(+XSfz6qY{)P<O(@6-E=Z7^Z;4{14LxqXQ^xe+y7(zkn<xox%DCFdF7QLbL*f z_Tx%_6QJ<|OAjy_-8~AS5ck8*SAo$C;Sh1yx+)lrUXCQ#Le#<5%fV=LdvNh_xt~E9 zVlJ%T0i)5)Q80z5gOwXF8eJVO{tOD!zX65u$Dj^zKWuyiM&oiXx_AMF=^t14Zy+-L z;L?AASp5`+KQ8+}5NW>yh4F{W{s5@Cu>1m}(c{knNBkdvjw`~-Qy7h|e*%f|kDiYN zp#2w^`7j#Y9$fqb6wdz`;3z*6hz-AHNd8HMIuJ%HWI)8BM-(u?XmtM{z)^lRK+6@_ zcod9=S_7vXpyd=y9!A3zpfS+xZy-@WdOB)=h7+uOhtX)(;^eNNF#k2+O21B!@Q2MO z!)SDeY@pEo10;qYy8R5$^%t;xDKOfh2;zR&S!6I8J^m)(2>*s)h<@077>q`@|G+Yc zx<04`j9#z+A`aX84x^#U;8XyP{KEj<7Xr)AFdD8A%5cCn|E~|RAJ(3M(NJx0Y6FSo z4_qUZae+ep4$yQ4Iza+tB#Z{Jv0?Q5!;lK`C+yrf7_HC?5jTe#0;8c^IJE#r{4=OP z^uy8%jD~B3G7`KX>R{{DVKh`4gMzJZfk_yUSbn3I4<B%Z{|2bPVBrO$VfMo4gh>$h z7eWPKG(!bMTpKD5qtW+=IY84H%wI4XsttoecfSC1ohU4PVKjzD90IuN?*l~o-ysCz zPuTn>j7~^|h{NI&Mx%#g0}lT?_(1f-)>FV}bo~dCA?i$_{)5pBxe#&m^^fS`;{Yuu z(APN}fVvBo?_o658aQ<U8cwiyfzc13;xPBZXt+iw;{cBO11gO{!OVwA?4YpzD8N;I z!Ym*_FKC5?a|To$jDCQl{eT|+4Y<l*sCk4ag%0fYJD5SlVeJtZjb0CYfW{LnTwydJ zd*SlX^%pRGFd8mTfN_Ar_&Y(NegR6|ZwLuzSh)tH33wYWtzd*hzX3!XmhWIRTqBh6 zz#XCvw!a)kL!~h&^n5sh!u0O|t><9r3r1sDj6>i+Kg9icP<a@wPz4c()f+GxR!%{c zGcb5V)JZ}GU^JAAL81G9!CZ*`TBu4Gy<jm!9CSYbND4-y*G~!-5OuKf8AdBW(-q7< z7>%Ak4tPTJ!}j;XXagMj(aR5pIEa2&_`v7|IPyPwI(pCm(VqnJBm)Bjj6N_6A`ZIa z9wY^$(cRxbV*JCx0c0i!U!XAmI^fV>0Bsk*+zX>Yb|T{&IP@c{!N=Y}V*Ww*KhE|C zG+bfv4WrTBQi!(y^r|dmzauQ2ZdQcwVdYX4ntFd5h&*iFY~(3XW`<MJ8zP|le||YY z<YD7~rEg4mB^Ep9MYKT9bAb7$2yGuKEWGp3#9{SM7MeJ^ztH)4$`E(J#`j?Ma-!|; zh1n~NCXQ|%I=@LCVm>UKVCLtbiNnk<M-xXk55}L$0WlX>{K3?bOCLqszq=fbKUolB zKWtp_JlcNdeo=@#tXxe+)1QwfkM7PMH2)Q%@h742XQT1^(fE;Q{5CW`y8Q#~f0%pt z)gj>sEB`@9!GPqL(8T}$|DO*M|Eh+e9(2?z!*5-PIBXpK|NsB>AoXw1#6e;pe6|Uq z9yUIaKNZ5)14%M4FeJ}_@L}t4R+d2cu<@{`(;$3(sCubc5I*eunJ?uKKCB;c35`D= z?R*-q9Ef`8F{KRXd~G!O7x@tNuzf@5{1a&ME717&(8`hPXz8^>2x2}g{Vze|A3)<n zg8@!$Lo**P0cD7zm8Vc)I2DhUe#6lC*=T$(G=3f$KNXFigvR$p<9DL*8_@W*X#4;) z{t2{m6}F2&!V^|raG>qqU&jTJhqW`%-5-V)o<V5*MjnWMSUYkrT6y&o%{}sH@!c*A z(GPRqP<KBpAx%f~H>`e&L@Tdh^-~j?dRYAvjHVt|A6KKP2VYJFx)%ve{6FZ@Dh39I zPBd`_=oz8k#UbGj8}EgjB+9_>4ow{9FLe6H28jL>P+DOlg#Q7`=YaBWK=}et{sSmq z0?K~@<ugF}7ohwPQ2q-jy#Ojc14?^9#T}sZgY^*mZ$Rk}Q2GUwZh+DiP`Ut0XFzFK zJk3N)$FO*+LlcL^$0f9S12%p<0Zl!u+?=WaaVM<(0vj*Bk7f?&jB!wyi)%yF!`F*J z9U6t!-UD9>1iFI@&0g3+MHXo73)sQ*oap9*EX9MB(aeE5hk*}G92RckXzg5B`i??# zCoDW=(9D6APx@%(2dv!DLQ@Z`Kh~n<A6Pvvil!b`&$FY6GeD1aPeyYmtey`<6VFBu z$2Lg2TOX}m&jA(RkLDj1sJJR0B)(z(<AI8|qnRTB6@R1xQ4ecxi$KN8(ax`vfQs)& zbEgbc{3e?J6`<m$(c(`9Dz1fg9*zc7{1;k1p#v3vj^<wjsQ6bjf0;nVKcm@e0TrKT z1@W&CG>zIo#i3j{waEmcP7o?`5Um{i=L3<4_2Y}s&iC7g7Or)c5cM#73(?wBQ_<QN z^=R=}V-C>|bI(?^^Nc2<<^OO)h<aFg(ud}rKWOQ1BU-$2>O=Iy+NXcf!gD)XdtkQ? zL_MsW@%M)CVdGS(X#7WL{z*r3FErTU)N{1-H3==9>_KbKJVKLiKyz;^8ovvTF9vPj zz{-`YX!3K>;%6}$e<d1!HCp@g2%3LRqw!hM(!*M`@OMFT&n7hg9z)}Yp_#WIExazG z@%Nya-;L&<iD-OTXuky(|L}-IV+5e3Q&{=0i6#y!|0U7R>4KI2nrP`C7Efkq=D^B- zE;Mss<)b-Tx`UPfRcPZ7uy&?1n)$Hy&vyezdWYpV*tm>2nmb|jHanWVu<}<NO`I1R ze(GrAuyCG)=1y3Cl|geS%w5mX+zBgB7NV7Ru>BovXy!wke++jCoVR6&mOkRq(%&bv z^jU8U2|w8QRDwH%4?E{-1zP_2j8=YK2!yDI&A-{A+24g`UItn{Fdt1`60Kgjj@Isy zmV?*_i)U^n2p<-|v(U<0J~aOyH-@N(#mii@^1&T?-We=B`kW!^VdHc6(D)K)_0a;f z@YsUpzf*n?^I-LtHd_9@g%<DEG$86>>G2m@eu5@bhIq7k8kWD6(82?jzpK&8HCX;G zL=%VQ?{qZtVfot~O&pfL7of!(EPscisfXq7bToTm`P&{X{lVgWHCj4^#lI<<Ik5cd zk5<pZ@~bMEI4oVTn?k}JmQP{yA~btp?TYPa<piwV>W}8%uh8+SI~EZ0Vfw#8#l_I# z>pN6@JzBi~g^C-ax&I$jd>@*6SbNzKO+Bo=9E=uju=cV&nmFi4R8X3WMH2_zWeO7a zL=y*zVZ*TW*~1D62N)kli?Bh&Vd)hn?ub@j!sK0Wh?}96cQEy{pzRD;I)<hH`8dQg z(Z)?+>Q(q5?u4apSbovQA?}Vgegad!M+{;PEZxJzuS-M3Vd);`-iJ8E!_dafVd}lm z$`x2X4Z|V+0&V;hrv9TQ#67Tl1@rfR9O4_G<r^%&!PH+zs}Et~d1&<^tbC})A>M?R zk6`MTpw*MGaNUkW{2R2~hn4d%^;caW{)N?tuy}ZdL;NeWyoa^F;p(C7a9Fty6K_B} zPZL&-&A=gkIvC;}*gPyuy+t@g9Ci*RtX&g?LtG#Vq8@f01Wdhf97G(pP7hY^=;IKV zPlBkIg=TV?db2c$IPAP`n0R9*L>xAL4NFH0aEPyfj%UNhVPNVz3n1pe#@S)%YZVUh z38fJAuyJ;n`lt$sxH8oJu=0Na4)KO+h<eyMB$#@?dWg6xnmLCXA>y$416V!s5{LM| zW{7%qs5vn83T+T^*!feidcy;U_{k24dQGS~F!lesA>y!evSIBUjUH5Ss5Urt9IbwX zm4EZm(gjT13a$Qxl|w(#+Q%(W8xo@+_QTR6Ok5Lf{uE|TELuI*0X0Vg&0d%|M=r#C zSUQ3#XLy6=9#}oI4lVt{%-N5YPGRcLps9z6N228qn0OxAI3O(Cn$W~y;b86oaX+kF zX@nZ2R|pY@<pV*exF%XS!2G)ltvrCK_eC=wChm{Jd=0elWQ5xL4K1HRg&Atl;uj`f zfMz~S{1sYwHbOlx0Zklc?{2ho2s5V}t$hv?FGGtLSbe()P5l9=y{FOo5is#9XyP#Q z@8S@DhC}>44)Gs2#2KX^@eZq}VD@t15EsTFE`vi{6^FPU4smlF;tn{(J#mN!;Si6; zA)bOmJQs&}84mG!9O4}~#3$kqpM^twF%I!HIK;Q&5Z{MG{5THr3pm7Y;t+p?L;N+G zI5b;eP<d$m+mcX7I)$}Y=A(^oZbKW7-GVk=8;z#F60Mv%gjUX#qSY5S(9Bzr2XPOq z9{7u9-h4BNJgnY*i`I|LaD~Xj+Jic1?him4zql6yQ4jOKKH7NgI<)Y3iB_*IN1G2= zlnOBq*4~POwp(HK_`Gz8JgnVy63xEFXy%8b#n&&i{->22#5|aPucMiVK3>Ct7QS1P zA^KtN{fHKyQE2h=3XT5)Z9GK*ZM;esTHnCxKlB926A7^&)-IlaX8tC$ddVXQq8>J$ z#fs+N4z%%@1T^*Ri4gs;_VcF*2p?wuceL=|juyZB(A0;Z*>@amJi*EdVm_?j!iF}# zgB~7AX!9k!XyKWImj1<|{W@6ty#md@)oAf6fR<j&(Z<_;qvfAFXyX(g(ENKAEq-j# z;^P=veC|N&5B@;Qzw@B&Zdg1023mO6quu}H?ga^7Si8#<Z9R}l4MZN+A4o!LAO3=_ z7lN(-*^Aas2t&J%YEd7=JlHzZDzx#^r)d6PlL1i=%kS=J?*ERKzJ8#U5AV_L&tgUM zZ+jTTd|3I_idJ6mq(J0h`FjRhe<T^Le3i+9sE76c8qvzXwP@~9tb(YA_0Kq<{X1Cs zU4T|TIH8p<KU*RCVf`sfX#Wjn-rb21d0l8m5rg*oVEx2dX!*qqExo-%D=)>-()%T} z{Lzc%zVB%HMFHBshm}9yq9N{s^^0bpndgU=|I^XzH%80f6VSr@GunLMA2k21Kr27Z z(DWyv*&l-D-ur0dfo9P05m<e~-T?6*EI&e{0Ztu*jt|1d?M|TaPoeS8pz+V4@h_n9 zFQM_Tpz*Jv@o%8<Z=vz;pz-ga@gJb^AEEJ|pz&X!@!z2F-=Xn8pz%MU@xP$)zoGGe zpz(j9@&BOl|Do|2(8g_<(D*E9d^R*b2O6IXjn9L|=R@NQpz(#!_~_%xVrcRbXnZL& zz6=^)4vnvX##ch)tDy1K(D)i?d@VG-4jNw%jc<U)H$vl^pz+Pn_!ek<D>S|h8s83$ z?|{a4LgTxj@!in)9%y_oG`<fS-w%x+fW{9(<A<Q}!_fE<X#6NNeheBv4vn9H#!o`y zr=aoE(D)f>{46wn4jMlXjbDJqFGAy&pz+Jl_!VgUDl~o#8ov&W-+;z%LgTlf@!Qb& z9ccV6G=2{nzYmQ+0gXQijXwpAKMjpP1C2imjXwvCKM#$+0FA#0jlTqqzYLAP0*${4 zjlTwszYdMR0gb;2jlTtrzYUGQ1C756jlTztzYmRn0F8eLjei7<e+-R(0*!wPjeiD> ze-4d*0gZnNjeiA=e+`X)1C4(RjeiG?e-DlS0FD0$jsFCV{|t@)0*(I)jsFIX{|=4+ z0ge9&jsFFW{|$}*1C9R+jsFLY{|}ANzz%88!P;v~XnYnlJ{uaJ1C1Yq#t%W`hoSK! z(D+ek{1`NT92!3Xjh}?ZPeJ3Sq46`&_*rQD95j9&8ovOIUxdalLF1R9@hi~yRcQPg zG=3c#zX6TkgvM_{<F}#lJJ9%DX#5^Dejgft0vdl38h;8Je;OKp1{!}B8h;KNe;yit z0UCc18h;5Ie;FEo1sZ=98h;HMe;pcs0~&u58h;BKe;XQq2O57D8h;NOe;*qE02==g z8vh6y{}>wo1RDPo8vhI${~Q|s0vi7k8vhC!{~8+q1{(hs8vhO&{~j9u0UG}i8vh9z z{}~$p1seYq8vhL%{~a3t0~-Gm8vhF#{~H?r2O9qu8vhR({~sEk0lJO`UVfwTS<v`w zXnYPdJ{KCF2aV5%#uq^2!}dqO2LwhLqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd70QHUuQm_KCyR!*ZjYCjcAQC`UVI1-AZrHQGKO*t+2DXyUMS=!en7Vf$G2 zqKU)yrTj(P7X(|s>xJfi*#3}Ow0*3weG5%!=Y7D|E1p6VhpqdciDnLLz2HeScf!_@ zDWioOY`um(TDZa1MFpa{AGRJU4NV-j&SNE7IKcKtn4+nNt=rK=a}R7E#8ou+!}c{i zK-<R!TThjYc5aytG{<zKiNn?dokuetcJ5OsS~$bjcUhyE13MRr70vyy^Pl3-%rSzx z=Q`RxF=MEB0h)RfsQ3)DeP6J1YQ@mhn?co!qqzrm{<18ZxCK-_H(I>F?t2kJ6Ssn@ zXG2?eXAKqqh8FLza}Vp$(v>Y#eLtGG9aQ`>n!jM@N~@!}2X;=2KAJhO^Cr#F#9{Z_ zY)5maGt}N(wD@&_ikqT^n=4dY9?hL@P;p)~ad)UV3!1nGRQxyE{y<NtxHX!+UQqD} zv~ci-io2t!_koJHq50PrD(;HrUq7h$I<)lW4;A-CGd}<-&VuHiK&be6v~(T>6?aFA zmtd&)ZM6It0u|qY=6=X5Bm=_~G;!EHp|jD%!=dKnqPa5yD*hf#eI!&o5-oh9pyK{$ z;?Yp?YiQ+C3{?C#T6o4n#a+?L?Kr6TQ?zsv4;4Rzwx2TrD&C0Z9@sep@@U}<JD=1M zEgm7Kl`=5oqp44Un%|Bl4!b8}A6mFUPS;^z5JWRS9cs=+wD5tQ_w0k_U)Vj!m1yEw zP;+|G!ZRBxJ{L`W4pdwp%{{qL@nkgj!_Lp0j~1TbLkAfc7@X0}2Om1fz`*byE!`GE z&DTI%|6BwW|B0r)7%Dy=Eu2fB;#p|!EQN|cL=!KAiZ4bBpK_@98MN@PfQr9BGp89U zJ{2t-VEfga(ehC%RDC>}``e)6`_amQcBptaT6n_t;jKqg-w9P;j<%n#3o7o8wtul3 zDn13x{2r*d5nBD#3l*0^6NlX=p^hfr4^=OSCO!cweh|&x1yJ!UH1{uriYKC#1B;;I zdT8Oi7%FayX73WHxIbF>FNKQ7pvCVpsQ5B8cP@vDi=&yd0xG^8EnZ;aR%qs|gsM+R z6JG@tzk?>e8Y=!D&HOb`aYM9pxE3mY8!bJrgNn1Gxqm%WJRdEbH$cS=(cH5UDqfEk zZkwRui_pY3L&ek4?1kNDc?(VbR;c=;XyV(U;_7Jr-3b+6jut+<pyKgp>0~!ld_9`K z_CUqU(ahfq6^}(rpZlQVy=d;-4;9~mW<G4+WH4GdAB3u3g;swZf{JfL6F&?UH%BW! zk3hxM(ZcyCRNMwF9F9T7ThRP<94bB?Ej&*^#bwdL=Ok48AzHb83M&2_P5d-ed^(yt z&p^dLpq0;Oq2fEy#Lq#+kD!U4hl-y^6TbizzlA1#5i0%^P5cs6{0v(BUWST4M+=`T zQ1Sa{;#Z;Km(k3*1{Hsgrv5rq{4-j(-GGW0qM36ODqevmehVtDfEMq!q2g|6>E{kq zyaY}CU8wj<w0OS<6+e#V{`*jIezf>~02S9j%NL)a;^AoOzd*&mqnZC5D*hHNd|>Bv zY(x`>jVE-Y<vZB<0Q1oDmm0L9nvZ5L)Y?&MGz3ONU^E0qLtr!nXc_`hKAzAaImTxd zj3NE;{*N3)+|Q?Odvn+CreEUA4NP2IzucUh7EN@io%`cji_8Ar`u*Eyif!0;_w(%g zJ7;RQO2|e$=f3%Kqu$reK`%^RPCV<?BX((e`<HFi^AE0<IFlnYdFq<j&un*J%FWw# z-ttf1j?cU=*cQ(<*^%*g=b?;($#P2!?>{?O8nnUv!vtA|D(P#b5A$s+P6+qdrLkY! zdcpZ(Lcs<9Z?6`}+5FkO>9fHWq5r(8{EN*i3*Lva*(qi%7W`1}S8&~OPL3hhV^+8K zYqsi7{kwuiP3a@wZ26|lNcn3IqMtq}(Qw<(oxap%vF3p_ck9>2tUdGjnvg|5hj{a~ zMZ30VsBiewIbGGQnRy=*1H=FSKWYpg!vluJ_*@0!lE1t)vqOK{_nBATuhsWH?y&R# zn@P^uYb?`pR2+<aw%xrsjZ61JtqN<Df^>G{w6!;{mHEyWx_f0|UXoz@E=Fmq0JTph zQ`i%^H294I0@|(YIFx<~x(3W!{_TI&eCxZrJ|^tnZ+}a5=lkOMzvsM;d0w?|-R3J= z+Qrjrx35`q=IO8L+ZUaATD0@a;x##G<<D2mJbg=VR;6d&%}IUUX=Ur8tTwM*yt*{> z_@q?h>FZw1+gajT{$o;1<joti(msC-KJ>r;to7B(B+v5jcayI5T?_Tz6&3yLMNs6; zit?L@pU;G^DVBYAcblc_?Abxu0Xy$mpV?l)?YyVgu<TM=m*O=;$JvHqmybCZPdMf< zDPh*{*>hZHzq?}Hv$4-C@oxUUqZS^s-<~=1EGF$m`Y~p0N1h)MZ`Zk<j(c>&=AqYT zm9!hjcwW!^XfxrF+O6kjUOswy=ECI@J?zhabJW)<=Du5YHg?O-*%s>U4sz2~IK$@| zhFwmZR%#=^ZcC=YrGh8I)1P*nvZ<QHe#gzfPh$S{B{}?D>lp=kSRQr>T)rgLcvj%< z@qH)Xggl#>+7r2s)4;prx5Og$r=e#qo!60Ha`x_>@4N2F7`{^znN)Lrty5pYeU}pT z=VzT4e%F8T&h>t_%pnI=Ih|_H*9WbBNiM$jOk&%`qQ~zJvAx%m+0-g|EaP(Xx~*q6 z^hq|(D17%nJLTE_e{)asSczY3c9wrAkZxQe_0X%J<HObjPmxgH2&tonz8Rg5UZ`zx zGu+83?ryk}bGl1mh_GJoqZ4XdT%{$IwPt^LpL%d}gu9H`h5mO6t1H`<O|Xx=8^y`v z(x`S<nup8xj;@A_wB+K??Mp2eDJ`^aciW)V<;>KRXuwh45Fwh{cH(EpQ_FkKv$q=^ zJ8k)Cy5WhUpY=cgG(Foh?cq+bf{(W<?#TBaf4o5|=;4o?(@w9;Zn34Z@4aotc}P*w zEB&RrTgQ~bx*5A8@77+}?QK6V{mh^9oBPb>FF(6`etDGKh2y7RJrcXSV%hRuv-UZ; zN6(o(f25H7h3De3xMhE@M?Nd!ozi*cO82Io#xrYPy?c=reQ($NJ322<>E=gU6?;Da z^k&ji-Q{zh1h7qPJZjg@6QL(lU7<B~mW==0?n3Jimp93-+#$cNL`zh!c;_`qqw=Y* z|4%#<d*$}kT`4zJji*1`WxZ>YtoN>4VcYv!J9=%dU%Pl|mOgJK&zAfr8<*~Usy%H@ zmac8aiU;jMkN=*}oUvHJWZCkl@G||#Z~y;Xd9kv$w&j^7Z~2$sTl(y8?eCk~o}GQ9 z(rbqL=03(-i)6I71wARB;9fjIEYJI;eT47BUj-I&_R8<_*UW#P(^i$+`SRlrlfVD3 zR9MLH>GR1;e{VnhFmq+d4mBCR<A*;UeV8oXzWn&#hnXv9ZhrJEIn6@m+wAD$FBTSP z)vPfK|0}sN<j;covtLVW)>&)T+svD_O#9!g4~IS++K~FN@6au`dabEl%MZ*B`uO9| zk1fS%$Ig3PJu}a?`rDbC4_|(qb*v!y$B~MfG7CH7nw*L%o4CjMJoBxpzrFde^5J6X z{#+jOw)DA%)!)`s{Frg<S4dW!ja+~G<A<{fd@bbW*Cy>TjFF!w+1Gyfc+251%etT& zv%FgWuDtT+N_g<f4fgY9&2Osq-eVVcKSFr@^?<MQ+aiChzo)<A#j$_f50m{w7Cib` zaYNqDY${iKf2V)6jj^4rjqOtF%R!qD86Ot=_}(etZfo#{Z!ND`|Nr<?u)|L7+w9O8 z`3f)R%ggT+nfLzt^moe+eVkdh|9)oFu4U|A@xc$Tyt#CFW$ks7-^XfsU!C>8>|A?i z=RSjX=Dn{<OQTL@KKiqwf42VRG&4EbdDkN5ujpU?DtM*a4yE+gzYjO;H+(bS)>vlS zvBdWY22;D1uQPfbw(nx(_KB(7{<CGpUOayIvbP|-^>E_mtf(Iw?!V`k50>x$ef9pn zuU?rt4=Ze*@|(*ge+XFe;$!@-Q+HDTIUjfHa`SjNDRp`c2diY})tgsq1D{LWD*d`H zWAB=^i&J@gWiQQ+ooKx2zJy%Fz2b|mcg6b`KD^{zbo1%y>1!mCFQ41yJx%9r*6Gro zwSO<Eo}SjbFg5-Cr**30y<lbD`nj=HHzj82?cY-BvpK3RF#5X0^ZJ*QHu_W_U3KS@ zVbA&&-HC=KvAY)iew6C1_flu#YyM}6OYOcM=nCDrQK#Pfwd>vFgPE7}dRE`Owb5#~ z()F9u<PUp9hp#==%Q`>$^QFpT7akrxUF5y%*oAkOROe2gS9D22YFq4{l$Y(D(#i(c z^W>b%PwTyV6J?~vqPO+TyrN64xydsxZn|^n^d|B0@~wTW?@mwGi8fO+iLEP)t~-+( zJw1K;*3y#xR0h=zb1m2==<ZL^`Tg>d>fG4VMbmpy?R#@~>%Y%=Eq%I6FMgBK>gn#s ze`H;*@L6GgsW|<5#I_Tkx~Hv)x_ZrO*)s3xI(tieilcAcy0|s#WJE^A^;H|+PJSxB zy|icL;dARkb7hkk`kvO0yfr!6RBc|t$xG+vUAwl?VE4IePrZ+|%|1PC&8`%m4SP~v zep3DKe^^GE{rZw)9zj=1UQW8YRyJ9xApG_x!?W2vYtkyyuTNUH@r0}F+F0$P<Vn(A zx|`P~=gfaCV_ch+xp&8viZe0gOTMq!IC*PtYsT7$ONPtJ=H^Z>(TSY)_ML=qaO}oa zYm;?k7N>_shi7jqy&B=scJrQiZ*Otwx3YiY&dHs>&dX>&;yQY4o3xj0f8y(PuOjW- z(r(_|wWjoC1VdERGSy9eJ*}M6Zb{F3X=B<}I9={l&h96dRAqHfA9ML&eOoW`=G|Mi zVjD{IvZW{QNj90-r+RwJtzR2gtzEo1_hrPl^6=ZA!d`kL&&V#ne)OZEN%Y-IGm95k zv>o%dnqI$Q?UEL|tdOV){3fe=OmbiD`|)Vww%B{SYF=&%{m;L4`tvaPgr$}C(U(p* zgq5BxYB0F=Z>zui<9Rb*u}Az8m*0Q>Nco|MTYhT3-*$G(Pqy5DzjpEecz3smH<{;j zn5MEuU(ScT-EQ|&690>yx?WMfvi~N}#uF2ss#Pt{F~0WLYF?$(>X}Jj)2>CYn_2aW zzb8=E_N-r(S;C#azvchiBv;k^WVh-2IPv@I2WsgS+owGHxVrEApL<Imwn;vkS!g_Y z0_!8`qfy6n#6LdY)S>fwo>gSx?$kol`xmA!K0Et{+xI08FFl#>Ua!lyGc%<9_wV0- zJnG-qIMn}r_1>EOt9d}T^Snz=8EKpE{XTDy{AZiq|C%TA+yCcQhX2X8JpI+?^@@jc z|K>g~SI#xtH0x^5%-8>mFSEy)pWfDBcucm#Ch~)&z|O;>D;A#Jp0Yj7bYlG!vuZx6 z%7tR>ywiUPM0n4=V}I$S^ST3DF3$b3YVse)xjfgBp2@vm_qxZ{$oaog54XYL>A~IN z`f)uqdaaFf*xN%Z%X23RFRq%R{8;8P-_bi7%Ky^?KSWLw*XNC^<-NV8C@KG@+i4}c z;Fu-x|Fv!lPD@^r5cwmdP;m8bjm^8o-<i&y`LN_x%$`FP(K%IycKc_}d@1AicK?^a zSfjT#M#ulJxpGZO>?cdN&cm#X>CG?pJY;><`}&7)+D~)cn<i@~-!Yky@vUc%i{h@@ z!z=T?PIgjE@BO?;nLRJ@non5A%CnqL^o{;)IBgMMaYi89i@T>w?AEjE(pxs_cs|z1 zTx@OB6Y8nEKCap>S6;VY{NdMGD`iR?*l*vsSFy}SQ`Gk}@1q;Xrf&?nfBt~`lgo$I z*QK>Nd;WcVbotulW|!mi`X`&tt0>*DeWIdY`k7Ax;XAfECby_NePNMIvNc?HQX!y2 z;`&1Kr?Vq{?Q;wEItKIndh$p!rdB35t2-i2|J|GT-8U^HbF<P<>}S0`tE{p=>?P|u z2IEi6nO~*Ctpj2@u3CP15>{b5BWmgL^SWORKHbzXsJ3E#E*j@k;gaiCCI9n`!GX%Q zl$&2to?U$Ab4$tVzBXHCbljgkI)@~;RQ~M}jgy(Rzb$g#34uS^9XV4rE-;-}aqz0b zia94|JXPHn$zk-G;l=%q7pG=19$eNfdAhlNq385#d^V>9n0LACX;o`0`TD6btj*%= z!d3BF&r05I-Nd4wI%9Uwn=LjKcVB+m%xt&(YWSS6dfN?Gtvez_cift~ZO;+=-%)G1 zYBt(5Wp_<|b431B)Q-NiHS52>UE0gZyXV5J2Kim9Bp#(5vzRS;x?5iS$)VFx!X5TI zw@1X)BpaNXpwX+BTfi={=)O)~N1csf#7m!~tOK(W-W{_@ariO);N0!={S|r-swD4G zJGae0TKGd{dD6R_)7{~0lef?3U($JQ?V-o39<shs(eqxk;@P|j9ZY^FxE0rOJ>u0q z>!*13*uOc`LKgJ<&y!iUHt}w7PMO$;WtW)pN;%&@`G4Yq{5G`*=aQB_Jt~|k$UEE6 zFPW#-Zpv~)0pH@pIl1YtuNHsSaFZ0UJyNw-`f+*2>DzZs=u9_k$;|HHUfjdVX>um1 zBR%8p(XCq~(+rMP9pgDTcjfNbY0*0pD?hH8bNZ-4>$MZl519O#{oL@V#P-5{Pmh&z zd7kc0k9lcQHT${n<Lk5UCC@vZR^S#RT@c^z%=-05d3e*Z<4ydJg^#>S$W(r|%Vguf zXFKQQSIy@Rx69r6@u}11r$@WjeL1xA$kN3RV$LKsF`9;5i)w#h-#Yi)693eW_=Gb7 zlMM1N`nlw57e>rdG`k@7?XzPmPek6GkS~$#0{gYR1>W^4>7ChN<#)S9=)~XJt{=r+ z#v;50UJ>ga98?JV(b0eE`MSUNpMH3-z4M%cp`GuSy!2}mv};z{tS$YSK7Y@lt379* z`m`D7`95j>^gg|!dxHAmg_ghAk4EV$RqwbN(EO3laNm>}bJFJ){@Av;Z~5j~muIB% zi@G+<Vkq4A;F8(=NUZ}7%}v$Zn=O)=KPE)p)32Ir)W0RL@7Ir{v-K-Ko=Tq^pSbaq z;@_mw$2V)1HK+%sN<H}1m}W57OK^V!NB$he9a0tkGUj|PYde2<&XlTpwZ?fu(1}C- zUn34CS~8^{R0wao+I@RwY-i3S3%x_HMACg0o{hGse<z~jD|FmKJn)O*Z{IEIou@cf zFXS~BICB2W&Rm7A`$|puJS-pGU3Sbc)Jx;|&a3iK*@fkF^&^{^W`&m>MD{zeT<2x^ zSnbmDKF(KO!sAh#%d-d18a3uQv0Ue8=}dpPhs*lwYdx2a+3b@Z9=)aTNb2ej%S#m% z3LU4LPH3-DIh5mdUiiLjhM!P#;GtZ-doevi{!?9fw(^xOj470IG3@l7#Ca#I$Li9P zt4CK9ypIcS($6krQTs2{xjAj^1}*h(hQ$%zH(0s<zVbP9vBENw{tVwuyW<S%HlBIY z^pkBm8?X71%&4}AQ!!TdCk4u1|DNEoxcszPRr$jUx?6r`w(*#?w8-aQ65IY{LQB{B zGjl(koN0Uf{Niheo=I2jn)OX|PtVoQl}uKXX4FkCmyUV0ELKKSWucSE26OiFfA8g9 zpV=T4J$+8S;f&_e{hM8nF1=kMduIM-9$}_yE_Yt6zw~W0pK9IxkWASo@l%(aqMOfZ zw)w@Mcq)|?ap=SKjQXc6Ttd!E7wTFzIxV|-E7#pDQuyQ5=3mP9jAXSWl#X5~5uUy9 zp3RH>w~l;Uaayxy-VKXp1HR0OM>3<Pnq@2LeP5oLy*DnO@7LPS9mnELZ(exXqgS{4 zryp-v^G;S)-9x&|D?d8SOnkaKNaFvba*2xRkvv<3+_S8IN5-D#Hi;{5-aJ{EG3nyI zxiRX6O}uJR&I`RJ?b-G5>%ogRn$ssFTnl(-wy=|Jw|uLP`8vrPs~`S7_U5+loO;$W zvB^gsFV1Lso-FSpVzbiVapAnRyt<bH4(RH={c827(aCttmnM<I*{>ZIeJF5rd05@* zl_s<3gZK2XO)jD=A?gAvc5RsP_H4|#H|5`R%N`~x`%gGh$}N6wuC@41mBNTlgFCOh zg!#o5{aC2oRL$l1=g8LiEN`?_3Rk~o5c=Su*d@P1=|&{SBlmTGRUWK#61p8b(eg*6 zVwdbX4mk~$&fhVrLLZjY)kd#*pWgaJ=gHAUXO8XjTl3-jts7EYW#Uag`ewb=VzG2T zlDhF*mEDP*zfU&KNLBVf(0Z#)dH2rr_076byLA4a)e-e>j+=k;$)cpls$~W9W=x+F zl9yx}F8PmL`~CH8_4{&e6!he}md4z=Z@cx!l<d8mPul6OvE0;bcK^@%-+wF?)mD4{ zZME*5&m+^2x;=ucEb7DzjmFqRMuLVmckXNb*>zQjE9X&@=#e7%q->e1=aMf!d)$#N zz%B78^U}V%ud?s2Uo3ntcKY%|JaaX-1s$$Fl)#<*#UpUd!o71}e4Ke=wLoCTwaW|r zKUs8o@JLpM8%Bz&-8>fd+Q8;cx#??-D2-Xyj_`2LF}WJR6?<X+S3#M}5AL#_KC-+s z>DH9G+2L0nC>;_yA9VO`ELVc}+5j8bJ1>s~mCQ*uy3KRG+`VmPl31QH$C4EmyMCK& zIIZd;5tU$3xSRj@-Y0iUd*dJdwm!2VZlV74jlE6XyYng-SKsWbITz5VV|e5B(IvCD z&G<GidFDr-$s%W(zFl5>=7XB@sU(Br?41WyE|~ORp80X3@IAiwAEJZi&DcBV-Q_md zl>D^P-CGVxtp7V@m%jMUN)MObAIr0Cjjy@CIN0X2^g_z9Ka-~$xs_i?w2r+!x9tDD z>v?bYl^tSVE?c$jvhZq`g6_@l`kS-&9L|^eVCek!sELr`&gBO#g#2PYvnxB`hWGh! zw^^atO@`;b*UbIAq@Q<Nl>E`ft=ISLKI64g{O`<pS8i|5C|>xjA>zE`uBOoFwf&We zxjWl(?Nii~FGbt52Vd%|{$!BW$yYhSXbLC)l&uxzxq13)pVeIS_jl7TW6dyr;xEX2 z+mf?&?X`zD;%dTfdp+z@oOg0U{z6XssU535E}6AiOf~d6wr8H<-)}Y3EOPoMrsh7$ zdVc<`SE1b7qax)Jzgj{&JFWN3yAXY*NH%e;I+wK9k$v4a^*^spOSs8c*BZIYda1Ve zC6-TT7S+F>x{3RygD{(!M4^GU-R@p9eesVse69NrUEMR=?7VKQLd@%{;qAYoj_QbK z*KRIxFFm+<a_(L6g1!R(TV8X@l*K={bWFUS{7;Te^4H=Q(|q#gU7tO(b-5S!yru5z zKhEhZG|v?1aNV<eM`!GvBV~7UpX>Z<&rOr{nX|BZ+lAAgcO@VEv?YkS{?VcJpX_}E zk3L(eQSZqy<?Ebti(aZShqJFwn7#aB@x$CTY7&Z7OV?i8>}PUqg~8h|OP9FusBE3` zEjiYqdqtaeuamKUmvKqeDHENQK|L$@4RpJN7x&&<t7&^_|J;J*%MF(q#C_@Cx8m)* zr)I4W*4?Y@lyeO?HneMS(*HI4W~IooH-^c}tKS*Ce$koORVH&TbJ{i4RWcRff-|@H z&RJ?_JNZT@_jcjQE<$DxAIYr#>3ZwTtM1=R5;<*ilXknW7jH4nnCQsV{^GioXP4Ps z&dXX$!XCH2$aTw5v0LVr8?j8?WwVb*x@_T^b^9B;OJv=>Cf#oH{(5+CLB0P}KmWJ8 z`U<l}%C)>wFUuPBuDW{J-R08e7Y4lx+?*vY@RwgQ3HqR46SO|=SW>L}(Z5@6w(0%7 z@a5HywqvPm`Ab^OUdEXfu3+2Ar+r1>=`X`4H|%YnbF^tcfB0j%*KUsmQ~!otyt}D! z=^4LXN$bYnHxkqQo;w|NjJMQE`QvkJm)?`SiANjVXMR0!*{S@EJ<rFm+#ac1p07Xm zBrTqMBI?{@ueFUkLzAxFD%)-GzVO`L`t$|YteCjvMYU4SIF)B=cSKx?eq89fF|5S@ ztbEcnt0uWWi*?SLRRx`idVIHVjs81Z)g0Nz`YBr@9&cPIF(+NtaZgy8@b!zIrR=sH z&Te@lay#RU`=hNAHMgvU>UF<t>bn-WL*@B}HJfKl+>yPrWA@$5*BXD44J4+$OmbtI z-?J&${Mv5eYk?KX22ySYjmIusJwJPO{_9s?Sk7t3{++XPS9thpVGDby`8hiSrMLDS z;^g#PdylQ~b#Zp@T-~&k8=Jl63wSQ`nOm6lXxeOx+s7xc73{BWFBOT?>3wsBMa=Ey z$%>fAJ?a73b*+V7Ov_y#C(fDT5G&HIE|IkO)=dA~MUVd_oqL(rTPiY7yVTsgv2rQj zB+ey^Ui7`XySgT;YI)|bM+?puB~G?Zl5Mr;^Ll2WP_;m(@po61SnWAu&(|7{Hkk4R zPW#<dS*ZT;@+OvdWl~~`F70no*nec@ck?K{KSx7dZ(DJNi`MMX$;tUV_edh=lPjBz zf1JCt{Oo5P@p;9jGu5|=H078pCp!J>o*1S)-)ybtj`bB=!=mCCqjOl^soCGYk?VWR zJeIM2b;RV3bZ*auGn%&D6+c`RzG?C{F15Ucy`Qr?Up{km_*SqyR=Y}d?V{*!Ifq+K zH%jw-lk#@U5BkX`x6?9cjo6~OXIO){d>>0Z_m0(7+wJ$vr)`(t0Y%1pPA2M=+`oAB zZFoQK`Sz<R>hElUlwgVL0?&9RR-EpQ?wZ<@`Dee5H^;NfvXAFYywo?r$5Ky8abfTG zlSkV6HC8V+cAaPwD6H&zi|>0$X$MP+if)bjP8lK5t)0d)hqR2PG*8wA9^Py^tJF1d zV~qNwK7)&rS63}C__x*RlH@f}y@d<U>{DbrRQM(Q(S@(KenciOxFB}bBInrSY0~}T zP1jWJJnS+!Y&^p;e(lp^`rK!)soZ&%<+(J9!#hO0V(K<i$!5=Np~E(fiks*A`ER^C zOJ{NHnOP?Bx^~_n-8<6OdIlL!ky0pI!6R_iD#cfAUb*QDyNz1|vQ?&KiPRQ%6zx4} z?D<T=x7<}b+5Aj{=*)UXzJ3Ai<`;Qp>w9Z0S+_j+U~=(Nj_)zfM>7qxg^WCV8IP}5 zJSRT&+@%FkiOjcuocZV2z$<a$V)IANw1eRnZ}%LED%*7H!DaKAR}H%D3~etv&&s_X z9LZH~{f|Xv*0C-X!$3{lNiS@6<TPKFa=&xrbmr!Hid){h*5|+X;#;`=QnSa@Z?0{I z**5d^y}4~u{>*d9nRIpQ+_%#&**#?|llr;#+=(0YA-|`5OINfKf82Za(8-j-_;*rv zcbUVdUblF6D}AxQhO*YXbNt+lOZ@I!YM7b5=XOft=H5SBqu87{SIT_}S@cQsq|Zi+ z*%`&Go0oO!tkQ4`oOj*Vy7Yo?v_;pa@caLt$@?jEg?p#mD&>9shu!|Q<ztpb8^mJl zs{$se#+R{Ojn?em_;LH8wSO172;SA_wTkcbto)iA%>UxOdC$zSPiGhW&upu(-IG1X zY!Tc0>&sXUvmV~MLc=uFnEj@$#0s6Sn)`I0^0|Kf?d@}8)BgBgpZ7IYCHZ$=m;~f! zE#Q+;yw|*Fi`4S@UFCBvyJ{+L?d+PjI3f2--tK9VKUH79@HaF$DiOTNCePGx$2BXd z>-;9w<(_hS5yu7ELj>R1@<h4|pPnVjb%C#4(&>NPYYCqz1uLviyZ%$Xev<pkzWGY? zH+>TlE;A5K^O&prpd=<|p3E~9u``>(yY_{aRFvn2O+KfRy1ehD?o%bJ>A^Fytn&@` zUW+=u=(p+nb!Vr0K2A10KPA>zso0fyc0i5ES%c(Y%gI;wOwx9lxkd18_41;53s-CK zbI9{&O<eN#=gJqpQj-LYdvk7Xh&U|qUTvD{^TZgrpHYvLGfkcvXw(^obl(s=xc=6U zI@cZ9Pjn{lPc*%q;UoFia`I}mzb<yy779iGXknK(zJ2|p^lRIjQ#PJFujd=F=5)qO z=dBx3bn?^R1TCA{sNHyI^Erj;-hgG|UAHBx7p^%LCG|ziF!zOUoXouYXUv)--!5Ig z{@;54)52@l-gTb+J;AAA;p1L=*GqZFetg@~RPLR=_e6y6ld26%!zGW#pL-pVDY2+( z#hr(Dmq}hoyH=tXQ|B}1rSJpgrPbW7%Sso=#xHr8y2K}}vS9s*OKE9Oe>8|1$lbah zoOhUK`sQ;U38!a143~U#T+-OhmY+wwtJ8Vul1o2UbsSDLyQraZ=AYRao{QI3*Z)t? z<$QN)TFC>wYcCb<v&R`<-st_-Rj+-+rJ6ZyyJM^O9Dbj%{i8%@azFn!qwLU!eBXnO z7*2jkwc)jRrZ%%dN51a5{@uS)X%gF3b;w8ix@eu?dC%+JG3#W&e340ojE8O?nqVaV zv`uW|tbXrVg&|VMw<LHPZ?vjh^=HzK+=7W!Rp(?AIowS%@;C6l`#LG%;sKsrS>nR& zUAOP<oe=r+tJVC}<@07<IHI$RRVDbro4B@lGZNozd$(IU$x+5#ZE04Oh<C!A&uw-G zU$h99ome3(b}m<On!0IcRi>HhG?_<{m!#IEu+96r>8r>jqhAuWVyyQ+UWq$7%f;^U z>sR+CP1QPjVWz|l&HmW@ORF5xH;1+!{w#9eZ1RrnJFZKnZ;V_gnYTr2hH3b@=R4<p z?&OO<*?INog_kYf=Z-`lndOq&a?|i{MVq9|UbeSqgEpAXC=Fw^{gH8~r1huY^8(ik z_j6?3?^rH4n|Eaa)9STadpa668-%g`(G3&zJH7S9D%Uey3yeGb)jq!7WYRlVcz)qh z{UwJ>+Ml$Z)QB%uIH~>Bcf&-9?Y9!dFV6JwjJz%;DwK7&|KFXZl{|^n8^x~g{8mwZ zaH}ixojdcltop#N{qRpfPRF%FG0}(5M;}iNuD`JAkarmKTizoRGyTNg)zvwuUDkT! zd*{f6IS)>0Y}*;>7jWzTljb@5CuLj@tB*3-`#+FhPE%XZ;(U$Oyq)U}+<scN9eJ9U zGTY|Nr_@ttRTt_l*&XpuhmUi~mejLTR8(iKv<xnq<KXo1=kHl>X79~RVz^T&(sxry z(=>U4&lbnJM2Bx@vOL?A-kiEGE8w#FtnAPE53+<Fu3R>aL0<T*owG~qjyq>3n6}<9 z3%H#Z`jA!S%j;Q<UV;gZ&skUeoSE}DE1N4%;>1SZ>E9JLM(D1WUvcI9^9x7Tn6f%E zTd&pH)3e2t^}fu7LpJRu>!wOSV6BYwd+u{GbFx(0=`~q9Kl;o!Y1Ml4?30V;*6KP7 zx%ZovJ@EfK_0v2pwq>&<{Wh=q5W2cG@?PI^?u(P=I^;O@1^BwR?Dk7}V7*SPEn>Mw zQ)EwWn)2p3zJKCxR*6jd5v%BB6D^h~`;6_$w996toNW@<6&UZ#YTEj~_WSOzZpJ-l z78{=0UDSV%m35U)LfFR8YavS040anYwwx=Ly0y^fZK_B1y5H*DbCkX>JGy6%DQo?k z&z#?0pXPn@|K@i7Z?8|g9=+!g8T=tEeyPb8X%qd$Z7E-y_J&2hj&+y5>sgf&c;u+( zJn!>&HPfm&SswAs)m?VcO<I)Gr%yq6%I;)0rkM{oUH0~y{R_L+(0f!tRj*^>je_SN zKW{QoTOz)|tkd^s+MF8>Hp;ACe9^Zzq{QSi<Z^G`HR()7^xJpAFTzDX&i&-lWf=P_ zG+x(v*Ot9Djf+__*3NRbIcuD|Dzx!6ySA=*o&-;q%>QF^H>~=w{~bqR{==Jrr*$Sq z8%)qWr1QD|?CsT^*Ps61d1&jr(u!R=4B^am0X#{eLi<G&cim*E<`FzUW$8rkBn8W= zsQX2x51u9IrrK}FeEe{eEc@1qEo&NoOnkC3(0KoG)f@ryvlp22kEa&>t=l8AGIjI4 zRR^}V8SG6^m2h73bb()eu-a<rJdJBw7rb7yN5{%mY?>g_<#qL8;Sp8Ow1S=46;DOA zJLP9EYz?||aOSOt&dVQ$hTq%$I_lP*xr*oPSo2a3+Uu*{RDN9B^};xycES2<s}3bU z<y4>cw7y#0P&vasblHqq@5L5}v3}dJ`bMVjf$ePKk_(-GA3yRY<89lGlr`)4y?QER zKN_6>_N(NvRi2FN(d@z}Rqk2)qbDw?w)?PK@Z$L;vNjVF-|{+_CQoVUICNRn^-cLP z#kdu&N8L(WI(>UQB{mvv^ql``Z@$;s505_dev0Rh%&S@NE+)J~XwBo9i=Et7f5`TC zv0`=(GyVAE)sj+`zBQXxvHlm(PwFYU|G#Bp@sA0P;r!eB_s9E%yxl1*`N_t&Q(aMW z%L@*#Z7Ytw4}Wfv5}J7aN6ks|hkX({4u#q?rcXWm%;<1bQjFTdGj|VI1xjUenSE8; z`mx@9^T+*HcjrHTZ&=5f`=u<*+xCiC)O2$m?)?7hQxfymEs+s4lQ+6ldzr^9sH$^z zh5I|NwP(d`UZ)$UsN6VuO=Qh`QNfG7@;%i@W;MBgb_`ZKAP}B(e1}-lJOOX1g==nV zyYRY%nHT<x@wz$PkE6WGZ&KIF!as)=N!T4T*w`4M_fzp$>on>4r`6YLss*j#+Mhb> zMf_`>4bMxG7M(aCpm+JU%oMZxTdvvb-M-<m+wC6ruimD~hA|%_G-WR7nxD?`u(`9? zVwcvVyz_szREExw^!?W;$L{mJ(ri|(s_<j}>Y^~wvZ_yCe7r7h>pOfsOn=j=56eHg zW&OC7#Ti{MH-CBUOq+vt+X`Es9^W-@j-2{6k!V%M9)+ok1Xs&%`oqPQJ0ZZUW?RMb zr^oj{%`$7U`6br*_weS!fu*7SjN)5XSzi>g-F<nh+mY-lrgv;6UOwv%e{?F?bJ|j= z#GHX^<BuuVw)+RoxZQ62EvwI7$K~qb=5@UmnhsY&h1AzC@4Z}Xq1NB;QsBlnk*&(? z;<pDq>4KiZG5KQWzb!EDGH&u%w$Y?BS!vU2gCv_RMJse_c$Y6L%oK7jOL(Ys#>?Bw zIn8?YT=C~R_WxcL&4@X3r}*T|gdV1-p6i;r<-)nA`+4_zo^wqXIxam+VRfH0|EVRJ zKR-H$JK5SrdB|-E{%f=~Gktvn4{OlV`~oe$6@TBhe%En*A!im<_b0?{FT>v-#XEIY zNW3zAb~0SUTfyvG?Aa{8@Sgr1>wEiT_GBhM|9<4@Ly@y0YWqEZKR#HgRI}Qr<&?t5 zm`Voe-y%jTDxy>3bp0Q5#cHbD<n(73X)&46@;~(by0aA*4Y*^TUz)8NG^fdyHF(C8 z+3PqCUo&|WwmA2C@VTcmo-VI8npd(v+d#V|oonrmi=u+NkG`EWmo0Vvgq7-UuBpGz zN*tTHbfS=6@|vb)caKb9(v-cEp}1`))10+4_8jy&-OoNZ)mTx$?BnZ0VYhain|k4y z=zHZOyL*=`SY_(?q*?0K+gV$mE@$uGEx&Qu!{1BqS6rE!=Arve&L=9b=3uPrR_8UI z2dlXlqi^~stX132t5$#Xu2jozu7zLk#cE7Hxy^US+n_T&8BeD26mI7{v?(?HxU8Db z7S{7wsg<jr9=p%Z^Qc~u^O03D*U`_l7dOwb{ULt$i0!(Ti)-rMMy-Flb!mX~^xv}Q zcPq`wO>yy*-__<U@_TpEYK~bdqJQ!fv}Qk(zpHCv@TcO?dY9(sk-hs|S9eHrc|J0a zno!uKTlDxk^Z8ee_Pfok_*Lez?{lfy`(X2y%V!J9cSU{ZnefnyHG?(t@oiT5k6XMy z%=U><>0T56CfCaNk6QAVBRx+v-mcgzwtSD3S;byki4@c552o9{Juq&1+wJCb<XIhK zXGQ9Un+)kEavMG$KPXgSw%eY;!v8(<xoOP}pVMX<->kh*S!v)I^VCmTy?MpEWz7pd zm%Z$i7yNHsAyBbTskBbM;-A?I<$Wm^vW*IpH?qukKh4un_f?MJ=)JE}R*EH)zUG)H zdEWY;$Z24IlYfT1MMLElmKpNX8H)Ea&)Dt8@cG4Wre(a^a(CHh)PIxjFuh-5*%0W` z(`^2o+sIyyb!PZ4{v)$<m%9IRyi;b7Qdh!|Qm1m_j`j(Or+a(tooo8l=9>n7oMgBu z?N|PWTP$-<UCq!De|)6y^;Xqu{BMrD7PxAbw_jc0z+~n{hi>$k_B=e#y!FUgwM{bX z1HPsHNqpefW^p2Gb7ju54KHr`Z=Sis#EXaDBBR_?sNx<=f!frwP5-4Qs5@Do?O(5? zR8q1%A!?SQ%*}>>ORklDPq=i`>iRC>YbkpyG(r#h=M<`ENd(VX+S3`iGE!)psr_TQ z$|{2sYozYI$@_FZC9Hbg{o|HlqIK+v|J6?S=Q2CZ(fT9C`270w^*?M9uUxwSK6}g3 zD=#cBXPkBrx>l8KRA+o6=jTV;a_(P~KOedz_*C?@c=&q}hDVcUiXOasTikTdyHlU0 zi9D^IxRu%5sZsT6QT*fS%(rJA`<mg9FHkS%p=IOzaPzDgiJ7;5&1Pl(b8A;U+cMXV zHNrK&9&a-FHR)54=gP}{yUOfWb!I-u4Zjtj(SJ6pME{Wf#ADytW}SEU4|<arCDpzp zRs2AtXSUI`)d@2azAamwxl6Gk{^j&5n>N-cU%X@Eep~CvPjP|$k{>ej?6rD0w0`Iv zIlb(XTeW~`<|6HkMKeTy-}T&MGvSEalKG1z76tn5Pn{WRu;FKH{ipu3bB^cCxe=<C z{ZwFItcbp@WNV%!@A;i&5|jH9T?~IE?wIv_LvSyv*|BPgWZ{j=_g@n1*cMfJ%;mAk zh4<fVPn(_1DX(<0a)@859PAOmefMzH>3;Q7%Cn3Lljk3?_S7x4`99fivU(50$0df2 zXO37$1-X5AS#&n?TI+mcx0S0mEjpW}UbHh`;QUwZxvFZNS>00)6#qRNk-qd}S-n8? z8Zn#e@^{ojYA;ubSO02tER&hNR!wC6y`HOqT8r$bv|YJAPbJNxHDOwiM7L$3AFGC| zWV+2!3;n|vZyicMvp`lY?qzJZqStB8jJO{$@1sul%RauA5q|fke2Ku5(_y##zpxj2 z&9aEz?RY6Ce{=D7`!^Ss?Kyi@q4EJ|;HiC4w)?l+Xa3xH(aU<CyOzTNwPnvbxtrvC z_!1lio(eun7F@~2wM-~?0^0%?=Ep~lERSXMEWeblzD;4qv8E?6kr4*Ux{<HFELk62 znwn~Gkw0@<VNC3qhetQD<cidWKU$R}qCefWVCouS{pqd}v)oI5&A4bF|Ni_%gIBU) zhtAJjaYJLvmd@ARfsqv-HgZg3HC&k5w(h)QAIF1B3Vf%P#jkw!|Mq*%^X<``k~P+m zz1)+2R75R`@%MAPq<q=Jx|98s)E3r_UZp?Fq~%Y1RaL26X5%D%JEqb&+`sCJi>=_H z*=|dJZB~2C$b9wfv^{>Ww(eq_d^v+R$@Nk}V1Cs8^WKZJSAKssyKqNl_|=AYbM~y9 zten9sbpNGymgM)hey#D3xD>U&O<^ra6WV-vhkN2-aczsppVdFM3T`U!Ry^VO?4x$L z)02=x$xQ!GTt7AG>(xTp8&MoypN<&|o%`s}f5c5W;AqF%r<H9McZ-sP*N5oXe>9qX z=tp3Dh}2s@-UCN6ZKK2{d}!ymG)Zx3=AR7`M-&;)^j%0fAM*I-K820fXGj#xK9YL& z#jA;R|NDI-UIu5ViEh~#G;cv=NWw~$MF*qqyr{YwxHeGNsDU~4?`PFl-!+bQ`@LE; zV}VY~zsR1Cr#5{2aboBGA3Sg4+j^8=`ovz#`#+mgc)Muqd&l2lkCJbd8{W!~O}JEP zC04lh#H58^{stZr;_{F-*md3}GBWv+^fDHWS8ENoUwyQ4)1LC{TVMET{Z>~K{$0`( zdZfFuS3F+!y3(o?W9_Xs%%;7{Dl>FrHQ{`@`st%X$K$5*T4X<YsB1gbMCEVZ`4y~x zee%~nYn&RM9s6EFT}&_R{Y*FJ=j*>pZ2aOcx45x(t^4f1=jXM2$~OC^;wt^2ejZC< z*yc7t&jlN&{iwF<YCpbo=K;ZO`tLR0?%i(l=*`z3-u^<;ww(1fQpvYs>ff#n^*?ge z=eqV}arI|~enR&{jgIXqG@AYBqmiJ2jB2f~a@{1en97>{H+*cO!~*9q75?YgS>+&m z^Hp{F`^A3u`3=|J{b7*1&7!zEW9!4n?|uCSPYYhK&(*e<kw5rD?2o^|Lyld|H^O|h zSoxQ;7J9KPH+|e`6v&-u5Wj5AyyHs*{j!a3oxKq{?U;6J+Uef;Up)+d{Hu6sWxHzK z#^f3)bMeVPA|qMS*$R_b(yjK!3Yq*V6c3zVQngtvIeYzqzpmSQzI2wKiqbJJTRSbQ z_VkY}EG#dpi=^%;2>*N)cDg^m-+#)p&#$kheSc{ESop{MwB_-4!hH5Ex8Ixo`^Oha z&l)4okdE0NS|R3>JEvEfTdeq8YBuxB$*f1u_M8{I{;g+n<(<hB{s{Uh&pz@hEyV4N z!PU2CA76gqd#I~pd&u(6Hr^v)toQ!c#Wa*E{qPoBDzo#*?WT{jel+i8x>K67QSI?} zHje9t{PD9a%sbdWv0pT~W94^edtjmUe0{~I(erFRPB_UGIE(XC>YFJ)y@h5j-ZL+I zXW{#-pCW&Ly!^r9-)UU`aP6Yf9XmBnZ<+n0$bWUm_4~44{%?vrA8y-ubeeR$*4~$< z{hATKTGF<JAG7%5xa`Rrw#_rAUwf3FTD@nt-txb!izl3a<Z08dIB!wy-}!<?-)wV@ zC;BfmTPHL9`0|*EFL`_)*M53%@3h3y*9&iC{W<l+*?+>0L&68vpMDZKZSRo{L9=%s zky_ur+chj;_Qoq-J5ucBel{-_5Xnkix=7{s#qc#RcdWI3b-~-+dD3YuH$i(Jj)=T1 zY-?Z6&|&Yne>1!|YR$_H&2?`zb#k{Y6F#2N{IfG#b%yMM+nb`k|KBc9GUH`=%&Sl9 zn#2;V)Yw;C=;N7qTPxmm*^>L^QbJ3X^k%(E)fbz>s3lvU8gkdy=S6C??WHrzh0lLw z$(A{^Stm<!!7_<Uz3JR%*YItaes!9BbSz`+?_9?ksn|yf_sz7g#dp6lm1k@G-Q}3Q zD~WxjhN*Il{KCYoQxgjEFETt_xJgLlp3d%*2cEhAHmPCfny)==!H1X33qCm9tTQ;V zqgFt|ZmEwLbKBRWf(CQa4it1T9=3k$l@Kvqp7C%K^T7`{A88ou2~In(Vc8COp8a>( z+rC~BdppV0Gfdog+SM(*(@iEl*kKYlHH}4_#j;sZ(ug%KRCL?2!?RbY_jQ^)T;v+H zX!o(DYhPCA%}<$Iw@!Y0zv6Yz+8&G3U(WxzHi_w_xOytr^E}&$o=WK-9!Mrzh#sg9 zxN<~JT72WO$G?9r2~^n_c-U}KT&RLxcAu%NuH#IVk3|c^z4u+*`Yhv^g)fiYI^Taa zidnwLx2_XYy>f}ebnd2wWkJ(UCYwBqDdID{kYnQXab@A9h$Wj>9v1(6+xPU>$1P{| zzp0ddT)WA1a^_-lOXkpt92dowC?@D`t$I3ZQKm`Ewqu_jKMuWCqQhg<;>>Je-|_eo z*O_?9B_Z~UO<&Hn*S_qqFW#r_a)#frrd<aY7kU)W>Z|{vB0ud}%JELizDFhHo`q6V z{*|20{4wRX>ic!sy7u$*{oha5F}aohCG?f-e$Ac^@oObDS3A~5p6<VEG=JsIZ~LCq zSvvFEgv%97`1!ilu3*ZR!oLsdC!1ZlpSw=5^098Npw`al>;GSvJvwI2Hu+k~o+a`> zcK!UPUViA)<B1bL-npyJQ?Y5!!4opqO8y+#>i_D;p#?`KzdRzHr(AkMBKOyhQ*S-w zG_EXrwoq$rWsL2m$CGQ_oVLmsDytYa_tmY8y2&-E+ft=$@zZ1f`DTRP>1q)XTKjr4 zbN1I$uLURmF|yN*efwm~)8iXdC)nLp-}oS&*)iKHulY!m`W4o7;s3JTH-&IG&iNzH zy!Kj24d<o6c;no46BLVMe*Iy6;XThyRpPjp&8~HvN0*4qn0j`FUvi=GG0hplGw0NL zez~62^j(ZEY1Xxpn)6%xbL$E(C?3q;A>ASQNu*xl`k$$fey(}>LyzylEN*X~&!-NC zF+R+XGJoX7{3ZO(thW~uHk-=UmoN8KSvmj5&M%RNP1nAx@G2@i&vAcC)`ztR@9`@i zS?jnutwmHaXU^rst37G1rvF<-uYUL&8OVF=SXUNbimRzjgXq;70qs?R8@_2s?YSy? zVe9u~SGkbzS_zIL-C5s0DlxYxv$R~?v%;<ELi@)>Qv2-ngN`RZay4cDv`9+sKzG&; z#yu<C_H76(5YS&Wk^Sx8iiw65H~!DB3_Sb$<Dyyo&s|MrwDng>9_`Mu>k+@&^UT$> zq+;UQOFSF@?pg8da7EzR_797sG&|ToFOo`i|8E`_a`^9_6=^wpRy<>_3_KfAadG{r zdB6TU@46A<ll6V7tVaAF{mWsz@80R26yN@N?<ZH@jjO*Oe$pMeW?9(;_nv2=Zfm4! zcA9JYGj6DxpHtYj_)mnXg=JDo_d=)jzy7snpB0fiTmAi{*lVu9)ol5@qbeqD^uO`q zwC<)q7P>2*1#XmdKeOU;OI^q`JBM>S{C~A5r(f~dU3%?Xnb+<~a{`_J9QvA={rjy` zP;cGiNpmj7WnO*2anz}9#+-}G8+*L_CmFpnxYW0#N6Kd2|Mfw~c-785u?=$L{TQdY zn2p_A()5pl=Hj;h@k@H7_U)e%c=%$F+gT0G#cYe0_DIR;q+Zz*erknf#HkgQ9;Ym> zc}T_^2f6Xy_dnI3o&R&=$_s0L>+eeW9I)V|Ra(z%t~k-BMyKYg^ry3(*>!J6{HGhX z8^ZkSqy=@nrm6Jzdw;4pp0zQ{%~Q_wN8>~rxj^wBW>=mWI|=_eDtgd$@1}!WS$e}V zgjZjaQ<-w5N60HnX5o^%d-9#6#LeZuc*zJJeCQ_dvMPRNs*}sI(+N_(XDw1((q8^b zn7Q=XUL)^b<;^btW}o@AWMX7i!S6<+)8_<z<DI;D-MMv5D_n(o1f#M(l$SrMZJ9Q! zxpf-DKGPq|zdyfWwCqRc?wHEcv6m{DXDIx<*?VrmKJUeIj_LHi_BCvIaeJkEUD&k* z)(wj#rdG*3ny|6VYhzyiHYS&+QmMSpgMyxH^}f49CzI8{&^BDI)c)h%K&~`~<0g__ zTSL~{9IITq$bWTbzeLqahuSCQT+Aw$=80XkPU4zeQ+Y(N^%n0giTek2ZWUJ@JwAI4 zgP6<H1^gfFR^GQ*9-5o>CZXfB#ABzYmv4R1(AIF3{@i0`zUV4@h*4|b^MC&qRX*)M z-Q)DTD=>rk$kd++C#&nX2ma~VXt~5XUT<CLlylQhNy*IBPbg}5G-d9mZ;R4)t@a5H z6<Bl0By_O@YhlXag4r{+F?=kU$q;#BsaTQlTgz$E+r^5*4oIAid09E@+5FQ?O(*L@ z?{Ot$xb)X=UXyv{)VoP<Le{mk-kTfpW1{@}DXd@S@w!ee4X{{wZNtem54V^Woz@GB zYwgP9Imz?!_9j{RF3<g%(!HMg(=At&Or81eblNU<g~{x-c4rsvzQ%Q6_64i{V@vhL z&N{@IJG{7W$u;|$_~bB#dhOfo{{CECzXK}rXEq1SH`KouUG=d#;8gRTe3s?^f(*3x zTy#m@w}*fAzZipz(ygZ-Om+Nw%{7c+vhd=MuiiE}uF>CRC$l+4WZFU%h4(X?BhFi{ zzx&9jqx2|8(%xzO(*#~`H@ev4v?hjg{js;stM?^vuAZmIv3lR@UkPh&J>B!RZ2G}f zbANAqCC-|7wLJEv;2Np_aZ92CH}T3^N-f>@n(M-XDeg~QWae01S#V|3MTxE36T&_j zR~c@sP&fXf5tU*eq#1CkQ!vP8mwVeb<~3Gwm4>h8+J3$H=xW#joz=dLC;e>>HHaR# ztXy>M`i?e3?S|`By{mrezGc5zY&GZZ&*k@;pRV<{`ZkBpnbAsA@IoSM!5Woy_YIR+ zH=Ol|sk(Y;;*N$Y?S{p|NuC}FSy4A<tSQT?*V-KUJ8N&Wo8S4w&2B9(!`T+!n*YvJ z^`Z9a5*40lGM^Kw`qu0f?};egw=@10m%#ZidEy&%?f3cpe0-K=GS{S3E2o>8DV|&J z{Ft@*Tzy&Q-0JM~70af)QY|xTySh)(#r25c-!0dI9FLl2u6%uZl9JJn2yZEm<r9SX zZEaOQZ=Y}RU19z%(E~a!Ulpy=|9&vOXqCQiR9$<Y{LFj#8d+EKY}2+dl)trO)N6R| z&RM`eMdpn)-zMR|+j9Okwk&sUiH=xgvL{crWoh84i?7~n*zUUOqvqza`L8B#(b4+J zEi7KRTU&8f%zAD6%gYq=rW!U>T+%uJ_FaeK<$ivyBjr2Xb64z4b2Qu7XIix5qs+V{ zk7vx!4*tHI9g)zH`$=2Bm}ldEy(_cCesgSb*naJX<toWZk?il(BAd7zd!IL?#TU-f zJg`e@Z~wdmrQ@Qm>n#$@^W?s8>Xz+RK2^M3bMYmklV4t(68sxzP}F3oaysKjnPc~c zdsCM^vT;%i$lE$cVVX35)}kZ)cLl?==j*AT)?}O1_IiO)zxO1Lr5ES8)EBKQ>SDio zJvL9-scvJ%23hrn@F%aYHP!6hks-po>#*#BGbZP_&V|;jQao3$?advS)4E3>USrkc z?Wa;^{%oy0S$;Qj>9hyxes>L7e=bgEo><sp^mnV=pFL|%ZB(=?Y2Y<<_;X;R;=h9Z zyoL?8e=qtJbc!cG<vzp569>(Xu=ns9I@J7Ekbac@e>bn8gH7QE-jDa0+oaYsZdCkN z?JzlJwfv9iKmSQ`FmLPcd4By^TSax{$u@}t+`n@>>ZK>}25xHa^qj=|(UW<_ZN3eP zerDdE<y$*fevG%j&{nbg+eDpRzgd3H&+<5O_eQ$YpOXioN{jfFEaF`p)s93eIoEGe z$=#FX({Y|RW3x>b%g^_#x$iWa{F)pRDZPBosx=+^r82fM-N;k>?|u2r?u-P_g!wbv zygxg}dMo{(A$X(vz4iwGo)f#nr@CctHag}$Yg$jw^?k=rNE|qSW}VT#o#8zkatEfq zSisc$hTqiaUU=5u3yn;_U#coUmYyZ`k@akE#bKX!-M<>Iv(H+~a83KHNA<-{UR_B^ z<{#DZlMZE8-kN?b%29uv-Ww77kTjN4v*-NLlYN?Qy)^$wmh+t@8&bdIKb5(9@h+S9 zMfby+U!Fe5{FHZF$1O?g)CN%MF`J^bs-*Vzi~RFG^Ky26oZwWwR^eUo+UL!SmL6U? zG5oDrppM2fh3JC(NgtLxe^oqB+<o2j_Vu@pSl@gW{H-HabKL`j=jZ1hvz)VTzvY)h zwUb}@)psrYZyCIBYY5W}--N{zH%&2;N>1-GV=F)RTutweC+p$Vm0#-03ugF*EtC+w zezAN0)VBf!=R`Szv^@XVh~9h8W%2H)@5-rhGDZ7m?NL1bc-a)wgU$EFv<jye`aHh4 ziN#0q#(H_7K(!OztF<KCS9=NsMX>rFT^hyo@@CIgf8k);%ChP24BPHqyL$Yv^h9${ zIq8q3tT7rQ98W82`3;VVXLKFyI;5Ro?I*2WT(CTG>C-E3-_3Gf^KEAPEt9iX(>}6i zujRUvwsyN(!efV}p^dr$1txNO*-5gm3r;UMKdZsEb;YWnkYuL=s}3X!uM$xU?1&Qn z@HBMlj(bx#Xni=s!us4#A@B8@so~q#PuteXtFd&J*U=@<1>4qeJt}26m%KG;gXLVs zY^Hl}>ZeF7ZxZ~GdsF#dY#8gl2HjnWZeNwlqXLViLfcBOvFu6gdKV_3t}Xk#MAP?F z?drgf{fD30{EcYHjo1^udcCUQ$!4Y%|H{5!xVG8d{?H7!J4*ALGZ&h~U41M0b5G+o z&xKYG7Uiufnq_Goq_JM+sGn-b>?Z=Up(`_<2pFpBPVG0GcUItBw9Azl1yz$xmoJ$s zpr6dc+b?8Xdbn63dHJFZvB|%ie=Bh8wb(FOJtOV-iVnYnYwk^o(x0alU7V}zY~$y! zeagn*{3A}Y=Lf&2?#sP%a@+h3m$~yVr`_tU=_s81c9l{1!t5P=TD#;HC!IO;JoE7U z206tp_IDjkU(KaV{%%=*iNU`pb@?e}-(T0g^y?zOty216bKUA@;QbQQ2XUchVr*ME znt8rS1eduoC(pWhxHT%9>0Oa3%jQpPl@H>j?ksp-sH}92#l|?`wA`vGYrPcOcO0>r zvSalQ5AUzmowL=nJvIA&L~RWBx|4rOsBX2)eK`RyyJoe|wi0n`UR7LU`6J}J!~f_- z)gu=56;7s;R=zrOBQx)0T0oyYzg?E^f%OyqaYgsEe|&yy?rw(fVvE8opIFasSij@) zj`LHG^>ayoNDi;!jOn*H{4=O<V%z8TO)d6$Qy)ti&$D+>U3z-u{KBV?GCj^O<lH{{ zyH?WaKfOFJjl)>1&#b-ZT*dhE^Yj=6u0*Ho`ar2`YuR~U8;1X?so8&_Xa3Gh;vLyV zxtFB+!`X`uO*EFRsXtwDKJ<py!j^4@OHx8kT#@Sc7xy{+((iPAeZc9fQG1+1zD;Th zdbsbgSO2XGJHir{Y|Kuvm>#h>x$J)FOsjQqD!vy3&buC4b=~bx!0Gdn*IxAOO1rm_ zpEYUDUVhdOky|Vd{Hs2==C9QB$lnj^*8W|tyY_EE{f0u83;*4OLngndz4ot4yQ%j* z<Co=YzQi1wYoG9Sy$#2i`m&|ZAH8x4iWd_)y0^IFH+%7szwa)|XwScO$n15%9)k<o zd-k$rK6N#pbz)leFY!)k+eHQzd9&8;GXL82<ooaJkH$;wi)Kw}ZS7g8-}TAl_S7{& z>k{GuALq~XeE0Hx|AXi?YFD>7wDZez&an6{kX-d(`(Mv&rghsc{m76yJy~M<y!N`< zf?c6~ciGbJZ}j@HbGN;O9OG@X)t(-iRzI))E;n*qG;N8l{<8Zj_m<!2c&ZlS_9krd z{bxS^wtM)8{<&|JeD(AOyFT;ZS{ofFE-<l9T`hUCSXud|RhG*BVAdA9`4%&kOxA9B zrZ)4A)^Xpol-;_l9p?@^1Z`v4oTs=bf3?Saj_v<M1T#9mO{mH&&iKAhntjrriIaYF zbbX2x-I2FprlBzZ%9sgNhB}YFzx(p&sNbdp>GvD8L<)|XWiyqn_xr@%R(f62rRe4B zuIHAiYIQfmJKxWk;jiEz{qsSOfqytBYg+G5_fVgs^Up8f@`!$KwEFDAr&TU`Ior87 zYSKRVEu1{(_;0VQ^6N>jg73vns(AHf9lMWgS!>Zgo9W+j{>?BG-&DM-`0nKuCXG9{ z_)ZkO>wG?}=F9%lhJ$N6>|B4dg>knBpNTmj;B1<6*`HG})@ep|$UJQ?nY)i<*-Tku zuIpFdyK?E>xmh(g=PoYW&QZhqamj8^<K01`%T{WwFnrV^bo$4?gbOOS>|W&=rK`6X zJlL%D;qSpT+fSRS+qYfzalL)-yu`o29a6VrcwgksQ@p(F)c)l^nAV*O7t-(Te(?65 z%C;%nAGB@~WBvPop}A+xOry8gI0{9s+*_Jp>$WAJOPcee+@t#H#YXPQ%D#U#SRW90 zr(x53JXSDH?^@e#wkE0bhbNT3<hmZ*;<t-~)0{1<^tq(Vql&G|gFf#)E3|(1C9mY> zKK1F7wY3WmoUwJe`KzF)$wEJUQ-+}@<H|zaIN5(O%VHI*Ls+kUxu5BKVE<Z<`3WaJ zob5M0^6SIJ8#}GM{$$iN{gd2Wc>k5xpNu_c)UQhDS8klE_(mc}t?pf1v;Xt#v&%#; zpPgm%SGA%#W-<%w=N_X2A2R;E3QoCrB=)hA#Jj#nm+y6~I+7e}TzaE*`K)cfZ<N2V z^g1e|lA(L>{%-A=N4M{Neer6~;kM51EmKR*H2N%7jO&~ISu5em{DqeHm#56luNGcq z=b3XdUte~cq065HgU<ZwXpOV4t1CH0RNFewS27=YTlsV`|HWhcY~_6>A#1Bvr2NgT zjAZlX7trtLUr})^>Cw$SZJRsI-bsAtXxlw|uKVoSEC2j?d!(Fwa>bdwX@+6f9z8sm zmQ(ItQs--A6KO4K|DrSNPW@MtBZXcHc9Q#}WL58dP$|e^sh8z>^nP83mYV(I9VfDn zaUMS-q{r<aCR)F?IsQaJb^V1|4Y6S@Z*T10C3IhA{RFkkBKu?Ks<h3#u)DB?W&Y{w zkIYZmh)u0XH|Q#l5e#t)IbJ)9ZE>7#>SgIE)%PyV+MYdGYr+~?{dMb9*2&Eeh&wf< zW8pNzEiR%9m*wQzRyE(?`568D;!PXQ|1vy}wBLCMtmpf+;hyZw#>LE1r~3{@J(6F? zanASAB!!wo7rRq-3psK;j<%h8V{yTA2Jyw}nGV<PbWinc`>;P%_C^%Xqx#dAo_)S| z?`=hg+WUw1oP>7A2%h17H1VEFoP_wnp9e+$EiststQw?0?~K5__b-pi$G$%M_P$6{ z!PW=;sk|0iP1m^{|E&4);HcxCrL(gaSUhwUx@cQrvVZ!Ie-_Cev6GIh|1Ebk-A4C_ z+}rl2o;EkayXB{Czi_1QXa`s7xBqTS*QEXEbex~2e)_ucvRA_M-rvsCuzpqj{DJCq zzKLm~o3b8DyP0qJxk=MYsr&iSQyp(Yh2E@RqxI*8QD;SD-jv6OYwew1tTfFOm@wtP zXq(O5Nz4_;YR=8be{#e-J($V)SpKD|U2}A1&wO@m6?2~Gp`O<J@2ln*E@jyvsxocq za^L%#zSOuF?_I3zCG8*LQqkpBQXM|!S-9Czzd6dbC$G4_^m^5~=F={TLo2^4->*43 z-K-{)xl4MVOG%DF#!@Ez#gVVA*g2ZJ4om-Hk(2JM-O+BiJgzU@=xybxJAt9Km$#qI z_Pvnb<~*lZ(YpW3qZu~W6N4N+9Vp(Uvrb#*(S(D!^%X|@mN4!Q6EuuoXfXNI)BcTy zc9Qe2&Mns#;yDs}P4558o}{xZ3lB&xv+M8+KDdDY9or+L$<=?#r`CQy{o|&pkd<V7 zwCK_9_6+-j+I;u!NA1#7t2?xEa^cZc8Xvbim-zU0+*r0qyDsdpsi^7iODwh;Uk}Ud zIQZ-2B+tW>KNh7=*^zbVH_uPjyF1gIl6D<VxvieuzwXakrN+a`5<Hi39{f__>wGYy zV@qA;@j1s<_MCsBKDW~D>Q2V{mu&(QyT1EAT^aN8&acepi+7|q&3R+W)fCp{+Wf-k z-P<)P^VWMLtk-U`7jOE~UDu-i`1jnvKSz96+Ozyu$UPMA?*32}dBn4~XmzvIs<=c2 z8_T}^Yr2wN2)q@QKm5a^C1TyAV|B+uKFeAD-7zOZKvjtI<bi3s?%G)P+4md}+Ab1W z>R1zbVe&Ptd2)~9C6lZ_Rw!x}?0GQBM1F~<<niQImAq2d37=<9pC{~N+_o-CM##|m zQQPFRIY$pozp9l{5Np`E{j`$Mrw=<H&EIfLG%&KEPvKu|#<A6@f<Ks7a69S0@O;#F zk)f)T#i4id$Gzt?$}AbGHm%Wo!_xa$ef6Rp-zV_#arVsn@x($dTJ*@>_Kp+Rjmyer zO!v3@cjV-ckE=ACe|%IF)Uvlz`MCJ5kJ-P9h=gDBjITbA5v++-jucg?d*`+I@|7jY zJolqTj|Tsa+fq>^Q@)1l(Q{>esSDi_kFNX8>6v|I_dH9LB(X<AtoM{0rt-}TtcuN< zSG4kfq{l4doVw6y$LvpA?b*G~I>?jxi0oXiCvzNZ>>di<TBhPD{%=#zm&dDxg@e>p zr}E})?K@tqUG2NEU%>XYMfm%Vm!Fx>IpyJB)w0d-b;X^FlS5ovj@xFwu6Q-Q)9GA( z>va*cE0-nahvi*&_hJ>~y*NoV+wS!b)_ECEYB*n-rqza8KH<6b=1@b*1x4q|IZ6IX z$M_->pHH;-rJ4MALg)l5cg>?`?y#C1eL7!=_u@i%QLjVCr&Vcvus+hi{dCFfBw6lP zwW)K03SHj2h@D;T{Jtt{t?-6rGmM3H&G>tNO-m`$x;N90JerttRn1Fs^53UE*9_O~ zykh*N+F0=IiV2!3$>)R(ucm|qB&IcJPht0%YTvWb+cex~=F$LW?n(PIg5OU+zoKpV zydyu}$ldzGoEv;BKGpNt`K9M)HQM*Dz7*+yoG)5k;QEuvp2-<gYKs@{c)H?xmSd<` zW|_lFyS|m*1eV%do9X*?Pscq)A;u$njs7eWIW%M8<Ap1ixpci;Xwv$HNo}i0uE-Cu z6J7<Lmp<(BWje05_skY^=7L=l--mXqbl=sSbyDSN@-NZEcb5%AcYT>`G_$%r<4@&W z_ecLv@pNzfnR)YQP*eKWqLVTUJf8&KiZl(MU4A3un_#<Fz;0je9Jb#I8+ANo=1rfJ zdvCuAXMNnt-Io^Iyx_=sb(d|S-{%J+!JpUk?e>{FXT_<`NYRQL(i{8^?7i!$yU8H> z+%qfXj8#_sswuHf(U%L=*8O%?HAqQ$5vDT9XZupeOx3g6tjBo1KFfS|mhH5e;=wH4 zm)*>47uAdppPpejW2LMTtFqhq*?zT)+fSAFII$j&xc`FX(XmHLmCO>wZJ({!YTf?U zuF=V#+YsF?eWP+p>747$*<4ePxvcor=@gu}XWR0et(%s<fA`3W=SNR?X}P$)y}4&k zu)<`=#@Tyz2kdN>ysGfW#(DY)51p2c;#1QY!Y4nx8#MFU{FgOX@5Egby=tDam2KrC zzwI-cH_LRtJIxe-@VN0Zjkl>YGDV7ynLY9=j^OBV%1aG8`e&)~GuE<_-vusG-o-}> z*^Y16Ah!GTwiBEaX8OyTRIoWumtM%vY^Sefl_F+%`Not(Kip-ck}upA2?<}dh|ey> z>FLv`gW!GN+AXtP4a`p8i(dEG%4ccj!nnN~7h13ie(fnTmgZGtV6OYuYSq7V^1R<m zG+(*>kx5$@bM$7<*|<G3&bm2m(A?rR^SIl-+deBRby^p_vdUcgWqT3V+o><k3tBom zmF7ItwBB<&WZ@+qud;~xWaaZq&uzKTx7T~~ah76>jAJg(vlnPR3_rVa4pT`*d9Knj zRTVcc$rA?CvZe^%zQ0b<)GSJP<FTd56*iIDE;ajRgqX?Qnp8ZaaD(5MY(>Fahb8Q0 z#?;pEio|bF%HYxq(Vp1!)`?+tquCu<uH~yeW*2*=ES&WC1?RCJ;fjn>DeB*k1ldSe zq!{X7khpbQf8i>PmEWp5=1<v`krBLn)Ad;22%#ze*W2ha$11kZOMlquVer9aNxMkX zi;tQ&*Y9DiJy_W)=_VJ(H1qAm#vRrf8Ed-AZgD^KPA&}bJnucJ&0yv2bt;8>K7@6r zJh+n==00=conrxygF;LaJST8iuegz@(Ri{WqW$UA9j8p!thwG(b~5R4eAmbBErtQ! zvWyc<UoT|fWo^Fe)D!HNym-^}SH9<F&!2hzqW!D;nx=bFS4BFmT@=*)aiZ)W-OXv) zo!<|n-dp0EzW9IEQ=hmM!VJzXI~<oiKY66~sLWfvbLno=<u!!2|L6=}cxYX!k9D+Q zkrCgGF5erb5?7M9?^X^w(HuX;Hg(hH!_%alR|PHii_^TV@%Z2u*3Y5^?%#cC7Ven7 zP4tj-s&0wA@tkM6*HU7FeO7(#_cdEGDKcq}o8go-7yoO|T61#8z8$f-^E9#_G9|fg z*6i*QJ!o=!hG>*Qw{n~*Z}rs=>Z!U){Azm+PHbQ^y(N2YwcoUf$7;WPU^=v$S=?Os z`Ai|Xr`BKItlK1ZQ&ClCNARi}d%WMdWgETI*1Pmqe(FrFuZ!QEzj^(i%;mV!+jkN_ zZ#`1&wM$gb^n}5yea;cTvUTOxY5Y04(D`-md3UZ!|B@EDNSq05&J+GU-B3rjF*LF1 zQpHoIp3~{Gj1$dXTjVzOtX#Cn(AnSo^PR`MNw<}rEX#Qx@@L_jy5QwWrkisT+ukML zoA`Ob#ehQoF#E3lwHF(V*!o?Bo<3Tec7|*9qkbP1Ghfvc(Oi4CtTm{6*A)?5=)Le} zjekUNXLPUR{%Ec2z~ww^4=g-9X}g=%*@C%dmrg5Hw=LycDrGo<dH$!o+gn_ga?~FF zutd~3cym+fvG#URyE|*Q{LGekH0kDski)OT9QrP*&)7R@-pr+sIhGr${POC*aLV#t z(1%MGZOwn!Ys$Un>-ZX%*QL_ErsvO59!0mneL<Z)k0$P(x$DXarQMeQCtaG(Dn5PR z4WR>GzS{-YUOMa;X;<jT*FR-#`)bBVXFf5n$xCzVVzE;advs8-I>XqfW=rFXY~I;6 zHGwDfjj#CWWUGdSU0SUBa^}%9GF1j=Rpz90RNsAd$kx;BmDL+b9;4>hcfX%b5lXSO ztvk1Ss&z_5%9-Ya?kgAjY%1}uYmW>yp7t*Eo=d;Z3d!96m*PA2U){)jXa@7MXJ;<% zh<bU1|HbD6_dWYFc3!^3I48H@#a`X--wiqn%{TJJ_MdvRAY`M{rCOPF<@>wS*_(IV zo4f3Hg^ksY@EIW$SGwm<%ViOL`XMu|Vp)T#*Y#sO(>F%Va&ilKRsMHtj<8(b<|(Vb z{jQtqQ1H%Utw{DNuNf=ucK>xuz8Q9TeblA$*Qtv>v`B7N6Iw5OTVc=2vR}VGxt@KL zcFko^Sx(%&-C1p49{lQFbj@W?X%53WwY1XIv#y@M`odX{#`LYY@X_<}rE~MFCT}yH zTv1kFZG0`H>cfW?(=}m*U(Lg=%<{fbkl`Zq((2E?=*r%<RH^@;nv1rD6kDmSoA~?f zvqsmdo0p$0*_(UIZ&K{ONG7WyyTd-BH5y^-eBV@d%~xZaeRJC_0WQxDx8EDS3eA5d zv0c!0?!*PUcEbDRrX-)q`6hiwX_u9<`y^ez+9SKYH)?+J_w1Yg@5Wg(v52$sTo=_8 z_lr-}uPo0^lzsZ+t4?~y_JkOgyos`vR(FH%ZAyE%>YwYikUv+mn55GT%k~6r44LC9 z<+^xB4zuw!okv^uMP+O43%d8@_>*ZOdZ!oe;r!d}x5nHcE3`9Ds=2}Xz#2uSc_*J| zZr;-I=a|Uurg>??iVHS5t)A5@H8D}yzh{xYr)*x`wu?Gz8eSc%e$;dI%QcC+`=$PN zKS})U(X6N}C(16{dtKT3;e+!NYcHtX&F7fE=IHx7UI}il2WEB1hRRm$5BvPxcWTtx z={Hh*kLXuvKV>jGQ6hU;#%FHjp~?`4u0s!0g`XAwD7{(rUN4)=>`Uz3Jl~_U{l4Bk zKYi7EKh=9W{2O|6*BIaVq?66H??$-hoTICs@?H~pbh_4YTj4BI(f^wHflo8$gl6x^ zYMY_CKJVI!G{)C1F;^E0-p%zrnk&a?G08|USlZ%f`JL=D)dfCMZ#`eTJhETyJ}<&L z&c7p$chk8Q(gk}}-dd@h{Z(}BW5~=uQlWD^rk=QccG2otofi)#zx=r?w?%JmLZh?Q zha&%_7o|;1XLq|^TK{Ry!R{5W4lQF8PJ2A<)FOu@SI)|7g1(+gSCZy-#IFyF``gSH zci+S=-}i|B*)Ke@KNhO@x>wiCSH4zp?ZYLJjY^qk4{UCpdg+upKli5lK`u$F_4YrD zu`9D!`r7$Z`%0S*uDda77r4H5u}S<@cVSl7ZQINxs$1u~&Tis7RO$Gmev*+b*QXhR z4#`1BSQ~BE9JB1!&_8J6TX0Kkb7!t=>Ls^rm5gEfhd47AIsT}f)@gK7;PU5LtNy%} z3_I;pw$SQGVZXv_<-Xv`bxU4dwAd@R+ifCqtY<dYzAMXD1ut3|6}#~0{nTRq=cN~x zXdGgcSU*GfZNsF{rQ5{#ce;qI5&n2QkJXr;Q|bPfWu50OBD(jkT9Dfm(5Lq9O<c9Y zUgdZ1r$>8SYhXM2`H0C*9#N|l<9jzW3STQutj(03F>BhjH<9X#G*tKY=Iytcb2Vn( zHoqhLcem6v{d%r-=~n8dh&eY~qq{7#*{<>SM4c_~)qd3XDDJgO&Dn&ta=$-Uv|9KY zehzu~aqEt|rTec7SKXd7_eae4YJr08^4^<gf<Nq>m$F;!|2Fl5r?*_RyB(~#=la%i z+e*GmpC?$((M!AbknQRmufVvlmFMOqdft&dR?<*1?UUR4OL>8UHvSVOH&1pEJ1@F5 zazTS_q?^hGn=hVe7T$7yFU>y?n$ULL=<xQL3q@{3i^}=T6`%N!+vt((YQMi$o3y80 zYED~z^ioy2&fbDX$u3QOIpH<NZcq14e;pCP{r$|t%C<wdO;~5!273!NeDQwRo^`X> zeDd{~qBr*Ln)vz!-yY?9*ST_40skf5nP|F8|JQQacusWTwj;(ob3G$V$~IT4Zk=CV z{_>@3!Rg7lDIYJM_l`Li^i=N1!CtG4v**sqZpoY)blE}V%!|C4+27{7e-J3Px_`&3 z_MGJ7-!(J;-@K&jzV^o5!#vCHZ#ZBjXl>;#@V1jPGn=cdJbat6wtLIwUYUsrlgryH zUDfx6_<YLDX8U(BT(jDL>x85gHoa{sb=Pa3Kku+*>u)pIFJ@nAt7<m;;peu3u=R@^ z^7wv8ctsvhs+gK6^?%lewt0_jEiOgOzO}_GZL!Bsd)23s0$h2o$_hTI<{z5Xw7z^c zd;F(WU7yW&X&-Hj({ow7Qes_4MEj%nbD#aYt~O)t<2C!1`+dEgQ~IqwcD*Fa{g{R4 z{#{6w%3l|du3z@{eb0}7EFY^TTK|-Cy|X?gcJs#I))(_^)+BXpn|Rpub4!hot?KGq zS)L1yT1kbkSz|jRWQ)a=MUKq>cQUs|^S>-``#yEuf+T)-;Z6HPwnPMfXw_q9`)_nO z?lsTv__Q1IEfa3U+b(gH{qH9G_#fwM-&YUqPt9`cIH;Aloa0-PUlCvD)J%uN(_fxH zHub1X{V|?@p=I@VIy|hj{AVY+*h~;xdH<%gs-NJ>CAT<j-~RnBGx4D1MxO7Y*@e@y z7nlF=)taS#*m&mCoo5P!U;Ct{Ok_`<edtKyqYZ32nWnBMQrmAY{?>HP=TZFX8nzj7 zHO~aD=C2b!;%jkEqtSkKM&oVXQ`XH{!K_BIMf>a3Zbj_6nW>`ne70cT{V3Iompyu` z)jQ6bZn(waWT8~sccSxELr25h!#whv(jKH&I`{U=mdRD!m9bQ4U+X((O4)&eg%x)u z9NA*Ei#ceMdw)60y8mB;=iW71G)+9~<LXVieF^U-*k9YY*L&)h2V5O_yVUo(&YWW; z<SJsU`><Ql**IyA|Noa;D&x9xbG(+i2=lyMV|cb`)}!Vv7hgU8zV(dM9>IB!t>?t% zO5MM4@3>X5TcQuws-0#1FB`t41=o~J_jsW8IOnuaukboksacCR@GsmPbZ=6w(aX** zv$#_{_TR1DZ?c{FCwg&1>5E-UzGkIahCa+`s+(I?bkF;C{9+EJ|Np|Q6wX8(SoQpK zOQzc#iHx*m&-_-+$=q5X+wsCpr`3<s>_wz^wE8Z;Naf(wW_$k_JNNtyzZAH3N#IGx z+b1Nt_C?zCsWH24yJC=g{<VhL`9!gK9oLRNa}$1}95rj*!;ild!x#EF8L8i{DCt^u zw)%)mi9(O>_WT*!o@-{_ni|IP|J9Gl35lz>Tz&fN0y`(ubZOuC_KU}FEIq-myXuW* zY>|}bDwpf?Z8#*GvV^TxD!Sc?|FE%Y*G9J&jCY=A9b{&`Z!*~^;qsg{^2&0TRA=wF zy;aUWbm5cTv5b$7``vhJG52ft)##;JQ?<86SH#?UZM)UtO<nrEAM3K)e^u>zFu7YL zuSxELuqcPmgl~n%{;fQzQ5xVoU;Bs8{n;_EKQ4-U&G$enoTXmV#n)uhtyN{h$L=qg zzWwQ(#K+d_Y@azys{FC+b!f6sAm@))l6CVGx*v<Qi5zl@{MD=ec)ng_T#j~=_L(Vv zj3af!kKT_lN~}#Z`nRrQv;J-&w#h7W&PG+)8;7<0@No=uxmv~YeixGlTc&G4cH-_c z-}uTySKK*o@bBN>@F%vHB`3|BZ0MJ+@mNOIaLaL?CBA#>f<N2ZtyMpt*c0jZuyT{u z{M66~8@5C}X*tEY?1lZ&1;IY)5=)<DKDcl2X{Fki-A(?D=Z|dfS(yDLM#U&oFT8Ko z>GST-4n3B+a3{l|;J1drp8LuCvyV?PHe`37_2{@+xO{RINA?Qlz)bzCE~zSOgnSie z?V3_-c{)D-+=m?gM;%{mPCZmTygPF0RLips79nE&v)LC%B~4!?+-K*a)Z~75;)b4% z!flfKvUxfj4U~3pI43do+;*O;xjz1!*v+6x3qsC#@f`4!+aPMPGt9(%u1P`7(H*~7 zj_(((Ik#e6$w}oO_aFRdV(e%Zp3UpW!85zd?xMG_y|&uY-c9`n?mzfapQxRjWqs76 zeX+%ig<qUkg)W^l>*`hy9&72>QODN(?&Z9B(I;rlvu3vYrqSw-PS>|C+N6A>C-bWQ z!#ko9jxsx#r}6(cS2*ZjCoX$O;9ym8%gWrJANm`Yh#s6iVV?V3>GgF+hc>%7c;vW# zkezF_QhUFiwS<k*MW@Xdqo3V+^iTKmZ=+mE-=A*mHX7HIb{(EMd(VubIL~wuu1vp4 z&B-E};+;pcT3?;?UfVEHXJgiChLG;Xav$?<&)KB)q3<MHm%wWuv5mWg)_#6{y5#GO zNuO(*K5n|{wYBke-TIBJX9E_-AG0u)6W9ED-fT(Twx50_?y030RejI%t1Q`iBuL_f zC5!JxJJr1v9Mi8Qy^<4rcSW<OUGlNc$Ne`S?y&mt|ITvJqx!$U8y#`Ww%PY6?sA5V zp`y0e9vcbMgq7Nzh22XkrGz)XxK@$WZ2iEbf8|emwx_qGIB&Xd&x}*trLi$UaBk@} zPnRvtlG<Ir=C-J=d@l2H>%6m*r1Zo1l-L%3kiMKCyWOI?(rWd}1?@cZ6WipkADyys z_d*-p#ZUZJdokb0TvT!NW~*Uw&!4ULC2TkOe$#xp^mnAJW3~%Van$q{?}^uHw_o*f zmififxBLF?*H+2FrzHyAr+-y<eEDW`#M!iLo4N;C1r0)*7VYp)sgG&0x~Qt`e%j{N z$IZJ}<QAWHy14n@wTtJEtkHNEe#7VJZ+qoS%Z~p!W74Mo<?`n?7DYkll_!n5A8%6W zyC$A`M&je`zw1l|s{>PAKi*^vWu3NTvFb(TcggJ&JrC(m@A~w9b4>d-6+10S)3&Fc zJMSkIrye$HkabG-bZGHbdmi1pk~8ey3D-+^osE@_Jh(bddNw!LzRsYhULo&;7PkJB zu}kwaG<8aD(^Srh6#n=$GgG@Oe0G*;3F}QyiAPp@|0%tcm7V%(<s#QbrIiON?`%_h z)c$XFhx;j|Fp+%IPP1b{*IZ)kd^P!cw|u?O?YmauOyZ^0_hKdVFRxay)jU=3K5<&< zv4zS%Mdq=kh4M?upEiEhvgbs|iVOz9H8W0JPEO@4tx7lSY7AqY=%MoR36o>cDn7Oz z<p{M7i9$c4^}?ylnRE0L_x8%kTunLG5LB2W_*}=@-DPff_Q&9<3R4AtiXIF3&h%6_ z;N{cz;>8u4be!&FY0P=i{zgJen(_73ViyjHN!jz|=H97UEU{_vPZ@ayBfCdG*cL@j zJTUM1(%n|iK3nk>l=WuJvlKj+?|WoDx6;EyhiAtmDM+X{`kYiVGmkbdm>-&2Qo_D1 z`gd)NfqUwX=lV*M1jEh?w@+nJ?sa;0z<#Qnz!kIa>JRTb&v`j}-=$fN_3w7K>VH)a zEqgRaxTAZrc2R|^swoS{`BbAXih%}Um6a!QnRjbPmhTIk<vlgze6sX>!-IicwVQT& zXD46&+%35Ers~$8#~Pcjr1ie~sOMfR78N>w@}k%oht8kQTgq|mw512ntQ%dE#1}-B zbsqTj{i2`utnAn9+3QYceVn|hmi@WUk<Y=GdzD0vY!%lLayD-{!D4aX^CXK1w_P5x z(IRCF7Bs(J9+r82OLlP0+MDUFA1C~-6W*(^>hW`x*8z7-Gs;dc&RhIy#qF!tT>hj6 zXum!g6__(cHDXHf<12ftZrzB<^gVL=-<|^>ySZCdJu`eOy}#4<lvI1u+5MRgbAGRs z(wk5l{g5$YZb*3j%t>O2>ien|It90Shx@G!(wLvoD>+B>XuQ6`@)=c84<?2F|EZZ~ zrpbHgOxq70k#lPGOATVK+{t-)->>q$$NjwycRm*N8tuCGnse{THKu~5(x%;?v!8Bo zDs8UQS$Q>WOUU6*I@>lrHcI>dO7M52^8TLxvVUJow|PJ1v%Si#QNQ~T|E9OgSf?zC z@h|^sAU>!6-;<S#o;cZkG0#4~xg@bB>Yq=~^ry`0_+F>nu?^5%9hbS?hUsX4H`B`L zE1lw1+<nBE*KhPw%X@Fm{hfPt;<laLT@24RMF}!-S!8cldtln%<Tr2oq93PUsfg=^ zep}HowJEvc)pWOearZV!*seXZDq^o<Qtq>wlWu2j=M|OZoT?EhS8LjGr>AGZ)y{V- z7K>PkM5ijcoG)8bY{~f8=t`=^lBae$x(DL4c*74Z^tjnk8Jv9ay<eo5&tqku$4is5 zYqn(89IVe@!|_q^de!?4I`fU{D@rbHnxlX9*q7pYfmtdOJQ##~=jd+qwA&|OD{(*N zn&j%qcf~W#&N&=**PVa%g;kAna)gU69NpTKFA;vzAun!<S4ovaNz}BUg_HkuE~+YW z&I#ZtkUg*Vsr$o*SKjj{9_ex|44vb0o$czIUHfMoN#tz(D|c<-sTZvYEFU{^tbZ(d zr1&Z_{oCd&!<)DEY~z#W@mP>~eC^S#N6w$NT4sEDYR}0)Tk-h@Ol$Z!jvW_THgAu8 z@3RG_!r@=p*J{XZzO*W$om2498im^is}JsZ_ef>)ruy2I`@>{hSNY5qJ!<dMu=z&2 z-@e|9ewX*Lb6*qc<ePahrM>I3)2e`ZkAk=S3+}9ba@pR@@r;-JdMPR4OP_wXMC6`5 za=JE9yG!@!t|dLJtq#iy$}hIhpQak%bIzzMy65!{hYyO+%wFEG`m<$?bJAg!$&wWr zQrktFf3*I1aMW?>o!2|AO?Whe&;NBv>+Eh%*7FOjJDrsyCN6ogOEJapIL~+1;%nY_ z76#XEeKKc;v&`+rXG<8vHkq85*r(^2^lQcRd7>uItsZV*ndtrKLW`v7Bi|Kw{bpyc zow*~pR=|FXS=Qn?ZPQQtc*GuSk=gn&<<@hL<6jb@8Zx+M1#FjCwsl5x_?e_XE8aI8 z{o%QD(b>62Ii~F4V!aj^)ygA~`Bd`Ik~s-q{d`Lp-)dLx6yxySd&J~v*S426B2OLH zd`k?9df4RaS)-DBX39clhmz@*PxQ`N<-VW$bkc>?E4yyY>SX`LGT(mc@{3bq63&J+ z3Yh-N+u5>q;pGntc-mX4x!>z?Dt9k+I~Hl%dsRaGqx10}GcSmChFq#V#Pd^Z%@QLQ zmFfSFb=;kF)A+>iOWX-dAHVR_x)$^-b@pem;;tk8(KB{U;QBDBYs=MU&Cq*^*-Y2I z?LEe`yskn{zK$VvvYV*kr%NdpTQ|$ZmW0Qz6+JrlDd!HUHC%Vh7reA<XgRXanmzmL z5!<9WM+Bqp`tfCb{u941bhi8Ei_dmu#WY+E?(NEX?c(xek%dlg%<6w{MQ7Pg&)>G_ z$E_V=wtv0u9k*JhQXm+<;)3YZcm+?Xb!v7eW=wq7?dSBo{P&j2uYFl^rc@k%^1Rls zdd`nEE9b0lcHGkcRJ8x2ORoLFjH#AiAD-y#YiPN)e71Pfp{l80?Dx<7@RT*Ub@sI* zkFT-Rtn)urswsZ9>rs)vgX5R&-!cW;RDLFw|5X04Wb%v~PC9vNeZmI~)wR=8XL!Fk zV0$#}ZPA|vH*!KB{Bvy9{=VaS_O<voru*-e^+|@_fB!}8W~S@M^`EqCqRS?$$RGdk zbY=SOspWwm`xhA>PyAE$@_^a;O==HL)+|l_T;X$5`OAU{ThvxOXcj%Xc^-@LtE%#S z*BIZIA9G#9`6Fq)%r9k&M{{~_o%uWSjv{lUYQU?9?!Oj!E$bD!uU~&XvgK~=&Pz+* zKJ||j{tys<>Ag*<|4-jVWk(A77cF(Wpp@<U(bvD>>ak;4hder-SGno_*}6>SA4^j7 z{C>Bfdx87hD|gk}9o1U<DU+dmI{ULI;SVnHeTqHu*Op43W@dUW{)uhQ`u^-DRmB{p zjhgR+SL_u%+U?{Kd&`ok-Tk=hxh-lB?$xNOb8f#LDcG)bkNfJmABpSvvnL#Q9-_kd ze%jU-zV=7>Rx|PQ{xAu?(`{PyL7my3d(#ef>lu$!+}3c_tdF1aPdv<t`FD2z`L0J+ zb7x+jJtdrbb@4Tpn5pNF&Mp1@w}nl+>-?ASRbOZA(V5X7?Z&lN@{+ZRTTh2Ddrg_9 z#1daYyLoGBcqetXyR1-|=c911$l(*)=SK^cNsFE`h+lG1S}D1ByO6O>?xzD4o2>rb ze!1LuWu0B4`>D3Qt3H3M`hPV{<n>yu)lBAJ&AmBgSAG^$waaJiG0dB8C35Rj@RmD) z;^trXsR;<`2i=;IC;#E!#KNAMSAkQ7_O7}zJ@bxo`u=H`4W7Ko;WJWRv~;tDlg;rK zbFaHA&5Z7KNSGPPTyFgpem`9B=i0L?BI1jbP6w+hR+)#t=HlAdDx~1^vEuQ5r|s)+ zw!E=&zxF1`WBy8s&AU&pI&kmbok|(aNl9xQ@}1pgSH{gT(fOINYl*me-T$TYWYjt1 z?tjqWc<vSa{8*YYm(bU?147HEByaal**R<D&e&s1^p$<g-`<a3_mNL6^r0+s^ux&u zcWivZ{jP|iZogyA%_AvZlIl+X9PBiL&+O<Z_|{l6A<g<u0FPD5|CQfu^&(BGCZ{hp zWz_$sva%-i<HNHd3)?l?Y^;_Y2|m8l{8HL;k=0E0=ggyp3z7@9+t+C9d$+P_>565| zZRO8jJhaVeE8i}8&wuX2yMO;1?8%?`@bA0Yw(q^)`QEQ%ZY$rr{FD`Ie%bm^gQzyy zGYcxxU8JU`^LJU!{g7a@@`FuN@e;G7wl%H=K0Z$c)6T2x@!gcr=5to5Rj8$cX^zl8 zziH-nf!)(ok}_o`&r3J>^*Ad3bNfHnNzFbr%YDy3b6ZyuzA#_kDoZq0rL@qnF!P-4 zOZz<eu4>W00*{hxBBmXmdo*fK)VE3Hvjxs*oH5cnwWy_Wj_BcIkCa}E{8_ukc|&+m z>ymlOUVdk9IIWDCS9+^A$fvi0<H<~`+ednIwk1`pT)=0x+iFRHymsyB$y@y<mZ``U z7wRv2^0XxHasHX;r=~p@zxg~{KJ%%rk;BExj%}q}a=PA!{WjUErXG@&aO=ItE4)_A z|AEoOO%oqj@`lg;+H5!f`nnk1$sA8_Z2EdO=+2$}GP`^4d4x``UgJ|0?{%F2hSc1k zSpVSIHG)+y_AI>YQQkE7*)9j~wL60ro86Y%E<X8D^!&@~_IrE1THR{hIl0_I=a*wz z#j-GOCiiO&CI6O-+6mdaA1^v;xPU_}Jn5WF@IJ3?vnLd8=*f1n(>yO9HsfvmEsr&A zI`x;VbCUP^m>=_f|E|GPVshYRHLmDX4gC$gtBcw`=|(S1zO}1q&LK0OGn<7^YZrdm zVC=S>IkmRxU0RR6S6<t%*GX?g&Y2yH*((~a8zxk*>unzNH*4x6Bi7@Y=7uMw4h98m zn0vbS%z?>jzs~%y?4SE$ean88-5O`kx%^+U?2_NwSBuh%=5#p(i&UQxQCeVeiC6R1 zy#Jh1Qum(yRB8`caU@Z{GxF3L^~>cpdf6VeF}uWmY29?o7P}{z^EGernhkZwAL@y> z_$|A)hhKl|WgfGAZ;CDi3N8*8pY-K=v~c14of4bV4qCGBKRm0W`de(Zhq{%tkig;K z)XbXoJ2>7o6g-i<d~EZ2<FyMvxN8Z%Ka?`F+iFpamTj5l`xW0e-?HkIJD#<==0?>m zDUbI`Su>MEK59m1KRRXH@{ad|Tgj&dG9hyhiWJV-bk4xBuy3Ackj9LKlQwTDf3otU zhn~#d^fPjThcCz76py%ToX?l*xA0S#$Ys6P-Df{%JYKZcV&BU(l4-fdJ1(U6Fxqly z*sO70DSFM*D%9#oh1R*p=bq+di%l~>?Y}@|g4xOs?~WY*{&w}m?Oo|F-}cGR7HY5( zow;Fk&W+u#t)8CQrdi|QaKY!$v8zHt%N-M6=gbt$nz3ina%=NdolSjF+o%3EIb_Ja zRZb@Qscc<!Nq)N2Q-jm7Js;JS)sJvo|Fy#SCF_g|Vd<M4%1jyDkEU+oFboOFdmy=G z;~r7@tCHD@$JTPr&?tOv7#g%W?cwLSXOrgVTXi084Lg0}=8jM~L*3^~9c8rnI=gF^ zok**6Nv;e0o3CXy`-=Zl>zi}Zd=D6gvVGiiR$_i1lU3A(6_%xZ{Qp;J=bk-uKjflr z+5x2}oSL1FoeUY<c6xTVE<YWTpH{}Sepl3*$ch|;&fQ&~9xzCYOK*|YGJD*?@zije zufAEK&*a78CD)@i7kD^5jq5lsHh){%%tt$K?)R!&!xORj!o4>w-(EU}Ydg<MZ#`E( z#j0e@r5j@UThkWqzd5U*=Vxltn$<UtWj*v*y<+iVt}Z3Nbhk?HN%7B?%-(2_75X6m z-NZ(7m8mP01Wh>0r8a)w_9La}oQ&}#YgQYrov${S+}@(Kd+D^SlKX~wyR8oQZ9dkN zazyFRp}PrZ4;^j}Gz|Z_)Ap;>q<A?_SB(ynOy@rV%Uo8N#~sme)q6P2zKk(<&UG)J z{FT!!->{{2#%>WmzA9>=ugui6t#6)d<UL=hl<z#pUi_1_566m0T}FOl*-Q3mWs8Zq zuYLTX*J-H~U)1Ig^~XMF6_xH}$(NlJzBZv&*7!ZAgjver+l`B(3j#&NRXV4wJ@epN zlD?v=Z<?QW@54=Ed!joY*{AASZQzxTc^TSfv)??Z{Jxs0npaYx(OF|27L_lj7#`O2 zow%g@*88hsOKPO#bT^OlC;m?KUmkDBz4KQ0)*r3jB@-hzt4e3gpY0X+^r8Op+IxFn zoNtY+)-&ES=_W7tdqdCsfY{2r(`O!DEF(GltoDOyuir0n(lX|@TxVa@XH;|7b4^-1 zW7xjXAD1VW=uA@Nlsf&T<D>HNj>D->rfuEQy-mn&o9NSJ)}1$kr*TNz?U-Zss<ub* z){!!uv#sf#?<TfPTC~^Wwuhh)^V+r|`xC6%1vCBI7d`0ldadFjeB`5GN|Q*l`O=F| zj|Xy^d+HRP?hWV8`jHxbsA7ZUqa4ZfNM7MLs}HC}bGY-o35{VrH9LT3(dqQ218rGr zXMRa&uJ}6n+`_7D2U0%GT#|QW>c;5q8>{cdUZ0twv{CZvtNxi55)n>6_H)^M`pJFd z)2drmJ;tgUnoin&)+bEYOk^$gv(D|A?lW<<i;K}-gL`X(PA9fWRph^1c)v8*bA{pN z<X0~$)y_>|uU%BTu=+usk$R==i7zsN4B=K=v*a$b^EF01&0cQny(wtY508EGonNdt zGNrdqLAkk!uQ>QlV-vqB^D&<l^`^N;{dK406gjB<<~q9P%QKT!=cpSi@1@;&|3&TM zvbfC_?#AC<-xfM{X-^GjvS!>m->YlcJNHHT3buy*=;=$Fy}`Y5$*WT5{=*VB`sEIu zGY&C+vg<w+Gt+{v@?>V^O0l^bVM)h=@8vQrUi7QYule<X`O{W@nVLGc<&;1-mt32t zUHkUN;F*bRHC}BM6Q^`fHj?x4TG2IiPy00=A3mLHJyRzIczES>6(&yVnsC^tHLT*A zZi4LjOBtz7H<r{VT}j+~_vEFI>z=iRv`TFanxl4W(wxIRlm3b(Wl8^D>?pg{dhX|) zIedjHY@R#sSR<CWc;Ss#C!aO16y1<lq?Q}P7u&FN!}{)(bMy<m7Ka?ltL)yqo%LSz zkx7qxw(2cee(uM#;4Ry&4kfh;9_{6rW|~ydm_LKlQ&#BjPnJEErKz(zgl6k+OZYD9 ze{WW2{5O;99l|_KNh@YQO%n2ne-p?yv9LP-(~{Ds{kCgo{pgw#+GyR~UsWEP9iv%y z^u)u5n|`y({n`BBuwFUS?^QWwhKH?ULO<x56g3=KCQ<sSq+`d1NXD$)p+8d{)Rg`l z+xl;Tdgoi#`R`sW@Q&;&T%vO>H{<inbl%vForlW|oTGaVtLf?I9Zy%CBjI}dqP(5X zv#yMrc@nOrCl`N96wJ1;Z-2$HY3-bYJfRUe+dFgS``Ntqn!o(05u=Uaqf<>OA%-Vz zw3m6-e7bn)_xJDWe~!z4|K6c5Qd4nz=^=rL=Or<7&GIG-i2EG7FPghZ;jn@6<sCmn z+75-NG|ZR$l6QUP8XnKzA(}cHH*8(Ee9vwl-Cc^|qW|J1REn>144D`pzU<2FsW%e1 zoc)ufcS|O%npl|2DE&8j;U=RWi?kaaf8!9%xws~1?)`R=+Uv(YOD?vU*78Mfa_6#= z^2M3iZ*NIHGF=w6;cTeS$0FVLpJNhU{k7MSJjC^4LPjU6^NH(8b6>~C1a%hoI>(vq zunN<jW#M9D_`>+<zRb@VtraW&8=EXkUiIzv3ZJ|FkHV6d9|+|9vDznNm+qHbqrE?S zC4TR8l)qP~?y^5b+pnzDP+VtajemN+)m+(I5AT-lY`WWFTDy<QSdBZtD<*k*-Bryc z4zu5#{iJrf-T!-N(fy~zd|98SWqw*2H2D{E{^Q)cs%_PC<-Xm`3t2O(_`Uu6^7paN z@9pQ`6~6ZQH7%CCZJSc&JG^<>`|8nhwUF4nxeX7`Z4F~>(_W=_eEY9!n=XG6%>OFo zUgsDX*K4#SaedYC^xtA1St>6Xd(PvSeD}-$m)k-c%}-yC_G_2Fb@BJsJ$p<RO$%Z_ z*Kw`yRY&^in#g(I5`w0di}T%05>mCfVf!F^x}-E`HrK~)Ms@F%O*>c@y?G=#@8_9E z%DyJ1q30ev_n%^G*MHTKCr5n^i}MTqdF*UcJ~pl6ow9uGE|=ylf-3HYOaJ9db3}<& z$e4R3d|p!M`N`<q(T$mH71|pQEmvSJyAZrGW7cO8euXlVyR({Jvup96c##m+e5ZHM zuDfOm-oNrEF7vnFZ+syu^uhI2;dSf!xz}h`oHE(LX)gHJ^GQ*~syBk~4L;~??v?vu ze!5>_skrSy?#_>X*S4HZ&p1Cddey8IJ}b7=q(+&(Qr|A{*6~<?q(SS+5aFB4o*Vp~ zocKcc^T&-Qq5m9>&s+WRpY$o~?+k7GNbXPBwyS3Um}nBF<{wnbwZzcx7Hd^=*CZ1c zrstMbi+Fm%jf%bg*qsY_q2#RgK040$>T14)>&yPRt~~lGxm4(Jbcg37iLR1eHzin} zoj+<M&t|^h!tLD;Ps_N(t3H!B?K@j=QI+1}v-^#XNlO?n5A4>|?l~+XGttw@tb<3j z@b<Zu3!AUbYGgm<rX?CGuv}GhWhhUa-kWnO(^Pz)ZCoA|wqwVax|(Ezj_G$c?dbld z+jsqVVX~v1)}Mo-2SWd{TP4rx5Rd(yym`@?kL=f_9?fzxPOdmO^}+It$8)y2Y*=|O zB<!MnL}_}bg16M<6Y7OdMRrlqz2*f6W!~the2l*C#<y^(Q2%O)i=}V9<ZMbS7QU#t zH05@9K*yPKvyVCV!(vuTE#b?5`}JW)<fGKyD|=*~D!J6x6xP;!Ogi?X^4O0|Mz27f zh^<$<7vGy2RG_)fKEPAD|Dj;rw1qd*3bYnCFIw*z>0+d*HKTaeon1<ww)19e^H(bT zdgi?Kr6)fcj@;C--Bj!Lq2#?^<G(bwH5_v9*Ooq+Bfrsc>zfY_`#V{x)&*qO^*t($ zpSV-#`c9=AnXW0j_k7n$TN(3IFEKpp#+<wQwfyxj)*juyBKVli!k?$I51+rY$?xIs zX3wKvAH2LLv&|q;*8R>^Wz+wO=U2%0SA0@a_~X5It<=lpV;6oH73N5Zt9HtB-LtB= zea!nQ<IY8!ro3CK;>h{8e0IBh`LdnOg4YD)`901Z)@t{Sdr>pxT-V9#N#QdE1#@p& zE&XIW>;5Z`QhNz?ce7>eQa4ZB)x7m1rB7&fD!0Lodk&)Z2i#{#oiBaGkyASFDEDJC zqmGzKGnd9j>=e6aV_x`hkLZ+LtM;(mj@ZBDY$~hq{33^wK9!R^zipgr<m_A}7+2W& ztW{h%+@quN>{Y?_{rY|9`;Je4VBD&4?YmoNy^&+^hc}Oxd%26Rvt6Mh&2JZ_deO?I zJVQd>`|&23WivFaGh5Ag!|t7unCe&CE!VwN#3XI%2D8LBs$Hp@f4aVXb^I4^@$S=H z3F-e1@UG~ZeT~oN&iiDJ^2qEC_g}Lm5A&~K*;RaGp|6(AjMu7mtMYYD$t;?|^UiN! z=qY#G+z>N?>1v(vSsU}W`xN%fe<HfCvHIA_4}192X1(}*Fz9;k$E1|Gwn_fZTJ7R@ z9^FlK{g870Dqrx9vy%^;{prR2SiI0>m+-OtW0N0;d@hu_Ubytz^z7q4xh%pg^Bzvv zSt9KGXUn1c`|k&9_x#=IuEw%C&+Tj8=Nf&V?e!5pht<N~JlQq%nZUc!sN6@@KNn=g zyUzM?x_<5*E6Jm#*15KyU!M7NDXQgqb^Cq8nY$xr?l4*8TeKzHN55*z{r#&LAEo*; z{ePo**1YOK&3YBP=bZshla|e#BO!fsZp-N<hhr`#e>quyLehU`zPwQ6MCs6V8M&Qu zu05XXMAqJ3@<M)xnG}E5+372*O02d#`8sbw+~U+V$vw|6#cezwGXLX)#jlqxj@=_0 z9HMu@s<mQ!_QYfVZ+5B8ymE)vrc<%Fp>genC5!m^r)chOH{0H^#OR2ycOFY(ZpFrq z1P{qQt9-8Hw8Zfi++%-u=HPYTGg-QP=4Z}p`YoEXQ|fU&XQ88#On8upNT);Ovn^`5 zZ|?k0zxG_%;z{Vmhs@OiZ|BQ*9&i2D=lkGrl|>2vtkjTZdnNCQ|3xNlbDO_Ryzj&# z{oluvnCA%`elh*8Ky9|+-ourf!!(Xwcqvqw7c+N?#P>TD%Exje_xkr#=he)b{*m*R zQQ3xG?j_wOUcp=!pA-cw<yn1m+4WtfeLL={^+|e125-nKT{@*i*8J%dmK6tPKaS4O zK6_qWY`I%}ZT@2Wl@kr^SG*ALt9Z3Hxo-9Co}XMxm4(-ry_fzh8rS>N^JdyiDbsrm zGdCIb9G0=#m_MaH<k(gjpM|xH_uN`tC3as@@=@{o+```Qr}GL5Pi6?nb=sR9V+qXk zG+H!olUVj|Zh=SVO54t9eK@{xj@CNAyoEYd#d~hgYI;9=reJ@CaNnKZf8U*|TKIQy zTxzqA;=cQDFTX#&w{h|h)^%YI1sRKu{|of0wK}Yrv3t*=(v4G|9!_5UWK+noi%F}Q z%4L*-opp}t+$vsWC}OCT{>E#bLOWynML$V<{yyW$#ci{Tbka6;McCb`cj&kFwp}zM zy0P;Z-}16w&mB4}=D6KHePfHr>{l!WuiJ$BnAOzu!i>yb`o>#7@U1Vor^0n>z3lUy zxA(a6uD4zAu-MO8q~yhv4V-tkR)lOUj}Do|7Q4yfk@DfH?Bz=T3M06kw|<UTwQz&L z+M>OcNo&j|?e?CwzkhM%eb;*Ki)(J&H&=OaUTCi6-Hej7jp<qM4l&KycXh&lp4Ejl z49|Z~xt{J-wBTUM6pdAC_jauRS^0bRZ>9{-S<fbK7r2?iH~F%1>XV#Dlm6;zGruT^ zlH2Bb^=pya%t=c_OqR|q4c5G9HJ@j*U!LL79k))Xb_(<6bQJrF&ejqx&vz>0wOc+T z(80OqbL)ZUUZ&>tSJf7(Pdk+LmPy`j)4h#zG>^KzYV|l)bIZGwqgO|UO;SWl@9MEB z0;ZStY3p7s?~0u3v{v-^UG4c-Z|7>UEQ)iB31)FBm~!9QY3DzK1xwevD{6Iym;4On zG&4It_0G3$rz>5;+jnf49KPc7<pfR7-fet_PfARyj%1cxXYA!^mFavaAJX_pFzT1R z)8vnn<YmR3_bl<ByIBAEhR-w4pYbnT;?a9!=D#OXjX4|UpYcuOIk+co&)x}J9{rfQ zacAYN6ytD}Jg!v^Gp021OkX=y=~}{yX})vjnJAq+Db#-VNNKC<th?`HIS<FN@~!P{ zvRR_xS>NeA@rReUfz1U+4@a$YJYm0sY9_3#Ok2KQS?}ajv1hDl`bRdc7QAyfXoI7! zQjl)w-YsXBY(J@(^I4)dYeUd~xlMru=Pgc(&zrbuGP7l{agei7gi5N-v{RXje{B)k zZ6^IZyDe7L_LHwoSU|nfnR6{UkNCo!u7&ZiWvVZEWpHG6;MU_Q1|Dxqrpy#lyEH8) z^i<Ns*Lq7+YGS9Em9IXw;;iH%>t#lDcW>W}?Ch7Y-s^bI>T}XX(a#Iq7P7B5DK}m0 ze63=8tnyhm73;$WRjhZjRIdlxh0mV1-)K<|!<XL%4^<hDiD`=R&p52Vs?mjwQ~Rm( z((Z1<U#V@2Yg@v;J#V($sTkw6cj~Kk`>VHJyI-Qd!Y9-G<LrY9uh&@Q6-aB#JiMh; z(evWr>z<BzOFwP9^!rrByJjEhr`1<gTx(VD=1(vFn0IUSP0gqKvKE(LFa5vRX|`aT zm&J0gdj=7||2_V-)%u{MRn5#Fewi`*^?JQCKk2VN^gzk)Xrg~+PgU@;ly{fcefSf` z?3$>*EZ^k&<}Y{t?)$DTxzamgnT_~cNo_?-%_9O@vzPo%R5)dJbKk<tc{yHI>%~^2 zepweNaLDA?hmC9#_ek9>G&OKu`u=e`v)6JPhR=EJ2loiiIBFzcm@+x+<O9V!oVBlx z=4IaV+-9nEYEfL9`piu^!3OGX(at(5m3Ge0i}Y?kVLv)W?b_AzhHOFoU$p1QPf3gt zo$Gh;i^yXm|L31)F4nV~l_n-0lGIk%srAMANO0ep8H)Bo`X7!rzDUWPrmHcrUH0$U zFd6&FmA-ri_Muk~o_}>d>+<qveup<ROIx(84rt9(PPlsP%#n17i|6*`EnQ=}borBz zHgm_@n+3Ed8&@(pyViA2TA!0Vb=r$AYwzYCmML;2!t)KAZ)CGQ+_<x#uV&7?FEPC$ zFaJ)SyXtJg=L2juJvPQK*1Jr-XnMBqjEsR=dBXo0Y?F=TvwUpjEtY9}C;z&AV#dEK z>IZ7p#?;)B40cpxd2hc=pFih7yjSw4M=NY+&-C25Ya@%&<5JOw-`7|@PYFGKLTAa| z$;}_`JYm_BIZ2YQb8~fy%FE|I=dNB8xychL+&R@wyRXxCSzlAj`K%pGhr|`PuXe4| z4X@fK5H(SMkws+OF`fHU_h!D^5EK1w*7lhbH?Hm5p%eZp)TShf+1bKop-8yl+EZeS z1#ad9Zt?EgwwsN)dg*WZb&IPmf3Eyg^D^Xac46eDJ^w#UyA)e4^~}L`r|)u>Epso- z4(=*dO?%>cbaTmZ*W#sKD_a(&-xYko_g*hA>3U?5uGpj_6B#R6>eg(1|3UXM%Q?wq zo0?C3c2l47&!(L7dD5x68j&W?z6~qcmw3PQPkQ{q|K*(Bsc&|=ZirQTWS1-PZcEAI zCPyWap6qL%7u>t2d^GvXXTSQcx4zR%teY!-$N!sm?9!9upzgbu+r)dS&c2$%F1qZ= z@q@M*6}N8Oxof(rHvinLw+AmXPkp4>d`#c|#JM0F7hSb``tK$_oFG(f`&vwjEu?O8 z`R%mK)AMG?+?Xyc9O!waY{@2`_=L9m22;6Dr|MX?OYWb4eg(s(niR?2yKUNre?wFm zb(*Y|g!Tr%JN9x}|LL_{fA+5FQq11QG_R(oaDvy(Y4#y3s)vsqb4#<6eCBgaV)A+( zxB4rkGi4r>NENNpFVi%c>YTCe$l+9}T1~c{cIPgLESqXoKACCTgSQ3Gem6ayI{lD{ z_tPCs-vU2utJt2qXnybXAg}bc`%XJ!QduX?J9e~c^R-<Qo9pat|D88-)>pGrN<80b z_o1U-e#0blk9UEQ`S0fip8fLm@2tqDJ68YC;=K^&*vPZ=zt!@bKQm_rKQ((I5O%fd zW64eL2Vx(+P8`*)_5Zd^z*f@otp4N!7iK28t<;u?S<<#$#N5F7kCRw-c-+I1O<s@k zChyldFPoM-F+(?N_C=w&=eBgMyBZiB<5@j>=FC+YqCcO=R-fVJIeDYzhwq|$rq@`W zXszuEYKgLx3u=*iH+KPZ^ORK3Nh<*rQ75#Pb8TI4IbBZH=iGL+jyT^-ye@0F_Pp|o zc)BCWV1?CRS?j`zdBv%#zO_8PA+R)Z#mOyKgxt*qw+1TRTOw4lZQ0$G88fA~bgl9* zi4`j9nlaJ6konc@n~|AwyZ&px`I@&oCMf#%QHwhrkAp;1o`hOIt-EfRW>kKJKXh5@ zww&i5n|!7jPIQg*`(m?e{x!BBl|uo#TD+MX&oT<1n=I6nG$*fC=DPUeoXLGI=T%;Z z*kpT6%<=NvSSV*+J7?SaRXv82<K*()4cW7M&+VPQpg&&BCC~NMtLdA1-6bwo2e<@p zd7c(NHO0O5Z)M`dDU%uU4VyarVh<#@y7oL23va5}R<OMMdRolupzWm<2TQcOrzeLW za+}`sqO{`X9fL_H-KOj0FZ*o2^7DGx8$UlU`@DYT=l$X}hj!&X7T=fmKl%Ua<J)#! zT73LV&zxyDUS(aHQ6sk}D2-#z+OCae;m03;EDMvqq_5nrvG~pVUm-Q+y${y3URZRe zVZ*X`gQ|tTa~>Y<{H_0B&61O5rb~>K^OEoQKj*M<eJiQFAmp-JB{$oo;;D@XZ-!)Q za(W*NDEZ=hOVuzrd*|%3S;bzbx{j&HgzosM|EDT*dZ~u*#Vf&`$4jp4@H|v^X#L^> zY4>-&N48!zi(3BZ*)x^?<hv{TI_f7*QPc0M^V)K#c*e7ckS|V^oO@q=4Jr=n$;z6y z(kZ;ZtMhTPFW2#}K2Zm<l#OQI+59t__t6}c$#&;@q%04p`Q-%l=|(ll2(iepST5`| zoFjQPF;>@ck(ENJgz(dK(m^ZMd0coErN3%hMn;;<AB(pe3hqo`R-XHd!@p_r7J=oT zvJ1ZSCiUfN`)W2FKgAQiowtkGchY2);#F;G$wF_;cwN>8e{^^8yX0m*wNK=aaQ^aI z+g;6bl4@nj`QA*)+0dueZnpQ!OLMUsd8^{uJKkL2O*^r7tA&eNT&}~!mm;sH=p}v5 zl=;*V$YsR#{cT=VK#$gwh(trHqx#4C=PzAccs_-PRi0~~h2c6))s+@YR|z^FS*5~v zGehP5t39TI+x__?<d3iWoVD^rbZUgu<3mZpI<m8zb_iX*@of2>4Q3bjOn+T)f-kAS z`J|LhQno&CUFq7XAChj)7m0n>aB{QW)~JK>VL}tN+8%{5eJ+~zspP4xh4s;%sS4); zrY(HlJLP+Kl=gY6gW<^r6YqZEDOTh*uev+At+Z%~$NWW8Qsq}K>-wm%Cw<n<O7$5# zcC1{Jy=3CXum$zj?D^^HyR>aPrf<_eb$xq(%i`+~Z?`Tn6^t*?X4GpmXRDp$w*Nt2 zMnXQ1UQyFW@yFNogt_G>?Q{}l>R2zc_o(E*`%xh)eY;mod>#C|e*3Gv+56wWGt-{E z`lzS=)UX1p&0nH}H-x{7*qgD|JE$UI*VAK%TNg|{aQB!@(h`&FRh?Uv(wMxOw;b{d zZh!oxcM)S^T!uvAnaDj;I385K+J8c)Jt$)DT(x}<k`I|2y|_A9aGt>Pk23#dSKawn z#3i2doG)#|CLuxLFR8+EtRHSA9sCs3^5pV9lT@8!hQADVoH&1@g#V7eWcY6<Ppfqt zTxSbhee|+UG+&*{d`7ObU&4G*$=jaI&5zdD#R|So)9IE>_F($F@>38;jnd4bdd*dl zj~2D$gkC<kk@tjir?C5@#o02PE}H@qS?^?TId0@u6k^+dS99GHy=D7SdvsMl-2D8g z?O$f5Q<SuSdYAUOG`Ew&c5kf(pQi_$sT7RZJX5i3YjknJ?**q~_B@+*)>4suhiPD& zd-Lw;F>4pO39DYU7Qe;ABpbMNnryep`suD&{AaDV>t}yGbSr7swTnzrE_<K7F!}PR zBk^h1Nxo%wvv+K|vQWTYzszCwwU|F{4|m_v`n9)vTc2>njkS-S7kBPvt8MdQyLGlX zYf@md{7%vRzie_%e@$HJwvUsw^<3_+mN^Eu*U5fuV0^5`svy7p@b1@A=Y)%vzOais zb#~q5eLw83KQK}|Z@8sq|ML6qZ{4VtsXqO^*8k`4-apLi<kJ5fmOL3JS*14jndzwl z=?eSOGeIj{eyDV61{>z>d2X0glf+n<dG5`s37c9|uCG59>MHNd^Jso5<LO>&cI~x0 ze{9umobLVITxx2v$Eu$%)Xsa9z5MR#A7j&8Y$~;8+6<c~M?cEWo_dwB<ifgq^&1;! zY_v$%ci1iXpkPuV_qI~)l<xV)r6;p3L}j}stPE~^Au;W0P^jkXHJL~L^Q}sj4E);@ zS$ubLVY?RF@yDMd*Z%J3{brPWc4;+NUg`d9-^0PpTFX<SiwiebcboF=m--S3x@aSH z*25RmFHCi5?U<O9mAq<`d-cL3*CnSie_Kbbc${pwpEvu;X*o%ss=g^9R^sNnRzEP# zUbx4=^}z8TJmDX+<0_(L1a6$0@{G-kYfVdoTiXs#o02^|JC#fp$<IsIunwKewA6<+ z$54Fsr*E;Izh{5k+_^4J^VM;l)d8p8>^z}*xYu}%vtfu&RB`DAb#afim2sl$(~={D zRJgy3PM-DPxG)#*-U-{pkKfxeGm`aA0Mq%IKT0l2J}#J9Q<Oey^3wVGU$VO6o(E0Y z&hDPKCVa;4<D%TFjknn77l_(UdUf|=z3_iwRVP9BZ@VLywjcX&(PWL7s&#_G%Fj1V zy7s1a?$oyU&vfpheTR*zul3=Dm#y6PRTyqeHoo1f=st<%)aOl!2V^JM?wuuGWVgDq zpTTU7(T<vmN0S#l4Y7##cy!@8Tg|nYJ*z+O?1<M=O5VM?%X5+TOr?Jz9#%#BXRVX% zh${Z5&mp`i#^QR<R{NQUn^Ub*OfFdl%w!9laA<+c!K!0=u|EAyE;e_M`Gn}+dj50O znoU|-YoiP9uKysvwC&{e4c*(GYd`zvR5vl=ue#qaTeWGAZtT}mbdxC9-tl3kxyGOR z?LG3W^OvnyUu^JC_5Fw0Y0f*%SMg=7y|({}V4=!JGu3#Bqw#k=E@)lS-;unx@yW{q z^&<wVoaf_p3gdX*pDOb+yc)O2>X@Ru$*i=}F5?wNVHP^`XPi}hbk0#zZSIemCzHQ~ zET1yzWA4|W<#A@Kg8yb7C@K@l)W7T&+8OpzI_5#Tdf3l`M4@0MU9a{R{*NYUgzkx3 ze|T;4$=a6m<VvQx%t*C+TH3qom7hvZ=us$k^J%*{(YT^u+l=Gy^o~j{JtG!+t@(}B zQtfA}eOV(4!>|9|I$^@S@AKlgwpQ$YQ|GB5eC<U=g;qycQ`}mKO-~~EV;I|i=Ud&K zy+5o>@BRlS-Qeo&lBRr>o;s(}7We7AxYDF3(629cVM)sOCq>-6r>91wX7spO&wBP^ zyUcYf(KFqr%Gbv(+b*+waY@8kH~l-->vFHpD?cyi*6J}`XOq#%*W3OSc4dWKbKiY0 z?~=#)Np@;_iG4@(!%teR<WcPOW-??eK6LzU?0on6=YKAXt2z^UvxMi*-|3tei_R=i z&NA6~*Xpz9nwmbRSQUwg&mG~P!cX_4o;@0V%yUP`w&h>!&K8CDUrTj~GA*{Pcy~=? z&!qEPwRdKA6#GBjc|5xK<I}>%g59RAKcercOMRVM$h+m>Y@aKAmr|#k%jH(jsk-%K zHV22w=S;7;_LIZeZpA$MR>*nq$dmW8H95m&vr}TS=KPElc>8CfPm5djG?x_LgYusj zZGU}i?fvBzsW(@?{AW5}q&~=SO8M8{SNCu=pE=rA7+O)|(tDUicgm;bF3-Ns>dpv0 zFyZ~3xP{)=DjwZt-g+(OjhoA>Eo)0R=G0jK-%@`4+0Ql6hvuKYuUch4UA@Kfu#fd) zOWQZ!{;R7lEPnU&Zn3Jy@}A^xm7de59=m^Q*@Lq@sp>Q0*4Jq=2cBtR5Utg?uec^} za`dE0$J{<8)oAD+mCgA7-s|M|b-5E4ZZh4yMr2?3nkiu^H!D`@=uSPod8Xt(&o57& z?7M&Tiq@)xm5<)$l!R3ktl+Gjtg!hL-`>(E(&ifjckL{ZSiJMXGJXT4AldxuYnp9N zpLj0ObLjE`vu)?o&!qe-5j?(>`Nh|Z`nyUxg|^<Bxpb39>npRz+((+0pS2Fu_#|L` zQ}sioi=3+d-Z_VE?#%o=<ESBL%&W!rHJkXZ8~wl5DY?OF&E#9lyLo<u9<*NQe4@HJ zL27kKy62_i6Oz|>ZT!sQZsXOtHs^{-?q<d%J6`3NUq7|J>*kiqa}Uj~PEAp_?W*VX zn15@^qwfY1E!GQ)UQYcmr7Ge@N6@Xd;)dmW4(D6Sx=5IwP_T7N|G;W;!tHbauG7mZ zK8t#}uh7}qDayF#)8%t3Y<4WX5F;da)v#=~QP=Ds?e(AEO`2UAo%w?O%{9HBye(Uq zcuO)mt~7nmdA4rqhc_Qr^`v^$W>>cUnOE_$BA8Qo_7aPC)3T#)sP^g~)lN<RTp!K6 z^rpn?JC&!8SvNb*dpAYvl2%{Oi3<4)X<qL;moLhs?ibqEZF};4#p9Sqi(g6yS*WM` z9=XpI?Yi-nkDo$J^n<9y3%VrgtS!%)J-T*H<KvSjT92A;mHGIdkSRTTbZXF!I*XGb z-+u4!Ui|B8{~@JYf99U>?fNFQY>E2m-CCEjH)|xRgnWCkA;5m~vL~I3@<i9&PWW+) z$#zZI#-EzC8bv2Qc1tmr%7*^`ZWH;=sOKTi$F&m}6XwQTv5lW_D>Oi$w_(Amg3^qW z3%~pM9eQY<S?@WitY`T%=`bt#r46^cvpTPzj#Is3z2~->@!`^yQ@>aKuYdBFvm)f{ zP5YknXP!TKW}vadmDP|jt-)oc<K$D42F#Y~27<zdnR8ck8aXywF&ee7oG>%yS{u;1 z&5>0!WCg4Cj}Go@OS{%;Z|mjW<{F*5I(KVW_SVoXH_ZOOE}qXBojL#c_kHKz@A`NB z>!O)6H`kso-?{($zAu5IU!-{B%u)^7nwQ^<eib_VqiU#^$_+^=6^=W(OIG-QC>6PX zY~9z*8!lSCKbDrP5ivb!%LBK3#+#acw|~z(WOb*BY0qr$k5Q)G!AG{R`7C+x@2ceD zkG}Z_6$_b<1=P&QeBg6IZ1FnokFM|1oc&c#hvqJu;Js_kr^DA1dyd8Rmn|}nKIK>Q zLUTnLf7Rw<&up8o#{$-z6!SVH(Kd7Ho}`Py$0rq4#yr{-`{?r#n`MEIjv3t7u!xs% z7SzqOFt&0&@#Cl3|HBe(FSpjb%Xu|zmg<8!?~=lUY?s}Cs`jVh!wsFnM7CRz$1?Uj z)KvIfazH;{!z@kuh*{gi#+<IRw(m6yBUMcu3zT_2M^-4Ema}=Px$})l)XUuaOU}2l zT2-aqS~}^2bJh;w^<htKcK&VtH%s*(XU8=OXG7=bNnO^W#?OTITu;lc{JHzy3_}q^ z*L0)SPW2ZV!s5ki!oK%-=Sh^<^DOwtDl%=t%lCToPFYoa;gAnhV$|Z;xFn)$*(AA_ zMS>G{T%Wq|@tq%C-=r1?*1WLE@H%sA%7y-`=2G1I4{WGf7T2loRK6wp!d*{+V1}^b z0~756PQ~o+dhqB{^*g4fBaZgl=D%jQ+vWS{()%9|@6PyLYONWr@1quXko}%S-ieT` zu0?aK?wHRiwchf*Pv;6>SN<RIoJzH}b(gw&o}At5deAWF=*l<BIl<3%Y`7xPyQpg2 zD`t(|F_PYomY03s7u$aK`K<}^jEkQhPI}}&bEk-Q&%zxSPaoiBtDO1aol3xjzF@Dk z+O=7)qVJtpvLi7!dBrnT4(@**x!s0SCYHHgY74RJ3j6sxZ~BrW1+~+vIjU`4*Kp3x z58<e~y!BYXAHlDEN^e^Z*QYE!#ajP)O5px0)AdYFeQd~YuXfnan`Tt&;dy*>Utn8) zT1e^B-jALqSA_GwJ-y2Kmx1%i37tpV7G2+chNIZ~^?S9yYdf}Hk5<+>a(0&26_-s4 zd2?P~Wty~Xd%^maB_2XXewRMY{GF@xTTg87%8C2-&Is;Y8KU%X>f|hyzUuX>rlyMo z-?S7u!xuWK?^|xdyHl*p+_lOV17sDaH2n};Iq8+En`GU*bJImlHnQ&MEB|fr_sUNF z$vbRLI0P+n?>=F3+-~NJe>XG^pMKdc_fXjUcZRO%_SLgHy%eTOEPoRkb8h*pTVhv2 zq!t&}w{`8CzULKJjlB9K)t8YopWBsZ#eJF<o7r=W`HBK}-7>aSXLs$twPO2ypQaD% z=1*w)+HG&<mYn@8Ks{mIv<IDwtzMY*ZS7v{!`M+KbwcBTv1Q|2ea}BLIUTD-T|5ds z<Jmgi9VnN!`;wD-J<_1v$td%nqt%o<h0=?>x-JBEGF{(M*qfoo`)Y%8PwDbAj^8ya zvcs&jFU~sj!~9RJfmxSodA5<&HC3LqF5ALxzG$v?eqS!9zU@K(%o?GBvb)P(&iU~7 z|E|yGRd?^{D}H?1&33HxLihK7_bWc0y3_4>>&m|z&Wp#rI6FLJ<$o6~;O7dPJGGAI zwqtId^~`5qO=2$cUO5(+ZMdX)>c%(uhU~JYqM`3L9o|1JOxe4Q`!2_fKMYRm&%eAh zZQ^W+%*kGjFH`R<NROOd?Bvk2uISnCLo#z^i+|n}OFbvhdo}5f>~?p3zxv}x`_0%k zCQa;}BsRxgHbh1^o8x3t^xiMiEhks*U+I4+aNQiQ{~H>TPPZKJfBV!Tb8=72YY)~$ zxtYE(FV0;}(p>N5*vHm#wuyVu42ju#GenQ>h=`Sy&RBG7^7QbI-fOr1UT+U_h;A_a zqPy<!(Ifu3x2!&|;JAP4U&zL$LfN%3^?v{J-}mSLiV?_OdF1rG{*20hWoljbi-Mk5 zt(;WYGh0oXO@z~E?u~Ez@3n>($DRn=l{vA;xX^uhG<$)@Jt3oG%U-u@uKkq!<J-j6 zW%}KPYGv7bPcQFXxa0a>L*;Iko4FQKch@#XtS&wmAr>ey^HW;VjdYLg_a;sFcU^AU zg%_QlO8B-NyX?MedBC(uftxZuwytM>`NAlLdvi;x)weI@%G??^11GE&-W|Q+->0Om znozx!(~5S^IHa^X_vlmCt-F&>&VDkt+oV6r<4mATOH%&If@NL|GoMDpXp}H*TYAny zwEj)Nx&PlBPZuqmYbKNGxWc$<UXY!#?yl<-um8OhuxIb0+q~^Fly1!F^AgoOFwfDo z=lE8w8Ag@ok~}@EJ1124?UNLmv{CR=()p523U9xws-5d_^E{z5?@!|LZ;w3P>?VIX zZE^RP*+xaZx!N384j+FKT)U-QoXd<?Ya)Z>MEPyCXDn@I-277}H+R#<vI&<M4py(J zoZ0Jj@#WGUVI#E%*^z8(W_Z0_S1NnN(EJo%bdo!F<#d(MslGG&wnYhTU9I)E<J~<2 zf2T`2k6UlGEI1RK``uS)(rV=h7nv^M*V6f)zvs?Szc%$mx99xF6DIF;^IjEg<jk7s z71H#^IVs*`>On1WU(r`Wk4jV|_nzKA^NeZW;UCXL%5A?tH8lAdsOav<b$pA&(~6ze z_cacGzr?f0;3WIs;3T)1yO-^#6fpl6CC=cn?N(82pYldugLU)lX0R0AYB|YqZD&E# zrxg#{=lv@DaPjHlvw|`6g2Eoy?PS<`T2L=hXz5K8t23Hzj+{$4dwBeWjf0rozH+GN z%(Gs)v#ql@?1SiD7nb$U9bW(PV_oa-;`q8soX>8mlxI=t`uA@{PD`}e>e&}8pZRz@ z=cmv&tyT_!d+z$hxs``I?BnJY;P<%mbNQ(&oeSm^PwbJuVX?(yoy7XSB=$1(e0keI zv&&xoe(5z47mUSk9Ibt|tJ^TlGFqcJ<%<kk;=>ugm~Wr|dYXSnX^_P!2RHSr620kG zeMc7Am~`}sp5duaFuu3JW&6Q+HhtlcLh+<cNq+y{xGw!Yb>$H`Ke?qhvQ-r1FLj50 z<#(}fIaT;8%}rI~$E9M$)I}Q_EY8lo#wYc{UUo@w-P~@*Z+uG9WwGr=E9UcQEqdip z{57L==Z`6uOV%|B@pG}VGCi00*)>OTVe94AcdEBNy^OamIoZR!;!*4=<ESL-XD5Rk z^|s8aQ4>Ai^XT7PL#g+Ay0$SKaoI)xmn~VOWR-RIQqsx^qR)7jU!I{T-g4VCqvMc= zcC4C?V<Jy)VCS!k&u@BIw%aBb&06BtrLtsQ)Q2_O<35C>O%7DMu~lXMpM9Htya-;c zYx&2W?RZboohviXSMOMQM)X*KjpdBl8t*(l*E-3ibU8mTG|jMS=04FlbDOgEDb;f= zF0;)y{9DCxY>xWXr%9T*@k_TE-Fc%c@JI3Ul0z$WU+5eO%#J<RXtPLHN3QF+=?&I? zzO=>`j;}F-nIVSJ{CX<2*RyVw{8`NRVr$l;^QPUJlWShQH)wm9_)l!lgXR3!-Pb*P znf0yF{NB^Jn!Ec~MSY0&Z|oGkSySoqhSx}%dr?If=lu5*mMlB;=JB-F>7HN1rJn9s z_}C!lbFKZxv-KN2|6K8xdX<rHmmO}fFYm-EY1VWRj;wgm&;|7yO|qsu)D(|z)i}Yq z?Wgr0ji~DO8-gi!O{TmIdL`QR?YBtd{-aO&dbMY@*t@mO6LU15d6=uPLdm(mHfzPP z(;-u?3E#_2{ayOz`p&zztXqu4Uw^&i{XWyI(kXEBgHs~6Rd*EsF5+MNq-Sl({9iR3 zk8j>!J?*KP(Y-cOk!`c-ue3)~LTeIZR$bLsc5L2i$g_TnsI)Wd?fxM3J^Qz4zo|?1 z;LdE?muVZHZW+R(-FId3wB|A<@xNT<EB0l^?^ZhXI#M>|gJ<<VgVHBoolmJKgqYRb ztIH7MO4itCwWQPIi~ieHB|o1D@U5FJ@lyC+b%2kOc|*ed{fCcucBy*st=bwd`r)Vr zFaP$HN-tU$CrR#f+M9B+Ga&o=QNfoi_4|FV|BbwNSd8PDhSKznRe}#cuXookK0o=` z<;6O>A<xAhrJTECv+;@B+-(*aA~Hwbz3O?8{c}z1(!S!oo4?A|%f-g`o}c|QYi}!? z`{R&<>T93qh4IF^txqaT;C^v?(q+L_ZcBxZU#>S>%vGVplgrSmo6-5mUtOeNOZ?om zi~s)4P%?XT&8j9_&e$=c$$qYY@EwEojBXPzEo51@;z~%{nMAP(pMU;Y-5qkb?ES^n zD;}3z(U~an`_mKwr_7U`i*#G(KaoH7!1hLrPj7os3sb&r=p_FK5<y(sKU*<<l3cPr zcB)3y=`9CYQn<|49Wr{UGjWHZ+lCpM;%Z!Sncc5AKigmVY~E&+X2X!rd)2=2vuo(0 zbwR6NafGy9lQTZ)QfQ*F-D7H-M$xJgtI6k*R<Y>1-<qK2?7B4i9T%^2;U&S`>8+s` z1fL%8Sj!ZoaiwT-hR5yj6H?FXPG>BfJT2Gf$lcs4K9e8bEDm|Yr1d7y?Olh$me@z( zTyIaB#xH+#N3gZqUPmS7j!jQNV{Ui$N8|e52&dCId_H2!IrN^$<lMa6C;Rrlc*<LO z-oPS1^Q@;|{E}3-M46A2KW?eI<sW_Z=1XbkWRrNU<A1_dH~o=y?#$FtUoLa5(P^8| z;f&h!#@t&nv-E|c=biXj^t$Ex&d+Nk&KAYIn{2(g-TRXFI^W+#t9JcVzq(*$hkdZR zVu}^(zN)UrTh#BWOg?d6m|MumGxPXFIW-BEg}Y}>+v{}k!z7Kf`E!@*q%G!2+G%s< zw5@)EiY<f3{Jp+S?tWdI`z9_4l(XK<+mvqWD|BqPdREq2=}AlP2-GOsS#6B_a5iP- zpTHN)Dctw$H#VyXnXBEO!XkIFPO;)vuu*7+O+uUY_4y{H3R~VRTE8OE@XMkrlFlFB z{4lcg&zs7S=NI;=_n5(-Pmi3EHTD^=Yl)NC+#E6ePK*5<iNj}41{r=-_HVo)5!}Wt zwZcotYKzKL`Asr=Dq<Q9eKx!dIx4$g?lIfZ9g1o<_BGs!_5Sdv(C{ELx7E~A6F<Q< zd6s(wt_AFQ+3o2tb^f$w^}b-8hrezG@YG~EZrpO!!!mu2!)=|6IocAT=UWy?Zd`w? zd!~lnrzsrI#JuOM+|t2jwsqa_`3o9DYs}rQtjN?{H;qZv^NB^og8p5T-@kgcY@(gw z<Q-F+OOyV4%?R|FJpG|u{$xYe^6gKK9KJ6TtrF^^s`K}Y)6^E5<vT8mZu&4;*evPz z9xs_tl^f?O=7sO^4cRuKBjIuVtZU}%%Sx4(e>LZ}nmPZPYx@hmoMYK~cJ({$yp(G0 zN0j?MYSR6zu{x5^caKWR<BU7Iru>_$Q`;4m$2V!k5*F6gn*(hMYWCi!C~{Q%8)-F- zTkO0jkK~M^gVr<sVzVDT$Xqn_)4q4_o}O<v^K{4yNi&F3-mR*+TQ$!|&}QzclB3V( z%NQHjixixddT7?Xu_sSH>-~njLz8d%HkIB}i}C95I6H%D4GY6$HzU<m<|&Jt>?>!@ zP&8DKJoH$WTU748)HN5=OM=H{J)Mx_x@LBi=tixqzgGhuRp_i)D_kvoE=TB$*0iF@ zyLYW(l&_q{^4wr{hswviy7mXpo;}L?qZ|MI!0E4DoA_qEUvK*V_|LFk4M#1j-nkXN zmya{P8htnZgYoZ;5tF7!@_I?+%n9u8{;@kz>*eYjOXQXs#$0LWV+&mSm*MU4)|@@l zcdqxao^LTR#76SyZS`YE^p5whUv(sZPZzUhjGC&lr{2Zq+*x;3cfGU7ntR#n>D?!N zA`gNm9l5Js!ck|}FR0C;5xvhUDo4>NJGCcoKG)mNn#a~F?p{^Kx2-w*>iR1xFK+(m zx$P&hcE#Uf-5JjlBs^Kd<~q2s)XxpK>E7M@L@83wENotY{*N5Fsa-A;kGxLa`K&%G zP^;+tjH*8ymj*rF$Wm`VkAwUFjBv>#z3MzynvL5Y9<53Zye{iqwBx4Z`;Dv>CyraR z@$ml0nIQVGZIjMipT`exHENYM{eD&)C(5(3ZA<2X1tDvXG<vCRSr+9x$6;U5yomcL zUE+QVcD;Wfdfsi(`uC}eT|&M*YphvfWAc~P`AX_k4~~UWj<T`WV#MXmr8SRTyU^cz zv)bcR$Mc-T3?;*K(eg_b2d`IktqeG3C-SC#_0tEh=1s{{tZ9=-7Lb3kukzGo#mCe7 z#Z#nLs3?Cs`EXLzpO-u8=kgy^KmJaoa?=sUzq-q(JUIM#N~~Q*)4J#bqFW;j0$Jxs z#4mF_5Vdn+uFU<C3qJ)8dlfu7xP6{j@Y>D2lcNh#LmXyLf7QH_CH;igN52x0M_g^Q z78z?Td1H2Xvu4*5wvQ{hW^^eX%V1r<^y12_pM9_I)U}rBd8Nz{5RM5r?Qk<U$g!<E z_=0!OGKG_$cp^kZ&F{`}Il6Mf<&7q%c7Nlj)|Fu@zv0@_9apBIw|DA~BhKjs=hWZl zwodO#cxoQu&>E@~z4*!ff|9+4Jn`8l#g~Y7)|BM*8uHB7(-7S;t7~`vVlC<BGK&`q z*FR<iyeXDGYU8%%`xBl`3tFt7CT5&YSobq-qx)T>LLRGZMb%Q_km(60e<yj1sILh9 z^6Q$0ovhcq0>+CfdS%we)8_uX+*E&E^3Q`EVxHDN{%%lyFLBT|x$M!kRxPU#iye<G zN;g<=Sk>)XAYiV0=70P)kG<wTl4remZhFjRdCb{4yNB~fY;@PEJEiVZC52h)GfrQ3 z(psnW<=Nt-Adj*l<D*J`i;lY2y|deNv-XL2yUiw7`GbDp$(DTr&vZ=G9?aavEOkx2 zPl-X?X@%Z((e3<e_%0@e<=oUR>@+x($KCpRm7AKlktCZ|=uPg}OPXgQfAbh#GODqS zUVY%x%69IO+xue`4&HhkedN%c(k3RZDV_)CtO&UESzJ@y_qo)Mq^9njzCVj5omhXu zq|#p}W%}bOvZwM^S$1hHh%*U!q<KE-)7vM`%DtB~e4GybsIzafwshNd`cU*`kGvXN z#(7>@pH|JT+~8&sclk6Q<CW~-$FAZ&8=u%EmAg&8Vk&gC&d%SoNZUWbD%?+C^41Ug zvfM(SZu?#}t*%t`#IC(}lD`_Cm%VLSb}lJqeUy`RN9`Yr+U+?L?9w;xej!%cQPQ(* z&XiS~I*f8oF1?Z=rj=Z8<}cY*?U(R<s;Not(^ro|W`*5Ve97$O7A9M-yK;t^n2y&B z-7m>EjLrqLMg+yWzYml(2t8{RXmfbS_s?qHlS=J8H<#!KZ+<C#@%_13uL`bT-gKdF zsY#~c?(M2x=^|Cfw=eJE{89OuK_cmOfYyo^pG;5nCx$$ZzIy-Jsq~V7{~6aDb<g*w zawxNgRHdredB2MAbBSfvZR}qzAJFG>b<vuX4c)eR*PT=&qI70B`-<F*PEyKKnOn5% z+TAldpWj^~nLImY!?q<Eigh>VoM$Txw^N?RJ$1tJ7e{wxnA$t@e0_WLr=Hu}i~9ES zzQ4I)5Pz$M$C7#5J*9F+E4~A%cg2=w9Qi(Xk=(XzT%R*MO@)~<XQ{1zetgE-n6SIy z3NeW<S9>kuTsTM0Nw7spt@_1-rHezPIJGu=XFl1tEs0a&@CVOLlWo3zRSzmD+$s2H zM)d!M+u9Tk&NsCD@`!hZICJIH11|y>RCjXoFJXLNw!5Ny?!nr>uAlBJ-DCI5nP_r- z{@aufd!4IPZ(O~mx$Vs4RhuQ{y(b>JZZdD?ZJB5Nk9a1SJo$5}*#3LDj+OJWM~4;v zb1uDqC9ZRKo1E9Hk44!lUn$vr%J4EQe|;fSSVMSr7XPyqDUT%Xdz@W=-~{Uz5iOfl z##^r1*G`|mO!@1(zc!xvov-~vO#iBThCkl^$|bMvRpzP<ON2T&Kb;b3k>Bs-_+#c| zju$aMr8a(SubkTSRsD)bhoDBv2R#*q=0gnLY*9SBH!hXju0GRVB7gpkLkkYQY`PkL zWtwM;_pjv-Rgdxf3HRQ2qexBvZ(Zg-gP$cWKH~2y>MLgEByvR>$!%%a)?GV)hR;N& zWi<>Zg*WFuTV$)DBjRvz##Dw!n<o8aS+Or;b%CXMs{F@mkDLyDQTuOK?sQF^-&D+_ zNngI;+l>eP7jHIa*l3Ebo%PIY--N$=A9j`ZE^LzL(SLk#a=6H4Ue5RY{U1LZ)1LCX zwzR_Mp1NX3@TJy03%_cu2wj|YPf7cT=h48_lASKg3R*7IUp`X(IrRGWZxwB^HzZ<? z6fNhGNi13QRH;VEAx~|S)2`~`oQd5nnlDylKioYpw9fd;%v~aDCseB{dSBgobJvH; zmpuKGC%ApLOqzZ!OC)~D{NT)>S!q?WxoLYX_CMskq@(@B)$>H{nJ%r5-V(k~?rxV? z%dJ|a_Rh6x@&=PjTillY_^0;qW>D)&Go6#m=Pk=;w#)2$^sA;yXM*kWd7LW_e(zVZ z-_t1a%6#31@2@A%)axjj{h~Le{Y1yAJ6ee>j;8bEZY(K0`2BW9E&ro~i(llgTy;0b zy544Ioyj}<OPRB#awPi5rgp|I6wMI2Q#9xLOpO4YqXwrJW!?Fsud5>+*Y8)9lwA1U z(YA8uTC<L7KC6wp*G{v2{;ibdzD?Kaw)pL$$&UkFf0RZuo5_V9&UanOoRZ+dBi%1G zVI|A{tktsat-NgFD<40;9lnBZU%ACo3uC{fl2v9CFD)_iJ^Uke-P45sOKJ^T_9^bz zx7VU0n?LK@=WS8jq>e0i=9|CmJ>Poy-u-efxA@I&UaGmjlauvKH*3Bm@5vml@FlYh zgXT88x0xjTVeer{v!EqLp)uQ%-$>UKac)oDG|B$<iP*G)JDURQe%$e}+%-pWVfgPm ztCgo`PGX8$cX#cBwdE&E-tP>NoxIk|SaeoM=QFWyVsjO@)kyx6`u|KcU;g2H+5M7A zr#pmS==5jGPMV+++~oDZ=+WGkwk3ia!#jE7dv`5TKbke=0n1muO)2|AE<V-OJ7T+! zt1Yp}-d7+)=;wq32`L|n|CE}9{#B{m*HqVbVcX);Xui7-dv|tO>^EMtwB?q{pB%kb zp&V&PVL8Dr-50aH1&-G5d|s8sxpn`H)06mioVLC0yXEQcAp5%=NiQ-IdT;GKn$ho< zzEG%bX1!)xxAcZ3jA@*~Q{-dyd%6{uJ;|<Bw5!VE(dC_Sx#6+b1sTy|i@!og?0oMU z&6Io-xH0i)K_v4m>5#T)-5+|X_oeq(7i4znr0QQw`~3IDqgdB2`3W1DgT<yxYkGwn z9&|AN`fKasCD-{gAJy)tepmGFwXM~h-6~7E&&nh=9n_7<xq8Y_B;~j07Q6jMdzN(6 z%v1iR6e$0bCsggkGJ_>2@3ZGDIUA(2a^beKNnaOjds@;vk;}6EuY_jkA)9EuipjG@ z?)HdH7V@xuT3sS)HmP&{8u2AuhEcIXo~5s9l)rm5DBex#%s-S~Gs)**@~rP#D|O_$ zr!GhqW@j}$U@-ZB=IJ{Z7{5Ffeb~6pYvm-%UFJ?k?B}10KJdH$ulMI$wyE*;qACS! zotoyKc;uvl^((e?bDs-ZTk)*^%Zv|}HTze8lKR$Bs&sYtvhrOw-X$nGomloD;g-?e zJuS^Yew=ADo_hJ(Mbk;#n@<F!ziRom{B*YArj&Cpn|!8BzZ7J6R-*0S>5#}@Cq0U| z*Bui0{`{|c-ixQ}y!i5uKHc)E*05;tgT0RJpWK>4WuJ7fj6J%@Y0A4#-`}sj_QdGp zO|60}9Hw?Wmo`56+O5*}%~vAQCHLm#C--%F-a6E-^lEbCtQ88ZY%t0?wD9v9E33}f z!fCfuB_;d=pQ=yKReo6iAw;)v+I6RCb8Z}%wA+N;^mD-Rr$>+NO6xov-R!<;ZpFRe z5Z#H|N1N8qlPuAhn(M^$^7)zHE1cpQ_b5r(t<2;)88_1<EF;P#?TTlR-l1H#KKDLv z*}A)HCl`ND{h6U`|NY=f-H4#>Jw{(d;%7MvOgoitmo$@QqDnY3_lt(Nr^4TCS2fNG zb<MgQm*#U|{kl`?&aO9QXZtM*%H6CrbJ~=o0^wWPtlo?EByPTOjOEWqt#`Xj)u(c> znUoctZQ$P!(XDE1)ha9-zLjI^)qTM;AKn&kDwh|VHqWhhwISpEom%soZholqd$ZoZ z>!JEQiP~>fi(ce76zfh+_m*xsrF(YPr*t+>!&`2}%D3MA$hhs4zEJ-7mH<tS*H_<p ztE+m?Wze0j{Nt_6)Y^RQfVC&%?=HT|np)~~_WYa$F%`R5cK8dM+<N)B{pX8Gn|MT& z!|J(f@0PXMB%GfkZqb&@x>4}d{lbO1lKx#w8xlR2ZR}eZtGUqq@}9000Y=Ik@*iW{ z_g6o-@wR#Og|$Zvr4rW`Szqp9Ntq*O5VB36`Ch_OouUPWMUj6@enhe^=HM?6|8zvJ z`DM`jK>kk+Tug;U&MgiLSZt3vbZYH*<m4Zj<QAQCt;@m8O3ZrpiDQpf24|@#1gofo zcj``7vFW^e<c7#c1<@SAi(X#2N!B~$*z^CV<&|CK*!^p*f0fopp&|wUFRPLg{Xgpb zIXm6@^qwGN*6Yi<vwwVO@9mPhE^=w*p`s5J^VWTcI@<r9-I`@@tkefz(X=2fKe0c_ zb!!uDevCHlt7F<c<NFea-rShvpI=WedE%UP<@KS8^$+$fTaj>G^Nak2i5KnPKDe5F z`KsWZd$LFMl+{)jtzNb;hxy-^H7d3Raqr!eRquUx^ZZs!IOoQtZpR*1`p<lz$hODB z$gF!`f8UjP=LI}><xQQsP)SwdEMKgD?6eyu#x)kz>$1}#jAwN_Y-D!den$MaNr+44 z>H4pycCuWvpBr<2v1z!N*PP?^wmUtUDwKXtc%bRu^MN61l1|rq7nNx%w*7RUSGCN= zf3oq$Ip5M^=7-OJ==7xGU4EZZXh2Ldr`$QWji;T9!<Su<Xbuf8Dtl=no8G|iub^n6 zA=~yi$+e3mQXe%>KHX!u<Du8Fus=VZ%-C@5qL^or^5pk_m#vO?pudQn#eDBm1N~b{ z@08#1n{3PswNicYE%3!Tey&p*+s=1>`p~sv!t{-?YY&z@`{3ZdJmEqVm%Es2ciQ8S zHU3Y$X9a(15B%!m|7YGF{Z=DiCL_0HKB}jVSeMLRoW3+GWfhCC7fXC1|FsFijoUk7 z?fW@<XB|$u$ky;UX-!L%rp2nB?<_N_)P)3NLNz2j3ydQ<rbqp_X1;Mp#MkHEi!Se+ znB)`iYtfOV8Z}FA6#ev?_eAYT_@WsChf|N5R4lY`%kk$jC}WHAe%QaUiF>b_&cV&| zLf>UiF3?*3Qt7hljJp#4+P`gYvYp!Faz}B7uTJd2?FIaYBhw#M^52{oSK7CQ<+#Ra z(FrPI<_newOo%tRAR{*A(GjIZoh=VOX-wX;IAQJn`t|2(oSMXU@*Y20F17BxO70=` zO{Uv*eWWCI__KXGd!TONq2A~0ef%}bZ^agU7CN}{tt01jgNu*EIt{M0m?g->mdtBe z^2_Pen*676?l&xhRx>|m-?#Eb$@^sceLh*IKcsiXzt$|ZU-s5eAvwb*W5FHe+#N<* z60t|(5>G@u`?I%eQ{(L(?>II#%MY`dn~T<GpIhE6FR`Pr!H>5_{o=mP3Hpkh*RIB% z(D(Z3_|Pimpfj({PKTV8QyW}D=Y^EWr$jWVe9>j!->GM0vn;pyK6Ad`q^Vm~kL;0~ z$Z<d9ti`_t3sz5C$h&{mWT70Tg-6Tv@)zv8EYTI;7nA*A#;iw$hhDe|ukY2FbRk?- zVe2c#g)KeuJ5px<wk@9>5_oXx?C!(QpB*qfJx$X0n8BX%%8;twg%P%!7}i&0e7G|0 z%Bpssr3b=8V(<1DH5tA8eASy{^@~)awLNnC3pDB@mlR)}6Y(oHNQ+Bj;`{w2mJ<)9 zADqOq@$JzHz1Z&d+B>QdYb!%Lwo7WBcU)4CWS=T3_3>oyjZZ?pJ2!+Bt(bIr>8gi0 zl|3t*{DiYbKCeHillJXI5_hI-+464I?^^1|=Pu3p|NQGJ$=X^UpF>(<Yv!w6n<=FF z+oRDjKSb!{m+6@Y^)I(3O+M-vzE&lbb8n4X#+Kb{?>-F+QTh`<T}!sMl&99#c*?y; zm#l*eKIs*%TK)3pVU}>tyT9_zU8%D45A&O_BdYZNz9kWD#Z`ISYgDyunfEcx<cb%Z zy06UiXK|G5iH}zlXDc17_$icn@*THHVQ`jwt?cF2FBZ~E+1iRKgU%SAIK{p+YZ}{^ z3C9y22~W0~JzX_#lg7q#XYWp5vEk!phrOF_hN=ZEZF+oaOMUd6?)@Aq3^v}htuj7v zbhFH!wLkY1&hNJp-7`mTiq_8lo?mRHYa;rrLUMPwxHcSSYzPsvKP}?L^o*}$U)P(W zpIYhsFTL!K<xagF5wCC3mb~xjq#z-?gYwMMm4AA@uk89a-C^d9XxHVPyQi(vR}u+* zJ>&PE+D(peYu0fWFM8qS>Y%r9%PFS=hgs&EiR?OiPwvjaM~|YOZ+1@qxwq}<v4A_m zJ(7n%WHB#oTitBP(_g6V;WU|pr75XjRy+B~C6^o1yL6A-tZr#N8sJjZSHY!vYDs`) zMzEgBY>5lf_7g5xoSjvu{B^6#F|*eERZDon@5DxRM(<rcOFekqF@<aS4pp(nY*Q}^ zXuqg3Dt}qA_sXtylE<fWK6~CRf4KbM?!X-0wn&$4j-O>zM7b}kT+`b2<KgX{iK=ZY zWoN`JPIKq<4V&eAd7EmPTaBuV%CqRCTHm^s;BTEqXP=+ccsfUyZSRlY8DVzLIx{`9 zxnx!JN?t7XTI}J_74p{R_=ktq;?smyicamlbT&6ri&w`__gd-sFeyX(ve+%N)=oU~ zT-4L$Lg*}Sb>WNKVk|ERmF(C2u)U+$Z05Q>N}RpU&u2UJ-Vh7F@SrAXYQ&3`p>g-> z;w*R>7yet4b){sc<CMwKQdyTI-{c#0@A;?jIpNatQ!$#~7R{4$JZ|+iJ$$MBVe@rI zzTVWZ`#ObVUGm?%=WSCXrkBR5O!H*e|7nW{w^@p}YQz1is4EsH1q192;+<x7UkpF? zuw+fL|6biXo_l+R<kJ`ZShn5eL!esxhGWrf^(lN?e(hZDdM#<@wqN}I-G0Anr4D%1 zMtSHxb_yz;Ufny#@j(3Y{Aiz_@)?f*wAM*vC#iop?&DR^y}ivYOXz_09hLH`lZS52 zx$bT>wW4+J&gpx9u1))J*mmYqp+l?h8FhLriiwywe`~$YPg&iDl<6AZ&pbGoEp;RJ zq<7L!zyCgWdLB>Hndai6#%thuFZM^Z)%@&Vq47QO+p|tF>fBH}%=-UB*@c2HGj>O0 zXdaosx9Y9^)UKk^oF2dZQ#ki=vwmGNdGD2D7oVEX4$8f)Jd>^FUGAZ9sVUyxm$K#k zGd^BWi@D^Gem%|Zk+eVSz4b<|JB~^xpUai@PhIru#WtVjc`DP}<*TgpW`65;6%SZY zGS_k0#xVY+3v!lrUbmUma^zaBSlQ;RGc9jE<xk^%^uYX`gZVCt&v#3vIPW=n-SN$Q z=|u~c3mm^*+?x~05HDG_?DNMd{hAD`4*%G=tj{TKa>DBM9QNMl&(<#AaCUpoYC})4 zOg{G0d(3JaBf36#Sj9X2n76*gb2mrM<Br;D*~cMk{GNB`9{CV{-{{uy4O+21(`!>J z8v8lqzUfXDC|j=W8Jx}HetpH#y&g_0dbxgG(pg+p(*9uiKA~AhXS9Er)5zV#Uz^QZ z{^_N8t?FWrX&X|u)@}W`I+7{*<KZuACgP9Rzlq&6V~%3^z1pO;JX(7utWPM?IV8fl zFki&)mb%!f?TJyH0bh^t*eafS$@8n`sI5qiZmeg-sl^-m{4M#Ub|_e1jMUlpu=?(A zgH3IVUi~oG?%|<nI&+JHyeqrid(Ej6Iz=^vm!04jY@9XYKhw?fdY;eLkuy()2i44a za4{!jlG?v#k=hxG+Sjx%U$|SaQpIGpY$N+kzm)8kPdZjFdV2TneJ5`(y`zu377M-q zzT{7>^oh<hN=vLu{>cWZ{I2!cIAi0ADIv2okDCUE+IhY)_F9>{H)+m{ZuPl}*LuI~ zDs}EmEL*TwXw7Pc*P`=|mRl9>)Y_TKuk9=Uv+KX;qjLhxqMPz3Nh_a9_hVdkfur;7 z7O$9nZ29f+t(IzsAJuFM5Sg~*&YH}fTUdmWrZw=+%1hWX<A=BZ$_SgUyl+x6jvTiQ zRL*%M9g*5|?6~dG`oH^@%#6~=pT0kTubQ>Mne{eXJcD+AF3vLg%p7VO#<|Yoiyn{u z#3k$B$lssNV)eODX76QV$yIBY2V8Zv?_*a{47HiCLuaDd*Vh#@PZq7Ho~@T#B>8og z@{Y~R<sLRCy>=AmlL<?@;@7La>kg~o%+}4bmiVeReVt?xFEx|(x{T(wIp^c|TRdr3 z*`mg&A7bd8|1ST5O>GR@xsShVBy@vR_wSfv`e~+bMt19(2}_f>IV0D9<GTCqdeGlX z8=uq(FBB|H<=ge>)yX@%`To7V*Ze+R`{SJQ`TPHGE>pdIXp5EN&iOayvGLv7yLw4a z9UH@3uPJSxbV3cExzC!s-B(m+$uZM4VViT=K3S#MW=?O(XfSdQC^9)DvHh|{Z+F~X zrwNko<u|@An)dUT-?HihH-wT+UP<>D99z}9Z(+cji#2PU<tK-qJiKD1blTnpnnyjp z@_pUC`Rw!y!D~8WKc75bq)=P3Z{@RZu5GL)R-X;a7H8*2C`oBJ?hW|R^I2p5gye4S zX$Jfv?0zdJ=$SDFxz0TDz|Tm0+nNhD`xHHQ{0=&O$0hB;>*<0zAyv1!$}hxP$MUbd zuwv5EO-`$JEO~kE<(0eFF1w^IJGw7NYqCa(d!%pT^nmhcRmWLL=Pw-5RE#P)V(Bkb z#5GyJS5?b;<%#?IuS@j2-mH^r>aCM!?!UKcZbZ`T{if+!2R0nvR~TaXgX>r7BbP;2 zJ}=XBGrQjLboPh-&gp(8$88qR<gCw;tIs^T#q#pJSpxHH3lDwCyeuW1{<?MkjN^7s z&!ibl%oo`duQO>|@zIGtJPquXtR7Ce@u_%|&1`cC<qdYuyXF>ze=DE$B6(tU;s3S! z=CF1jdfmt#F8Aj_I=kGP<kjDonLa<07vFuXMXO+4$iLc2hEB?N9$WF+7gzS3vD*4; z^0XtZO}i_5*jC&M@7EH~(CWP2l3IWJRQ}Z2FY_Lk3OCI!WL@H+=EGC(Dc&!X(y&T) znQ!a%<W)C<&s;wC@?H56v;LhS-fw<=<bAK#*WdHpzVsmn=d>B`rJp~TcJAuGv&S;- zwDg`mX8B{o@+l`aiL(Z+ZGZB_=Tqz%;U#|#oqWIJ57X22S{u)cKY1(AYx?)Z@hIn# zdt4qdFE$nSJy`ux#FMjfYvH6TuVU84?pQv7<DpEl+AX_p-%sYwF>l-59-_qIk+Eg5 z>rLIxyv+4KRxR;w+4FGOr43Kl%Bbu+ArbfM&UVe{EJ3Er7mL{Ut&Q(JRaWwJw#Bjo z`)^JSy!2(hWBAG{-N_%=R7~8M8^0W!ry(HRwq}xh*44?|7Hkz*{Bq60)>*0gqA5b= z|Cf2RmVNDrc>3zQ(@RZ-&iPpZg+a>}Rp{#VJZe$<6tH7Yqt_g*gL3jem%NB5Owp=7 zbjRv>wo<Kr=uxR1LFx;y_sl$0Vr{45b<ieDHR<D(7e<_={!88+@SZI>@AJ_Q20N9t zn!b0m#_lsZIrrhAKn`bf)~>>!ukFSwC4{+~lPfNWA2$BAVCvyn(`IP@5KPJpjqiSN zysDDlSU;%lgWlukhtA!3lCGk{vc__b)19Z;LNyB}K73X%<I7gD9{rhWE0#~{Oyuip zNwd28#eHK+U&zWA4xZCyX^ZEpr*uYGEX?53>pC~Hx6pU_;(ZYxs`YtSKZ_Pkdb}gn z+eG$%iD!prWX0|(23?Iat|bffqy%qUM163p+A1x+MKAi)%->?CQuakmQW5sssA{2p z#_gWa9MRo@1%6Y!KmMMlk^e4~f7REZkG=;r4I>rz<yZb*S+aNHsvMgY>u!dW-~J%x zD-si>BO!WOUg8)-fRLin&N~MtTsLwr$P}^vc<e~h_j%s?t3AGNThLQCvGedQGqr6l z7X*%WFwgz)F+{DadTR#rU9Z{sQ{6h_?>X2vYMu1*kej@!Sj$0Z%NEDeHamYYe4e2h z!XGmEm38rze^Vx$lv?`u)Q78YZk1|G+<w(TZc${JZ;SD#Jbz6;-NS3zqys~H!fkvr zvL2j`-Z*Xg{TG_1eaGe|oMM<ZmCIN8VWo~zx@W`n`<GsCU+nU#=z6Nw`Tu_B*Sm8D z^v_G0_iIf%SJc~+U5Bfc(mT&q_kG#5;<we_fA)2<cCR|URxe^*wMwW*@Pydzt=bcH zbu;G(?Ctjx`z&o@>*C>atJP;VUtP<ImMxd3?%=BAsq_`n6~4?SmCn6Nn{}y)hjmh{ z#`25*j>nkJZCX5=qjFnb(}X>`p(;~LoE9-FXLRm37u_QI<$A)(!gcFdq*!%}8znCB zZZ3Z?L#^grrcjNk^wgDF9~Un-Tcn$`e0pH+v_*Gk2CJBaT?_HxIG^J6ap!WD>nYup z{yraSmWlLNc#Aw+adnZw22<gshfgTq6S}ohzWc;dkqh+`G>=ZcCzNwi<Z<cyf1yXp zjkcc9f2jJ5O+x$phq~ww8ERe6_o(hr4(_=xQ}RFe-QPVeGpaUCT&kfjerLYsQSW;~ zYtD*r-~0UR8L#<9Yt=>5-#z+bQ+MQGZKwX<_ie((?a8PA*YiBL%S#Y5GAQiLpSk;v zb8NkD+yB{zS=R>cc^g?e^TE9wk+KjWowY|DR($jcm@Y1S;mx!@F1;wfJ99<$yi%>( zp)TyYKWSx$O4zCGBAM(RGx~KM(?#y`p2%MG`(pDwiF2<-QY5$C=nn6gf5hj~^`zYo zx=XgOPd8P#Z17u7*p_#*{>~?tsxR*4?cSbPn7)0>LiPI=!q0O*<==BSBUBW1zaVnG z|J-Y8->1(kh}!<kJpVwy?Uh{@LYmn(ubh2nwTa)F<)MGtE~lEb1=TIe>-{G2$p4*o z6wAj&%NzPlP0Ti3^0Iw*dfFpZf6jL&mVJ=+@0{@?ZrVZJDO>cy<<u80F!e6*kyJb4 zbK~c!U+S6{XZ^YG?ds**K0%iMa`Vqv1pEBa3f6j6u<K-W+MLe#)%%5vqb}(eRT=C4 z_`6d?(eqiny0NoY#>(Fet0$Ja96fe<p3$2#Zk>S<MK<1R#00DP=6yY4pzL+gW9!Q; zSHI0`&ak)C?&;)A6Yuu*%765!U8Qg0Z<g?hrM^a=k9Ep6T`?<^4UL~15Rey~eK2IF z&5KWwV(ZpiS>?(2SHEJR(fe;s-@>v>C#|ja7Jgo~DOgN-YvG#JJZU|@fAmLiH_LG= zp4F*V+TOD;P`1qMlWHQ<iIYM?Lc+(aZZvtS&WMybwnXZnWk`QW_tAwWU8>1PopiI0 zT?wAIa?#bP^)(anBHqPoXxtY+eNp3z*7r%$CuK5na#~C{O>&)QvS{2r5Wnme`(L%7 z4^w?ppN6OH=JVhPzxK%Gm-STcS>CKaRfURD4uxz?Sr`#9<IqLbV!z{$G}xvuSS#T^ z)ns0D-_oUDc4X@xn=t8^LGs)wN-Zx|#QQw4kI!3Breq|2GedH#tGJKwYwb-pRUf}k z&p4<k9RAd(@TyQ%;HqBZjjr5N3}z_{Of-IQTgyXS`$J&Mri_B%FZsoC#fFS#-ZMGh z1RV@{_4tI_88IJy8{6V*M=qx>x^XVEs7?FB!4A>G8jjH$A6W9Io_SlL65V;%=cD6} z9*>k;E}R|`?$Z}cT`jz7-v0W`UEJC&EA?_$N~w$W<#it1&*i;%`Qsfz2bm7Z`o6DK z$-R|5TYJ@B-V+*<i@EMhxFCNpE38Lu!F5Z{YciakOZu8m<~@41V%5>e)LWUe7<FcL zy*t#wqBrIH(Mhj=a4a=8yXGN(SSu=oLyNt+!^SOQr{kLiHjZB2pA0)Yf(%L)ye-Nz zd+n6imM#{!b)V+;j<08<pNeswRNE-1u{izdo($J1X;rhFrtM33q$##^SM1&tCBd1_ z-!z0eKa}3Te!u-+)ttY*6L##HvtPAaNoZHVv=4hCjJoX1FKjV>7Vfe(_V^T!j9qig zrs}b;)aPuQ;<3WXZ@!wCRi#bFgBiy<Y??CEv?i-oP207qE#=isp;-qT%4JTczDsTW zcj#`2j75o0_lZ>YT@@9_|A+7Po?h8xRdvH$bD}_VXoQDxh~m-9EVfG-%oS!PYSpb< zb;K|KoRjODjqbe0>jdxeZnI)P5!g3h;(1ba`SShuSKLzPoT*g!I7YFzINx6?wA{OC zT}%7?f9q71yorCmue*;=WF6oB`HehVdL!E7C!A%9D&e#LFS{*e%2T<F6Qvogfu-vD zPh=n7z5k3=vZCokn0sDG?xR*mW^ul(u4q-q?CbmYwPrF2Hyvc2&X?8q?=Pood){*X zybbR6O`mx9m%d)QxUXcTx4vxc67RWHFK^r_xU0kx<G;9TA3JC0oL4E@rII_ggv_^6 z{2ucBpl!^e>7V*bGB?IqeT>)3bv$j>bLZ)M<5e3n<_g{2W8`?f=y8mQXzzsJ9<6JF zDfTPM7cb?#SG7^ure1Dq)pIVd(>+>emg~RXy|1eC^QGsuKHM9b_H5ES`{Tw=&3jd0 zJmDfzS0nyxJXYBr(QR7C>Kf0<S;@>+Wn9)|)p-4AkX_2YmK)EW9z7PYhGBI|C}*CJ zcyr{r4;$CtTOnpMZ{1VwN1Dk}zt}}TOcRy;xyNV)&&@|l8w-NC4V|{CR21r2$Lnh7 zOrB6U?}1%D@7LpkoFCtGOSU;q4vf^Ab|KNx**x#tw2!Z<XR53ANlo>>5!lw^aX)P1 z%@5mhH2u0hZu97|)r!vx>0PjUP4zle_ID=_?|+$b_>EWSB!;LZ2PJyjH_x7ResV{Q z3Zv!O6~~I_E!toh^}%CXK$_Im8;>=)cjXn7&%2~jxbO4XWl>)4|2Ez5D!J%b<Nw<$ zGO_2_*_%#r|2MX`^6Qj+xqVaPce&4*6;g?FqSHeXGxr7d&aZlPlBxZ~uAtQ1sFLWz z#csUw>$l8KlUikS+_-L{j&SuBUFoatr&F>v=Y;J)Zz3CXX1V8eH_tsuR=hP&9^~A- z>{nUezF>Ky_WAXVwlmbuDX16KiA=VZann?i^$q;nxagPu#U^v>)<9jMrD>dZ=emgo z`P>fHwU~Bb+A7m?y;7k?ul^Zt-Fj^2PRFq1iodN%m#3Kd6s+HVc*U~46E!(hc6BV~ z^H6Bx{5s<(AFE2%9P6hOZVE1lJ0se+V9j9*e(61{d$Mv)FImFd{kga3iw3*@^%-FY zXNY|~yx;knw`|RoImW)iT}!g|WG&#dELqjnZEBS?g;OqETiN$#ZC_wt>?*cHoF*^N z&epzjLoxI4A16g`&ufk|oj9eQFT5V@knCxZGll!!36V?EMp`{}33)bE`4f!qFT1@t zCZn}Pbe?XY%<HsGTT-ppX}BnACOJs{pRi!#l$+LHWcF>!6O84P6bpAbrjR$MeeJy& zf$QefN_&||wy5$vesJvJg*cV^bUt;BuU0D8=Y9|`Tv5Gucaha8yTvl`%adj-xBsk{ z{5`BFncMFBG><#BWxKPrn@+8gpOaDeWqy^}q`S-2bP}d?cJAI=V}E~b@eku!jwLUc zbN}ibHV{e`x}L_pa?|nlc}k_rHXgNcd$elHG2bljhb|BJ<+4OJ>P34nA6jpddV$C3 zR=pPcqf6}ZJ(t3)Lyqmd+VLp4Y-a8MY18BS=P<g?vu%~w(_i)F^9zT-IJYa4HJ|j} zld#@cq567NhpzaK!Y@W`Z)UuCef8`YJ+=CoQ>2f~x>7Oqf@z1G^pzM@$(f6de{5TR zOyhXC;B0rlk8SgU4zg<mT<c#d^5b~L9nVJ`kxth-ZYYVZd!KpW<L?;H9U(eZb8pYO zXt0j;Rn94qZ4bmXl&5cBx}0%81M{tn+dUjxleRs$Hm|>RU1eTW^UuIY#WNANP9Hpc z+c7utvsBt4PRk10>xXsUJbdl`CQ-Ti$0CzBhwYPYS4%YQp8hA$X+ovOb-wu7U0tn* z)DBLcdHwJj<&8oyvpb8PPkWqy?^hb%&a;ls&Gx)WJg~x$x85k~#W~0I+lvmG?~<O; z-Se;T>ZF9E!lU_DkD1s^KdJuysMEx!NlES756ozjm#z9_sjodXELh!q+SF-1nKx=) z$nL*#e4AX=r&QaWA1_Q^za!VMcZbZ2qmSF~Z3&+L=ioy1`5(kIj&5ObUYYVt?~0Ih zzU1W#=`YTiohqy?UueiXf5-7tsl^8hC6Cu`n*H^D%zMUbk{ZXk_WmwbR+}}cz_olW z*Yz!$-=!|IL>ry@v7G;xjhdS0Vwv+Rq9qrGSBh)>`O<m(3upBE$~X7#eCBy?c&c!H z+<V*O{hYgB1`4ba@V?i!{$ycBO|;`5p;ZNQUTU`<`)M{O;_)NqXN4;6j!%m$k3Nqx zcZ@rrddYra(G>3g3NH!_=E*%UOxBP)qgNhy&foTmtg6hGr7tG5{#=@rc!94(WU|7> zYn@68_Fmr{&DQ-fzJE%jt#GT)tgJS%=zHhpKKRa+HUHw2U0=g0cZfc=iaE;r-0g03 z=jN((rl^MFPcQP@y%&4-bNc5x32U<pW+Fn-uU0Z#;`?D(q5Zsj*01YFf-+y3IjJo^ z9_HbYB=TdDRqY)Y9nPaKM340aGCQ0XOx;yhGI`fD?z*gk-60dTWMXbyWSy(T%d&B$ zSi!|PuiP?<wzigt+RnYR@^es<{xmcDx@Vd<4s4pX|K%xh@9kHQ?WuK>+$QHyYAM>k zELdkv%h^iZe`mF2pL5N)v-?og2hXEAxo_-ypWk?@seDM;YOyuf|9#qP9G@++Of$NF zsP<!g<g1VJLbKhNq9;W5CQr#zl~fQC7nvY3<M@Yrr@4ApRvdY|urvMhJ%Jw0OMiu? z7iJ{5c6@Y`{CCg!k3)M-verD0<vm4z6!X`9QJVO^edn~lNBM2C4L6I3eOJ#_6I7L% z_Tb|i=Sd-feHRYNu&UM^kV%~K@a(*##WLkhdJcR03g!M?`zg>G(zZK-`w1iGj1Oy* zih7D$x#spIRozQmYju-NRl%Za{*!>um!`auec^sd@~8mc;YEtB#;)at!d7B|Z^a)j zDVdyqCEoT=>*SE7OFur$eR1x1<Qzk`d7o9E%;;P(^KXTi<_wmW!pnP0!o8kO-q#yr zrl#@iq)>W5V)#O7l?5+Fza@B1Nfh6k^*I0ZaTC)^$BvpFzbvrXTqilzxgtl|D(HpR zRq5rACg$ublq;*8_H^6BMK#G{b@P8VRbQCK>vf>BEJye2yR07*>Oyb$M=f!l{Nk=M zV}<pP=B@0vcC_6#G-*5fD_iVXUg_B-oQY))Kf(evJ&Hy4NUN&YFF(D#MMW*j^!XN{ zjXfJvgd~bxp2X@-GxV-e{@{MzF4H+z_1_as!6h2uA%;H|u$^(e;3v9#z1y;&)o%Hm z^B?FI2_Dl~_-G0zdrQeo=2y#iU3K}sdZ%OI^IJml#?HS|emU*?@B6g;%ii}@5!}VL zKP9$(wf6UM+Vk|A&gr+Y&y!8hL^j2zUFl7nR{c>rvW;ic|AUSH>W}<8ZF$9UrPi|K zxrSoWMQ4AxJ@EfEZ}th<jeG6+_Ovs#zdulY@6?qfBhl+KB5VHL6q@vE!|zksn~omZ zu=K|PZTCm=zfP5LKD@HEtMKtr_Wi{w-(FgWf0}Fb_rdm>87oC?X7)a^^zZx?R_X1R ze!h3(kLqP1tFAPya{fHGX?@lAhlyIM<&_y~J42i#oO$ZmdW0M+O}?MgI`>?2$Fb8t z_q4r#V)5V7;M{sStNHUcvD;qUkl<&iJ2&y5>(Qpdjhs%_X4l<)_B=>DwD4_AKflq% z`Fr!`rObQuPjd5-BmA~s?%wZP=atMh@9UbWA44ukI<siEPms(tNqcbl+s>}q<GeAy zZvFF~|5|kwe{N@s|9Qpqr9InbTy05tHNo`Fp@|ckOgDS#2vqCGe~QkZXvn-h)vNb1 z%l#8#(#9<HAE$JvzA_b@v}0O_P1g*~lNts0wi`vC`fYdp)7vla)g~?OF?P=BTEtR* zjKg4q*X8TH6{aiSPpT_0_qu&jV(;bq={yG~A9L&6d{(|pT*~=FOJKw8O+PQS-LJZK zifd!V%a!l+k6h|DwW--=tu?Js%I@r$rw`5d+{*m6#Lsa1)Ya>=)OMDym|fGIk!1IA z&dS3-vemNA95COrF_TBrf2qq`t~pbW_s-ZNY5bx2T}{u;he_;Jf;WXYSBWpmozoUu z6O-{xc=oTSD?{}68+`o2HB&8Airw*Hz;wr)%qd}!joWHucK4jCG&{S9Q*nPz=i!Ko zC5z|WexJ%^IY-`br}WtcS+$1lpNtNFot?7(zT)d!CRsbuqwU)AQdjR3FZw(w&ZsDB zm%?h^`!CDB^XBfzSo+#Rc*=p$iN`}k*Pbu>TJ`c-`5&$N`?4Y>C&Df~adwGS4!z>t zr!hI9U`xovhfd*{a~~aV(OCMh;^h1ZM>mShHrzQyS55ES^a+Jhb<^vnKfdj-Jo2&0 zAG5WG@1AfL`t{~zhQ5lB%J<{f^8QTv*0=56l22wfCrzuW3iwjw;)A?d;+K3q5Ru#! ze*8#}<c>vC&ScG*XSB`f|4%N5`_eJVXAV5}USu?J&Uyp;yR1j1bVi9^a$7&OK_F^J z@{8L^N@p&9T@`R^o{&oT<lD~I9q(1n^xE?>Fn)g8Ne`zT@^?;VKYX&%KGs{+ufx-z zV%L$Evs!pqH#GiCp2Mwd9HZIg^grp`jL!ATvn^BWKTq{=I@CMK;cymDe_&5Zc;zvZ z$0jke{UnV)%v|4j_<gYL0iJ`4Gv6&wuVw!heEC3KmCoN~T6-R8{ofGwUBKpH_s5mu zA@T?HURV_cMy8&)%k-n@ip0{b0Xl}Odn9*U6c-jLO>pa4zwENuZH^rpi+zh89S}Hp zIY;)p@vhu;ehU^crD%U#%NSa2A++$=ip~qUGOg8BS-p}KQ=b^?hbf9pR@qW~UGAvw z^DdJ!M_p`{&PJ?sI>@PNbj>AuU!jQE_Ye2N1)byGnEc}NENF>1_Or=<SNGkmaj9#R zR;n4+ZQgxjMx%R=QPjb<iE@v*&bmKby8TU{6X&%Vjn@O!1P`~%m+L>bm~Wu*b@El^ zcz3z^^;Z}^RPTS6-_3Mp>eLw<rmD&a7xlJ0$=RsIx=C4l?KAG$a~CUSt2i1q&9Mwi z`+Pp+<pCwb<coUC?llB1whVL1TA#A<K&Q*sgD0nakyMZj(x1aJYa1uq`qYIF%%q;b zSSdJx@iNzJo`p|CWuld|Ki>BF;*&dJx$d1OYbPoR<v-T2v7X`bN|*0@pXx<vy`%QV zfiaJBoz^*>xVYtD@ru3$Tfek<clzHyZSo*ZKe^}mMWr+$)nj4{?Jqaz+xXsb4AI>< zuguBzkD*qZOBb6^*WcLNKPvz5ecGt=(fjEm!DpYly#5^8ENFk;<$TyS&)s@A-lTpp zS<-Ro_f2*-)f<m;n<C%8-_7hV|A|NLqmjFROw*6VXBXV%K3@y|W4C1H`|8&ppCo>_ zeYf`bIp=eki%%>*@kL|vwaY?h*Zi(Iz0LW3&BRm*pRAtylTJFhsh!ZMerqLeY2vHN zWoqiG_jz}0G~XQE-*-Qs+L~f!yy4gd;k6gobuyLbEl5^RWqjlueaXF|<K`KymcBg^ zXVw(<Cizau&Sc#t>F>#GYy4bosc2%=_lxWMS=kR?3A^hOq|ABrkHNhKtNDuc_v$LX zQmx*``N?`kPGyKeOU6O5VvD`M<(8)3T+Fxb+_T4xKKm|sOcl6g^3&p1%~vnwosl_i zdsnSE6thZPFvH8_ajerd>m5B0oa{Si|9CgyhPkM5$n<JI`?N=q=kvUhgpULj3jXWu zIX+wcOzX!y@$X;HR;q5#2z8aP-pSjac*?tX^1`6R2mKTJ4o2^^GJp1^=^BsciPGRB zL9Vi|BTd7&j^#b=$iMROh-YQWW@XN2rp4Z2j_mCBZ&|&XWOmthRsUtj$hhb$%6~(} zr~TM@>Ph68Dbpu>|LL}OUV%aN?1;0Im#mxHlK3;#>5pU4%mqzngeGg2^WU?Y(#>c0 zY~Nr1<L&p~%jw7Z#`8=Kc)3PiJjSPc>(5y#FC3I7x7sZ-6a3ceHPi0HRF8=Yzt>GU zEwfaYXRkL$-Sf)k-E#^~<_FD*JCk-<uXe$@S6@p)CieG#(tN6X?_F6-&$6}0zr@~m zPFeU?rX;boyT-J=X#ImnD{Pb%6aBaTS$A`4_JhB7zCScJ`mm_+{o(ch%a8w(u{Am= zKBJcJV9@6U8!d#aPwj7X`;vBd!@CvV<S+ktrTt{zY14;Z5__lq*cRlUtg-H~N#c4n z0k!Gp6L{DH1NNIoY}h{g$p@>&D#z#FI#e>d$G!CL-G`|g4=Kd{)71*R9rVJ_aHfme z(lr|=y)G_jdzCgtQo_Ce<^-0*N&RJ0(l4*sp|`zv-iuW$kJy!b_ndIP($}El&V;3r z3`h9brXE!iPY$+BVDk`JW}Km;lB^P_u9nKVX<3HX!h*H?{|84dyzEirzUu$3)xU3_ zUDV2-y7&tJ)BwFD#p08>FWIu19x_qonHDI@_;&V_y(>(4JSMjsc=IbRFE?qvRI<Uo zlN#EW{$%fdHYxDHv4g2i-qXCBy1ueT@m8fw)cz?tg>z~qXREyX^1~k<N_&6mmHcr! zLF(ZQk7G$?4caqT%}zLWdzFeo^vBM9Q<hwi=&}Dk!Qak{ug=iQOwrcdeMj!6tDP(I zKB|Oo-QV4F-?UOSg)?WS;t`kJl9>~PzL@wdtrjgiq0AHTQgk=Z&D<j|r%(5r?7l_x zs<P60o=aCcJbit-7kbUw^205uzg>^DUnn*Ioa2*+x4R!J9?@diecRkw>0EowVaXdu zR=3|ddiG`BsV4{K{#QTy{Y`yF^*Vp%wV&s*Z{24xYxlhB+pSaUbUwekeLyy&<>UFI zumAk5pM1pmy0_@v&1sYJrCgXzMNOyGtdcx`lKr+?)ThEbn+)If`*NISak|ecbS-s` z=H&a6B`5u;uB_fEma=|Em|$($#0xHgs?&aU%$Tuj;#TpWBJ<9ioBDx8>5P0u*8>qf zk#k`l7e2Q}7&#|QoAb^}Q_65l-XTuI6zg>EyOUmuUkdFE-u^50zN1#}+2Zw<KVGal z&2P8s+xK>vgTMRs=kK)&-1$jh%N6z-mESF^m5P4-d7Z2maGlfd!r2p3bk9aiQo558 zB(c2lq|4zije0>12I*dxayYzhdrEw5+utu&*3zEESsSS-ZoB5~{L4q4*0{TQe_EHh z)kvz@EGTEIs<QBjd53>=ls*2MWc~C|jq#SMi$R~2#E;mRYY1*~)AxE2b??ePm0NLo zTYMHjPxibww@dM^LT(SARo&|S@|C|;3};SinbBCZ@$jc9Dp&aD&Dr&S%6XN<L*ld5 zo-Iys-D!Mn=IUpPb9cor^YoYz(|n89DwS0wvCMmxgF)HVM$aYAMvh09WwGqLTeP{Q zC2(Jil=~aD?d3ClFJ-4KSB}<Pcjm?o9f>=NW@1lg`!MXEx%$(h8>gOUwR*q$FDCrm z=;OsI&!GA*S9y4EWQVk;Wlnrhmv28&-BMmpTJ*`=CZDcHXRgj%A$ZKxj?0L1=D*zS ztZBy+D<7WUYu&SPN1vCLvd)hyvoA}oI~O3d&#$yCvF*pljiNJOoKNW&Ja&H%tHhSs zkC#W?n>IZ*_J#T^|Czg7UO7pcy?8zSu=Te`4#(}5$@5A7zvggX?33zzqvtK(a$Ear ze>e4hGCL->+V1oGmoMsg6Cy6Gt^I8y`&Y6*?8m(aY%@D2YPAT@5j}DI1dr#Xx5YlY zFT7Js+t;#ByD-bL?OjM*)xIx+zdClFG*}rvlV!yZu7L8pT*k*V-Q2STo6TnmAD;Np zq<>lPDZ%-F`fkjU%$nCy@Wwr41AB<T(LG7qyw-~Fo_V~)ck%j_(h5crr_GFX4jr<8 z=XUFN_>)aM##wI^mx-(v*<|*{TWIanl7i)&=lYGyas;H+x1D(XPApHXdYxLS`N`Uk zGkMB$E>E5$a`^f2+?S<!H?!w#x#-IC*H62r>f52y5lbSCuU<FU;AlSAP@=Q_Puh#% zO_NeiR9jk`EU*<2JN>8A;J)%bH}<^unrfS?>+-JE%KlCI?Y8JIH;<-cHM70=`nLTp zHH?#(?|ann|DM4iX#Y|(G^%-_b&SnwM(6kbsrz5f?b$PF^M%U|$yrkQJ3`dFV&XEE zERD3EplbOw_J;lrl`e<Lvm9y_OX?JhJvPj!R99krB5JzNBKHu_-D<^;y=fMeMRON) zu;!i*-lwooGtu|S(X`3??)4hId8sMpW<AxjNr~sFsF&E8XWeJaDxx>+5C~n(nm)Dg zgZ`vL^EZVHJ@bE}TlntkF3qY<BC+uvOqJF_Go~LpG-b)fdHwS%?j>+7R5|=T=hfO( zOSmdcMZ`IGmz0^MXZ}!3zFl!Dtz_SZGaV`=4f)*8LBhRFJf6GGD<1RSB*b#IC8YJI zn?bnTU#?r}-9POX-$`37Fk{N+6xP*?MIMXhpA$;%{5ykXNw4(G-N%IPbL>*x@hKw4 zVy;@SX|2|_pI0?%RPEO}@7m^4vZ(g4Vaj~5Z;M~e)%v%CWksLC?2HFxGyFx5PhaD- zP2w|m>%L7ThSL@-G1QlzJYA6Uny0Mrq6=FeL?tZWKmW|}mQJC78;j>9Kj=R>Q75dw z?Bf<szi+u4pNHL9+IKDVdgiaH=$ff5J8V-diYD&+U7s&l-MxFsZ~t2N`AI7hPt=@J zG&8&SdD*Vxx0Ce$t)DaVrR2txZC7TjkJrj{x{{*z`($>9%8ZF8=BRUa$~S(my#KVa zqeQYrZ|jkWl*+R+Pwca<-uBBgGA}RSo2KK}n+NOPeYTxCW5?_n+6PS+m)EoJo31;p zxK%Ib&i9@_+c&=OT)5@!r-UU*YR?~;=JCGD@ZEJVGWe*S^Ri29KN@eypRn=N*%Q8@ zWcHedi;B4~P8{$2WwdFU;?s{Cwg0lpeGQCuym!K7SCCVY`Z0r5GybYdsqS06sYXSy z$m87PsoSlEgu1SU<oO78FL^cdT~M-toN2~~8BSMT-aR>E`N@(JtHd6bC$+9UjdSK) z(iiisQ9LLrf4OMO*Va2eZqgU-D(ro;dF$-m+Il|6Crn6_`Yj~kbW|@;*653R`kHCd zla9Z2t!k~_Bp<9+dp6i<rK4-cs)zbh`BT>zO@H<5+bx|{*IvkNJoBNkujo{#ef|_l zyEkvnrB_^H&{FN*cS)hTf0A1H4*M$)?J`APev|mGnj~{Stj>tv^ptjMX7#}tEfaTd zxghxZ(Nl|?jDF=0Dje)ggiCIlDm%?N@GtDdXO>%cl9{)wrwSj{u{IZ2DO4inCFP&C zo%3t3r=))7E_)Nx75wp0A10-@b1#<-jCr)|kjjr8k1{W@p48U<*p~M9@OtCGJCAf1 zZd}w>vNQg#tIsL3zi~~<n^kS}Ta)@@UcKMVyZ?mC#+%VbeTk2g9&Ii$EL6&mfBE?B z{b?6slay!oFW&a)pSV$A&G~2}Z>OChQ7%mToSe)WBi6?9uReEXSsd3*^V2ta?=N}I zx23w>ztGb1><-R1Q?_52nSW@$H@{Pk=Cif+&)7B>zfU;*SY@72R?x{@O^Jf;Z%?;9 z@48bS_0GzBS<(a}#V?ZHCzQm3AD((VYh6;)Vf)XsJT~ZtKdTgKHkt7Bz}Xjnrr%}{ z?#%essq24edF6qePLH75^UmvjaZJ~oy)STDr|6W(Hvacz&!n3RSN{t7{o(gH-#z=n z44JIwP1*gm<ch?Z&5w7gMjSl#e`0*>FZsz13uF9_EBR*E$lF$kdwUqyu`JW>+oFEL z+o*S&eo4I!%LB8G&+lkXIUjPe^rlmhmYVVY^u_TPIqfg@Km5CW;-25vygp_;-M#80 zcVKgzidoe)&qEtF?8@G`n}PeqZYOEZo!paKdgaVQh59=>+4QuI=?PzX&iyyWV48`C zZ(Yo??4E@;o-X}#YmLzD4J_YNHLlmVuW4`Hq#P=>CBLUoPQ$)A=s?KM2WQk)ot&6v zC!NH`zF*B+=k`Xc*v`V8Imu~LmAjv;E&l)J{o&-BrALa+U-W-?F=yYmBS(tmHr@OE z+bQnMq@tYxOqcr9i+t_ot!w!&TYl>G5?{uiU2gX^t2q@4H$KhU*t&0xN^J~(QNolP zK|RkF9O%wbv^*1Mx?|V=yE8ueEWEMykjRgHf&Y69wlOJhsoSkPVe1@kze~4eRIJ^C z#jQ+VPQ2K-{%O^Z<0VVOZtQdBDB5Q5#!%zi+*1dIPM=rr*4|bn_ix(Vx`{KFhG%oQ zIjlIaabrPjU&*A-8UA0K_qbMnJQO6Mx;1@~HCMjp;}*%Mck_}aaO&mjrLim*=AXg2 z@9?5u>zZFXPpK6a%-GtJrgc2WuwBTp)BF<u37c;Z?p0*|>|a?mCCz+M^{XgZKjvLw zpRY~0;o)jHC$D#h%~6Z9u3LK;v-%$G5c1W1tTuu7<n2B7dS-pSzM%^hRFajC1-w`? z^Dt-DbC;uOk6q+GTRc5_#QMY4%b)**9Q2+UbodbSJ+)0X%reyso3lheCjD`%iFPdC z{otujiCHrH`ZLFk<&KJRp8dFX)#D;lPh*`^=ib;CZwqi;vZ-*n_7a}R)myIeeo}t% zrAyaa!catjd*;rb{_`T-k{1O0JvXbQrpPX@kU98Trs>Cvm~V@3FMY+fbpARy-6bmj zO*v08Us<*{@{)z)42gy#iUKor%%&~Ns1KUff3+fKhU~?ayh+a=M=UDccyq~}hCfON zZLTkI>`R>%!g=<>6<fvKeH&Gtoa39bglnsZ@_i5fe`*Vv-%n}n*|gG@+4iXD^5f?Y zOiHRqcHo>Qvis&D!%d4BWpAe`-!_=N?&T6AH(tB<l~<?s&DeDA&oV>C``_Mu`+nK% zI``TBjKIi~5^Q2BOH|g(`}n@%_3U2Gi20gJ=l^-Xhjq&52=A^;lRHl?id+)?H1p8& z*nGx=$=!bCF~>e#lQ!aYdYZ96<YZj3MqNMC%15nVZRd!*l5(=T_fcqi;F*<gt+&qq z&{QJgTsCp~oqD6y>izew7Kr7a@Ccf>RBNh>?u$vMm;5-T!LxL+MV0uj%Eu389KP$8 zGBx+%^2(ex!*Al9e-9=bc?vo8TQB0B%=v9aDqo@58ZLLIjQ>snUj^<z3OJpc^il24 z9Mkwbb@qdeFRvu_UNL#BKX+-<)u08pFYr9L?5FkjvX(td$EU*BZ4<=K3+;Mz>5cFn ziSwyhCnwvy(N!w`{+LI8rGU=)GOm9Q7lvANRPU)O|9Z6P?#!qr&B(m0nK>sv8O96N zPF~w3d2HqmXWMLfQ?&=O`hU}O%W4(~_sm`-a7^On9rL*K$t6boS351|u(b5E8kJh* zT$^_MYFyUNkAHod=M@`tmT`yIpJR_%EOKt;k-YO-_3|~+U+p|ky?$c%S;Fx^59f=| z(>!7hm4;`p&-}Uc?Ceecb58WlQn=>ziB)rXXzC3v@#Z7%W_k+#I{$uB%cS4OQ>OWd zt~!ue)Vn=voj{ZP<@Gtc>Oxx6bSgha1<Fm?oG|-EO=#SM*?noXvA@)JEdAX$qfqUh z_jB)<d1h(}bKQ(*yjbKevv$VEJH0I5ZQnkTIXBV5&hxxz+qTE0{Ix4QFE=+?Z=QSO zgvEsAHL5#|qfcj+#4F!8@Ol4bhSzb|mh5Pq`*LPBk8sVrnWu9%UW(4=Ir>d!camBU zXNBuyOWj4c-@Rzuwqu3OOX<J-+cvgLWPBIR_cl3AkE`5ETJO~H^EUDmnHBf>=uN8Q z>`7kW9kWH#ebU-Z?VQT)8_fCBJ}uvDZGWjXeYS_a%9<N7eTqF7(mcxCoED3Q=^T`E zTX6qvb`$rigWVTB6E76an5F6W(!MpJ@BHBfTZP24zRyjYSz;LFCCTW_{UYM(zg8JF z>0a+rWwGT2i@KsXpPsr_bnO3%eWof`^t)6;?pZfUU-~IDjpg4PZNW?YRd3u=Ce3k7 z^b{&u79ljlFRAPI-e}dneLkvkAC4`VnBpz5wsmp$4ga}e(Jy$sYG$Tn`>eboCdw0C zCRf=tHA(yIa{vC<<u21)PMp1T<+8S!Ge?HhwG`!TmOD$WtfVIB&eIY$Xu7?6`uan* zaaFhNCY?O~QbzUQ_t%!J4huRWulWV9^)677<k?iNvbUsYYfHPz>veTK>s@$k18=no zWt=~5xcX1+v5Y@@oBaxRgl`V}t2cSSe7p9cLY0IbvyZoZRKtINV{CE!zsyJdQ`p43 z2&21a-ydG_Ln~2DA}{LM_n8Ve9;j+<I5O=b!)4nd=@~O4lOBJZx8i~1ln3W$)+FtI z)xGglw0@z@E#vSF+^RxK-xYTBoA2w9sDH{`AG@q(rmo<dk0Kqdx;L*@+&z5tSKhSM zTbly*#l7fi6y93)Ywi)zJF2-?)Fw(6tC+-nU_To9W7qU3Y42sB9lDo4D4xyUo_;lx zy<0o*#5`{&u??cr)mHswJC@gZI##HhpL2WF&12$sk2YoV_9gaQaLLiM*i}_jbIrG4 z3+s33lE;TtSGV%H^zLZco8R48Tefn>@=G<(C-{_K>sbHA_+a(jpG~E1lW#oJI3#@U zeO%vqY1JK@9Md-Bzu9-Pi(gyd*6}C%_f{Cp?)SV^ziEx4W%wFnzu9`hs&XM3g2jeY z`IG9EQa&8<`I%UAMAj!`(S(bSt1^x>JMFn6*LmVlzK8y?tpf2o7Wiq-4ZT|uwr=^{ z2JM8P4~t@(eMG;S*qjVoUHW!b=h1>BUh&N_t6oI$A9*#UDD!H$xdnT-+@*G%O&fgr zQ!}e>v24A#m$k0v&e;h$v;N*}UjKZPOKGMPxA|1j&xJ<03dY+fR_=<GPn*?aHYMY) z;_QPB+y3l1dUeqRj}ubK2J2oY$#YulW767ts+aSJ&3b3OsR@fK*Tosci`*{1W}lj~ zRppHLQS(Ldr(PckzoY-nUnbcgtZb=TYcJ;y@3>AwiPgKidO3gStj}yRl!$+?Wv2E( zztS+-Agok0`*wEk!H9#iS?bSrCw{Q5yZ_<e-c3&PCb9@WRy$^)R-;;OI<M@e>hzPg zF5Rv3pPKFY;aB?hsnb^7x0UU+ll46gL_2Zwekwba^Jh!;?1bpJPL~f^*N->-{^V7V z8&<ra?p>s6#m?1?{1U#GI`*%uNWYwZIK1tO_#_Ki<yjXlDQt0S^|+E5&e!QVr<;BA zY?a3O6=jbc!;;@$UOu&-E9m@@>TMp6)_HfbaoV2Pck+eK#&i><+XlKldS*UH{~H@M zMfb&pn;d%Fx^BgThkH_c{1xtT#P!r0{psH`&D!-+pMKRgPnq8}hBc<UrPND3^yhsQ zSy0iQ-LSad{;jz_=VrE|X)oq@g-%+1ver{4%lYNXx6(iTQ&z~lauG~5IovM#s@vM} zgL$);qnU~KouI3IpPp??$TVb|&N1x?_aD`ix8@zSjjJ|pR9Px$ekio#`HaP0Jhxxo z-=k>3boS_luJ8F5H9m+iCKxlT*DU{Py_Q=f^ZnbfCAE7Odi<XJ?ytx1s^+?cSq8sb z*VUALc(^CDrMJPkT`Aeb?(Mn!f8i>B{Yw&3+Uq~Rje2XP@L5&w?UOfGA`3U%ijQQs zR#-AMB$oH^t#$1`n#w=F_?gGHBx#n2(tFu@dF$C1-Gq$R_1!sieRt5Fuj?zfnEXEU zcTc&9d)>JPS1%*>;@8SCdA2J1IREnTZU|`AsZQwJ_QNw>H#$ajO5uCu`2VSPm)EVH z9wK>g+C~-Anz_EN?$V)Ihf6iK1<X$lv*a$#yD|6Thn1lX?>AgsY;~fH>&C03+;pY- zAWtQ!V=0}I1yTRcJPdj&aeRSMW$z=+_V=?do&TW4b9e3Quc!55*Xvg9id$d)Hh#Ts z<?rjS@A<{9zgzU8>hGf09<NKi<JkYm)|5MS$6dUn*nRV1@Yd-!ofb3s>&N<~Oj~l9 ze`$KP(A?`P&T8p7#jeV20>;7yK1nC74(`ciYR-PL<JaB2FATH_V*Nk<Ofpt@C%tOH zmR_zus<9p4FS;=8-L^bJsDghr%j+tw&KoNOOe6)0eR}-Yemlw|FX#1KTJ(pIaKVML z!<Nd0CsqdE*7ltf=fJ-;=Ib^wPp|dbX;!OE1OAvSX0rbzGFOu`+2q~%X;Pv-Kb-{^ z#(q8@DG}5Xy?6D8-#!~>yzO1`{0N^X=ak26@A>u|@vX_4+0qrlu=~zdwE)i>s~`U< z_BT`&oHa}6Q~IN;fjjPQ_rG(hv-ix&dpm@@r+2r0zqGXJ(cPw9Njjgear~RO@b2VG zT7e#-x?=smlD1rmz0zQMQ{#_s)73MlwI%$L8SGBoRtzl(`nzLy(1*7?vcKdOYnmKU zj8&U<L3>(H$b>I>yRA+>`s(A{mw1#bUU=%w-<SA3nc_dMP10KCv~^`rsVDbcDXZOi z!aZ7oUsAPR?%MD3A>5xg&dZ#m?se|LEwfIRoK6f9xS-UxT_T~@n$tybiOVVr+x_3C zNQ&+_%d5U}VqQ#0&qvo&r&P9xg)zn7Z2P^5cUI0lC5xLcJ|Fq;eIDDL=h;rn8128m zIq)e*HSW`u1ixlOiT&SABt;`;=N@Pc)af{tv+CxmBTU8&4=Sxp=f*7Nne+OPNs01Q zN&SkXA9A6&l2u1GJW$v>N$7_{aHsVi-^~*<XYiUxHh-MA{Md>E!Z+D!mi`Dj7<zF| zY$Jo)Uh&6*Ihm8qUWQ(4DEvQd!bF8`x27%2V};(n>X8qqD`edg^oJ{!A)Dv#ijdFS z+{OM+mwxIWdF)y7wnN+477CmQ-{S35x9}&s<ileV7POqMdU^HE+u!@e^Cz<Go2Ys8 z_&v3(t-GG=yQ4ebXtM6v!`+LgU)XxpyzLfGzR&ZgUrU(Fs%Kx2+RNjdRMDyPM)sx# zcWaE+GtH#)hrH)0<(v_Fe0`o!`QBTSlFOf+>0miGb@Npw@#Ffou1&d-&r)5UDJmuH zd$D2X>9ZFfCf`-+Jn1og)1<vWvToSN^Slh=iL$<M@i&L2Zi%?obe3gTyR#X)K1!R$ zL@Y`_<g_WkgFAE9t|P6?2RBNmwmt6av-RP)=hCZodkgFRcbYc+r*;~-e-QSUyu|xM z>2kx<dlG)xPBvXxhwk0Ir?!~MJXQKb*fI(Is#z=Cg4h)F&I_!b^59_3#-<N@Z?f%q zqxop#gY*thhSgQuV%rw_GS7`Te(^{5lb}ua&MXQLyS63SJiYtlI_vXKb(+GoI0Kv6 zir-(JmHdeLO_0PER`V|+eOKiYJS1;P9}%>=7kV=8m;1w1f6jfAb>~@0|5MuYCi77F zk4yIz)x`GQ{rsfI?OeMb`)lQc;m7_2KAkf=@6l9$)rR>gSsQQd=Gp(nBr&Tex+oz@ zh<BIv<G`E~MP+f<Z|>B+yuhLNjCj-O>B%Xadi`8&Q&(sS-Yi#DQ9bql)|LzZ`)~i% zxvO9{?RQtpOcU1Cd%s@UeC*_lP;aHCh0?9U6B2G8vE%=3)x))Cf}U8-)##Fl`~Rn8 z9++?AYt@j!Q}1t{FnK%Y`z6|ecUlUBoo}$zf4OqHs&b0Y|DuBlZSpsSCP)S?)mju+ z^xt2eb3*2~S#8Vroc>T#C0$mT^y0JW!?Puaw^*6RKHlWilY41Cqe0!T>8E%@SKsU0 zrT+JDY3$S{{ZA)WfAQjoS504C*N~Dv^Tf@E!U5VJEv6pT+4H*AeM8*ICeN~e<w*<o zH7>sO;;QH$lgkJGq%bf3uOfSN_B~lI&xpc)O~uJRXHQSrBKB-wr+ELDIS<5Nu6U3d zpVRliI%NKycim?X>i*d}qk7RyozlF#9ovt_=wE&^D{@m)sGz};xK-zl9CA9i=;_4G ztyBLVx}+s~EaT3!Tm!8S?EZpT(jRP(yt^K-?r$#d7RwKNU4^oAEQP#e44&=kJFBui zFeT{zq0rSY(+{ta<lo`>NrK7iSkVH9UrM&KI<GIhGq-kK%!ydTT|PN6yF5#qM7(bw zbvmeZCQ>iIQ+LgR<i{_RHa;!NyOw2sr)%mxw+lL*s#BBPpZI9n3hQ1ht7SW0KgBuV zjs5>$8Qd?9icXzkdFDdg#;44S8dzS>n<;!UzUTGv2|^1OwgpX5mA>L^zT}3YMq$ZP zmgWe9wF2(O6O%(8Ni`qvvznCg;Mhs+!;^MSKcjZcU)1<{dUeQ53vpfbr`BsWI~L^! ze2~@W+}S>-M^$wCCO&ulU7rkVw(sv3>zt~0d%NvyBdrfzZhdTD?5m!vXe=(-{fc9b zYY>O?=g9{94%bfS`=z%re|mJtMz@7G#U{%vj5~FAT8_H?zP^^y?j=+HKY42Vq;(g! zVMM8yS?#{)tKt*7I)1luiM2o4yYrr-6>IYLX~)$peLaFSEw-DSZ5NY$!5dWnm3zMU z!fpo5*<DOp3oagRuVmEN5-B>VqM=$x@mcMk6^q=?&tWT-PTRh$Xsy?;qj|39#Z6va zD#^a&<y<XgyZ*<im2O8<k6l>l#u{t1Y+=X5CtPpez0u&fTynm0!FT1QV$bvg^ct=B zi{4#c>NO+5{`rA>(;s@-yik2s5U#ZHS;z8L?T^!5IKAM$mGSAoMoUxv-X-fhy|%5H z_q+Jqay6SrNn1Q;uem-mIjG!wUU1r-YkisgJ7wOe?%x^p=|Cd4sh8fwm+b#_UHn6A zE!ZPCL#01H$V&<C+j8;ehZ%GK?YliYGv#yaGSl}LKdZPjc6caGjF#X0Dy3qj(=(Rh zYd6BPLPOhsCHw9cnC|WSMD)jRGl|6?&!5}*+|xxvf#cuIaFtmeud=RxO_&<!-01ot zJpbfRl`V%e-zIL}v1r1v)VzAOAHgh-@>g|E5qI%k_~1sB<E}~nL}piKxG#_EXgdBr zFuA3$+F#W)){XU^(?pgpK8pJP8B<>=By#?}p_^#b++gr%Du3b89k2F$*3C)bRJx^; zd+?;}lofjELhQffmKUfkKQ7UAKYdZk!M!OZ=fcw3!wxpgFzLOp*51$ZcMG4H<@%s{ z<AWCh-dtgS|9#p?r%3+z$pY<f#P^Am<h)Ea*x;)ATW4cyy?4dZxs^UU8E-hVvdx=n zwlJybe&D6L_wOEM2I(p8D|unWDIq!|{6w>`*Rt-^kO!;ERdp5?NvJ+o_&mXoNBw9I zSIjh_vpWo4DVK}Be={dL<iYiz!zvQTGICz&+}*!oz4yhVLGH?rmz_)E`xu@jd!XT? zqTiAbRg*m-CwKnZ+mt2oOg4F9a<ay@w73V&_Md}(Jo?3EDfZ62n^p0)_{#cC-i=H_ zC%D)>H_8`^9bF_W;yzK{(@V7QbhFiR`;SI5CE6}%q*^vTn-cPZ`{b^f({30ho8-Oh z`LuPtCr|6|KeZ*A3m#f)E?gfPuv~QNlb?^=X3Nibz$|F@uH|{3rHyd+J<;fM${T)I z9cjAQ;>vsI%%udT3bxWO6Ir$>-7Ppa^JtgovbO~nt8el8S8Z(fc*;2C!nBi20e4%Q z99OBN%l5t({<)%9=-#_mPpY``PHPKUB|Lts_m1h<xiF5Z&mNkbDw==p?LHss9?;^) zmAqBFL$$WYeL=AG(%nk8^mW*~Id0G4k~O-!_}hI)_7B2Vciyd=*s$6$Sz3CQ>cQL} z?S59@XPtewY+vZ!>R<25u1_jmQgmV(_rW`FuX`}v)wKKZ`rHbYUM|Cpo>6zU)!ntc zo}0G#_L&2RH_e>j%aLw*r1hwgsCwR){AJpO3=58>`3v0D^gLB9F~|9Hj}4c>k+Wgp zRZL>0+I+c|)lFA^s6MQ2PCe23(5<lKiL|oMn&?UAGdHtJ?|rh&)A(henrCj4#)Op? zXQW@$Un*1LS!TO)qCxecD9*&Vg$XX4eZlKe1TSB|xcipSmWz&o=YwuHaBHzHUUB1M zaQKVfeNvBRx%y04l-~dBoWo|TH2e1}%Xc6BCm<f9qbRAWDEZoL=f$Z;D&>4K0e3$( zwf_+kwA{D6im!NCC#TEBq?4&h*H<pr&0TfsL)NiL0=084OkT8_XI+5n?(DxxnlZ}i z+(D<Ox~JV+%I&$E!|;mC=i7H>a!XfzRX%E6aB13;@-McEnU9{FyX_M8(jsb6RqGwY z<411u=bn}ea4!u_^07~M*zL!@%q;X%kgT&A*D|NZ8%2wyLLZ&cf2hXl<lXg0{9IwX zup+~Yf`G^KESp(6tQ-5~fBpNPr*k@@smgL9+b3%o)(!Q!PYx(_ysDnK$|b@4c+rwV znQ)cD#AhZ_7ArHl3Q}_x@~_bC*|p8WWQ%UNy(IV7;?k1xRgAX|&CaSVJHB1F{9XFI z|J#+1?3l4joA<&>=k(0~4C@QncdVEsB$ewClQK*1h5U~o|FsdvI1V0;Fm0M}FHT1y zNM--&-dro&6kXAT7*oDyPo?eNygX^{_CRuqCEu>CHs2N~S!L}OKJ@kg=c2$jdQNL} zU%Y(s`itc(wb?%sxOk)#pTF`;_0Y(TXxeCaZh<bxHSd<BcAsNEdEZqZO)IZ&HCpv5 zHkd<n<FhkKR(ldp=uK6+;}f&*^Y7S{e_?7~Z%vBt^a$K}mOJT!<#f)ub9`o9j9t{B zCno4Bx%6KSbK6^|XlB(w)0j(f(fXXRE}!-<$=VmhW>UjBQ-6hM$=aq6)g!5UZ%mI> z>XG#3IJ(dHi3w+HZRfKzvqB!(StoC;xXiHEM1oCn`Htk%i=*W2RqU=V308HRS2FX| z^ei(I$;wpo^Vbe!xvj}tXn0a-^22PSl~wT~uIY!1B|@D}PG6<_K1@?Tzohy_@q|B0 zTGmT=U)KCNaQNr1o}8x<ht!L!RF3@hmHbeccxFTC+895>nF8B_guZLZ?eyr}tD9YP zU**M`+YXo9CX}$6oCy1S)WE|#?@0fppGn(=#p3+kdj)^2>z~}nUSsOMujTDNsl)Bo zyMtqP-j}%IRj@|?(bN*dcVA6;YfkUvT6is#?`It^TP;KF%bJZ2S2VZm59vN@{(Wip z%^S@7gbwZv;oLvzocQFQcmMsJyN~n6yW7X#6h2EXT3cYuv#0CTXLgmw=a=(e9gviE zPs-u$J}kiW$GWK4;mG>#iVr%oE^oalD6GbH_{NGS6+Ub7&z4C&HTvaqBBWSl>y=+$ z4&=O!n&I*;{Q0y04~#9X-_B~3kCE0=GJfMb?UY`Wi{c#LB^5owKW;C1YUsy((xSC( z=2jso*Lj^v4=%GXZVS?0b$`RroXHkO>$#R~nK1EA{j8)VW~q-(>d0QnIDLfQhWYY` zhYX%~*37=ax}rO#`^3Y`AJ%e})~NagT36<s*(SZ!K|P|XWXE)lGMy{QMRQ~x9*}1% zEZI5HZrWWn#g~ihUz#QU$PN(t?jgL+^YZgX@0R*sGxj!n6~xp&$g!VXbE}K#2b0dj zBOm)`+comrTAwQP+%jkPyQL+}+kTZjKK?T5U{TGK<XK@mZuQltX!S<f@4L3Sch(o{ zC0+j}Ce8C%d+oB+MNy$!PK7pa*&{wYiJW{iPH$bg#jYR;7rmB?nat()^+T`3dzrr9 z6UG$v&eY(8OSabZZ4C!=o)vJO{4F#g>rroV=$^Gj@-gdjL|ikpJcK{Ii_+L8zt}1- z+~j~%>60B1`+CgoH#nu1zKcmX_HQy{<jP6mLFOUftyZP4I(Dhb<6V2`r0Xwi+25UB zHo<eD`qqF)$EHtQ8|o!9ZGCX)wM9IwlVYvru&D-Uh(10#?YYTD_D=7WJ)x&&hdd5a z5&Ai4&sokh6Bar*g)KMR9>y9S;HDQXA)?(8e2q&_d)mhMiJC%33ch!Egm~%A?Re<A zhpVdm(F!H8Z3k12COkT$&?mpcylb&nMy>;=<aUKV+k(Ul&RtSJBzB)(RGe8hGw15h zl7L(GYWIKaP4bOU-d9*XF<dO|*D|?Cv1PoM%)Rt~F8dR_VMj1)ep3d=&8HC;ZrV8> zlA0sF=&?*h$-0P)Z;mS-$EBF*I$fST>A;+tFO@}g$=oj@nKX@R&g5j(NZy{h{Mx3| zU*?6KI~(ohb8)KBYj@Ep+<70~PFeCX)$pU^t}CL|d0(zd$BXSbzri<=i~W&}*S{tc z=10+mceLv5zh7XQwE3dMw*D=#hr4~!8gD3F+xn0*G4y?p*lF`wc5TTlH-oq`g<sUh zaOHQ_I2=2$d+NPItyAti6V8*L%CIXtSx_ij`J4AN#Sezx1*f^qy(uC$L)0wKO-<L# zKPaumE!|F4T)?+i@OI8K>l@uSA96mFGd?V`b(UoM8@{TH8&U-qk6j6yeDA~e$!?co zESz(S_pDid!|8}~pVZ6T6M2U(_L!t*oz+?wz4O~F8#&1+FRRx_g`79od~T{Y)~>na z-)?ovbge=8tCjA(j%s}`FWk@fZpdj#iQ=`lic5Xzzo?ii#?o{8{VxBsN6+W=e^K1E zJ-;lfcd_BY+`_$`vum@z-l}?BA*}y>O`7*9^Cw%<uS?1v`*`DatWV^9p3{q>Ed=*y zZA(i?U%cg@nrLXmmMJTX-Da-}39&Y7<9aaRU9imQw?`MWG=6L06h3+5jKDL68#bY9 zjMKe0i(F=%-eIHDoflTkA3Jr%;)|Cewi`W--SyJz$Fv&}6DD;``P|RoQ<d;{&I*^S zfzRK)Kd@6UEj+~hjn>906{TdQ<Qu=Xp7Jo&yA|N~X_fM#o}-JVg)|3bJbeGwN-N7} zb;<Ti&PLzMS8r3uKV7zUCEF3J54Y-V4{bDUVhyQvZIPAu5&f}a(ks)a(x+JO2F}?# zZJzd*wGZDZ$34A~Bbh4lV4CCCU1j;+9=!9jqdum;e|JaIyM5oXs}{Wb<36pL7xF*V zz17huEmW&7l5dkH&${ca`OAD#HQg559TPik$hGjMzUEGIspSX#SDr1}V3OKWur`0@ zHlID2mTPBRSkk^~QmVcDV%fxHhHU<6W-qztJ-jXZRqyhuX_i*bX_v0evwY$6aCcgJ z{b#S|UNt9W6|B#_Fm&4(+#EdpQ1?8s|7n-px&O_$amo9%VzqyL+Lk2~u7$kzOzilO zmMgWmGI@UUE|GtSb{I#l*WIuBZOTfgioN|>6FZyEPZROFBcZGo#<%R2g~m2R!RqNb zO4H1aaqKhO!Li8e+ma1$ceQGieh+L}*7Qzf{kPl+J1)$Y_@{JdzeT)l{i-RPIVxY@ zehz->vi17k?%;6FdEd+}`F5^Ij+uT<<@Z@tg-wxCNBwPO|DAp9%M<&o$>mVy-iG~; zl6?Ii7W;Qc7k&P9^k{p9x@7VP^Lk;=EmgAnFHJiyXj2f<%d#S|W{<8G<GjjWD|$Z^ z9nX|qBxo3`-(c!#zM1>;)Qsa#Gv#<z@ZLORn%jEi#a-iN_avHa&$eW)+v~jSyhNAv zXYLOZ);GLfwEg~_1Go6!vs<T_%#XW0A)n`|;_rgrp+1Z9&OT@g%G$IuMykejsb|2d zCE_PF{4_4be_oW#8UJF9iPy((N=`T29Lu&wq%J9cbESQSq1VOR!I}#>`$8sPO}M|o zM62aV!=ih38y#IuJFZ)VJ-Ir0*F=9OwJzIxvUQK1xY=3Tw$85IU3`3}&B3+DRQ@zD zDp+=0@^yQXZK>IF^57?r^6r^BflGGg=t(ukS)F_2oWHk8H}-()o`6R$&Wb#8p4RvI z`U>TA4<Dw>PhXXas^4}FsGF^{O8<;Up|5xUnaNE*^(BOT_q^A<pjY&Kh0e}pQ$5fB zsom7LvTSW-sZ;oMmB#Bl6I3_IFI)XJBk_*O$IuB%ANk#-oeS!}v9_{WF<(3r?OO5W zYQIDAKMtio-=d`MwBEb#b9%dlytCxtw#PH9Zim(fSUWA_&z4-K@FO`~asD2|^_<q# zpO*6aFXXI$Qx#MY95*wfVrRDS<i$oUvoDAla((<RmnBqp`UG32v@^^6-#cP<D6aQ; z)MQ^Oy2yO}1Bc=%)gPv>Gw*Z}ciU*H-zFQo{!*ZjdeNsT4txSWtE>ty#(c~CBdGr= z@6`4`eg&!38&?P&EH6olxT|)yBJI>f#-Al@vc;m|!OLAIFHzNhblz>|jiRgn<gRgF zE7{**FKHENUQ*xqy_fm#a>x5ZYu+xqvSVJv#KmuF!aw*gZub7ReO=9-tePk4XJ*E* z>z$nyW<TR^$=)?b?5#7E557NZ6m<5O#}cbc5;J8w&m81^8KjgGVtgs(&_6y?&V5^? zL#3YBES3Ll#r<*do=weq*>{6{Rvo<0w=3nL=7oS{&Nbynf(&MySe(6!>!$9O=~@*6 zZ=QFmW?$*>=d@gs^~verrgcd+)52BKM9V6?OT3<+%xIewJGIoj)zaxv)Vz<9T~m0k z$o8f3a7#YlF5^0Bj{MzQYg_C7oZUQe-s}BAGmY+a^lGI)GM~4Jd2>PVv^wh_g}bI0 z?_T`+!^KC6_x1jGWOqFLy|3<1?#qYeJ3en*yjn=xC-%tm%e+>x@8(>%)#zU1^V!kT zNT<SVuF&RF9S<I#3yQTks^O=-?pU6!n(@&~THPvjo1*Mfo9{H{tc-Z(_i4pGrc%{H zRo`ifZ#ubnOsxg>UvhA$^OWez?2OfzGP_B7YwoJUzvhIMPE>8Uu<5}TsmUJ;&pTH+ zFWGtZ#Nk<IivFkZ-17KzxcA;fqf;BqH^)qGRpZQSWR_koI{&xbr+l@tSGLz@w5e|@ zE-pXjS+t~=ZQEO+{f_tYM3zlkV6|q+!!s}Lt}6J{`#0&Bb2?|Shne>Q%h-*Ro@%VW zUM<unl)P3v=lrGKRbC%9si*ab*4WC1ep}krG~dwIr{>{naqpjR`BMb*ciQcZS@q>o zys7aS^UEr_pNn@URIu*<bmhUn91;DhQ$LQZ+M>2*W}ANvt3|DN`^Qo>spCOvPt7tf z|7=(>F@|j~&oNJi*!N27kBGkaSbrf{CuXt`r@>}@=f&+uPA#=DmsD$xQnD#XS$Idi z%yJj^?%gy0zsSDFcW%+?ClkG2&FyU8s~a*~sBuS6?fq$CK1GpA#y)NCR}J?4nrgxp zsAHR{Tdk|MxOnxBs^*Tf71zBc1-flgU3v0IVa@GRVTX?$dv~>F<LcSH!Hbmk_?~!s zp^R(Mx?ab7>S9K1k6yhwUZbS9*H63e{=@rc0t5Z6rOc~i>n0T3eYWLM<qmF(2i4^a zc|U&m@Vxx~J@CU$|LWI#MgMKt<u7aQELW5JloulQ;@`s4B@0}VuUN`5O?Iz%cYWU4 zs{Nje+;pe?c9^X;&*BMVmv4^3cc=SywS8Z_O?SNe`v2d~?2J>3C63SC?=~q#@o7@; ztW8hzqylyYSsXoj&m?V`_BDmshos(1*OeEmJ!uZ(xxLC=-^;_UH0tA|>#@JnA{FJg zNIg$De5dr*-n3KVF3npuwWw-(tFA0tZ&eiWzM8wIn8iHRi*sk@aSKuZYOW*CPF8PT z-8*x~MAwHYdw=-7H7`u+-FKxtYky$gq9w=f|KF+1S?PWF<RcTuqpb3k{31?2!j@f_ z(e=9OQ{?J{N1neBuX(gIuqEiSMUAa-0QW;z-q|KwKb&n&$^X>VV`Q`SzfM_J!Ii3K zMjKWx&rbg1H+u$)XSD5XnWs+7LAgFhjlNI4^Y@0ivreXZ^xtSx|6mr*gxX~W<?r|9 zy`48BBJa&H`7gGNcjk0HihcXaB5C^Uh-=(yPp9rM4E@kM&%C#&X2SMsGrCG+HFfW7 z@UQx~m1pmU|L<y@pZ_zx`eoNnm1`Q0#B#ppg-oCJc?*kh=3L$79lB2v{Dh)=^pi`& zm)pq+X8S~J3weBgX3Z;>YZacJOd%|R$3NAnsqQ!!t>(X)!B_oH+V!hVRnyP!&DPX+ z>Ct~2wbtpiN0Q*p$ej@nXH<!JuRZdN!_&h{=tZQjz3*ccn@K-sRIl$XIV7QX<H2o> z!pgT7?8O@YDed)r<dn;H`NxN9*7x!6Uhnui(Ou5aPw0=?-w7W@dv0XKJow!#{&`=A zMa8XPc|Lcgb3MC`d8pVN<zzeTdiCI|gwhS~lGH2eVk>lNzLu)QmOgxSLWD<q)$EPS z)>&CBUiWiR=VbQ~mqUGzEiS)yW0@<ctUV|AwSuoj=5aQ4qm7m_oi4AWq@|TV=+3?; z(`hmB#FS?q7BXwN&D`Bu4j&XObxgR~EP8gba`NGa8%teFCwioKeSYE*`=h6zqNaGs zI?GEO`HmJg`}8^#qh~8We73;Y_L59VlHZY;cWhLJz~&VcA1Pc{Zjch0a(Y_&yvk=Y z!Ng(v*9Lq3ZR6Oz`^NM;r~l`FeD`<ye)qlA|EK@6n=iw|{;>DpjfWP3$2pIe@JXq= zA97^h7wX5lNA>K)1eH57d~SyxAMz`fY!@y&^zftKk<57#jgbPKCe{xNE^xZPu<df( z_-xU$?qhj5eJpbeE=b0U^YqV^=xLeDbi8n0fl?y-zO`5TgfrK4uryChSh8zfRaHff ziqSpJ>!Af-1S_~#9WK54c<zZ4Cw?4zIWcmg<{XjqDwU!vl}k5nys!`zT>fj1hl<h7 z!Z}@y`#oIpAMAJgKHu({Px-3Vt6#r*rB}p1_vO`h`#;}V|M&jpqU&>mZ?^2~{C{Wj z<=1!We@k=DpIcocW_|Ylo{h84wx)ml!14dwW8FXHdxK-|-nkP~+a4TyJfG#-wX3bG zWm!(i@I;y|VsbCP^X^PW-<4R2mAeJ@ZTj$Jqo1q9zdnz=EiA8Iiq?GnS1+-Z`^NVF z7YgT`mXg+=eec9lS@FWOE4>Fg4UhB8PP}aSV*i4F=G*ix#h5egTeOR5?!&+TwG1-m z=YNX(SO4*z^S}3ZsxSPXf8R}DmGnYei}OGI{~wNiyhGki#y&no@|n{={W=?gz83ZW z^*_(AIK12Tw#;&s7}<;ee;kZ2{qz10;{wHk&+)Obu?4?wmL^xu+t0b<;lzmrDnj#S zSci+0`Lii6pCeS}$LZ$Q_EUDHo$8~I&xaMC?6&{WU;cT1p`zW%oMM?7hTHY83jUk_ z`gCQfX<|k9tF)`V+-!3TA3j)FqrPWjfkli6pLmOAs-KKtXUpNs&4T-^C2Uk>_}cv+ zUOZv&TcFG@G5c1(^RGkmHghlk!2W;d@9pc}eRv%FZGPstztTID%WuSlhlihy^POX{ znos?zi<;HB)`=(2RX*&z`rLQTJX6aWw)q^d-79h|-b{G*;qKKBVlJ!h?p%3|lehiw zMDEwGDp&8@wl43E<Mw_V<wq@ZUwO=!9AaCzNO)d^_?`gk_41|3Uv+PNIl=w<&g6Gf z6Mh^}eXJC7IXE+>r_fr-ufWZ9>*F5YxHT4b5waypmzCdr7nyg-*jvf(T}&Bsz3ZF@ zUp72ui7#uK_|nh7XOnbc)BVz>JteZc<@e0e=W|mqO*irHyyB|2Mp}BF(99TdPOFIt zM>x~xoY0)OYgd9wkoY-^iEG{Wy?$l2ZoxgVb1@;}WhHtySD%rrX%z11x%0rnFV;ec z$9<jhB*}yGmEZ01)jwY_$K!6q-<Jn=Z`HHerx&y2i&lgz&$WpKA2(ZhTnP&|*AtU_ zZc(bN)UtcmE~^Fm^eP0OA6)ss`|!iG=OW90Ug7N%?tBq#DOSAX@fFt9%`=xuvz@bj zU@>u5g37Y(8>e$C`Q1I5lNzHUAzA6BA|d&lW%-q}9VX{34i`4{KaYyoR9Ljb@3v8Y zant9U9}}MZ=@9Oj^Q!K{)`K3B;!DnPx~=QKa@EptvE2R_tCsRSH(FnPP5#H-?K9s@ zub%g_*TnF>T=9<TYfHZPd^;PXxa|Vp7Y*I@^W`e;?X|Cbz|t#})zH8EuGzQkukLxB z>t%DhmT_Be8qf5GH5MO!B-Y;c(afypuGSDXoc#Pi^Fn6xKfBq#Z(yA!Q~%|}UCV-L ze9!mlNUKb<j(a7)+U)=Ba20#e*-d9ZIr`2KSu9uoKEkdbOZ)1%B+0K$`%CwnzP(O1 z<cZwUGotKqt0pg!uP%yx_~Xa3zn9mYW3hT)&G+SK?UVawen0yfQqJQe=DRD`^ZQga z$y{@d>4%p_u3G#5|H;34N1jwEmiTXf5@=R(qP5wtz~c4)%M52GL~Qz}`(04)R%2@Y z{kMOjlTOO%nx?IEYF}`q^5OS+TYf)iZ120Uy1sQHLwbwnk(b7K-qB5KSwtMJny36% zu|3P(-lO~dhM<F?x%NBZcSUk6fsJ?GJr=lYU7Y)xbMCS@JE;Qkec`clax=SktIxdG zZt1{RqHF&4m&Mw5%x^!QH7S(iI)D4-nTN~|+iFa<OX}YHp#ND(=Wyc75bX;cx`!o~ zJzUpw&g$VApWvit>usy~Vjk(tI;^^Or7LISEq^A<yB4d?Jgj-DSZh`AD50jv!px$; z>ApkZ)UJIFw;Q>CEo=VXX?>Wh<9E8n_8<RmS3mim^!r%Bn$O=>w>`;ReDBD7qhfv^ z^YG^ScQ>l<a>vBUT9*qfd?PSrU3ABc3I@r5UVny1LEBy~JM)(7mr%hrPR3kzMfZIL z=P$q8{o}UEf}p3%Pp=VpyY9oo*%Qoz@2{8^wfWSR|7Ra<G;eSCwEwQh5#c?vHymiR z{k3I&zTqd<^VMOVO(|#9^y*Z+d!MESZ=ZJCy8Ikp<C;}}zvS5eku__xduQ91{NQ}< zQxD^MkNqkO{$B31@37(Ba_Bwdo#XfCe6#ah)orUObzJ<!+qyXWHQ~RjUw?Zx!SH5D zQ{l!Jf@R&;j2BAXRz3gUTjC)@-i7$;dsYVdU8Zf`^VbCI56MeOVq5m`!M!anM4Wr3 zJ9|sHo{UVMbL02le=3&3hc5h1ySkrecCzoY1=G(Qb1eU}oAY=1^U_JhH*RfN`ii0E zyKKeV{nbA@R&S`6EOu$kw|LZhXX~ze|GW18<*IuU6Cv^Mz`bj|?&T9@tW+lK%6;B; zpmxy=1(O4Jp6bbb^t;6I&7u4X!#@=<1rP069y@F!4}RUW)re#9r>o4D%k~D{Dhl}( zA9uj)grMA}gR4cheNVoq^<8&YWM|K+J{R`y_kDM4{4f96_D%mzr{f7LS$kO~G+!0G zeACi=T0`}L-=%yf)Qg!`EL3j{xn{<`?RUOa#-eX8jBa*KnsPAAc<1T7KlA&J9!)s) zp(wMsyV7Mskk^KP3POAT>)oC0{_Do%!vQKPvrXSjS~&fk{AbT^UNH}y6}v*5WYaqz zbF^6H@0+N?p(Xp`-CuuOl}*3r2bSFPTrJ&byx{X&zZUM-cmCgzX0Dl~Wc<C&xop+( z*MC<YfBdm>-G>UBxcB$}I@`ppzkZszKmF08ZLY%4)%9mrq-On_Gfi84o9?cfr0De1 zPlcavSuUocKYvHcxj#pX4~H+akvm^s{eI3i)`w>O|D@koFE&}WpGW`Le24J4tE37K z2_2fC)R?B3o^rjaeW6AlcUEcEt!6=K^=+?NH{UCqaNY6k4r%v|waXqJo+Q#$cHqXt zk5$?IA1i9+rCUt-e{jbn-M7W!ThGk2FT8k1``P)udur=8)xJBjZE3NX-kjYp?0G(R z^y|(v{%?Qow4i*}`xp!J?~68BcHa&TKbJdmcZT2ZJIhvDh0Z&;FTXbP{~BJagZKAe z|L|~j9-H))1#28;Ol|&}z1%pE`JSh_iPwpS2c~X<(sl=JU#_TrCe``z$E$TonzD`T zdsu%|wYm1}In=(k_^6Gehngho!JNOpzEtm%^87IE_T@82SDetvU^u_f!O7--gnvoZ z)`*&?msbdOKd-wGtRuEdi*>n)XHZ^1NP2gEkbSb`vZRCJDLyYaoWC=sX<w@1<p22k z!{&W+KTJJ)%JlhVA-?wepZ_Y`UjIBHqNp#;$fM(?#f8?3Zw?90GpI>gbbkBgz2`r4 zt!K!-9PbhHPqFZDyXIe+8$7%6H=Iw~|M0Mt*cvPAw4^G#WhR}u6@}scs?UGu@0;<0 z`M!^PXT5=i+OpPdUd(E8A4O$kzA9Io3fG_ZVCI)k&B}ET>f2kcZ58@Fcgx|Of;Qn7 zR~_Yg_haIEhYzPq4)5>0=b4jqxKToHruXG95^nDeHsl&*Nq>oq{$TgF{c31q#e987 z<;im{7@xgVp*H7^(zeP;X|m_U9=$RDapTI?oT@5A`~FNJ@9e615yvj*c=PeU+8iUE z&--fkiXekY?>?1y+X`Gs=lskY{Y7s=Oh9}$+t;Z^(`MwC{Lu5xaY=64pY~$jhW-6N zW^H$Uart$dTok(#|3<Hj=IuvqCfj$EpRnEO(8qhzDfg2szisOV#ot>0p6Lq4%+?h9 zbM3H3*JhQ@+nfEpr0bq)GTi%^f3M-_m&VkEBHkBX>mG8KwA!Y#Y^nWkE$*Z*8;^g! z8fvKWc!Qny@dYh6qP|GmTW<N>RK4fS@w9m=iZ@ksE-Lh>h)2)PnBKwiQr4laT{A7m zYWc<G)<=q1I7^-<OFXtT^xj>nrfl@`q@7s(hl|3R4W%!mHhP}ir;<Lu{r>ZF_pA!0 zsRc@QZ!dnHZ~f-a`r_y2a%KN|@BFm>K7an2j><q)w~N8T<>_0U?XNps^=bc?8#n(X z=dC@Av+u0lZ}sVF^qtl3WqxL@um0-1w@c9V%U`YsTF1KQ-dK3^x?%a;7K5gbOg-Bl zN85k;o_pZ>UG?v~{P|W3u8!2Yb6mVg@MErgxNU<uyPNC%U7vSeDp~bjM@*PIXi1c8 zM*pK7sZD9iTJG8jFMoe1{fwI`V~y=$PId9`cNlacoX-6*dMb10jqtPOmo4Oje;Nu` z?kr$Pi(i(^7^tgUFX2+$IAhv9YhkzcU3t+(c8_0eFur_X-QNqRUG3-JezMU=_3RD% z%NFmoW;(^?c7M@O+p_QJ38_C$wrg~95*u0f%*%VAzh_=tyRKcDPtDoA=Z@`Lt=YWM zs5W7{X;*8%#FC?vqmG75-WE}DYctpVucm=BQ#8#x&sS?@Cd79N&2V*cf7>!mC-DrI zFrx}fysz+syR~Pg*?(NyRNlU1ir~`srSJBw=HLCk_`cT8_#B;u;V+{!mvhQ>TR+S{ z^vLPQ>~oUsC90P$<{#~l_ly*3dcT3`lm2D?PTjv%6B@R2*1ya=z-4lAb*tIEMrWR- z&X1XQ+V;F(qP??am*flK1)axD?C+LdR?Et2e0JxH-K;dDkh%Mw&#dI@Uvm9nW&Gql zZS9wTPEwE)*z@4ro87kU=W_IgFMWLS(D?TuMdRJa=ic1?|L~cA+n!&TxtH_5(dNf| zvmJh_UH@~hkwJUUp`X7>{nf=UdY7CP-Nm}PuDitWdDw@PStrZFIOaWF+y8XgG<K`4 z>%W*h*v-DI?7@Y(Q8Cl+wXLpIec><e9rK~BpHFXk!IMI^!raL$=Wbo>^?m7Q^YZnJ zYfLU`b+0rFnBPhsoe*M?n819`C-`8a@4maUs$wlAQ{n^Sl~b&bPHUBT^vmGt$3`=* zP?2JZ1=qY8TV_3ZD-^ETT5h1@p&zg>O8*<T)ZL}-YMH8=RvWH3%)7FZ>AcaFiFMtp zOLloY?AWk!>J#~XNly#!2=80|)7oAge7(c=<J>PrQ!R^MysN2sp!(=ox$)Gbt=W5T zX@wXU@b9km*%sIu|5f1dldkXw-lj>P?Ph;>Rc#5~C-rBl=A^Rc6TVEo88ykZYrmBC z_rhPkUn;vcESOSkzd6XsB6;l%i~S+O9dGSrFI;Xizw-CQG1-?-E4mwJZC@_>nP<TR zsYe&IzEn!CJK|&96L#@S$g87A%k306?@Ye=OzHAY{)f5i*^=iiUD&XF`S!$S{bM}_ z-(QD0Z(L+jGjn(5gM`WbGbLU=aakA~ez2U2b?p?DcUNu8AIQA(cmKF3zx_e<OpSY| zD}So+S8oap@L8W`zP@ki{U6TX7aIK6O&0anyFOL+28YecpnF%l7W4B@5vkhn@a^HK zsMFK#*{xZ+^_bS@YoA;%bEX{P@VYOdwxHVJwr0i2%L|=Uwa?v5sTD5bKYr>+{Hks7 zior8iILyy2UC-ANuJ_1nSG%;<=H57OmZ<VwJ~|J#1g%-5aejr{z3%UqZ+}p3ouOqj zW!>HX*8Aiurl<(+l)VxqT{k_<pz8kV2|4?86;Bi~+aH%WBW)abFx5+ywNpdQlrw3y zs^0C?U2-uKA2Di84LZ$rvoBjRHD}R&?fd&@_DpzRvTjqQ-n(z#9>1Qc@vUlVvT>Kk zmEW3=Io5sLx+CRXjHR@;QEO7LPGm3N;r9JsTr}f~;vWa+d-Cy3a9?i8cRakIPybF( zXVdz>ztin+|JR9JQ<#()Hd(%NZ}gdmKiq#E5U~4p?Ex!)@cS;^p1{D&-jyl_b1j=c z`dOV4Qr22y7<h8O__e%{iHZFz1!cU;_BUu69(;V$$@3IH@A}U3)t@xAj->9kyg64d zZ=bG>q3}PoxXoMU#m%0P`>bNsI-QS4e^u@|#Q#3bs$~|>qE}z*!|T^4G<p7){Pp|6 z=hu~T`<P0XEPnl^YUBDzyF<Ms4>I0q5M#b^>TFsCtAC06(z(yCCv4v>6@Bv6r%UJF zu^GMj%h!D7k;oI?GZoWoZJUlIZGL5Y^Mdg{u~Tc?8sD!pue{{5>R9y7s#k8`d0x0) zFWxWkg#Q|2kiP5F&a7|q>^_*iYGHe4yqYhpylT(A-Jy|_e#mQyKGTbu5_M(TZ?5>C z`J$>je^1k!wb`It{OMo$Gq?5M*S}=ZQ@h%-%DB7RQo1txsMz83M?Zwh=6!t`(Hn9# zJ@?a!<F8{&wj6)_Ip)P*`wMS$ZrpGFFZ%R+)6uuZ)<w?0w(~BF(wqPL{@u+6Q)m6R z-?_Z><DLI2ssg`WU366U?Mc@EZ>`03ZFl_s@22_r;-A0WU!?nAZCc@Q;QMAxw^?j4 zXHQ&~+w^K#T93lkTTZUi+Zv2JI!t&XmmM{2Wcu{Mwx{b~SBuXUu~V&V2HOLe+QNNA zrg?U3*stu}VtC<S|G%ZR@e>(+mX;+l&p*<0YUL3<`wKG9cFE6u5yJeVQ9Z5V=ByZx z>EZV^9)&IA+m>>yL@gurM1ki09~ov=Hx2hx+!8i!&|Yj4Zaw|>_e%fCH{u@^?yUBX zTqCpmUE0(4GDqy5`JK{j_c`_6RyOEe$NDLSC(dk9t6ldgqe^wR&a!V|?$yrU9$ehF ze(~42d;eR`x#quN`6Ef*i%LC@cU+e)_`TneNviMMjHvYs=FMcOVeGqHGsjEgpUZh} zrj_l}S9XgA&zSLV$?aO<^ywP4riOnN=j`>`=k9lTqUe`PVqx>G(x%RG2@r60UZ@ap zU`6N7z%A#VF1a&j_Ky26j~wNjwd1?3Z}8K&qkg$Yo!{@x+x<O!-i#^x>vr9c*g3m$ zb`Ga^%w$_5otB;7#JY4fdG0U0c)sS#*E0tNw%_#b_&oQh<)taNV*ajAi$8JpO;J8y zU)(tvo6X;M`M*5#D!8dK{>}Vbe{SfklKv%9J$uKbnB`8>8%|E)-LOac<jh0i6A~_M z=nSrtnaL%8n)AuBIrbAP9VeI@Jk8=dyy?wu=Hhdw9Ih;%tv+M9|ItlvivAql?DenU z#1;LHM?3CZ(Y_k4b|R%W)LYm{H#JS~i^h+CI*$)Mi;er1UHNT6>W=HTTX??4y!)&j z$?6#V=#SR-GjH!L%hY&PezaWgNKH}wKB?{NeRg@oHJ!M=&x3jCidl;O32_HGPmAAv z^Q*2x>#$_yo(b~mkFL*4&FfB?Sns~fN8NET)5%U#GouC%F^>ZiITtU<?eTHG=eNJ@ zZT#bR-SQf@4H{U>e(iWBm6{kd>tal2Nb#jO58rU<U^jKqnv&Am#TP#x)e<_$&Ea@{ z*<H=58{)Hn#Y?PdbS<l}SsGMzG1@Dbv)Wrz{Y#EfgZ)zTpTEskmGn8fS}bMpUL1UI zfpIVI{WGl+hfHE8x7>fRV(yU-hACbbkJ==Ku(GHJ8LCY?BXmYvY?(J7C)YI32Fn1$ zE&JS@o==++uav$b+E&bs_4pO<sjJ*9PBR{CO5$1R?ksY2V~2q=Q}SdFK}mj=mD9B< zX3wx%+kEqogl<N+rPmwJ%gYxkuL^YB>r`Q7XvTYY>E*;@6MEeiH~r+Xx0)X{qhndf zU#+$yEa5Jkg>$Y4Y_?f+k<sKk3s+}q!jXa`p1Fa!X>lSx8MkDQ>f7x8J3BI^Nwa{d zXzs0^8K)Kmcv}QXS1&%MbK}hCmOMBA3#Sfm%1M-5cXHaiYgtTndM1VvlQd=1jz$`t zHMlUpvZIXA;F4LQ#EXct_fy*xUxfT}3UaowZ<ao}<ZYL`B4^LUho7<~_4X^ylel$6 zUF_`Cn@`>3{O-MM(%cefCYj>C=HsCWxm>(!lQ@5BuQEL=X!LANr*}82Z0lN!(&e-D zC-fT}wk<z*J7l`3;US)o4xjLEUv4KESIKZ&x29)$1=sekx_N2p;uuxQs%ieSBF;NC zop34qq!s=pf11GKyqqUf7ivc-UT%45mSgyF<8sdXDPQJgMQ+=$=Vh4QqBH#uw#!}H zd?@#nxt$v4v1_&LFLxS0So{20b70%MjMgc}p)8k!ALRPmzq8q4+E~7tWlM=+ROtRo z+c=kho$SB4mC3VZi|qL-4c5oMZ$@o=s`sv_BrNHJ^pZa9CsxcirgwTBI3X;oy+?IR zzy;}sm5-KrIH~ze^O`$l!W{j*vo!ja*}A`3E%h|8Np*4h<RcnS@(&gW-q-k2!#L%@ z^&>jBo^hSE|H`I#ZHbqK%8FG}S)>=$nB8IcWt=ZGQ?l~K_aiLsNA;#!M13y&<5n-f z!Ryhay&=i}nC7?Wb9hfY@^luPt)LLscEPJtj<}zc%zJb9h37-ghc|`Q4cylqX*Kz$ zmF2B%==M7N$kC!G-h;*^4;BW9$T@~we8*-SuQ#nGT<0R^l|3fu6Q2HEx~20l@7|UV zhhs0z6{_BN!{hmEi`Gdeav!yP6zzS=IaSbbfm%oL=arkd9`;nJtu4%r-l7{=8Rz2b zvHOO7IJ3U4xZ;z&ie|Sy1#Y-~|M|9x_^{bEH-pt0XEyDTcz5>Fx~;c^ADMYhapvaS zp53uDJ~+u$?WFM)VL$QPOMV^_G7<OUV4IzP-u$ERs`VuwVuY4eihfmWp1$)zk>fv> zvP_YR>~)LYYNhL@UyyIUU0|779$k>-<1^bs{2t%Cr8kq6gm0-E_;zUr@2ocPJG*QK z%S;2Y=W@1IZ8=@%P3GyH5aA1v;0zY+ZmZcC=)fzoJv1op$>}{;Rxf-VI>TpXXNAb} z>7FlQ4lWQo_w$U%aj8zvpty%`D|wnz^1Re;AF18dIf?07?O~y#$7hCD-oGTSqGE5# z_Hf>r$^Xu7UmKY*<HOod`OC`Ai%s_Au;BS3vdXdN$EKzm{T?ps?aqc>a&ei=qkrji z?8c7sdsK5b-IM51-)y;Yl7x5pnT93q*Bkvsr|!Jxxo&-X?TOx3g^%ue)ZROIub0`s za?iaP{Xy6NUzs=0$Jb}szvh(5KE5$C{^aW(ex019VzwvZy>;UYt94Hv8g6uneEeJW zq1Ns%8M9AbI?1y4_}R*&eX5K}6EC0H9rF5gu3?zd-9t~L&zPnd<*WToXcC%V-l_F* zS$*_N+02kTfA-!u@#Hbzd%fIXx#<(69y}A&4$Std=<fI%uDIan+4n)&^ZFO+$U5+_ z>1!VgkWTVT@zLCsxk1&t^-R{g`qQ>ABG2{+tNpQTI%1n+=jzI9E?~-WhGj-|vPb7+ z%c#u^Ei3AHW_aw^<}CZFk!K*h{hLU!?@9F=N%t&1G?(@jKhKl;v9as{`@4hx?q}bb zoMrIOk-zuR7kQJ(m(nUes+8F^g;&S9e!ChzvF_{gPb(Nz`WfneFYGGmKN0m)$LZ2! zF3*eq7v%i-zwx{Jhrp@n_Ze)8|EY)bF^WuGu|UX>Q7DDU?@Ff`vn8Wi)}pp;&C@i5 zQx>(UGSAd8j!YAY3gp&WaY4-W!XnW%3!Fs73-nj)NQ{j#zp`ul)L$LCfuH~WyFSyC zN$J-6+I!!t_dox>&1k0O^Ly`K?|YZMzbZP-<mz?b{+4WabJMtpHS(G(7HK^Ec{P*a z<RQ~{jXYERCYt4^#1=Lu&Ybz^jFgU?$#m{tQ$1QIw5m3K=+Rs5f9}@zgCF+FR~&Il zn*J|PwYRm;bIO0!icOL{r<G3eEqvcCboS6h?f>s3j^|xJ^?$PCl}!alrigkm_g#v) zmHx`<cS?le!P&PbhTHT6DpxEP5q=%HM<zZo$GBhMxOHHT=dZk=yTY5@eg`>5W$d?b z>=TvG6i`uKySC$)>Xg>SW(8ZVw|Hk=+OhlpZ0pjUch7T7eE8+RKuh{nGc&mhZ`62S z_g>bU5juO?8o>+l|7!Uc<QMZlc^h@SLpStAgxjr2CwdQlo$=$wqtvv|>t4A_<?i@l zu$!a3inX|S#UX{CF9oxu-ka<>QM7fnN(r~m`j!Ho+8;Zem&`jUpLwG<EK7Ulj-VO7 zr`@eu^S)Juzl}Az6#OZ`N_MewlGF~Hi!UEAZxu5>HsRR+`Cl><4+pyZ{_ni}yM5;B zfA?elJzv|<>dyC1zVeTb&d-Iyn>Sp!QO@focs}a1YSzQWeZ9v#f83tq_gIv9_VW^{ z{x3%_KlC#!lZw^bzWd^n+HDWm@1=_$k<MPcSU+ReheOQw()>2I-O7B(EasirImdo) z$L^{3m%O)`v*yTtUE!mLa{^V`ayR--Ur^fNToS#dakIgM%<I`%T^FY(ek$yfO7ERB zY2RrB>)p%P*xsx5wzuz#R-LZ6tMHoJ!IO+1Pe^UDKT)yQt>%nUZ$yjJ`yL0$M}j9O zueh`B%Nk+pizjaD?%T~ReeB%c&}rT`Pan!~5KHp8bn43D{=zBSjWWOYADwoegKzN< zUA<BxKJlNbEX5pL!6EicPon20&fR3%-{dTsW>x&Rb5r%VA3Kxgs|W{%=_>wcQwZK% zb*t(3)ZZmp_78vUdsV+6tnFh|LBOg;ug01E-<aH{EY)aQ7Gt7t<;aon{_6oMPnKz{ z+vvH&a?<aIUPY}sM~WZxO`Uk?f93)aL;FsvA}cYWI!?Qrr!=>B&+T=-K0#o`<jhAd z^$R6hZA@|xoN(ja#ag-L%i|?>9tEB&nvbr(qh0EGt=m1X%+0)R&Xaj6${+HYx;I|2 zWpQ6Vy=ZCJk=yU49xkYFs{Xg*)g?)ekDOgGGgjZO3hVq6%;6B7vU`fD!N%Y+v9`Q@ zjMY{mC3T5MJe($Oy5w-cBj@1PTME6pP1j7^z8|}MYPPY$`M@P9y=Rk7t42O?PGw!3 zE~#85-u<`Z^6`yATh^t_zd0eKY<XnT>G__M?)o=*Hx>2W2<r>3S;4ZhVMo&<)iO1Y zcZ;^<rilH@d!Qv4kePJ&<b)IV9`SJh3_Zu8C%~2GsSw2SD`1kstgM%Y*X7JiqdE`$ z5PRxg8{~acu(LoV%hy7TdnFgYwWxr;!QG1cOJ9b0X55mBIVDwMHP2t?(Z6Lk&WI>$ zip?{g(W`paEvfeSvENTbr{AuPW&3z;k9=48EWI_|0`Fx4wiGlr&;2p;klOFyi&nX= z%l%jTiv}6}e(-6_frTjwjvpRWW)`mT@0qwelf9x*D$cM+VY%P5!{3W$&I^6s5Ks}L zGf#$b&s3p_zon0;i=;bm6Z*zx(!sx3O)zoFp+zQ{b5?m~Y9G2RWGnN(pw#m2qxn1s zG<SG8PkpGj^{KAf>z{kFH(!a2n0_WP%Ej%?&($}we)d>T>W+A%y8Otk6uz<@uR>3j ziA|JN73a!4yz^w3VV>8eGoI0RU*6K$)+%~ZMlJXF+LKIgN_-D?r8GX!UsuB!<-SJV zRdz~+$c-xte59?pudA+XJhI$x`}LxP`tr4Z1jW~F>HZ|(v^_LJ`J42qx2*D!_FO^^ zI~a}!SPL%vp!3e&|CNC5@fDR^`%I0TvQ$;}c^zDOZqF_Yx5}COyIDTp{rc##!33KH z{N26Za%`U8TJ^g9S(IZOgYitiV+S4`QM%l_fA!yK!tcZ7^DeimhKTC=iCj3_(e`f9 zMkCu<k1mPj&G0V}dAmZZ@{9>fHrv`;k`>#2FeSO3Sz@>%G_q$ZXB^|vEt=eqrybF& zd3NuO>!uist+R!$oOz&XuDeL{?UqwdQe-x!Pf2*D#JND(C|oT4vG4UuYxI6LDFyY` z=P0^u4*z;|rsGDHR*S5+ZU?ghHflJ3eH|tJHTKSlI!4Ey3l{=)_N{y}apgHLok?L^ z_iX$6|GJmQHP3}<#w$en4WG>3l=)agVbA8AteJO?dEBzxt(wB~+ijZnP18x;lCDi} z9A=k1Xv)zk+JAyoDE;u0ypJb!^z>_+G!z#L{MY$7#ea(1$0sK^Tdb7#XYW}oGqL=L z+heVisVAl$ncA^_(t-;-Z__p^W>5IxpuutcVz!9FgrAFABXs#@wRdwBED5ie_=`m{ z+O%Vn|Gm)L9{my9#ZLRojaS_G)~Ii9qvQUaX*;EUN}DvAXWpr1)K=-@R{gRpXZpvb zIue`O6eZIgUho~dqP)(OD_A>aPfX6yLVu&C^q9DmD%S0)p(cNNx|<hpnEKnKn{=5j z-VtH5c2mr;>1Jma-if??t>Vb6m>7+3hwsMTT(2mYKeg}h$H3J_r+z;=pXYMxFROZK z_v;Ocy1R^5uBuy6d$Iib@fUkP6kI*_JI?HGg~<BHFTcrJBp*Mme}B2sF8kFT>)x@? zx^ZUSwTh1~xTUj_GmYo}RgBRsag2T3IAPPYnPmsRi)F6r_uKu!p-u1Bg*7K!)q6Y> zzrU9W%+2x3h${KUuVrRk^Qp6M`}J}&bM2zQ7wuEJ&CFs2-o{S4eqQzP?)CGV*}Yf| zFD)?bE53A;M|kdYo6}u0^UmllQuLU3<4WeYRHgUInb}b<Mfi{V8s9E4u1N{uQq@}Q zdH&BtcGJKFDTx{Hr|izy7t%2^QTE*7(1pjJ3UL}fOJCmgKtgSO^7NJKEF2?s<qVAt zE4(6;6BCmk&P+8uI@R>((W8@pMh4fM+A`}=?f>|g$^YIro!WCM@o4JNsqW@teDe!K zf^P=4R!%*ddUSI8^(j|-=7&x0+AujUu`ToA>m#=|9XoXDWb4#7Yc}@uhK7mF3kqJg zc3zQ}Z{bJHKlQ7^pX<xdU$pnr;!i6!EtdK@|0X-*{>M(+4cbGFFO%oEd+}J?%Zb09 zUA!!%T*}Tcxn$!`--*YV8`E~h#3rZQVw|=5Z=+e+%V&qSUVfeCZ@s`UGD~jj#$(*+ zuFFj278Kjs*{uFm*wFOSOyA~1%9g$FZMS5;e7<kezxM`#+Ok(`qxzQIUtsagY2EjY zHYZs)wAP!rY4Gov_08%FpG!@9-CbqTB%OlSr`;!drmF~NsH=uNkNMvbm?`TcyWx5; z%Z_Lr6QeT4tNF)%=-pD5Sib1J+%~D$QvPB!rj2oLQ@-V?Z!Wjqn7@7U%C}qAToMeN zb&h9MdiPqv3DPY;&-<-gR5<NMP&?1PSW%gk`8_=%{ibvH9u;-|C`jvj!1A8sqvw(? z8GT9f{yAqiEV!cew5wgpwL^Tr-)5GiDUWTh%U$9<J^5<I`K@fHC$pL)7N5D>Q?%#d zo_&^)z2-651;+93Use9K`pL|_U4{F@u58}_U9BhdYSuif-02~5@Pxa&;5GG3mo?rI zec^MXwySb~SOr$Hf1|qNSFv4n=N08XKX_Br^D*g@*^j;I9C}Nd+g`nDKJ>yuOz(z< z!Jejyod))at#?kGni0_wyjMM#r>?;ES9M@$!yT=}F0r_O%NMPYsmm8EeR;*}x|f`8 z?grJ!zV_I-zH3kR_HK)sHUCG!6FJAy#wU|D%n8zaA^$?|z)@*`ffdGKSy}Dx6(`1p zHypmcVBG<yZ*rGHna+omI`Ra`{x84YrgJyzxbu&qj}IS+w?4DByXtvr?GlM?tEJZ* zpQRYKP)Fdkg=OKH6NbHu`zB8nnk0N=o0_tvrt8{C=}W&(EY*qgo$%Fz_xbj`yLb1i zls(w~&h&?OllI<Ad)=QZ@ARuX{BCdDQ;|C3jm)d>WPeCobl_0wJKOE2{DjgHxBPmq zEU;>agQ@v6ai8=*<wbv9%B?SWKao|eOg*cxwVJnV^Thi*zF#=HWXiuq#iurZ*psbk zl#!GAbB^b!w2z#R*&j@DVTsLKKdH<yXySG42L8(-Qg)W<8t#8n+qG`%oA0@k_p#I8 z(;+L|c9QkPG_x&oA2#Gno^R%{hi&$AZsQXo4;IvXRJf$MMLAK3pI_+qgE)`mIXd?y zNxpa$F=gqUKPiodtZxf@*HwEd+IC4UsM~tO$T7$5zUitjw|KN(R<`~yDO^7Jb`IO$ z=K2=9n73S<8>h7{`(z~a?uD?!=KDXFOo}?E;-k8vKu@f{P^!n)Nw4j|wz*}-FFqW8 z@VwvidY}CD1MO>^_Z>O$Ug&q!!808XCNOaCS@SH_QRd>+Zyy(}zb(G^@3pH!t|qsg z^m4t@=je!@+MagM_6gfY)%VMVM2e&~e_Eof6gWd`^2*6ClTNREH2IN|)RZTS*Di4U z=(%x%bFuOAWT%?Spg+Q<p`SZTH9m))S<of(c6W-Q=SyYtl-%+jc`KGMPHlf-lS`jk zBDYIts`^~6o40*|f?a3M46EOwM$wXI48F%{=>_(mR?;`^^0-hq^N{emC{^ag>AIr# zC63&Xdi%%5XmNnnrzv*o?C$Q5ZDtw;wfWC$eC6RZLDo5!acyk0a`A<29%7e6UTAHV zy}R!6!cTJ<8*|m?w$9G}?%m`z!Di0iqL~pU2Q-9#yMGGanEI)oC6|?HbKHctu4@u_ zq_}0?W-Miy_~$_PjhcviJFB8BSDZ@Xn|LpTXHu-E{N@8QE;_w7P!mnnHde~<kzu=| z>Azt9n#nw?9M%RlO`85>)pnO3@kUM8TzQk^JDZlCI^rSxxz0#BAa>>rb?=owN_0;O zn0e*htBY&B@W#F`&96%6lvG8Vl&9^brlxONFZ@k1yJZEBWX5*bX{&~}9hNbgxu!N& z(0O{W7E@sC5|_+%jf~oyg>NGF29+*+`RV<f_;+tpb|klUp3La*@DZEc#4c3wZC&8b z$!lM`sa;;QcA|Toj-B4~r(1+9J&Sh)Gc27n`QfA4WxEzk{gLF>`(w>(?w$6LKa^UV zbkt(cf8bI+|G)c!w`u9Uk0QsOi8_4RIK!yDJSOhW44nxV`?UKW<gaUewDE$>#G^0d z%fdP|wof!aC3><q=`r_o@o+Wn4Yxy8f20=G?Rr(e^U#ht&#Hb*%3l|9Kep=PL+)Ag z&rdz-uArT|$h%qS_8AeIJAvAE!7TgB^HasW@5I&2I$>tKQF&tSCqv!YX%_3n&WThP z6*gX|C}#_PxKD5Aktr>j@{)B6b-Q%4t{FKL8E)Sr@OST_FK#9>-|x6x=y-AV*1_7R z2Omxg^)k$QoV7T0`pJ`7(Ty5Edu%@TXq8QxpXp@i^i9(#P*QMB{=8Y|e`=^sKIP<? z?YQtm!t;$XD<|6<Chb`sq<g7R;@9dU^Av*2oZidKI{52J@W+$=Dk^;+kN#YJOzE=s z;cI3aXWC7Fxx;1`SF6yW*XtzoR*4;X)VE~q+5c?|QYTK~N}G@vcRXh2?wI+OyVC;~ zI7jc*K6-e??a8S(JpI=9Pdc`MM>+7b^2XG4KV-5uc(~+z=?=YY!jgOSjmpz+XZ~D@ z(a)Rjuj9pg-E&=3YORvX*C}n)Phzg_5-)stF~H&c_Zk&0fo_geo~k?APlFczTo9{s z<c3V^SM!ge-uF)C%<N;@{ApR{#0d`;_HhV4pUkG3Vxm|TR#R2HbieS#%L}UZwCr#> z!)+V2LT6z@i}v%0_dC<S#B=DE@$X5<<IC3y^gp&Q&OYhiMdhGU4bAOZM>o67xYG68 z(x)g?$5YW**YD=apl9{ZqyH^-Rp_ZX^jM>B?~LgGT;Y#hmKCfJIiE9AQC&EFu3cQ; za<kP|vyVS8mP-CE(;WD_`M)lksq?J<pQ={f>r4*`Jqfo-Z3&FlHHm%hso3-9r0C%_ zS((#=eBw;RI{hMhp1skjc{QuN`9}TD_&k9_E88XSwEWD{7Y(v&VTxXV>}i#2#S@Wr z;W4?Ew>iaYllC0VxqN7Hu4T)H1rM}78y{S^P3hi$&ADst$%(Bq`us66nniM>&gswj zoSuuX%&cYkB5bPL*k4_;>Bl+CoezsP<_VmCe&~0@&%as;86I1#KIyfvD=%p}a4dAm zSJm)3zweiOTDi)3*c;wx-FkfP(q&1ZWED##<>%5y+(ItCmYc*ME!prO=GN)xcA=1h zfT<Nv))+3&R=wjp=f@;B=@ks0juuag_;J(d(7ggBsjmv6%Fna6`EU0(bX%}ESj>ah zeWkoeOr6p<7w)Uw6U5iKZLa4@dy+6gTwKp-UigI=g)^5*rc4jl%Jn-Z!q4kJ@$FJZ zEj~Vh?*{(w#8tNV-O`o&`*e%H=;bGyRaN31)!nK~G~UzXev7-H!gkf_iQ@BSeb><Y zR;}8<g{AL|cua_IjQe8MwI3BW-?X_F*T5dAU$JMd@4~r(nI^B-OIT(k-I@MHsc4d- zA)BSQSHYn*OtSg4jF0#hsR%ay&}z7H+v>>akL$AK9?MNQkv~PCccJ8?bv-@@7-Ik0 zh0bAjJv&usQEmMd{S))l<sN_0KYHls>4FwL>#h6U_@b=#o?-7fyl0lH{N_IvN%Mks zyG%IoY12z%(FwLu9}?E93AjxwUo<N`X<hsTQ-0l&mhIa%TU5V_^S;OR`N+3VajwT~ z99PFb+c-r}X5BNZ`fG6xY_B>he{{**T31$8u>IMWDekM~Ek9S7I8BPz^LZ#H^q42j zTJB5fzBxyyP7pA9^)!`p;-3_|(xOU5!*frVqRq`aj%Qx--lwN>Of$asq392`>ODIb zJ9x~FH0PF5KJh_}W9ef>w-=u}3n!U1a*KPeo1?k2YwN9>ZVmc9jvi%?Zmf-*)@~_T z7_hfxhJUz(_*o{iH+v&xQ_eWs%yj6C@s*Xn>wRU--A{pD?R6#&Yacv4np3t}WyyEt zn@q2ID_?$iuPSsYCAwh!!?Tsso}4oE(JTybC^Fm_Q;^jeq7d{>rYWUw&VvN2uA{e$ zD;{}z>gYvl+Zj819Z8Owl$>_QS;zF|mJ4Ct&AX1KnygQ63geghGf97E*O}&7m8X=s zZzS(=uv)Rk(pX8tjk{!7iK}}|j;8o*)pM23Ih7uBqGdP#y&$un^B~{#HrFLfud8qV z=>7ef&MT8y96Q7IUeV;(uV%M1X!)zj(yA&u4#z6pn5eOUEp_c~7p)`5vO8rgBe_zi zT-v$slF?Sp+O*C9_Rm`U$8<K<>x4df82RYoFYd}oR!$9utyZu4vfAfwlR6six$oYG zIMw7M$+d1%l!RsXuep68jOB9o6D6h4#lPZzO=9B6R(Dk{E^{~}6?E3>;Q7~+)gzl1 zXHI)_VejLk78||QbSAE!A(Fg^Z*u1k^{n^j=kjZ}J!{&y$l_#_PV&m61ZJ_0_*+(- zGi;n4x1=7HejR7fE&lXpjQrk<>&wI5ggs623{*e)a?Z*`G3Q<D>V@SeO>E#3JDI!1 zmZSKHQPb&*QEz#24}_$!2<V@<_%Sd!zBfN6@zwPmjEXgHXSI7zewym?BsJ;1+RAh- zsc8b46M|+i-r@-e`{pY-p<c4rGV^1$V&Lpqv#fPo+9d=Wieqy8-e#y@j1;bQ(rKIj zP>G{+-D{O8NsnumwmQw6YE^glSmO4lJ~NiZ+D^`p>g4;+@;7l}^>saUu1V3y9$paX zmlQI1B~}wRXJ?>kf!n^Ki6yR!&$Rzj;*<1=S`tumNnxJ!6t_*EJhq5+>86W)+T+IR z?(4WmO1G|M73=Xci@jqf>pV-GuV8oOXX}pX0dIFJ`gc85`SO`-(VZDy9~Zv=-SJEI zz){86j<DHzHFuwx>z*+`ymVRghtlYnqRQj(xu3k&&rs;u<e(IIa8}aM{X$ifPjk#o z3N#Sdq;YEViFMKa-W!Bu`((~2yTz&>{3X0`?ez=We0Jo@8D87<Rj-KM#aUJ2_=1hX zZd@z)lbNcbrx)+OajjvclR)mL*GBg3bzfHh-Lm%9mV!>nb(%+A>kb+oF@F8|$&-sk zMmN<LaR>djOWD(Jk-o<>=gV8CHM@?LJocXZiOD!7EV8TTfCuaJ1vkzM9zL=|<NqZ- zJ>$cdj~U(;nVc%PRoEe6Pe&c&pDj}Dt!oR<DQ|v$@%{R#>sP#=-Lja;V*P@WCGy9s z1@#1WePi0b{rv;k$1a;(>ZTUWv^!`yH!1l^m~U%;!gGUn8Yh>#ZIqYoe*8IKQ2OAT zJXQ6LTw7HwmhEokzTtE(?55|g<;#NmCL87)No0Pjo1C(SN4`6G>(W^{e=?Rn{wN_D zKeg2*CgE6z$jP4pKUx<v{dD2sS?ue@9=Ao@qNr)|QS)c253i;f3O{~X)ODfssQUL+ zrKN`Q#%az0=O!evlyQ{p`lQ``v|U;v?{RH-&-SLmvhbNJg03$-zec-~KWoWEx5$Lt z%hn9qlj>?7oI38$&Eh)6EIFugW@q%Ir)nSOt$rf%aVqcPHAyP$?>_ME`L=#y($DQ8 z(L1yw*YT=wT@Y!so-f8Hs%0J2cE~w&vfM?XpWLVK&(XQ?X)SBV^NuL3#O2xs?vqQ^ z#TS-}PZH$rwY;`bOvv)T>W`0npQ3msNkq6fW}QfC;VWuaOey2MxX;}#_0Br3sw*x> z1@-o5+1<Nf=XjglCgyXNNd1Cb>0f*LQ{Eb%JO3@$VF6q2)fd8Ui@pUu&t$**Cu_zc z-}%$BR@Lu1puCN%_TK&uYjby3hO*C-R*GCpZMzufEw26XBoAlg@t2jGE^ImRGsq%T zLnyq~?xfvmW#O!4r($L*$hu5x*DrZ+ZI$8X5AGM{{*$_Vp!sdj%_Se_=8MYT{B-{G z7Dr=m9h=6QjZcqXk$QBnY=1nvewe1wi%UU^ojlIJWKs@$sS+wSBlHUAmPxy8f^C*h zYzt6qn6p;3!=z40F}rqN&!nWC5&b)l&x%@RbJyIIsaRVu$i`hT=(7K^-pN5LCG?JO zdba&lZ1A$_myJ#5XMa?$TUhj7U~|dO6Yjq*ly6S(TkEspV$-#w=jP8^IX$!WxPI{D znG;$MFXPC1#=WssY4+i5Nz>-rh1OI~`Fv^T!@?_JEq{%s==T-I^_0Jo**x{o?}Z!o zTzC+ivZCYR#J3{Kk;{A2^HVof<yHl}nri)EkJOf_fz~I@o@{JZ4q894s`f}&%$WmG zKWi#IUa2~(3pvVd68&12c<qLO?;8=17f&iAKZ|^xlsj8R<)pRB4E@iV*H#59+sbS9 z8Ew>kXRB@Sh1V!^wbR8dUG62OCkrB-vqc=%l(n+0{;>Si$;Fn^+;>8nIhkKfE?0WB zDKsZ{qT@14`Ttux)|S<O;q&<aSk&))?Wvo&rw&^2o!|6fWp&4$3ht%ceSfB&<McjK zJ}ZP*-0QUeg8yx6rbyTCEuVShUh0MT!-<A(H@a8LC~o5sj-L16?Ysqt8y{QxOu8xI zrmX9?+vmF46$PG_MM2_n_3m9u9vx#%x~qFIg!dC$&6<<vwzRq16s-#B5m+6fm0~p4 z<nq;L-YZz&)~Sg9Of^hPU;LuBE422Lu~*YvU(TSp4=1N4ZTH}i4LMeS^_$zZZ%-!~ zy_+%hUy%Am`x9!L^%t#var60)XA?W0?hg-=v|Z!)Xo+{l((or@eUlX{e|{I`eYEHP z0i(MM4}a&HxO><0on>EUe7>F3QD<c9xa-i;WV2wOBL|F@%vz*)?$EUZC*m^<dG9^? zQT}r6OP5LKr`E1o`YPx8>+8#Bn|S0*zb!lKL6PLTH}Wg`^9z)2_RX`+O?%tw`}H#a zf_Z-8xo<d~cH5us-6Hn8I^@&Eioajp^ZuRmZd2v0#^$HXPWyJB<NInP@slt1`wZ*T zm)knm@2r|~w>;&DjCG%Rl&6&4v1;`csU5nDyRJORUVF8uX{vGH#j=1GylxL#CSG#l zzIvi$+G>H{A(HA-mbPadY5HxvXu;9PN6p+8>=iDZYjKDBdyvuH<fkE$o}KSC&Q|39 z^w`HLdH00F^o=F&53Dbrm2&<=*6lwBmvl>Uhx}buw{FVRk1m2|1EL(}R7zJd?f-XB zM(Kxp^w<C9jq#D!#Y(ms-cy}?N$A^+liykDWG8e5c;=O?{L%95naZ>-Rg+~tUaB*W z3BR7&pCxiXQ}~2&L`Jl0q}#k3V!b`KTCaRM{MV#$o}KvAC{gF<=cik0TF%UVtv`p0 zWnHGl`h|`ceA1ni@@%E7j~Bfa_jq;vrAFB4?F;Vc2bzAUYE1IaTaw9fZMLBpe^>5Y zy>EgQ_m^(W-oD$SCTEHD_6PrczT7%{Yo>pW%_A<3vhQ6hBGv5981qT&zowX<=IC*2 z!PJQbE3YkT{dqZP`lLmIrEgT`Zh2SIt(xn(>|dn%hwjTBQj0!h9^#DIc;)N?)*mJt znZpC5w(j2Bz-h2D-^%mVhwm)O_v4=4i+^)FyQk*OqM1{_bk*Me%6k3I&J~3kigT(K z9i3v$_bVv5e$whMEB3zKyhCT^T)xho$3-6)R;;*w$>6~g=3`D9mnAleJvsN-vH5p+ zySuRE9?y`kii)gO+_Ud|o}oWSY)YWbI!i0@VB5!@g1dVkZC<C@Qmtym#nm6}KP4}E zhtACA`kjX=F3BEP=JK`5V|qe&HS_rdRymIgRpA@W<<hP=ZP8n_Q2*#R(Tae@|LYX9 zQWw45A6xPG#G%LU<d*k7zLP5<SLa@!ch>a7#8XY@#ioc!IveEmFPJh(sN3mE$&9R; z$qQmv`F#sgFI?4<V&a;2Jm6RuGu!pWJLLIyexBRVHEI2vrLDf5TOS=1`+kWtOS<{D zQ1pjI))Tm1{_t27W3%J@UJ-w_KL=j#P>F2Nk4;p)aP*Lvc_>3qK+eyAHAUeEZOR!o znzMXY%Q(NOZ((SE=Th@sld_wIcV0~Qp4;s4Z-T<p*!H)1uWp4r==&~YbMlDk4#&e! zl78A*|79!R6_RsPM=`lTJ@wv{e_hj`EK(AG9C2sz3za!qGuT-Dcdk|m?+}eRtRA-R zhMT3FPl=ZZ|L*n$|9|t{`R<Zj*Lm@;g}|Cpb{6jH-bFq&P5!15drMm?f-cV395h9K zcE%sZi!+R;8}0kZbu}z$`Md8NA5X?z?4NFxFPrh;_VO>Y7&5#YR?peKdyAfF<lzlP z4AYIo^IauEQnp%boRjZkTdV#zgw^!$huK#??|=8XCi2zK_TM)9^}ha@{O<V^&g%;o z$}o#fS`?`u_RZqSlhmx-1BR~`p8Kg~`e|(o$F$$egWs)sS0v)wVW<=P;cLmk{<%%w zTNiNc^l*|CU&?*XTfFAD=8i?X7kra>r@8$v+n#9)VuZKv+I#1S@Mp~jGX5pUPpsVb z;BoV7Po>AdELXCM<djcM*v2;B*0z13U$TWYyZU)y7NwPzxzGIeJ=jvSe47o^dzm+H zj`ZH;ojXIz_j^K4vDg2DntR{xvwyVb?w<eKXV2O0|L*_W*mJkjzv{9*7ykR?(Ic6S z`}JRaed~92`rKK+JF9BzvkK>VoPWvgzE^JBRn8yA(+yXKCb`U)`D1kLWrfm5xqEYG z?rYa{)K$M^*V1l&lQUa$;c2yhn`IAo-Y)cI*SClZ`EiDG@}J{oSxTA{zkKX@q$kyT z=k~Vdh}zjNpK{Mu-x)TQZ`*^<&P;c54xWGRcPZwDsnFEP9;sJ2Ep<O-Rjlb<_GQhR zExRtR*<MiUZuT#cg(bI6=F2`SZA<g0c`a$e@7~F~hBF4)T|ZIrr+X)#MVO9*!-jpm zvkuHt+dk{-xwk!ip?g{@{3p&fYWwHy)U<7@&@OkANyqG8T-#)`mQPW2*CL7gU!I$2 zu}n8Bkg_|tcy0CiBl1SCSf_7)cVPdOdmGtaN1OV6D3(+WvJ2Z;Bs=fUsek)A!;4Fw zoesLiY1x)>%{n89`>*bAlf9aY<8<N!y#noj7_U3bq{ZQsBpNi`Q+;d9#tZ-2T8<n( zp8Ckr+4R{phTOT=r{(WhGx@@nLL<Le%_9BFFI22s7Yc+cZJRdpqwaa}9H}KYSlP=z zF4IopxwA}`_l113<beaG7ALyx;^qYQ3F;^1nfCE<oKu(*`P?HwzuP(d(E^5FEnh1+ znB&EtJmEG|FMgLaV-q)5+J*kZR>3pE7PU;5*2+lB3XJjW<mXLt7W*}A$**6TKQ($j zB}Fx^iYUGOlritH^~ZaHO}R1J-i9hYc8NaE4;WOgeNg^!Ys{PLDg|nF_x$ph;upHq zoLDDxM$ubn(w!v=*<C#s9Nn$GcY4m(;_S}$RoWzY?#h!gPEONAueS!eJNjn&Yvyg0 zQ%*2^vZzpNS-I8f2IH~^D(er=n-aw=!o4_8nvegn`dkUoeQxt3f-CKBfAXK_weGOn z#@0mNAI!zitaCY&=3ePw`?|4c`Q^~p8OGo4F?nhPJ{Ru&k;8LK*RJJn%;(G2A6J~d zIXQCfqX3s{{@Gr0%gQ`2NO<lwxFfx!&!MsGe~8}W2?n#Qcb@Kj`ls?>)T_5|m{#2l zsxEfZ^<vs#AL-B=&#spKI5|9AYSOIG|H48wGPe);?yxg{GgVPby}WE<U{OKwp66Sx zb@Wb8eHm?PV7{PM=;2HC6C8{8R=o<Tky(B0(HUNm$3+WQ`WkSpj9bv0;}J4j$L&Wp z=iSz4Cn`7<XZAXOe=xJoX8+C`0#D~2u3<QF=hcaA&pjs`Tl8sPONZT&lOdi5wO`)! zU*a_5be%=`9FFF&>{6RtX4Ci@59Wsgu2Mhai-c|FJmp<mxAO3AN!3XUqV!+1#O&}r zDxkRc;`glA+8-6SdAT>T8S$C%Kiw8KPt&Y-w-J-jp$oj5f;DPxYj*rjRX@4^Po);; z;=R7DGVW7X{&=#+Wa&|bi#yp*m2SAH`O|hMpTO_1joX*13m@{@*eW^e+%i{&xIi`e z{ih$STo`Ckwq=?ylU#`V7B0)FpJqlcEmv#V{<!L5!qS3y`A1j(<jp@iJ#ndl@S)k~ zs`cKUJv8^o*NyiC9v|L4lY4Ia-p60<U&M9HUdf*N=ZV1eFXD!$dM?iVd3UCO*zvHB zX0Q45|2aO}{^;v!u9S;*Pwh^|Xp{%8^RRAN%6_h8gYmpmEgPJe7VV$G*=X-{rscxb zpkCXVcV`M*vfo&)*5X>&zU{uQ>J`<%1n;%Atu@-hho)J?PAUB<H?iTy4Vm~hcNLxW zj}}&#-~K*h^QQk-H+?_#?@pA)uY&tiYkjJo&!|}M8vc##_3rR*o2Ts!|5o%mTHAYK z_IuVTt9L$^ew32yl=L`r-Sy?VdkgpRbFXc+ohdM{<G1P&ACBmVFAps?W=#KgVQKB= zrBbKYzFX@1zhs)&{NvXiNmYF8FH?214>xYkPj}j3BzOF@(BkZeXWqO&*RtXE#<ja* zBsS{C%NDJC7&eQ^GfGuPL71ucy^ed%u5vY&TE~sc)0`fBUAy08o>Zy--(50$z6spx zxx8Q4oSS#$hxw^a6&mwSwLF+`ApLRi<RfNbTWht24;^Pep7Fi$`|Hl=!qv0OCRh~S z_u;sn7V_|NnElK8ZBx3fXYXph`T5$R<7@N(xX%>0XZpF*vmn04Zri0T5AIpK|CG1M zW-8}H)yaKqQ;G!VzuxQNIOW?(ACA?xuW6k=?86~H)5fvDNb!&IJ~97vmK6c@Uj0#B ztv1s8FIuqOwV!`}!CKFCEUgchmMY7LKK(aI(MI%p^5PtUn2XPjr>kApG8bjpzx-Lu zO@E^n{aN4He*df$<Jy<;PI0d0l84TrQ{^-y57~dqe95)#OMh+Du0`jaUjOe);dsAw zo$tpg(I;Pb?YF<j?6BuWNcY{%Z9+$-*UsX7IA5)Ftw%;0D_72kCE3oJVq1UK2bi_Y zp0sDu+!79H<5fC;Zkx8apPBajXz{F4t;tta?j1O=w&|FzQ=wVjS&sfClE;Hoi)@n4 zik#2%Ubj?N`QNpuhG^qGDF$U-wQ^enA0LUcj*V=Y(7N;H(k4d6gWs+(-I*%*Eh+46 z2v3Yxw_xtO9x2C&+YfC^{n>(d2O2+5S+inU*pWq=D|2~n*RrvNHTFIAQmi<4;K0%u zJ5x`l7KC4X`N*)W;-eAI33na8>4HnA|9ez+!o1%`Pjr)KQ_$2!r5>|{lTOCJ`>VgA zN;l3><n9L%N#}pMim&e;&-~Y>;h(mUMSoiMN)DMVvya^3lzG*e^!SeKhhrxup0n@X zBBX36?)_q?dt9~4iSr`wgZ-I{=W3Xxp0HbZF}84FOGcaNLXQBU)04ZDOtU^)ihQ-s znbH$8z2Zq^p-M!F<3fdreJiV<t(h8gS%90H|C<;4M3(1|i$kv6y=wk;`K}eAvX>bx zt|))4>Pq)u-^7&ewX1Mbl;*Rb)N@{n&$-`aC@tNgyxK)*i*?wOcY@O5Yo|Ic>$EMN zb72EpU7dmcyKSBspTzrCNt%9dnk?FDTm5La*crtwF&@8Kb(E&B|MvazDX*`#o8nxy z{JOg-$mbs8`?NWd$5+Np`Yf~{tX|!b*Z1m|ZQ<GL-sv8Rsrsey`GkPBo#W*BtDeTG zKC082Qf>R<!{wt&ye!F6y|#*ZygSP9^1IW7x$iqT<`uHu*ebNq@Oeq$53#p0e?%(E zUO2yUY})j$q~=xC+%2m9LA-Yht)f?{mNa`!ePwW7OYpb<<u7^>x9)Vt*6ztz&$>4L z_Q}PYrLHRPSXasZeBmOyGw*{{cPw#rJvdivqm8M-vA{bH=MHGRob)l@Ql_<Z=DO6! zA(_i2%;(uCv3$kJqNIIB`NivxPfD}5dnp{2vtinNS=Op0wN19Vr)O9gUQ%9l&CvfU z=Y=O;VO(n$yLE&<OkeTj?4oNyCJ)PYEV<sIvQ77x$~UWesp(he{XX(z_3{2CYq^%R z80Q2<bKb6UY0sY9BzAnphgR219uJqQNo4Gr6;m@?KF!XGYs2oN?*m@$aWq{W75+@> z<AeLU-5<EM7w9JXpEmn*h>Kh7n3Cqt((tr>Pm=skD^*oL%r4D|Qor$*%R?kSmG9uT zGiUD@+k~Fq+~3<iLH<GFQTf-Q4i)q57Vg|U(cOpP`)@vjl>zp}a>p*^a0+v4ecX}V z@#LDp%mw~yRD1ZFUqAb?+tJ~}!-J~V{(dq4aALJhy-494t%auzHcQpd2=3j^&&O@N z>Cloui)rUNOY%J=ece7V_Fw<`?`3*a^W3zu?^(~buUL7^GqGr)cu4Yzpo1p+D^|69 zYvTLSzqs~mP?F*$r>T!N8?2mjM$+ieRF|(VjK@s;HoMk*l2~~w{_L}h{~ufs+NpBY zYx<32hW~}MeOk8moY>|jI#p3i>cyIkoeBms)=D`4<WyGUKEh%6!Bz9oTrsIt7w=o| zb?BemJdsELsk!Xx7uR2XGkUlrU+xx{!Abt}HaasNPoH0C;xs*^Dr#T(hoFxSc3F0_ z{AKP7+2%aqL-Jh)cBvl69kw?+r@#E^{Nms5H=Y%rCLD;YFxl#DeDxSRze@3kj_m!V zO*dwod8p{mfA820+anxb>tZa`51r0<+t9j0d8c#PugWJ^tYW`rxfH(kJAQkeh<}x4 z`-SOM8YWY_*Lw=gpU)*XQ+xX&!`bVy!Y2R73k=wHA)VQhXC3b^U&rRp^PIP(dAyU+ zUGijh|HRjgLXv73GuHPdo4nZ}x_PeF-dQpm8kHn-KW)&Q@p8{|*L2fKFKw2v7;lo@ zFSAjDb9-7qmirfXuPYY6PEVGpWLW7|H9aX{-v_e|i$V@%?B-oEwUA?N>WYh>6Cc>D zj_BH0+!nt<$+}@KPx&UL+23YdEKG_%oU+bh2ebGw*5)LaW!DxYpW(5oD4f%#FzZh8 zT|vf;XL$~Ki~BFqF;laX_?dK=Yl@(bRN0DU6Q0SvkX}&w*P@Nj%KLt3GK24Sfses9 zoBH;7s#^z%a?P$^&uD+myVdOez7Ds!Ph(g95uL8qS^0WSLSP90?W)3!FS_5FOp|oC zYRvoSv*~kn&Qpz#HPvSM)@@&6))a19p(}sxbLlRYrNaGzW!|?JbOtS~@_m$kTd+{_ zc=^YF8;^eY@M1|r=KA>_Qyv%m3VT>`G+>|B#==`}rVI;2`nEIrt!etG^i?KRXR?QR z{y~35)Af9xQZBDNb7#&R{c8$6Pfd5dGvP2z*kQiYfA89L`JsD`uiSBV>Z+e>952gW zKEV5KL)6K~cUe@`ruh85Z4%&f=hV7F_om1;V;1kbKV8;_i+N}KW64U~sp_hF<>RN) z*83&}kAA1It<n_WH7xM&yK?ezy5-Nd&+#dq?~2O1x9|MyIdAEroZdxhiHzm0AB68+ z+j%bT+2uy(`J11v&Rq9VSg88T=K`_0t=9z?pO{k{zeKfSmt_>+$s(JluTH(%r1xAQ z^U>0}NU^sIa`pb%-ud@%S>y5;XP4(?shh%HI<+sUzWHE@|5D5TnT_Hv+s-bHIK1af z`dpRAvOn%zTzKghw^*P~jJ|*sr*rd?NLiDf&8%X=PYRccf6j>b=5l3q!p+!JsULeM zzWUqB*QU2~^4_9_@c~=+_|CSUWHsY)`L&mUi;tW+;QVXa!^un@A;mHMQhb+E?>@Ia zRq0jOE;x;Q=aq`JhtE5eOE6v(I=_+S+}F!2GxYb_Z8>%3z@D3~hgHMZELXjL=)=@y zjiqj9&TQDQRoPv`%!KW+^Ypg<{g*GAzB!=~*>AU}u)Wj&s!{S0XJs=pX&ocu2bzM> z$38yy@3z<#c*L|s(ospNwEtJ3b9Uc@%{+&65-&<LS)~;{F29#t(zlKE{gz*+UA8~a zZqrHdo*umVNZWIH!3Xd2^{!pHvHzTe6W{Y|VQf>6&o^Gyp&MRsy11xf7oYT@!=ie} zH*T>yDtgYR?6r?ZdCfkHf=QVP-bF(FJcm^_bZc929XagxFLjE7@qLpGdu@-OIbeHX z&7JdGezWG!j$60wfoSiRqZ$5kmPbuKL{$sMC&(`2NSe$t*W~}-P3fl=?^c_Xq^k6D z^0GOHcUfcx>{(DF{Fbpkoab=1RE7G)+_VR3Rui|pT=p*IU9z#Wv-g8(JLKyV&(vK$ zaPEkiF|+oadrr@P&OUPKj?~V%#{za7N~(@dcAYU_!@&58;ZZT2-rpY+S9MMo+B)ZR zl$+*^H+z1$L|+u_J!U>Lm}UC0O*@_Xca;dsd5Lj|9sPUbs9E0C_d<eyymy&bl!$kp zSFk-a=djiFpObZt8|x`qZ`7YQf8~P-4M%+savR3>TN`s0*cZ1X6*b<-lB?h@pMFuc z)+ySKyLZ)Mm5(iEQ31l!dJgHezrVo!ocntBkL+&w{fgoWKV8n`Z_N;2t+Q)Nn9;w3 z{U02?`-<PaJJm5$vSQoS^9IFxJ{IhCO`EewI_1f7jg5OZ3H<xI`?cQdb>6>bZTfC} zblUQuNRIm%7r$O`>FQpqwP%@v;<gy|(of!rC0?NwMOV$YUXHe6_75^X`u6v#%9%H2 z+)wYyObGsMly`sftb%)yGuO{L{jqnc<d0yLK>2$yzw>KySACp*srP@Zbo`p$^`%nv zE5jex=j$CmWVcuP{p_q7PX8zNvsTZIezcBf(d>6ej~ud#@rqtCF)&yC*8K%v_q(@w z&V5(pajbvxLN4R_>}yvKF0u=?Xu8m(9$jJjsIL1Hn;ui(-d6P}tMB`o-fvwsV|LPS z-Y4DsTpud9t)7cb5Xw+lyXePHJ=SI4qGXP~zSUW$sB$dWEa>H0y*E~}&EY}?dWFCC zZ}Fdc<Hxef+xPa?<%T}geD|Pt$7)Z-H#^sev~bGh{PO!zWzqdHZgCR-)L>548(;3G z&OfabbXNMTsm}%D>E5mXVpndAn&CQEfK5YI;-uo+YLAFF>K&Zn<}a(Xw&b2$mDJ<x z_v*ZF-Ld%xU#+Ri$-LC_wLgPv@yVw4SxUCWTMRzbeoRc8(`!2=l=TJg$D~#N-fw<Y zxc*xD9vjtmot*PZx<}V4T|Ateqq@X#!`{rg^5EN>It;E&%~rW6{o!~>_4m}PkNawG zSAVs>RlOy=TL10cd(A7q*<CB(uGp<F=q)#y%h<_gzrkV7yu34ld#+A*epsNJll4kO ze%_f`>em(&eF<dPQ~ZA0zk7GZmNNMTZ*6*g<I4Ri)2(5%t2FP5=qhe3dcW-7-RTRQ zSSG4}6VnVlGkM05CCoB?h8g~^t}Wfo_TF`x@D{&CcdK8yuaPo0d~AEq^Xr!>-Uq+6 zx}~=VYj4;pzuRl^_T!hg3Qs=KE#Y_m?bVm_bW_TfcE7njThwEJ=DK5_d+R<vT(|FB z74I#t8@rZIR0!`(|8?zgcrRD9{I}F99c_Q94QmSjl{;Lou3eq*?&K}M*ZW%oZN1~a zJ>D1CbufF8g!}XfF`G^*8RW6?t#WFwpA+EoWWycdqti}H?sIwjZK_YCjPl$~YyDqY zU0MC>Ymd;v-IePeoMoG*vF1(u(Gw>sHXqdg;roBa9PNW*`xAZ{eGFtgx<bc&I!E1` z<xaEhykr_bueZEvDahlz;{o$7o_|^k#h<r@E$yCn?!zRXjef`NrPVn1y_nu+d2f32 zkL0<^S<%Pur0lUxe){8#tBPwR%QW*9YH$DkixD`~>AE^lwNrKa_W6%Yx8D27b$o)M zKy-6t)6S}m`&`xaD()@PRB&8()Z{}>u^0P^?kDT)7&#Z!vTywnq`rMw$3J^B@lL5H z8_%ds6LjfNU;F0Dp33iXk9-!+jo#n4&}n;9ha0=#6r;-rjt8=~vbJBFExBXc)`su5 z-7m^)+qFjCddt0~YHK#Tx8HG}yDE3)0Wp)5wP!uJY^1N9wLLm7puwD1r}vlan*V|J zLQhy`yInp|`ew%6np^$F`9kym9nP2}AIR?U?~;Ie0!QBa^G2fQe7_Yr@BCcSYqxY$ zg;t{5)dSmtKmTm=^qcuLsx7f*#ijpquW&|XuJ{&xykt@J`f1&^6AfGEa9&+KTl@UN zbKLK$g8XA#L)K1OaA(pl_U4CswSAexmo48Mz1%sk|NjfePj3%RU&0-~XmPURzr}l< zRvEOd5uEexc!FH^w*9*mZ_m6iHLBG$ac!a4ljbi?f1>VRJT<di-EqRZdCo`OO<FH2 zYpB@VIp*QGdPlqt(@J+6r6~m(g`2hS-ne@I<_*W6w<7M<Nl6Fvd$<(rlRv*qdH$No zF~??KD6V)H!0s)0YMaS~Y4>mKZ?SHxmj1)iw)XGdq{XG;>*J%jR&+ky=%m!Xs86IQ zSKs0qQ*8yuiM_M+uLwy!`}CdnY8qRd-`2X_mw%nkiT(F-%A`ffb62}?-kN9lE$hj$ zw%9bbd)}s-?qojMyH_f*RL3Zoy=lq%SyGWLg{zbH-1xNZ#wHghp+-lYIYPYOwYKKX z5888k-}WhA>#mjMbm|>e{@eDTF|uol{>e4sXZpoC_gy>kb63vvW85D;B&Hnmm=RrJ z@vCw3riumeobx&_iOngW)ygNN9hDxuU+B-o^lLvW^X>Jwef@LC<NxFIMRh`Q<=<ET z(#f{Iml@k8D(U=zJzZhH^@_L;(|5WyU1k5Mlf8KTS=|G|cbNsb-*2y5a65s!Zt6qP zJ-25~-jz8$tzzTqsp+}*C&o^nxIXLUl@rraDn5P>o3!xOQPZ3@(Y1~dQx+=!4nJ%Z zbFZG`U6I?j8B;jqo(b9NXz|<@T7N9~+=`CPx+P{M{aJPYg$~AUE@FxP{%Dcj%lNIP z+17UX5pAx2+aL7ho1fM0_T`>uozt~=y3+9^p5yP@-i7X)b9VD5yR-in)nC1|=52&@ zY@7X8>89Irp6xF#jxTci&pPvs^)B=8JL^<;<nN!odv84FyO%f5F8^J*apUvnW_j;w z&pord>CgTt=e5zDxrQv}*337{i(f83epYnPv7MK$dOxpXipzOB@3rimna`vCuH=oI z{P3vs@4FA~r#^Xnb)M{A)5G2N-~as4ot@~KEf8iY{U`3Q(VYwR9C;;f+wb$%U5-?$ z`VjeJL3;D_LiW5r-`L`>$0t62yYuvhPYV=(OuhW&@e<!{Tf{i!PF~=>cW0O2dn@1f zOEQWC?#;bz`}nC1^P0}wy{vpct~!QZJFw<$gjj4_|1aKyTQ_s;+o58-;rh+BBGUD5 zpHDp+Vb-l=UsECR+oW&dwM2nB(YHQxAC>=}`e6ITzjLR~{p(c_eE!_NtLM8zZSC(r zaNE4dl%xJ#xajFyeZQlpKRnr^xZ{-MrT2VXZ%Vr?m$(~SEKxl-;omi5l{Vv>cQ)VU zFP<;=;@-Qnl5sh=-<R3XnAm^3`rG$^Jo@wA{H&A*d%g6hrfgGC$mxw8%?IrHR|}~# zOlWo8{4Du;;>LSNYj(tR*Pcw$y0WF<=bF$PwGlmUgm`y)%-20$qx(&?JJUju`*ZqP z_vic8)*4Ti+V9OC7P#ZgtRHF5v|@}Vtev>wai~e$o1%qn$He|5r@0iIJ)rsLTVuk( z12cSlx?ddcw$0W1%(N`%R?rHk$3oAFx6b8mx@BnQdFtk(Zms%=igLBy>-iE9OYe8H z?3a>QY&v_DO3ubt8W&Hg2<=qg#dG^N@4@-$uXsNOsm<iQ=PTv*Zf9MK<|AA8)MTDR zZ5dKc?!jdrBJ9~J9tp<xnOl?})MZ|MWZ#a!QyQATPM_J@6wQ9DApeT}r0^2APNAna zF6DE{y=9$tHb-n((V<++M~k1XGv(m_wtCUA2X~j{RCWDY(mY3j^{AuHiGrlcInKID zZy8tItnaO2yK4U`)2wLMDU}S<4nvpn<i?m#HEaLF`D<GvSEue2@tzwIe3nP2yT+mU z=K`x;AJlrfwq6$Dj@_t~9aT8<-}y6J4A$CYe-&3zYz-93*{HSVY2@vdPt17_7C&?1 zJoR+a>43!7x5QRfu^gYk^k%6{Vvef%ihJ*GE3NsqXXg8m57N!MEiV4ub>-UIk`uf8 z*Hr!4+B)&OnNi35E0Luy4S%+DJQH30$i6lqG=h5v!?sBpa}@rs{CQS;zJ>l3=^Kk= zI%J+PeOxJRAX~Ed%PrsZw?2PURqB||X{%ITE4{!w{YB{hm+k+q^j|GG@v3r}{rAd^ zdaIjT*F259rto*u-0+TRSGs=Jyx{(OLEQHKwbGjFue#n&x!SjNTHOo(zY%k{CNM5c z{cR=owBpr~Tl2Q|@%Jv?wP{ySJV&}8E8mvqv(1)&<%s|9`}x5mtJz;>7#pUA_~vu6 z@6!DGCz|zVL%1RHR*wm*S2ADu_{#k6h55fe{+GY}zkail!vFnyi>5vNFV6nqzvF}d ztpXlBXZdAk*InlSyHftYt$JIm#)X&L=keDrlmE5mdG!0&CmbJ_@<}~^db@e<&2`tV zY=jzj-?%O=>%Z>w%l{XC{g*GTFK&B%qu$e}p7}R3V!K{-PFfVAtgE(U`8K^<-7b&a zmcEZ$?W8s3C&whYqMdi=N9#?0_-pIwr$xK>pL+GWX!qT`e+6&t6+D(KEG#JryZhzV zp>8F;>y2ElQd0ZZ`@9A%l9-(>y{L3z$)=5yGJSg|c4xiLmR@Fht?l?G?&+)h4Ilix z{AKk^w`I$0cuuLu@Salr*{WqBWZJ7zvFp@?o*8cCLI=u^s?R@Bxcm90DelZq<<$?m z6{+v+jFx&Tej;MV*4Qu)&5(IXfjhjI8II+YJh@Z4#UW|OuNcYdZ3}FTF4_nF?7hQj z&aHcw@9n8eF|5}oUQl11cynoz`1Tdw4g@a>az5?M*uS-Q&W)o%UguQo;$zpGEQ$Q` zVb_!eXZ63o7SB5MRoz$a3pekL6Vin;FLsCiWOmD2&T#uy$(#v~wm;oo5bUk2SQ%<# zur12(%f+bU$0r$96sxL~K9aVIIyU8Hc(kAAUs?O6E%he-8#%f!*!R3)SZjOYyn#Zn zhuUdLlbs9yMy^|S<I=LAueE-E%a0oSy$O+yOl&(@EOjFNv25j(&s%(wWah*~L|=R~ z-@8stJo5c!4ZW_8zwtpfjjhEq1Cur{Xj6*{6E}U9(VKk0rmOn+rXyJ=TdTQr(^e>c zcqpJh)jg8&%(vH{+K*JFN)#JcXv$t=SioMHY2lDq;jxDG&a|Xi^485ScDEPLdnCX7 z@#YKm^Y@=mov}gVY_wGO5i94jcD0-4oKBZntN56$e(j%Sx{oJr6xo>dPAtwRk~ivn zm8r>U-S-{t&kWD_ue@P;N#|Sps)$W1sy}n9ENgBPeBgIm%Db&_QnJX#HG0nSo92gX zeJZqX#hgdWE|<OMKKZs$L@Tl4bfO!J`+on=rfus_`OcqUX)rxVGr+=2_J>VVyXoS% zri`{9Qw!EKoqn*IZ<67u-6sn44s`MqPRKgD>GZRG6Sl@qJH2z#>VOqLPy30?_KW}Z zn9=n7^T*v%$4*wLv$8&%QMg3Sc*&B*A1hif&tpEq!u#2<ra0{S{*!aOr?)IS`7<e- z<%;#u@WpHHq-=Eevj|J#@(;A^`^c2a6zl1{qe53%-|O9rcUk$HXX);=pLio+qyL-( z8*bgHT)xP?&Db*fp=Q?J7n&M6zLA0FLlTPHF9zBQ7XG)0h+EjWd2QNxt7%8~N?*Mo zwuL|C$NJ48R}GAr0{LX6ozDHOT=O~gk22qvwv<1t&wVAV^JE^eE?z1lTDNDyrj&&% z@4rYi^0V1A&mgPx!yNO%db!8jU;kXYn8#O8IO6WUqlGi~crz!-et+?!Q0MTu+`<oY z{fnfJfBdMQ5Ttjp_oH3l`-oQ^65$DAd%uf5<b9>I=%ri5nXDOy%Gr-@61lIdIOofQ z-D;BB^MXr{o4@R+R@~k9^Tp&z;ex60O56UauKL}Q`g1}@U;WFq@At^HH*cNv$f&Ve zJ3L?b@uI>Nnl@!`BqR?jXieEr^4L&vonTNVpGQmcH>EF}mk(I@L@rq?wwuq)`k1zh z_0E;M_7>bM@XHtY7*Q>_#vp2omeJ?dV+|%#Q!k4(&)BcDbHdt=-_I|dl)d&_r^k{{ zZ87INtCL3`oVA&7XWP*h$C4w7XBXc*8e#X@^WBtAo{Qc4;*P9JG%VR3uYA^5G}@}; z$ep+(-F5tr1&{shI+AnIQK-{UVWoS~#GUQIdoS4cF>k)0KHvUu-Tu#;A6Ez7h|ZDV zU%n=KKX<ih*I&cb)~>x7$J36btdXld9TqENlzheJcgIen&Yc?DPmAt8V!zS+Rh4S% zt{vZFijT&86})`pdS|XyNYIOzf1dpkueVDbh<ln*vf`&V`_`3gb=gPe%olt;b>5-X zy}XB#j`{rAx%l$avi0YbZWi-u&XsrgcIB%1HM1-JvrT^;y4kCe<M#P6!xdkvw#d(0 z<i%dE*{IGl`}Ec0Ki+ibWu-rG*r@t2A)&iT*!{5ZmQR~Pax-F{EnC=8crY(>!#>H- zALlf(9Wrm4Zu;RNv+UO%0Z+TD6S6+&9q(uhQ3_ozQkNNUmTPMc$GHhXE0wP;Ja<ZB z=WQv~{?~_YyPY(j^l7TXjp*jNC%Df{QsVD^zw`0MY0MSU?wV?m2P)cSeKLzPwC1e- z=D>7nTJ-0XgLA)ZTpse#Et~6Ui;&UXE8l0kwZ7!z>{DKmkhOF1>9><+aO&ji8u9)y zU3`kAZtZ>h6DuZ75P1;vRpXfIOz!=QBld0cH&uFj=u=AL9aZj>wK@j8C8yYgCuRLT zTyk-J@|!oO&eZgERZUcU@xr7r_0~uCmq~M@-iXg+6pmQ6-p+6Tuks$Zo!nope*N`o z@|V^6KfONmvhZCn-g@c3wr%`8$%3@MscRUVnS~xrGR~Z-Yn-~G=fzg8CIjV#(oNF* zkG%fxZk!x{VZQd>=>73G??=A8{w(ct(7(HmF)#m$dawPmyLkWJOHI;zw-T7*GrITt zh}UMXlghqR5MU>MII}qM*Hh`5PE+=`yCvplOLt#7`p?MxxXFfJ3VDa^zg`V}8NNP~ zd;81PvA<ru`m#Fw%T?cBS@q3_&ay9^c0ux(Zq%p!8(+VxoS8SPzRu&Qbos;WT<_m& zTv!tzVb4EXR-)d=%co?&*V=E3*Ivz7xR&>tiev`&`yFNq>-g)Ye|c;gzVC;M_;xk* z#*<w?|86hgOo(5tdY`2%E8cvmbd7G@k@Cc=Qti9@4}{+j6x#2-dfMF1JyP#W_}=MC zvMevZ&EaQ0i)EqxgN0$N3y&LHOpIGEG5zDjZM`cy|JYe}y1a~D_vcFU*K=p$-p{)^ z*VDdiNm`kEk<h;>zxK5mCyPWMoPD?Ty4v50o36@JV(!eF(-IzhKY#IJ-Q`u@Y>Cfh zWnXd`Z(UcPxAy67?mt)RmrXlZBJKR4{hA-s>-@W_%QpHK-kEl7$}(@Rc}0SALp7GC zT@F6z*#5e6j%K^Tv5DoSA=OWAy_?3`B$<7*{qkv6{T*Hse-Ap&E8onw(Nxq&=1x5O zg{$%_4lzq8IWFuJn3r+?VDc~5+S1+%i%aXzXr;T$>@MecCcp65^S-;**Z)mWOnOoz z^FKN&?4irdTW4FJtox!8^ip-&<q|iY8l!}H59(M_Cciw!ZT!_q{7<sO)j0o`8SYZ{ zU)HKVe3991RHuJuT8R3a8&Of~;?DdK)mUPCyncu5)SRcni8{t*pO?0TJUCGDS1NVa zvgbj9JWFo3iCx?Aa7kEoe`i{<r1XmAn|?05$glgTs%mlF6v+qi&(F?J{^EO8ewnoX zQO~-(<(_f<<x*iPr{{76Eo<ygS^DzWriyKu53Zlwvg-;<+|$lu?^}$Q-}+*5M=-d1 z=kza^-u&GaRMXNf{n#?doufK_g_KIGU6f5t@!B-Q(~qNTl(LU0O?2)kx^=@rkjpz( zc7BS~kLAx|AM^Am-u)FUT;X{9vBG@wN3MMvFXu{aYP#dHYPsv1&g35ftM-*2*zd*v zV{$Efjp%Go-XELa%Y2w>^+3P=N5e+9Psf!W-ar3j{e^A+rn+?})t<kzG49jKJzFlz zFO!~r^mJ_A_foy-rwe-j`*U9P*s^V*;-v|vrp;Cg^^9I;^XALFNn4)2OgQbm@Av7R zFRQIO@0H&_t)H#*rhjTf-DCDPwZ3DEFE2kk%XHVaeU?{3;>EprGxi&{OZr#@)hBQ& zpElWYCNFD^Z9(wLxa6&u_wSEhp0j1+!-i(>sYU<(eVAmw<@dFa(+eX@zxr+rVR*va z5jD4P$@S|izcQaP-cj^kZc$6;`w4rmrIb6L5tzF7Rub<7g})EADkA*#wMBO+M2dJG zPAJfRY%zf)AYoo(k7<*OZnn+>-gnau6`r`GV-~f^@X66NZ+pu3+%pk4JB>@J?_VP4 z$sD;=-J5)uiOMb6B&GJ}>YMpbc&E=%b<E!ML`!VBbGQ49REJqd7t5W_=(o79WYnP> zyT|MJp=bQcnsbawIxe-8$<F_lxah+(rpvvDCBH0Cy=_w1V-z6beB)5eW)WtI?3C_` z6r1&utKMk@En8k>l$7@1*%Q8Y?Z>XmE&MNTDOFo~>8U}8#_NR)>6@<1QQ23c?)kz# zL-5Q8;i}0I=`JaTlSSp`Zd$m;+c)T~!@MaAY68{1<)6N>wKQm^&h$-eyFSgHsj<*; z`>fvL<QLQ5O%%Ml@65H#r2l@YlhpTCOgBEaE2M3D`1kk=TQ->dbi4LV+LyDtpHX0I zN^54|zXz7i&iAr|LNkTVzck^mjN{an__?fg>nw+#GMqDKCTZ4&rAK+q|9j}nk<Ux6 z&$yT>+r9B!_qwW!>ieHho>{EoE;d6+jHm7LNmE^^yA?i-madDIwN{w@T6giH<KYiF z)8>8jv^y?7S-?2xrsm~YUljaR6<_pRT=p`fs^jse(w3!lvJY1NJeQ&Ntb=d8is`P8 zmWK{L^;@KHOfo^*cwS+HTc>7BQeQsDy~5=#;U)W)vnMTkP|LgbO-bF_*>9d&F50R6 ze_F)Rd#u-Yh|ik&W5UxNHm4&xOkZX%^k4UUa(0S_T>$q#6T_=2^A_q{zv<|?@$z9+ zxAk&*o^KNPUlg&IG|iQ@^lP?H*9`l+t645_T7umC80F)Sbia3><Fa2hk#l8~(gpz* zIiu3vncogPy0lzv#}3=}Dbt(|v={7BXL%6*Hu}ci2kRfOtWUN4_EnR6<M-EBQ_l$1 zoKZJ^9uRcuY0aKq_rBA+PhR<2yXmaH<K;tpp9$E!Ei&4EZFQ65$`xPC>=!wgxfShy z+0){kYj{(ky~%gkW}*HIv$}2b(+vBbZrpao%jSgo^@8n_>vT*w@;B{2cP;y#)$+~D zr?wS*yL!lNske@es$cr+$179o`;OkYdG}*T`t6@8cW-J~eJv=~{OzUt?tG;A)zjiS zb6&CT?^(X!`TRpi_x3!sSXjSV;P(!jyX`_2E%WM^rBp9sTooj%)A{wyJ$9|X1<M-a zj|a)_nSPRQU9x4_2Ttyd%}Y04QL%d@T=qdTRz2^D;1eF1m>KtGOUvvxZ=JMVCq17- zRV-|)MfK-pPj#;I>aKiRHT~#~%U#n#{H=eg?2S2|(eZ1_4((boy_Z*g)xDQ5|J*J1 zT<4AF*CNkd)Agn$>3_box8|(+^%EO!Ota(8H!<4Bk!V*dmiO*<t=OCh-IY)GEMA#z zxjp@>kMMVsrF@5jBV*24NA{im$;7?!_gCMQo_5F8ZQCENJ@zFr;-H=H!E?tvtEBQ? zShHMTCR*=zr14AE|F*sm%?&qiZcmpG%gZVW`~7y`xvc-o47c8@Pc`Iw(st~M!@Z}I zv!6s<?v-BT=f=0WKcYhAbLqyXqMftya{6>9KTQ+6wf&+*;io4jQ!A%d8mEa&n<^U` zX14f^oZZv^->SNf$A+e+`n}v_v{~QI`^e>OjeB_{UYB{bmVCJ(_^oPdmF3ifQ~v2+ z^O(KOrCCKMC+kDg${({ts)XuY%io3Gb1(eD82VCg`)?lel^-JiYl_~Bkj|YWx-;X; zniH<<DyLp8@1J)~VrHy)t$wHB$*<mByE^sxJN37S&R~oBQ{s5K^u|V?Tl<Z)zZG!m ziKJOCx~BF$Wm1Uc^C>gmHS|oFo36aM`(JS{`<nhUwNW#!US?XNb@kXA=Iw<S>~gPs z%UWD7n#<R<b5{RfhkFY*=9RsD!L7`@dAY2|lXXjf6gIRzdwp^3#9y~|{o+=>AFe0W z|1V&Xt!t~b$XCW&zEi#b|K0a&YIFCpe=-|x{(5=;xZzvVuK~_)w|rofy0g0=n1BB_ zS(!r{)t5J#aChHj4pzxny1=66Q&Gxv2UWe0zcmXxjdNdmr8aJ;=+bSAS?o1Cf2PCc z=j^5{LpU;bhPOOS%`q(6C;95n!lLshJpT(u-~HJ;C%?eN)21w=QpLjYliN~}g*>|y z<ZLdVlgawv)oM^7GcPl}DOktL?L+*!11pmj=ZYKsxMkM%;QNhc^;^XSu|@k7q94cE zs+lZF)UcReryktIef`qKvxh5IB=P+8vPsHS|0UVdZ9OsCpWQ1hB!zcZ>vFDV1|9m% zO}|V2eaSHE`#bBibkn}-pg5<R{O&>>rnwV@dKSvG&-9!7t)z6vk~ts6y;g-!kpIka zxkcer!pp;@i>?KI;@ZUG)?g-aw!3A?Cf#Ef@{g?7lwFzM_CjSQe|71p1#_L0x8+>C z)vzl!X3g3l{;tDjm)F^c=Ukb7T4-1Htt6StC*{)4O~3Mf;r)QqUaxzq_KO&?ZTHKl zQI9L0x}a~8<*!x6se!x1_;)j%<C1jVpn6kN=c&*6#dE}$d(XJIv!0)2qYS%0!=d$) zKYwiOz9wqV&{yXaHS6xH4LvjR;#xP~O<&t;ZtCW>UFZv+LErNoJ6?%gl5*ZB7Oh^F zAtkqUF8ieHk1kbpNlzAJ@L$)8?6o%F_uqDWVo!YO)zEOoogMXJTaVwqD3t$y#n<m1 zM<zab-@nh%`;X)ny(%}c^oM!nGnVIBN4gr#-y_#1Dz1Iub5n85*{BzjB_;~!sOpCD zoHaPd;xb=G-EL~Qq%y}&(In}^`}R$BI(O;VrH@NPuJ=vvJ3Mix`s(gW=OveHO`D@o zdY!NR$c4BCk$g7=*9aX6vXtbMd+%A;EVnuBW28?<eUrhOjCmQGZY{WR-e-<+>92@H zrMm8O2ed4C4c^EoZFOq<e{-e829d9GPjDHe=6RbH?b;->Ta(RkZ&RAzY}S)Xsk?J4 zb^V*Joqsw-@R6XK*^lK4l944V<J%r7l*X^S{L$PqWX*i9jWYUMbQjD~)Jm9e?#zrQ z&p3TUG8Wo-uS(H+?(MNa*6Jru@&$8KDc{q-RvtT6UA8Jlbz9+;{es#KX$)^yPF%(r z>@IdkXJHuIgDDfvh@Ah?scwDda&XdoRb|UL2OsTroAKs<<;RoRcV-J_U2v3SDV~?L ztmYqwrbyHjH*-&ple(!^QX4ro#XkA^e3Izg1>8Sa?lcyrMC3|&8g8hrUHfC=e#O<V z;}1DZb5W7oI74Nw!JWH}t>1LH#QHmJ|7ESc^Zl=m_h;K+!9*G6o62JA%J%F3&<X6F zyRPQ4gRHBjdRz8|sVm&}8?aSm));E=)Jx1)-S%(_1FPW<<49e(Jr7o`IyNV3*{-~G z$K2N$==p|aZz?QZtn@v>R$ES|D4cVjM@biZ_^0gjrh|1kA0K|uGMg_bHs`Vb&BdWy zi*7D!RF8?f+<QDWTGPGGp_uXh`!&YQd2UZbyLL}}>iYXu)||NB$1{>8&R%WOSXsj@ zzf)||LSA7<!)<@c)ce<-nGmw)^b4~uyv2XY)mMM)({8x0y1LMU`M$DpPjSY1;cGEZ z*sE`DOinwpmdA79oC6csO|(8Oy`s-k>X>pmA+MxSZQ1ktSL}Vxt~}=ayW~T!cF)PJ z5fj&3dob($DuK2Qrxc3Wn)@F<xE}bvB~2uI)sJ&YSJ)3-(oVYn&i&H+Cw$x7`X>i{ z^lbgi?;iSa5!03*`T-ZJ^0cO@t^T-ByJ2>9|AQ8WFx9^mH$;yuOq{8)Z>G56R(0d& zY_5+Rw}m7ZZjze6i%BNs{G%_cDk~y*-FE#Jd$M1{ZccDt(}oA)JiJ?uyK3Hj`t~$S zw4>{BkM%cxxNZvDa6D8gkR|NvEl$oaUyA$Umpx7vcdhSv?&>Pu^zXgS8CKD*H*;mT z3CvNESi8G?=Ou-6?+iVfTu<+wd~~r#_Yw1Ok>#=(3Qgx@7}NI}md@5Y!Sp@o%=^7d z{C6_#u!&roUF9_6-+SYkHl}NSH)Jip=2R{*Uwu{KQtJlqs_S;gZ}tcuZhAerj`P$@ zpINbbFN|9Q4!-D_XL{eVdgi$mt4oh%RD9oX;q7k|-wbVS^)14;T3UN$j$WI;^HX8z zrl@19s}njlO0z6x&Pnkz)qb;CGpDQiw&n?*HQ_F)3sa{qcpt=h>ZZ_>nQId@>a%Ts zdOMzbcF&jpzoRd|hw0jfWy{wo$@y<BJCnB3_}GF6?7p8DI8@E@`SW{q%2qa>CsA_s z2e#TwImVr?wM}u-*Ov=Zw$5P=73Ny>^#@Pw#0;U^v5Bz<A2V~j<UGamd4KUA2^l&2 zAdmPB7nAv}XcVsV?|v$~Vn#q>smM_lhp8-uaXSyZXqzL<A0k@$vQeh&K$81riIcWc z0zr?j&ykAw*0_qZ&r^Pm^7pu@?+*8Uh?o=Jd*?$7)AJ8WAMUB{Wt_A6^>uZdxr}mc zZLF6o-6kC0>8B~)Gh@-l*V8{b?Wym%vFG=MuXmZ96K8}~@7mB_Q*JnO-A}c8T{+34 zI#;~}9z1!!jzQUGTA<ucVVmtex3hBv?l{}n>n(VmdM@t3$4S};u3WI~c+VQ{pnv&z zOd{{!)!&Ld+`g^)x=Fi%cQ1eCu4}?k-QSlu>GhO6SBdkSzA*Rww102768`>+y0uLA zXVBl{-`9QJrM=+s%YDX||JuJ*l6v+`AY|8(eQ&J;JAKsMAHH$CZ~NQb+~{tn3{&&P zeL=p5{@#^VxE$!?@K)&eH|8%o7KR)6w>;P_of>g}^B;-lro3zXC;8oa#pR#A=N0>o z^|^eE&Y{Qp1-?F34VTECe09eyS%u0X`O|+6ot=F~X|JnJb<Omi<rTlOz6aIJdm&Rk z{T*-SCet6$Nzz5kKBY28ci!A_dvCkqBvlnpAyZk`HTEmIk9}5j{H^jS#Wl8IlF*jW z<#k5lJqt{~PBvMRcHLxM;b->bthfUH*~u~rqF*kRY)xHzKxyvkiI1dBmK5BM;<@9q zI<)^nhna@ht!)`0R{mBgLaNtKoS3e;C1vBfr;;w0^)?xuNDwoR>aOmoF)6#$?7FGo zMA|R^5|59yT0$v7CjB7~IJA0q9QVyC71}c4hr^+wH!B}`e>{36T&j8Bp`(^hf?l{9 zXf&j3ZLzSPZ6gxBgwgb8Ms!GRy1heOV-ZJx((y0Z{de@`64mUxr`QX>+$^@W;f~kW z$Yl%ldn6v-bb1!FF8Q&Z&7)hhQY%@Uzds259=2F&yQ&pyZ#HY~=Z~wb6CQ3mVzlQK z-{WmZ%=Wyxr*O>TPt;D|r9JH4FJ^CAnRM|~%H%0g=O?LsJP~<i>oqRHHh-NvPuGdd z%lS^}epab6^Qi?(h@Y7JJg*#)WRtkk_kz!6J^C_dLin+-c}81~v6&0MD>OUiz3XKd zd-LmcCCOGd<M@^ovN->i-zc(O?ON22OIsyxCLX*me09hConq|%54n>~_LaO9?BOo{ z_SSNSLtg8byjG)CJ{@l-|7HzWQI~9v6q`Qz%kN8~^ByQDo)kXg?`P!pLG^Ls<!x5} z7pA_bo3`+L4-?NlWxItDKMI>#ik^z7U3{`Nz((W5@wJ^<$tuxKWfJ{6_Z(8+;jH`f zv)eOe%TCAYCrq4y_wIkGmV8~)Ef`?X+U)KYdj7<}yT)DnWLjO@YCkw7ekz`SPpiw* z^1=D)F1bUCYQFW)OTYQB@X&<WcHK1>4^$=ID~n%ceyDWbgT?b6xn~NCO%fC{vRGns z?9JP_DGPNH6a!EDg#D0ok5jb!V<hRXuln+=Zr&s7Q{EMiKC$qB+2SB$7iM};Wbyt} zclViZJbWnWdwRu<r(5}+vOV_Jd-T-Ct!ep9DKnL#OR;+%aP?nScJ}y`k+CCi*ZV(j zJ+AC<6k*8ty<L9C-#2T8j`S2ATp0X<)6Lnaa%yFiw}lAP!}LQN9~4|lczK<__Vw3^ zHNMv;D>yxEN?tZ0Cb=-DWPM_=8(-p)%xn$r*QcxZ{Vfuh-fwvMWo78>WS+yP-`SRH z2k4faS8kbDda14DkW-g+!0y)jfzpE9$3JdbzCcqWaACH1sz6Jx!qdOM^!;t*S1uJP ze!RYH|2pO?n-{%Rn$EmN;mB*pZ<)8OrtJ+r9cDed(L5%xwahUtVatNPjLs0Zc?%Xw zXH8RI{qcFr{7)Qr-o$x2U)mMK89BA$jO^nrJ;^(aY<qA1s8({{aOA9`#o50%UIuJ1 zh_s!^K8g22-NEMPcdJ--2JgMaF;Ujy`SBvHS=|#Ju6;6>VZG1-z9*GSoB|B*OY{hT zf8bVL@t^xl^iA~@0sk27^mHC~3*B5&)^YYmPTCu}nBQ~H_B@?;D9u<S?Z)?#w=s?l zTdUbNign*jJfl%y_DE!{#D%W@9Vads%-QAa_muN(;braOK+}ykoFDx(%=0_8Q-<$B zk><US$fy36VdeXTPxCG?UA1}Fk5GQ2oL8C8cGb@QSz>=SB$#EwEM7UEY0}3Z8eW&{ z;ZwF=<Nhlo&#-^X=7aN9S9MOg)H&CtX|_;R<s;qiX^v^4*Ho-^ZJzz&3Ez3ROCX|J zZual$MGWhA%CcxzToD!MzFZKrxJO)GFFfJ_@51C83$C}{y_@I}R?InVlQX|v$@(i6 z)qxxTtDN_4ZEe%-dn&N*vdsRSPTL}ED!L~rC3opecQd$a8hPsbov%r=^K|^*81e3T z{%sXQf0=`}kY&s@u6Yhgude7;%q`}=_xPV%lh)sLOH|Ss@~@o=KB8VP%BJ`I#Lboa zUpTY)%yBvLEX17G@Ll2JDc@E16^46WD0$4^xpi4%?n*%pJ>Mr5VFAgSk!i)7Ihp@@ zxUYPYq;mM?<%qJ-oT-alA8fdP?#`J%-;xqb*W7tH<^LSdN>}CeO%q&HRr)>O%``g8 zGB59>-`VVmEl0Hc{wi1t3B8{C<$U38)hHeFu72%rZB6ebHu^qT_C)1d<@T*J^WSx+ zF3t_?dUNQ)S<@FY@^@{tHP%rScGH`e`O<`U&)Y?Nzx#Tw;w#^ENNs~s<OBPsKV}6k zy_SFDj$8I>Exj4PR5iW@%*wUB`^fz6jRPhMoRf}jnR8d<@%FTL^B;UXBXs$I^2Qv_ zi3MdD`{y~@tZOzna^mQ!h~+PWYA2lx_MCN7ELp|r4qt5O#u@5gMK2$Sz2$mH^S@J8 z=0?S^Cu`nZ-nD7>To>1vrd&h0k5?v`y_gX9W1V8|g9%4Y{NB#F=j&m!MPI7Tl3F(l zo_|xXY_`$)ZpZfe6K)BuTc@s@bY*qH=KGl+{Y`&PiQYE(w_-%%QHck(&*tat`nY2A zJQLaHUi~4dyt*B_e>9F=t!z+vb6)u7GU@uFi7z9c@;!LY`EJeU-)2URO~M@us{6S4 zz0;+{zW(|6rKF@!DEgf1ra7D5+E{*lZsb+udRMe;7xS{)hh}qj)rRlf+p>z?q<V{O z<H{d<PCVNYzB9S%!=HxlHa_PBpB-MS;aD_rPXB$C41r~fcdQkk`+N4hg&K}Ihi*JL zR=T$3P3}w)SH9cE><>9+J?=PO=zOVPdUD}U7URtyXHM)2J!PVEh3jI&@0{4=`5~R| zuRJ(gTf2Bx$#^gXo-|{csk-N9#n0<^l}_kL>XjC8JJ+j)b@E>>VmUr-#me}(f2Qp) z3{<hZ@|2^`!!|5()=Bv<+)vizNXAELY<nQF?Cy_`ZjOnZg-e&EIN0g#^*o(iq#JDf zCroRZ@zG$})X<Y1E{3+!%XQA)@=LvJ?RBDZO2m@X<$IRPgs$rm;E&>FnQ0<rQ`n+2 zp)F)a^fK<a3l8k}l4qyxzG1-Z@k>_!Eo-rAg$iGowp{eTvzIM9?mV5pbvD;R(FL~7 zMchZ#uROctc-1Ldur*Zr=fNY{lb4>eVm;}4ZwE{NnL`KG@@04ER8?G3T{&ruTx9QN z6)(@NS1u^~NM3xxXVfWcy`^M=&-09kpF&2yfA(gII@hNsOix>|(dm^>$Lh&v^k%A; z&eGvLsmo)zVOI8I?b+3uUxS7JIn2>KeRN;f&+{8@I#qAIl=gl`)9E|TN1j<-NG|km z>YccI>&i7j?+jg-=k%;Sr<t%&V)BP*ohO1vws~~q=b8vT65n+_<A!N})x}9Q=a-tD zmOXGMr%v*fuG`}!Nn3<|-`6@I@HN0TmD##R!P9KcgYTPe{1T6j_0gH)U9np`@_=l` zHB;5CM{i6yvrw<2^2%PB(|=#yKfhx}W8bUF89qi$yC=z8d=K$Ieg6BFn)FF=9F>nU z6Lv84?>qEXYxA+wws-GN&HI-+J-ohW>35C#jm7(9wJQ!vdVSaDYhhy&NHSUT@0&>c z^~MLsQuvmC@B8N7%(BMs*W8zTA8*-db@#vZtyO;SE!^cMG%d68wKyn|z3SDP1u;jx ze{9V<n!HybP-RnL3D>Td@s-yYSN~XZd#={*t6u$ipO5gT2B|;(SjH}{dvN7$^WyCK z+$kOc@5>E#Z8`Y5S2iHg#ctx2sE}<-`s!!i@L#p*+uXi6oe@ba1qN%|PJfxgy0w|J zBJDn3-Fn$QHv+YH6wl|g3Hqb_u_HX^;YmG}otFd)t9HK?YtoW?eZx#}yA<P_qNQRD z+``jV+&O<y-Rw}oj#dppmR5f|$7sgrBl4M#s}}oB4czDdyzMw^+EgEb{;sQ<FJ7Dw zJoUU*>qijR{jRRwsQnL~6v&<bqpJ0(z-P@#we!a-Errgsml%pMKC1h&^kMlG{nRrW z=?@e1bN7gD49vGJ<8i&Z)M@ei&ZN^kX0sMtvkP?Tn`OMn*HLo5e_7Mxz%%7eGv@Cz znDZxmpTQzl34tA4Z@VwZIVv@M^JsPnoIJO6?YgB!m-}8$(mwH_&(WLFNB?t{Xovc7 z??10a`41e)W&JY!obav#)yp_O?~_%(vb|EJpZ&kg#?<Zws_j*0UAC5_9E_@1d_(x= z{M*)VjE*j-Z0b`wU>z=@_Hn%nbFSdB?+N<c7vl^TMdkcCy0!6#oz{G>36?Loj~sKB zG*rA9aq^socdDP#SJr1|GR>TJ&rRI1-*mRMc}cNk-pLiulS+>)bzaZ$Z1%apn_cdH z(}kaySl;BGy6wlpm6AuM9Y4)6?TPKpJ1iShceJFeb9tJ0=xpxVBau@dC5ZhydX9(b zWbm7k%GW9@4^&GAuM@tP;kCd+GoM%e+@l+ULQ~bhq}*tl9krd~-YJd~9@q9RH7)&f z|FnXTW4C;CtiIKXxzc|n@|PdHpAyNOKjp>L;+D$nF7@KtJ1zcIDW7L)O<6F3BmU6V zN2^z?S$>dpe*ZKLJq;ll>0|OCGPxop4{!4AUnctf<je^|U3MqeM7bCGr2Vt7bUl2m z;QGN6*TefnT#6-@I9-TwkX)C0FjhZ9N8nB>i%!j*iM_99GJRahXCE&Y*YDyYws=KX zb=GO2cjDqR?Ch>FSMisvIl6XB$zDe8=)X2WOQb(kx;fbF>6@497wEC%t=X5G%G^h) zI$ul<d#SZkQ@Js*=b2NWqLs7LwX3O4vC~%QygnhpEBJpQ+vRHt>;9Qe%KEeJUa$Rg zlYg5+ELMJyJm>VexN~B=i+YOlwQr&}m%cnJ;9i%%+?u_aWA>94JGT7m;i_L&Y<V>0 zyXC_P7kp$c&!|`#WMh%Utf#lYoLl+B@03|Tw4_Tc-#yn{W?{4BLXK`=Y;C|KA)l!` z9KUag&E(2EUntG;JUjZztM|*-zMHgDn&tW51(PbJS+25cRK5}E6!P)dCG^f>$)Vz- z#z7(qoLNFXwd$b)Q-r^mKRx(eb+c;6@z}3f!il;9Jv<yo!*@2ld}^`F<;1^V7q+_y zERH<8vgLht<-0pa?rdL`bJ8%`dUA+@+?xwL*Zidt4=&@gpJy_S>zb*%$`z#>_m<0* zo2DPOb*VDWT0i~cg_0j1bL5r$xn)DnU+c7;7iT&pLtmg;Y5(V_1qxe^`s=P}&0VMX zgE>Ewf99W+td}nY_9im6ocbCa$6$JH`yGa5Q~TZBw<@%|W^-@2D|+DW$)<~Mek6uF z7?cW5R+^K?^grv?GnryvZintSkvG*?ZW?a<D(;vgJ6}f3K=;h2&#xVS3QdWgAX&WX z)1HW6!vM!V^Nqeg+Zd+EUVPg2a2JEy##kLWnJ<!?J}tbm(tTlW=VBiVvB=P-Z%kcl zbr01Q-qf@?9QyRo?sa`hb<rkUPNYOBNw_;dz9%+MYg?(<Ezce7y){qX9dxaed3n_J zs>$J*&aB7h<oub~!g?~9Z@T8+t8MS<rXIW0JA;dNcA<>emp@Y^RvxqzT$wE>Eql|V z$lt3wAh2dm#TO=<IqbK5K62#DE($%CSo$RH8pB3?t=&^Q9xY$`_`JM-Q_Cq9DVdx4 zQ~T`q&(!n1vhRl1b3MPS)uC^?y-%Oo5_j{buTy<U_Cg(p<6=i1UuwLTvzdRJ%C-W2 zrz9TrUmMRI5Zt)t?eyTsPofu9%sg0IyGPZzXz`Opne7GgYpx%4p1*AVK_A0xnV;6@ z-o>h2eqy1S(*Nb)uHG|jpJ&L;;cC7Ac!6k9&*5`k^^S{VBK21t%59Wzwb(rQg_gfl zk>$xHN^(YCdkfiEIc)X)o_25My1JiNnW>3we~X;>vH~8?Tj89)ras`=uW(-5E;PMh zhw%zS<32a}EZcLlPB~bpi~G-xiYaDX=OMQ7`N20c)0CUq=G;4bcfO90&BI@1+0GNU zwtp8WTgku{z9as~bk4qSMVyHXcYF0D{$Dg{`R>ZTg3jG7^>&^<uf9rn-wEFGrM+{n z_y1G2txNykdTqFwFDZRJyUvD7vInGp+w8u1b_J8cs;m<w)AXHE1b<EWP<A3tD?rg? zaqK;<z)uJH0)H-ewtb81VpmQ%|2GdbPX69=DzER!qwr6+d-Luc3~5X7UUW@!%B@}V zoK|lMXswuVW5uGD39}eF4L@by`X|@AJ#&Lv#6!LZA6w#9SmbE0@0ps{wYYcjhk3z| z%-=C=+tkt;7|m+DI`T!h75n3ilwi~C!8xmEXjFM{E}Q7^?ZLq(MtOHd+%LFW6g>N? zbMQ;dBVMV0QSB=d&ZZ|`tKs4B2|lHL;+(ZciecZQ`xC<A&p&s6Z1C=P<Rj-DV(yhk zt)ka%%QZ~<H#@`KX_IIFvi7tF#d#}M7|lHsS;A_ab)U6j;tI8g$=p^-sn=#qZ0cbU zb?;>ryHh<uV?)?djpo;uw{=hblyqNcYPxEBNoK|2s2vB_p8n={XnlFVyUw3eduLv~ z_x|e(tM6$wcV2%_`u<wy({`3zzqxJeisWjqy}qbFx6RJK;Nsk%>DEijzE^EI?YjSJ zMPJsxBGvMohWR0Tn|XZJ7tVLvxTtmV&W<UuuY%^kwUOEDZc}kdc82uvGZuNCA(#EQ z7Plp|Tek*gES>0W`MF@P_LMr=&!!h=9TVO$)BK0RM&A48!d!oYy5^WhPJ7NBso-Pk zSNU)4BTMIH#_KEC^&^&ETp6M)yI6;pe@c+o%%<no8lfLLAD`QNPT_X`UZ-$NoBJED zy;0tAEaLEIgO2ZaBAeM4>&=##wQSe1jU_*xN?zXPob*4a?2*AF<r%KC*q>i0*yQwK zgLeG7<h`6v__PC@QibFKT2oo)EwEm(;7q0Yv>6=RJ}1xlr%_sGv&Mt#`?6KJm*-y- za$+{Tv?WSLZr#e62D!G+yE7*j+z9fJ_?YDpp{8LgE@Y}__Hyd3MasTsFHOx{?pS1R z`d#$go&TpJoHxz5=WOMCi&_7{BB`#*JfFPab3*TTd}^JOTs3oUh3wvIH=V+(-=EWS zTz_uYt<r_ho4L<2E=XRRkZN?UwElGHp#|bA|8Dxwy_aq8^6v}kAM#X9%!?3tzw^^l zr&Kc+rX4e5nnND&hVN?;S@Y%Nsw+wRUM@Pza#rb7MT7pl7UgFz{ku%{4xD<TwC5ey zbgsJBJ+JlG3283f;Nt!>J)ASo=a=P!x$9~U9<4k0_oT(V0<Yfw%MQy!3>5q1S%0J^ z$t-_0{p?8#xk9h0#{*>!tYFy5RTQ~HYp2-1nkyUczGJ<y>pIh&+trNQiWmzvZ**hZ zd(^Ea>9OebHcMXK72R9vi+TU6cL&bwJ^#Ye%j`1eN>}5b4_7v;+T=P0x$I1;*gugo zxqbfe7YnrZazq*=z0hEP89lWm;MqY{q3jJ6bM|P;p6>YEvZDQpL)McU7xy`bEM4-T zjD6V&CgaP8`o2ZUZ8nY2;J&i`*0cqmPEPk;dEujN`*tsnH`mWEU~HPIyVT;zW}W1K z>)ZMMx-3r#I5%a&oyFxgouZeItSYOTsvkO2`S7_7$B*->#g&JjJbWr<vWs%ucF9XY zIqJ7~-l|{N7S^=v!N0zu&HVEWwsjfq^7fi2=;r>FMP<9jnW&7@@3y|#<hUr~oZ03L z+x8lF&%7SgsFL^i=En(J#Ah{pIXj*2rtX)d`etbdmTfKC_A~f*HuoLS-PoG3rGeQy zWZAv!qub{?bv&PuSCgc3_SQYwYfPtkUd6s#o%t|%^Yh=F-kL`qss*dmTkbayIbZ+f z>Bkb?TPN5xZ>#&?xoOxrOZed8kE!QcN;luXB*0-c!K!oC0k7|AMQi<|W~+8=4tw}( zTknqz$%}K0elOZwD?L{vw8+O`%hRbL6Kak%EKbRvYmk_^PCzzy6PxAI{Rs!3+TP#3 z{@kr|=_yWSMd6=!t$FcJZs{dgi<OdVxUwC8aV}l>MR?=1{|nS_R|<ZRuYUXY{nWST ztg{8mZ%qGJ;ac;l>x+xZwVO-QzIHtcGQ0PAySC{0_5BG8erd}1#%9DH^zm97w)|4i zpXG*=_Aj<c)`{je(U#8LIj3GkYto&Ks=v6xe@2&1l}_4{xZGx~=#TsfH}tmue&PJ$ zn}zhc{MM*@{qc8SEXz3jBC=GxXP<^qcGO?j#fy0_ySp#qSrGK&?n8%&1q&5F$-5Z5 ze0z8Me!azo>JL0uZ<FRdyJ~g*uYVg<Ccb#V{W?wdgs5?-l8L&IZGy>+lAUpW9lxcQ z8SlC>pIIlM%4+5Qb0vHMc0yNg^sr|c3CY@~M?F5up4XzfFP|@Gg`&~l1H7)%{4ZFg zJF`09&z)XX@#*pJ>fiekmrpbLd;O)ANp9JXC&K)9Dw1pba=-kJQg=C?wcOm)Z+Aji zZpZ85hu?G9y1(a5jk^+heBMC@bG^&8AL{yZxy%Fg9=m8MZ#~{DZOZ$2UGRr#+5AVj z%nsXHTkH(X*(o`RYt5cHIbs**m3DKk@jv(csKJljb_u+NRw+s<$wu#uvl)Noz55!_ zx;%`vFMj6Mzsurc&s{rXn?7UNg!>Do-ZJ2vmEiL_=HpDYR9!L79VgF;UNP&8axSm? z?!0%25li^jmCt9NpZ#~~<72h2-+r>={`swTU#hcZ(sZSl`6_n*W@Z{SgwKw*$kY<y zyC$ilW+t;leoF9-OKNs1WhXd3zMYWx==bCqP3<A<>4{6sS9iOecwNzZzF6dKzmsmx zS)W_Jl}nly+B3N>Q;m4CPMp8KZsLXe;k(*7UKa53eQxQt`tmkK=beYf+?MH~BDK>D zB&X^g%iJ-wFR8-!?ANk~rrWRYihO_gq|lzEa}jk%WjXER%8nn?3hlWqTo<Wutx#yn z=?Nz#mZhoLJp8huF2-f^oSR}jLK}sq@Fex0&gR;uwmZ7jrBQ_IaqaJ;$-ECl^g_FX zE*Z^npZ==qrE&C%sn)UDKX<fzV$fRtamg)%$chVl9Wx51%<^P4n<#&5^1Id>Q*N}X ze>b13%V{rH%x)oUGehH1X=VMSW3^8{xaiotn)OIvVNytGpn>Vm$&=@5Ii~1N5s=y} zdvoc`&c?gJ^HysA&kxU1U+3}a{oQqnPM>Bj-fy%stLKLK>6=1-R%Sk&zpf#{byC+G zF4MFxftsh9KK4fVepXLkmA}mF?e@LqXIC6p-RZ|R`Iyvqr$3q-xwF5SUpE*2w{gc= z*%=O&hi7x{pK{to&pat8i_2=&alZq(?`C8^eCuL5e@#jC&wp!Ow%%Cw<=4r^wI#vJ zX4hR0a`}GPL?pr@lOvbG=%vo2rgMwEZ(QSkX}a@t<mB{N=M^eR&E=~b8>+nD7Zs|P z7O(fawC&KL=Xy_<U!Gkpwa(+x*23-Cit9Yy9m>02ci_vbCGzukGbOWjPv&9kI3nF8 zXY*;*e5FM8q~BS06svCD?sK~$@rAdCH}dvbpEdqE#;oUex6g=dnmy^Nm&02Dc~!>O zldewERxf^We&^~RDb7u++-g7de139op8D-Op?=D&LC3A$??_rxoWAJ5nM23dY1vg@ zcU`b7;*`c~rN43wTitD%UTF0!em3*4x|(9O^G?@O^A0IrpVXXnKPl=*?W5i`S2F{@ zTCMtEqIS;vk>&J>8w?W^b9Hk{1r(+P-g3zn_~zwo_jE^#81uF{Mayo4&0EE6lri5y zr+=}=r^PO=6I>6MWLM9;@#Ce|!NTAhvM+Z@nf=}z^>JY-w_ne~MGWs8KCU<xnA)`M zXOMdFho0<yy^qqJsWMwPA4v}p_VBBU%`xUq|FQGV<-gVX+f{cQj=U2d)Sb<lS3d90 z&gUoBo-3Mv@ai@e_4N;v_OIyv>mM#EZ@cMwlD@!W^*)1$>8G7EngmyN=Qz#UWIuQN zm5&BNOw|%!gVfJ|Q;<!*B$qj1L5|j92fLYj*-tWMdn*?%Yqm>dxezy7s3`Jy^3v*N zH5QFz9+_U7TwxEf6^deKmvM^jzdZR{&*{_Ccbsv2eSb%CO?mpJhT_VTNAD~%PT3hC zGBx$#>%Q2UdrO0Y^VS+}^tN&Py8noq&h0nuH{Gw5HF4%Y`f4J$LGZKk<Yk<4oA)oM zoOF}FHsk#iebE|&@4TDk`LrYz?d}DvnyuJ;&ErRj@fxmH%ic$peiBc;W-mS9UzEAg z$+e+$M-fMG#KO*RGdc{E4O2O%FFDY+Y1smar}5qFf3GK$nf|I&b-DlKUnIZ4uemic zJ+B0o?>V#h>$$LMo?{wcPdt4zS$t)Fr857?9VIi^6*p>SfAx}k7k<)AeR`sc*LRT_ zhVIAuC(C?J_+zn&|7;S^zge$%O#?0|ALo>8-fzafpjP?nV!q5|Rg;gN0R|ael^k~O zja_V}K4bW^Rx?RXDPofA&3UWrk9YX{eG)tAe|6P%kEUk~HrIj@<eJ#m3jN*Zk)i4) ztu3KlYIUV~W24usEf(@N5yeWsu8A$0tz{Y=cwqg-<93$wobp#rijkaE)pU(%mtXh_ zNt0RJ$4+)8XSo%&bZ=%7YKctcJFwfgFw=m)_QK4&I(oS?Z!GP7nQP}f>xa)umaoN@ z%?B;kaII3+Z7K~|WA-JGo8zd`vqTHEG&wD?W#Tj2AHERc-JU+Xo$HX`#~JMpS7`lP zv2<R*ZQaXd=Tmo|`RtK-rsjlGpT^xs3CoXi-+Z(nPB-q!mWn0YDn2qsoBU2%kmT4V z{^jhH$0rk~cdjp-vPa9#v*Z1az~jC0?x$NNQ<uKYN`E%-lu_&MZ!&++imLBluXk3A zS7M#${Y9O1VOeuNaxa`Pd&1g@`D=e}$=Jx$z0R#>V)CkzC*61M+HrGEZ++&l#{bvZ zeHDJHTb?<r@qQ=Zo4N3slGs<_v~8`|n$}!B5%bc+>xe{8#PZI}NG;C|>k^`8S(L2j z|6H)K;E2=mzL~mWY5I!{r3<?sIv$jJC#}mZqg3-gzvBGYeZj#Rod-WXId=a}dRp=) zx6cLKmin^}9JP+C`}uVG|0RVT($iAcK689%?`863p4ao)Pn=gfYn=ZO7?YqKx20*m zLDW>=nE$J?yIvm4U8yqTZjq?emVhY<Ym3uPwcV)tbzgh++~;B2_dJ;@ucY-e<^9G@ zTlYp;B`B|d6Z>;2UpmJWi&}5D$yLV_UpKutu6<2mc~{@8Ag!te22DJvRxv79Z7)o2 zwJ`acFS|5MZ+rFRwkdxX|Gxcc;@^#b?pjJdb4fcZRr|2<k>uNG6O-L94>Tt44{fY% zk(%{N`gPiMxrWuf$M)L`UA~ul-OS{Qd)4OEzh`IZrdruM?Alm<S-frk`n$D}-`@*v zs#*Q>-l5rlJ2srCj5jhlTbX>sfwL<!;=qJ8SBsd>w$vEyiD)`(`i#}}<+d#s1eo7G zeX-vtyLy*^{$VLjqdFlYCL!HDlcd}}PVI`-e5~@zSknK6mS{C+{i<5Ie34t)EUB`$ zP8nKEduOb1cxgo5)CD<@PZy=MPK~qto+D(@{ORI5eOGJeq$k{ySY4WXl#I`8TwuvN zO<Y%+wRX>8)~{PFR2t0cC2#$CAzpCgitNOsk39k=$0|3fYR=wrVg8A%xr;et+9RKy zsNWmD{>1sofhLEQmLIukcF{fNn2oA%yLZ9tp6N3mvz$HSnP$oBdfG6{d0O04(Y~!M z_sqn63&S2Rn0ijzYs<{|cJYe=U*dPoU#?aVo+M}~9eiPZ*E5~ot4`ZZW>4WST{uPj zjPG$nmZM)CxjcUCXmwOn+#ohfa>n5cjRG=r^d0x*tPqv2|9<}Yy{lfIdAJt+RC{FJ z$jEqih116k*^{HTm5P{gJo{nj5vsc_W@S=d^aN?^QjJWdIpHd1ebLIxxtc6R7WmIj zVLGp+AFA%Sa5~SqrHgo3P2L_zsoOt6<kkz*BPM$`?)>|@AkFgEvYv|Fzb$p{p4a(S zyy9DM^tn2<x69{u-!x;(v=@IC;HtD^g<0vw%Q+q9f<<!}I=3zPWxM~*nIo=(?GaDE zUG1n{<RhuLGjo^A+S}(J?ztW2D|SA&iSK`asYPTWlks1^{}X%_1KOWlvT)0)U^!L7 zsC(wbJjcIl6C8d-aL;@ab2Ken=1Py}x!sRFUQG_&_Oh?x#;TCK?D;-34i`OtGGk`b zoT`tLoi^-bo9ddT*7Zr2VZv3RDcuH(x;^H<^DRj!-!+lXSophYX8Q71>ld)NpAh=> z@8h-49cPOTUx;x|+-k&oP(IdVLlJkJx5!Mkyrl*Wd+(pN5zyIiRkYyHhkuHZr&||1 z>puVg#VmD2){?TFE0>?n@S5%Fyjoy+ae2|i#|cNuwy%C_eq3zoggZ-uX8b#~*X)Nc z_ur|CKB@=$)&+S#K6>To=7pb%jvMT^2*_mm{_5(!laWEk)8v9uza2d1!pC@R<E%ZN z&E6YB<PYBN-0H`zt-*G2(P@hns_zWq|LZQ~{=YW9WTty@ZsyjW$*eiv?<TNbSriz) z=9b^Ke2#e~xdLaGe_W#XY}uEjd(-32*zY=YF6rLvHLh_w-7A@YO`5M@P$Zjt?wn;* zkLZ%zvZBZ5o-r;<c*$ZacEGqZs;_$P?bC(!^FMsPZu_q0S!npu>zAh_Tk=cBC`VdP zOE%A(H*@CuhAVc`_l!<5s%o1a%FsQg`}W>jwX9j4S1nHL>nrWMRkHfoq}iXHl5)@M z-GABFx%`wxnt7_h@ztvhH79+2-tm0e$7QWXO`G?(+}}2H<_Go@3DYjFJ686sSZ1w| z|1`s8t!Gav`L*bjWh|Ls`$c0{pOweEQYqJs2Xx;#?5nuqv7~=`nhT5a&chd1&k{3J zyFP1X#Szg7ooYMMR+`UjDwWZDWO?FNbH&eyFPsZ*#%rCpqwMp)y=Oy!%F+F1QR*Mx zTP!!5lpU?fRrui1_i9IH<;JtRHx?ZDP$DI<X_*E0-4Ne^1A<>S$)3F#@gnT2=68;0 zp_q3jJ~ofu#pTb_joN7WYeQ+0`3D1SAEAF{>Pmcn-frH`zdN`#&og5=k841`jcCnl z#rRndu1<dU;qg4a%7+*J`7OLK`PF*q%9!~bZ^WnBd3`@#COWs~#X9r56}xLi1H%F~ zt}Xq$ecyu%zrJj?>P-4}tk?R9s4;i(W4_ikDW&<Qt53h(cjal3<T~Tn|B4@HsU7sF z>Rs1=CEh^Ws5ULO)+%Prq=0{ULR!s=37jAHT#(Lut6!RA7$h-icTC>*2Zl07a_uKH z?JFot?%HC*;Q8A*)8DM@;dj=|)P<J3rC-^(p4<wFH@6j9CwuowMdHRfnS=t^MUKU@ zm3rBioDP1fm($~8?NI!mJM;E8_ie@7F220HPkrm#&uj1Az8${(`ZUSOlA6zNtTTFa zXT^<IaoY|p)aqGO?|GPK<68Ouc`D~`U7S7l`Lf$iZ&hZVPj_arc^~{N`9OM7Hz)Va zdpf42GgY_UIm%FSh4ZIM`J#$7s*}n$g>anh(e&-Q^pW$eWsiQyLBSfGREHfrp8q+! zCw#eVQ~t<hi+jdy#TU|(k7k^3`)2b^;L_pfmlI0Pnv{i9^9m;^W;bVY%~Covq4n1i z`L!-r|2?YvI9KrtQ&3dFw6K&LR=Ue>mdsE-v1Hl)-QN!GS^U<>>IJ9L)fl6#yoP>@ zBN?jC=xn?YpE-Z;vC@rZ=Ql;Y@{qmmy7!prjK_;aPu)8_Yt`3X?WHo4|5zNh+8_Qj zxr#sg*1xu?u}%Nxr>>p$)M%!K?@?jjJ6WeRixS#)PBQUN^%i|JIc?Ub@C$RMeq=V7 z{&<DJY{hIpXZiOAn}S}oxN!DKnO7f?QarjvL(bMmE462zrzQK}9zW~ppC5BAJC|@f zY>ISjb7o4c$Aa}Gmp`mN#B$HiM@!_qWNMObn&hu}HqkosDt#78e>^NJ(Ys@QXkG#P z2SwS*72NNOSkLgpwf<PJIOfa6^j{fUtq;sB39;rcS5uoQ8S>!4-jbd589Q&^Wz6xm z-*rcJ0&8+>O7eaN;os*{kEdLEROj}u%ipQHzA5UyuDCQuMveETf)vKWk^~uFlgV`| zt0%6>bB>m26PLX@<?yxi$0=#`ae9-NI!$|Gct_lsWv-^3>Pqbsg};_eYHxFrmWesK z_hqmX*Mat%Pc6=9r|?Zbal&b-!Ha3GYnye&y+7tjmbLX<Qjl9>^TPV!<!$Gmu)WZ; z5Zdha&+o$5SLP>oJa7)Llbi1#X4Lcg<?@cDO1Gs<F7pN5zdPYpw#01B?&vvR9?P$* zsbZS@Q2fdC3yXf%ZL7GE*0yAZM_F`DQJcu=)wjw@?3h<^>S;WP_tEB1h-0ui`&CnL z(~Q5DgRL*lj9MzfEv5YE^hZAV<&)Qn#4mho?`H99^A9b-ZiUO%K3$imo|qJOxJx|9 zB<@VnE3L50E<8spIS#otE&gno;5Pq^sJwvlR(VO)4{R)<A@N1ostu8KJRA=mF!URS zTG^!q%zZt5KF7Wi)(e5P_bPXtJo)3N$G*b4+dn%(7bi;#^=Nb*F*~TpGjC(xN4*bQ zwNndkdmM;UkgMDiVB?(H!;-J3ojN^rDO0ksD|7D6pF)eo)8~uiuPRNrwz#+Gx7S*Z z?b8Ea9d%P$-lIP4c~#?$$BrADtOL|qJZCGU>^m1W`?15nO25PGXNx7i=sn$=nQOrQ zZc1n4J?0+XU32-(({uL+IvMQU)V(nNuas)Zwu%-f7OpGjmps3-@{!~3nhGYVx(>PW zCDJF}R;nm&o1>{H^Gh;KJfc15bN8~Sj|$m`Jsf+ClLVP``<ZSYH<s+%Ec`Prb6M*b z8@7g3FNA)xZuwE@XX@6vym0MirVOp;>CtoMeN8^vylty+$d~rwZ4Z}J+O%Ij_x-Y> zRTyu1L)?Shs}{%mh4-*Ha89_Tdb4Mr{<kf)c0zS-p53ATGYaIK^%bvcTby5C|H~-m zSoYp$6+3@qJhCdg^>M~z<Fcm9cNF)|a^AD+*P4wh_wjSC*#4C5jOGQ8I>mJ}{8lWK zVm|C*(ZeP4**M^2q3R4{&WTJ9pYhFqU9dIdlw;kGzHJt_l!T66$tev~QscJ_mudEM zJ*u8A-hE!=;AUBol@lt|4(*BNE!)nmdZQv@t=HxCa-RDyow(~)V=Bcu?WD}I-P?T+ z_VICrT$A3ICE31j<C?eHNBubduB%rwQC;e9G|Ba6($%S+%`Pu@P5vpFcw*W6mQ}Gi zn$wi{-sq+$1d6IAY;|1dnU!$fg4uiTtr>Ny8K)P{?>^R=XLI#o?)<Ff*Ju2CSkP3@ zkj(vNnS|S1=P>R3GYlMdQw<I$9TC~(YcA@|%KY}x3!#u}Tx_~eh1gh_nd|nxuzj&V z=*7y_<tomOKUBW+s5R;bwx>T5k?7)ZY)(_&-|d%fq0z%;&&O|Ukx*^=tNQ#by^K2N zV=F7yS+On;c#`S#+`K>7_@}IC`d&rht;<@Q#V=iRu(6+<B&l1Xm{9Oqigj1|@<Xx~ z@3oF^+tF>}=ka)*33uq#bNjuPR_m<E&=1eMbjUj4LknxF*WHL6>uQzbC6qsKew(4T zE?Zwo$WZZ^;g2c(EZ4WKH@*1xUguZuTknORWb-ziaOaqz7PdwI(!!5#ts|0W?buj5 z@siW~X1AZKPqVDeUfMT%>FuPCo+WF;gpLUa%isCxA@U(XM&!ct^K<!)3*>~l4Rn`B zX!T7t>iM%KtU~<fk{OOgJM{N7giW5{p|CTo#?mQP;rz7rl;sAqTEtHl)tk;MV^y-S zZF-{Q)yBDLS?BrN*FSmuOj&s2{L`tccCGTcRCr|n%mACPHqWE2XBQoN{O0&AU*62u zHF7ggURvO6S~PLv@(?>m8)@a|8y&A6Ie9<L$E<PpWS$8VqI*)dt+R_uxxjwtL+qBx z=Ds<_z7yxBD?I+Y=50v!t)k%GmM5~DC#zmg2v^mxKArMz?)?){yDGldPps{K#@^XI zDe=+b{Z*Wq`?-Ey-nqO(_sa|SO=0Vuy<WX~a{S7&mvtLdrcN~R54e9bb=Ko631>t% zewdieoIh!)=YFB%Ne`K?3rI}Kd9-V<SKX^Ad$uiqa(tblLFA+y_KBwh+FvjDxb|7; za*v7b$?Th|L^qecx;4qXa;lNy-_|E8U(zQ{42cd4kbl2?rE}GEsU=Il<!VVsu3SCy z@UQqBuOQ!z;$0R0G_pTjpO#}=TG3ZxHa~8a<5h`&n$tUvKen8-y6A?zrBR01&(@r0 ze7$8^@A_6RJtR8GeiBDJTSZg-G48gsh3{X-w2Gd&RoC<*WpPDIl6KH%k!5VE;%al- zKP}-~Yu$2P|Kba?mDRph6CAU7E<2^W-7xw1`C8NF!dj(hr~mTb{@K{A`t^pn?fIPd zC#T9YimVQFRCHoeIn}_Hy6CKfUxR1%qPABF-cvXY0uN1U)Jd72xllB#lPfgiC~L@t zCebw!N4Gs%w`Eb-suir!Z(?&?_ihynNjm%cXI#3Fki(UG?|;|av!54cWc>Wkx2Lzn zpLuM}__fF1w0CBc^rMm)p&H(k?&k{EB-m>G$h3)lqbTbWf3YrD^51o?hiw%B{`+^j z*uQbSkuH0r_oLCl+t-5bNcb;LKVz^bTQ=qI47Qd>_x@jA<#g)wyo2{rVx;}mSC_K! zMSL;N(LEBhHgm)Ms8_7VmKhXuc0T%P7nr~B+S$Cz+S4?DT%5?9m;U01>c^6U>eu2< zNf~<{D&JCM!)Cu>@nqKjnZ8c))+ao9pDp2wQv9P~scCrBPhZFLbh%7Tl)vCR`=B4S zslk4l|1Pg`-q%zz_2BcK7SFlc-aKrmD|pgiWwAiM$YFluo&3#{_xb(s+@!z$`}ws3 zbrWhX?Of7)d-6e69=lDeF65h@oi<}$z~Vh2*&NLt1sp1wYXj$%*!bVuRmAqJbr&x? zOKp*7)BGvjtgb0{D=gcM4;4H66a?v=ouo8F(#7g*N?B5vK&DICf-90|CD)v{vhMsG z6md-Mo+Eo!+s+H`w>o;5IR9aBn-HTZ=2aHCs+E&zQthX2YeV_d{MB2uoL=e_?pM99 zY7nkm)7!E)?9Rtz)43d5-QwiAn^@VJr|@u{xOu;6?(erLyG1mV<%DmXTxr6}`DV%< zNAC%jIQZ_r;q0p3R--=sl>Da1o_TkE{xw?vV+YfhTFYE(Ru$!?5gD2Reep%-dCP8u zzB+2;|7TOyo*k7>k_*GN53aRk*!`yY(W7(M%-(NM|32~Ryu*9fPW~`yZ{0HwM=sAL z9D6&sk4`D&5@ljK{Bwa}^ZgR{BU69wF*zC`@WthhK*(k0b8?p+S}ZBc)zdAVX;QkF zxz>TRZk0i$gL>8J<5gR2Uo}2irWxk8=>Fn8eTfG%Wf~r2$q91J&i?4^XEQVMrXBD6 zn_r~ocDtT@{YAd|Wx=7%3wHe2vo!Dnv%&pm!7{vd*`CuIY#HniIM&>obh!NFc>%k8 zN!_+UmHLLZ*-bz8EcMwS!M@k(<eKy<OWuFU>yJBG-Ko#AZ*RS|(N<#qZFW}s2e$Xr z*V#U}?3T)T<iYV1#~r`vuw7r!c-UChv2cIqU){+p*IzJPwKwOM-#q8wRu0yWnchMR z9!jnGZ~bjMOQEjUUN7&B-0~aONc_2dPW;c3tJ*qcjcu#;i&aMo{ohtzD7un!kxG|C z-P=ONKWskB&N9?h?0V|l>5*>qHm>QsOjDKehe?^=g?yLgvYbr0#TU1oePUW{ccx?3 zG?xAL>!w()*__%P<EDAz{3?xa-*e8MO*+Go<Z$A0Q0$^=yQcJ~EdrOmt~s+ziBtXZ zxt&L}O;@_FoGi&ZD{zi>GwX>Zk`t0QtX_JCd0%-WS0De}nzEYM;$1~bE(!tHlfImu z>Z6szA+%ca^2_O~3&WIBw)S)LirObhHa#xV{CK~!(s9#ERUt;#20sq#$*2Et=g;r5 z4!nF?*TvUmr<TF?^G9keG=3y=zb!Ruvbq>q5vYB8=8c}_lk#lY6ZI>UckceSpzK`l zbguoE7I2#8sC4`mIQnhcf{b^J)l1Sct1KfmD<>Y6>xyrDwrq<=@K34rlDvKrTuEt* zXXG1fNKuSRme@0)+^crM&YAyT{WjVz8+!L#)4|KSB}?8HO3aA-yP`dDOOISbcrd%H zlIcA6I-|#G*`B&5>yIBi_>AY|6rr3v#Z#NxA6DvJVb+zlS)szFztBr5BTIP6+6fJR zt}xz;m=XOe-(};u=wrqo*d}@W)Z6!s$Nuf+4c)x=ZcfshYBPO_%bU#`XY;?$@D#U} z&VQuPdTz;PC8NmspI-f8{i&iG{`p<|)EOS{T5ac9C)Ef4?^BNY#VxgA*V10^k5O9e z7d=&LudE5V6r^6FcFin><KHx|y{4{$%b2UHS}TlCOtT3!J!$ykEa%R?=IN_$$u#r- zdiF@r<ztDrK(xTuMeA}`D^<n6YdP|zT;@&wGK(v&wSsI$hG*+8Ju>%_P!H#nQZJO6 zvYM%S=iHTB+|;H8Os$pLeE6HVc&YTB=B!r{xA)DNcFM*6i~o&yjidXg{QH!)iQ~e8 zRz15Y#Vc>+G}(AdxUSXtt`)l`*1l?G`LZ0HDUYg`?q5*2UB~{*EZJMj%TqGTR|Lm< z@YT*;^Jrgc=gc#<S-Mj=*mb{qMXXZsDX;(Zx05|p>ye}8wD?D}<tx%}+9(ND&OI|# zbE<))Qq$^OO+}mg?TY0x2k$?x`o?9oruSZUkRQKkYRCNDKNs&?dQy9WYtQGB(`=Jg z{a$^F>*)DM#~Ir9FxuRgP+?s6_*hEyokF9f`#aW(%yIaU-2W!w)FuYE03Lx43!ZV; z@~Ks;Wj%g6`$?(npHJ(GQ%rW(9r&oQaYv5O?cWu(o7a})G<N-)-}#Xx_xY`zM!k>b z6sqWKDsjn{ZQdR5$|B;*ti$ik*#AAh<~fh;D2sum#thjbo~vx?jxL*#ytX{3e9JKv zCsn4*|L;39C&iyia=h8x#N(D}EFI`qxS&?f*ld<p+HH;xn_K-0m0TJ8gQOo#+Niy( zzi`=%1FA7Tx`j5;k*$@#7TZ_HwXXir{Lt6<Xv!k}>BZcuehO#?->9iK`8fTi-qB6> zwM)6Y*Di9on%XD9d1CUFdpnvg?{Qna=3qt7%%npSFGS~`Yqw~0`L7l7u|2WOIQMdY z$oc^0`O0&1^A76#y*S%`p3B;QGu$_aRV|$TPq@{Hv8|Gy<!O(h@_DJ&d*>5f^o~6j zIU!te^81SE<z4MBa(ACfK3BhNchTN=r`YdI4RYGy#JZX7dg4*V7r!5i@Lhcn%4_Oy z$IroF_LT`cq-5Hztxe&o)46r>_t`7S!CM}!*kQjYBiQhkmC|9cpUa-S;B(JlU6t`T z?sCKR=acUrus!ZNH}1!lsx8SIcI0on%+3Dg_tx6Vmbf*~3wPabnG<(o{rUPu=eK{} z`M_@9gp{{Se?8-`X}&+p??ooRQ}*u5-13=k&2%@fwQKI27%BPx((~&tKc{bfCszA! zq22zt`S!8%mA|ld1l*aS`HfY!HRH~NIV){vJcymk9+$|usO-x<SBqKK8k&1w3K{Hh zld@S}d{j%>x~a=a*(tcbvhj$HU7pYMj)Pl;j{ZBgKw$ER>v3=Lb%b@Mx_=b(?vPEW z_i{06{c~JXC3b6g9#`FsEmLJyxrJ_Fe{8((S!Bh{q$s<o>#h2%8h<_&(#g8y_kZ%H ze;p6E7FEpYG2e6k#!g!a`w7iI_879;PtxEsdnO>(-7U|#JW7qNTlL9Q(Pmf8z=P?& z_fqyKN`Gr@^-XQ^51x0}>6?C;n4Q1k-P>Cn-}9gEIGDY1PneC9r{|$tKQcaE{`o>w z`_)MyrM|;AZXdE?KI7Hs>U)q~>f$`1L;SN(9f>}?f9V?aZI=C?m)|**^5|}bfVttD zeQS<de^e0moEZ3%kJD{|i1n;XC-<d4*e9ZtIq#1X=botUDekS3c1kf*xR1_J5L|h* zZ2gx6n<Y!%Ubf=;$Ub+*!Dh`VE;o`-hJNx`@+slUqsX;~L{Gin=OkI7@>F4--7oK_ zFWVDpPdWbCsL383w5Vd)%3|hiOH3B5<k6hH{z$QJYK4Ys*YjCs9A?Yk9PQ3I|DbV7 zkj?x%Jk7kCuO|xa6*_Oe_3^<eL1F)bgC*rWBD<~`?YSW$a;Qw4f2m1E_xGhPACE8N zJ$c^f;4KlM$QUWx@_ZSq^1g>BZ%;hcT*>|2<AYl^&wBHhk<s&mTEl1Enml{6U8-$d zrq*N5zmwmYPrRXV{9yN5OVx_r&<dM(4?o_xS!u)b&O7qG#GT~NG8QLSzq9zJaOc{E ze-6smmbH9v`^3<3@SMmz6|N_}53FWyx>LXP*@op+cMcvEthUmhdQe*PwRQjAb6IQ8 zoyvLQT-9^y`Q!64r(%6yCQT1GQDk<{!?I&*yVdX2*N@m0Zfsn5eci*OhuJ?W9W1(9 zeR0w3=LVMZ{zu$Bl4CEtYV*%+EWaxrA9<jrdic!b)=iA>P0RG|_->MWZ<6;Qde7yE zwx^p+`d0)BuV#^(9{T89tEj2Xx*d+Jb0i#c4j=pI5-fP|(qm0-*1abrF4|B0!fF`h z(qk`K6!(6g+^6<ErB=+hzfSnP(23pIxzxIVVfLQAK?jo4JW`q;ES(bD#6IiN$D~t> zZ%nbN`lj&i0=K5(o%t~)&9a)WD_gl$8m76~T$<7>s~LFbcImG2B_<EzrX719>~!PM zLWvyjlgHOj?v>gz<CBb;>D0t%FHzCwA#3k0SAL{w%_UJ~lWHrMn{~WfbLkrOiV(s0 z>y`gxiyNv8&%bTFF|kO1`;^`B{8>#EN~yMW*F)OWgBJaH!u4UoT{WAOh)EefkGwX| zWsHoe^gWcJwdl(Vmfw~o8{I!_xf%RJJXh1Cer_xO-td#n70q%t9Rw6o9<A_U`RbTA ztt;{W@vMTX#8Baj&+Z3znANYlf8S5>)*j1w&b@-KPO!*qIAQ)r=iH+I8zM@Uiv3+K z7Q68L{fp1Fzx=fR_2-y{;rrdc{;1XN^PjJO{rP`yX3M1xvK!eGC57L9D2lz^efZUp zXC@zP7F1k0{Oo_+1K!nbhj(qg{?OxZJogm#Uxn*)g3iP#%LV;!$ltIjd>faC>BKjJ z9)JI`y1Y8Q_3As1zp-npgZ1?eS#uYKs4o+dKVCik=+j$AO$F{`YJT6ZZ5P#*s{X8a z3jgaz9|IdYl*Ll!#jUlP@rl=6fOFGA;}g6tJC3AJc@@0AcW2JyKNH+vx~+I^EO>_R zl!Zxu+hp%<mVdPq>dSwIE!e;zn#!6X$@^rHD*K7KHce;sw||}VYj4}Xi*r-<iIkPB z>X{mUD603G(eVfW;uH-T5B^nAW@-{Y^82rwbAV67;%WuCDI8swW=b3lF1Y7(YGz&a zj{P&sSY}puyS^6>3V+Wfl+T@)d+tHRH<|rY_6YGMSCnnI@o$xL%<+wp|C&ntg*OCt zT>M_;{r_`?RdvO^<H28C+kc05$~e9c(0g>}!yf_u?(lE&RZixrJ9w>Y+vTUdTmH{Z z_w%Oy?F)ae+;4s`_`iDXy}wu1r_XPB=97Fxao_w?idE@fJT{d|`Z7Ow@=ST+L~c2s zga4Pw)!kd4ec|)JxL1PDYc=mLu-#hB_3Xssx?JY;`i9bKneVDmUxnYUvF5Gzb?#$2 z>e9Br%H30E&5O64qAANCC;a`hV8?x@s;S8z^0JsEi{Bsl^1O5B<i0EF$s3-rmPw~< z6cL^*vSLNXZnkAA&tp7ioyb4t$!NLX%dvKM$f<NT^%WJf?+LB5ocireR;qdApEt)t z|8qYIZ_}UWQ&82qqix}@yTOTNhC=VYN^xGdW0vRg+~lEnODfbZ)=?wt`RDZq|9fe! z`Kt5(@wrd(<<sJ8rhNLn_mk{=uO~%@%$dz1g5sxV@I7^AQ~M#-8~R|1)d6|wNvqEB zt_)mQuM@r?g6s1O7wHK)CVkU<PM)^vw_@{8_4WO$vru=k_glTS>=&$}GA1=ex{Aci z>J53*D<VGq>5R?ir5dGge%)u`>+SVq(`G{hlb{v0d==cO=caY6QB}Q}zLn*Kv-BBl zp)a$QoK>{Fn`OARsbvJE8%4f*@^w<@!lg|sLv~ItIufLF^ohdB%Tp2(n>T4_`*<vn zO;%)dpJDw$KGOa#*S}+2!Cm#&rgPf)FD>R}PCt8X4MTg{HKSP`eGMtO3tcwY+wH2k zCEDa)tH{Y2RCIBU;+Oox--Qo;$_#(tmS(g1N{&0@!9#NVk~uLpx(z2q#F<wWluAxh zX^OZJ(e{41+eiKQkc>A)y6yVkG}f57EZOyh??X*((RQ=h8sDx3r`S(&Jty{i4&Nk; z9;a!o`#<vbU(J105Z|=FivQe^vu)3LR)*eqYb)hjq|dB<#_`WyJ9hKg8upWUE}UJ) zSik<hE?YKF>y^DM)ob!j?S1=s_mt}VYr#*dI|8zV@8nvsRKL2+x?TF}($>~<LG|nJ z_gedk)UW*>p;2w%x!hE(#r(DP%f6$lE(XuptR(lOqtD2w`n{-+chZJSYYy0#e5n5T zJ!N%7rqqZ2UuGL)Hx=FUIdQ6Xg{QZ~tsKU6`5K4+UC~%^VTR}wpOd@hxChyWO5F7A zU!vH(b=vjqb5_Oi@Fr$OPLUK6<e%o<P`E=fHehE$@x&dILj7j5Yh8~?+pTw6(owl? z&7*m@k~dg?Rd|=75zIX4T%+V4Wz!=uC;vKY=qq<FT`{p|>rA&5&Y_FqKYe;J|6$T{ z{g$;Y_dcKgV4Z6w9v#g8XruD1T1C^AS(`RCRi-*!wyZM=d@=Rti?31_?6vvNF0L%j zunkj_Sdy8R-Bi>k67C~Xb7zAy)4WAD<vQe-$+^5r`*Y%ytGi8vpY^)GypDeiN?C77 zn<aQ=nc3ytSimLMJo{{riP@r6g5jn{viIh=>13q5IQ{Ba@ixOk|6K>GvIRDl9=T)o zP0}*_>ItownxnUBJVJg}UHAOGa>wJ87Y1_QI@Grti+|pg#QwhRVC^!ldLKEaX5VGW zI%U_`mtK0=D!*)M*K3O(t~SoclzIhboXrsoH`#7HZ>{<V(~2V#xNCxzhFsILS=nEC zIOccBpIb6Vw*8tBbb@WanYa3*m%k+Ha=kXL-N)NMV`*}#jNQun6Sqy<_#)(nY~iI3 zbN&|HTKJ-*$NqQ&^Rl2UxoZdVXGdS#(6-#jFhu>GkDGebG6uDmscV)OpB7uMF}L8V zs^ZHj)gkS%^HXJQCf{Rp&b=@A@pxa_UOQ#8^0-o0-?J-LKl53=Rzh^`h4aY~=jHbv z3cZ}wm-@CoV(G0LZ@T=df37!JF|#y0*0ek-G^Hvvcgen8o3=fQn0TJ=^{UP9dLq8O zKC`OGEmtM#px5zN+3&X8nz?vc__b><=C9wEF*P)P+o`D=1E+3GHu(`6GhzAl*igyO z|J0&?F}=Ube@^$?$NIk3zx$RHAL<rbHj$yQ+D0!iQZMo6yq2e8ZJg>?jU$=9q^y}3 zc}253K5cdXBLiP|=fi<^%9B(%Gx{wIBJcd%6w9@mb+geD(U*!o=MNqbSd<!6Y-D5_ z(xkolXzGEZzumfa&dCy}7C4?DH_N?3r|y}{Do^+MDi`-VD0S(t-0_^_Yzb%gPKQHn z6O|8J_3b&C?)~7pc=ybjeLvb4?^`S2a+`}Uf7;1S{l8{0oBT;*nSXudp9OYSs^J%= zINK?zMjNc>_&A64hnt6*&pe$OVv|FgrU*neC$siuvn)4Ru+=xf*Ydj?8;gB)V$|{D zM#|20itAP`c=(w0(R4#0#!sxJXC8j(jd47z!nweZJ?NB!)(TxC3rlI0_(wu={xj@# zx+<klWgIR_(p&m6MEb%PrG|VPcNOhANxj70m6JXDpKv^si85cH=ltjXu};M^x~qM; zDkZb(cej+r3q3mJaw<Sd+pVX)lFv`%b68xb-9;m>B^wq@TzlDit)WG;;g|gzrOxIr zHq0paBXTCwIg7(LHTX2wi|A*UlBz`3UbAYH(p~#rO(FQbQ1g*fCNkTntk)MaUmYdk zVCA>lcv<SMpsvkJg8pn~S<8QEP2DTepvi1A4}IF2b>kHm^M>GWhmChO*dF6L(i-X8 z^XSy>tkhF0e`X$9(^SvDd|jWlS=~g1+}RV7c7}Dyc0a4T+1LA9$Z%ze&YyeJb!Uku zr!U;`%)IHDj8OiHxh?m0UOpGJ-1<w_iz%_`HA;zRrzDjbdG}PR=zV_re2<Z&%Hz(} zhc<{^3S{26;K7r&XGSKh#{(N@^8G)w<?Wmq3mF~>ah^y?wLLWD;BVIN2D8M<eu+)v zn)jtOW$LoG3&PGBBud2fA3xGxdhJkm>n+}S5yz~~&+3`dn!}PUY$-G?-cXxG^wEZl zpoV)PyhR)LhON68XZKZCCornPQ}u=3-(<ejO>sX@KKJ+-b~4QVbi<YpEKQ%brwUD+ z?WMA2*^=wq)=gw!WIz0579Zzn|F8F2&kMG_=UKh6yV7%m)y0neKfF@sgm7zxf0`wG z-eN6_-ki2T>nrJx4LKhqW-nykbNA8;-s-mHY|m1!Oh4(ydHU(ebJMp>V&DE@<K78w z>%608*1mhZj_Z?=RP&mKYo11tSuLIOX2x?WPoL%?v2-zOy8q4@x6M8=u&2n+(Gis8 z)sI|I{O11uX*|<j&0ihcbNBya?WLiIjPhl&Ytomh_r3HvqyOdbe4YD?Sp%{qg*G01 z!uEW!{iKOA9PNwp8s}C&D9RIMzVP9|jOk0J)~@-|w`T6i)+28`oA_&aSLiyI@=QOz zK|w7d;8+D))sd`>2YWl>m~4E%Ro+d=`4JM~>FoDd{X|+mPkBb(#A~nG(>trVf=ur5 z=ALPlGTfhHDChWT-zOJkL(T0qM+6ElKb)YNdb9D7oojQ<{ynOUoWV15w|OkPv0Ogj zZPd~|j)q>B+k<v?AMCkw@&C>(eawB$yQ9<sAFt3Ui3rWvdQbgs*D|5JOHr<|_TQ`Z z`+hvMNSffP);UEiKeDA$H~Of~%Wub@PV#>yTQ7Pgc8`kSOz+7xrr-Ix=N>+rmi|rh z&ouQ}_decDV-tS-*!ERg7XR^HK2wH0QZ+gA{lsJKm9O8ZSYD}Gz2oA|qdjwOTtAaD z<Mf;f7nBn_S?ZRkFREB{UH;mU%-l6==M*-$@B5rxQ?zH>oVG<m$5w~!i(|hdEbn{q z@M<yBnK=UAkBD<dHS=?pMLt<?u%APHs@KvR$zT2?*ezDeUc6lWdC9z0OG-7SwAUWo ztsokptG&N^**muKC1Hp9TEj0c$S>mBH$B*TQ399HpN6UZ73V$)`f)8|ec4?xdGmCg zw(eggbJnT#-ci}I^+G|cWytR>%wL4eehBQGbUgQ!`}?UnnW2Ygtg%@5`PH?&3p1~6 zin(on`({tsh90G<bN>jZ>urgC^sz#BmDJJ$g&Ve{E=_)@{N&a52i9x8DaIXJu;l4R z%ei$PRbKI4a(4WN7w=tESod(ZaL<cUb-&6f0W%e5I?ZcXE)$_X^;Le;k#>u(%;)ou z2W(7w*F1C5pR*~6&+KI8^Xze)x_GC+w(T-We^d-AqO&@7zIbmKZ<akne!9U{Qw`R> zUR&SZ%-i`(_Z+V3tC!uhXd&Yahh)Vd<-|3c7Bxi+z186Kk~shKQ(^uhp4U3hPTe+` z#1#JQ+ky2$OE^D$+Hr;@Z*xJo(p=%H-a}e{Zf>8l@UD7h%y-r0nJWTkE&45a!Ql^^ zsbkV_VdtdzzGb_<|FZFamYjOIXa5Tsl``*nE?!S;ID7OJ6gApxKC{ldc<XQ{`^#s& z>9wIYjEspT>PuFro;!XfR`c5eW*JU?Gu@@UV$nC7{2#siWPRw0e3Vb|cE!Lp`=3Qe zznnh2ysCP`41Ezvp-}yc(av5crtV>6v68=ZUq=7er;j`I#l!UC`oj~J>!0#zuDC0H zbaM{>Y$3}V&$u&R%}(07u;6K4=Y%bD|B4?ydMsXJ-Q1s&%P!4+WX^ePRmHXG>wDgD zCl}mWoY3|-UR}#TH9~s%wknPMg?Ht3-!j#U?h9_~uU)A2$5zhd`%LK#*SAZWtD4>T zYd`(1gcXOZXS<1U&@qm=@BYLbYl?dN@aI$3)=3H(r#+mb*#G{|I=Xk3*%l!Iw@Bmu zg1;{$<8+)&Yk5A}JT2i?S>X7_XIhF@=$x4BU!4>8JbilV%Km$b%h{8cxh##iCu-lS zbaFf6S?d!M1TtbgxlGkE(!3V#lIS~qbdO9*>#{}b*5qhqB?aeC2<y@HjrMl_q1vPE zzLHDG(EUT!o{85_O`i75lYzO2)mZC_Zm+st{Dl)Li<T-M&G$c2$j-g#*b4d7&L8;_ zne%^FDz<KBZ53`V;-4$?eBHzRs=9Ohi}E|t&euAx&^VN-*!<IS6Z_RK3XYdVAC%2J z^Wevs2Txxq*)H|=_%y-kYzL3}hoy#|ZgV0hT$#-G)8}56#NB$9Z0=|4bku*kU*41I zvTM1Da(+>x$O3_Yi+MBWS3Ze8<InY3?@{Kwxr%~wX1%>AyV+ys*QhSm#e3y0g`b|; zv{TX}-ghtG<SS+;qD+=Oe)5DRZ?;b3)hl<sD;1T)3KoY8hi`uCJ#!(uviD;R)hRos zEq~;x^w_b-t$ohYrjsY<or}^<@02o3HQ<=7IWg<z+TyP5Cyh*&CMO6TeXpGT<kbBm zOG7Ib+N^of9KT~{nr!Sw>-l%I>O4FCyV)As#I~G|R6fZxiE)kc8BGaQ<*B9ICw_3B zS9cekuyB(8=ci}7mrnS%Xt~LW6N#UG2d2MV^Jh()w-oDhH^b?NR_9$^CbP=z#R=B= zKH}GXa$}ixiqD<8AXxcV>g(QBZrP8&W@P5LWhWa;ef?au#q3kyXZu;Eb4AL2gb948 zatz4$BQh<2Qq(F<f$+T0N<mTPM9K5ZSFdpSc;kv;$+wxO>>qndzD@k;RG7NUCE)+U zH#V%tGfyWb@x+<ez0@gLx+BPU%EB$P=P6$bvaz2dW?wyTaWBt;Zu`iSlS}3sG5SY) zfAp}~d9;4Z7n8g4=O0`@eC~3l7UN=hg{AN2sqZMXjCox7EM?N1qQ+HDtSYCEb!x9J z@jjHaEn9_A?WNN8!i_BxZv?0wlfNSN`RLU(#}9vv+v&M|p-t|G4e~wuS}DARr9K8L z7H-@q*eUTSbrU=HB|{s}N!LE<u|4tZ^WWQNqP^gw_#(wNMxHBc9@Z!&@u!NpCCSIk z?(lSZ=FuY9@nPZmE}unHyjSK-|7;&LW6_fDnX*L_Q%?P?e^Hat5bKmRZ$Sh1`nug_ z#T#eyMJ3+)`_<WZ?yHi|$}HNK)j8SRReRz>Ux`aheEK7{NnG&!%C|FZ_bnFazr^Tw z#^__wg}-JO`wA8B^`shYoGW9t|9iT5TJ^#OIm~I(A2w;c5qP7Kl$w%gus<+HQdvSs zC}yWeQ&&O!46W;&eYgK?d*keDCHGnN=Be8|^(5JCo_772DZR+=sTJ>Z=R(OZOK)do zwurU<uWQ^pvvu2q2b=a^4(wPa;k$RIuuMYl9PQSkUbhvB4?jCK#+b`5S6wK2^AY=` zHNSLRjS~%(+xZF_*rPlc=j$hGJ^t4aw5j069ix-KcTTWpogGy+;ke4QOGW1&1=c2h z;COq-Q+D&8;2W<Q_Gh0A;9YZ2IKm?4&=g@752p{@6C)jrdzDHy{*b@Q`>br&7M1Ha zSL{kJ3z&A%MegH+h)6ZzV?hZ?&le?L@=!UoWxD6}{}&2B@JzFkDVSLo?>M=mgva+% zMN9APqo$9~OSzsG-@oaSNW;u)hZ5)fz4UJLe{s2~98p>_50*`PU~&B5e!-w)+CDi> z?Grz68@F!aD{o@f$x;02=FIhLqDV0-U&F@v4ez&ye0cu+#B`g`qpHywmRFi~@Ue79 zulT$oHCN_9RpRsOpJzUP5M07xXtXN1Nmxp6?-|B**)vV*=RJPFT(SRll$0#{`=r(_ zL060e*1niI=Yr?K`Lj<>eka`PvexES)bl{srf%+&clKV})7TvII%itJ!pTk7XBYqY z&8IG{>AAsW&XE&0zfIs{T{eYh24DUuH~sql%e$9OZ7=HEvFCNu^0$Zm-Y4CCP^6Wl zojOHeYm83g`+)1WXRNLfd~Z3C#r~&$=lYjh-GkfAXJ5VXR!==z`G1mM+k+(&42(GW zOxTb1&z`fiV!0(})pd*2hU(Ar9QRLnp#McN{`s0^A?f~#>mCG%P7-`o^*voJw=;ES zONVb$(?s5g4O6c;^1iw}Q}IWt(e0F=c|!X|JU*HRJznzQ_*u~_JnB}GnW@uG>i=lx z@>{ZmtypSlM5@;jrHs#eCe%qR*UsNP_4J3})54QgE_(_#GZ)@!S*;V)=C!d$y>Mat zi|MYHUP<44lvKsDeC_nq88cHp8f;Df?;O@`?_F{0qrUci?v`yf6O|d&k1kM_n<CbE z!l3Zu-Wd;imS(=VthoJ@oMrs?r2$5p>r{BInr5}|h?mcExv)NDvSwSV-pU7cD%p99 z+C)PionjX<R9~@fZ^&jPwkOd=X2q9!#i})Qb~RSG#ZPs5-~WmC1jj}0b>$peyI(v| zl35kM<Is}e)g`}=U)~)%!Kzg~ecO-J$s#9MRrD5A9-S{7zRz=aM$k=>??0XfFEl>e zk~ryj#<9aEtd5p*$zcuZ{N^-TxAo0xy<T==oZUfW}3rt^eOH(zbnUW-D_MQ6Nv z@BNh9a=bI}mZ#-T9dW)zdhGSzT6rE#PMN3vE0b^A!i8(4*5+ntIA=#oze+X_OZHpi zaBS0&?H}i@SIph5SG4g;(JraWN0u?{t>oQX8FfXE`?>4Uqpgz*;zY##gp9omtm5S+ zZU~ulykzDvV}<K;^po8*HXV`gyslvq+qBE`N4I|E0;!|t_u7>zzEam+UN7(I(Zlob z5&z81n+;`Oda^KPFJyUAZyLk6mY?JGpR6foKWA}iKFn`6W&LP$!PTby^(r+<F20v5 ztwroR`{(uWPnG<mc{hcnIN4<S>c_r|bXAk(FG>70oqJLyNVBc{X`WNPQnAc^g&iuI z#YW}xMgOSnJ7~9l&!VSXLdtrbe~iPswKF;A2_LRmr#8uKu9wB{JA5lArarl_``&(4 z#>)Qo@<^#QZFx&&n#H4%=PgK>dENH<SEnUq0c*t<emS#r|NYDRzGfaf|M|72f~0cE zR+lHXohObxiQ*NmZ`;&Zx6|R=UWvW@i_DIHW|eJw>~-@0fr80vgCrH#-D`IG*gr)+ zRzc7*e61`KQ~R`^ZAb2^Dyue#*DReeVWEpnq}Q%$yQ42A2u=8TFV`-)>sN=wl<l5! zC!Lptf4%clldE;I?o6I@hh}KkeYCl&X=>a$$vno*`@yBaP3~VsW;O+{e0yyD6dk3B zMH{DV*LeAL$&POam_NIJ%sYQlcA-h=3<2%!CO7{c?d_RV%olZAEueJa*09d(_=fwc zw?4M$m%s9uBX;PF%$4_ICbk+ApSNmF?nrpXHjnjSq~gKU)H9F8A4z6wImb#z-CCBE zCcP?o6HoD_jW@ltjMRh=?^U?^Nz-{{L4vAL*rugBI^0ZKcCkMS()=9SCbX~Tx3c)g zbKaB6XXea06QJD_x6kEJ@OcIe9~DQRYirHQOr@G7-f*tkqn+ho<xzKZ$LtigER{nZ zvg?m)nwh<RVpQp`@&Ci=l;aQlvb$P%Uun(wyLTUtTKEiUCfzN`)!jwMi#5^|7iTOp z6nTDaqH$4M0BiYl<sb7ccz@?@3=7y*y{BtmjNx+c8*@Cje7d{wkm23VD|=J=Jl=<$ zvg$lxEVa_kr)+(T5%-bqRV|!Be}d$u*hIY6{N=}T(Y$M7pv|lgLMH-tKMv*ZUbt%6 z9HDQIPI_>j3`sROto-T7ob%WB*m9}!xVf=TmRMpm&*XWmdR^kOYYX>SswzKBxvY@D zr}&O@w&pf3mg#;9CEmT4_?p9fPd3_XHwtF0+xo{wb6Wh$&et1sBqu+yK0M>k0}si@ z#3g4nW6e6&hQ!X&erCqGfZv&o``P;d?ywo>=ARNxa%APd89C+7%~edBPFJ2+w)vpI z`%!9Zea4b(XP21IdlslH-duSmc4bt()I+sN@w{<g)|z=NS-gtZ)bLcSko>8-n7Mtm z`}i-JoQ-oSUGH4E)IMdEyWF(n(PkRnF>fUP_&r_}^XBO4+U=HViBkXWKACVM>4<#H zd<~)L-~Fynefg_v=@G#<*@3q?Zs`VGT6OhWGFN8Yv8L0dEc{!8R@iv!2|Eia@pJP( z4Xf^IX%%{LvM_126KA~hy^liM&J@=x9lTu0&3d%ulxk4r^sMB^j*?nx>!S`mdE+iH z_qAK%leB|R<HAKAge2XlI#}NPs7&m?Txuwf^KGkHX=^L4#c5R*?C70yia&Y<_vs>@ zb>%bmH#&B`)#RDtcB%VV%(@xAL1(xA-Y}EX_SPItsmBq5e1DH{@NBlYP^UQSeAE+B ztLpp*E0v}OcsqVBU-Bco=@$RR>33gi-f}6uE|a%PTaWEs5zBj%&#z=z7$=ygn;2}F zX?1t<S5A&q;ul|Q|5V=A(e?aEzh!qu>GVH=J3Ahj{CvF1)k61(&4LcUMbBqGKl#2l z;hWc*L@y7&&wbC#^1bhugjH}(Uw64@X<9?fva0&5FFR+xFFyS=`JQLjrjX)qRW(A_ zGV}OWS?f<VnAh+BDed64`FW~AwXX~UmZm@75bQWv^VNZG9oGN6!fN-ZYCOyr*SaXC zFDzQw9b4n@$N9;kM49uoKAI0X{#_7Sa*Zd9`O(2oOfxiB^e@b3f9L;ZnYZ|@w~jwL zx0>Wy|J?NHebp?R<>GPKy_Rg{w?nt&Rj&<QeDudvUS*Y<{hPNs)tkPU_Q)p7C(!4` zY2i!U>$ljwkoa>p@4*Vzqmwxr&hv8W@x|%Q+;lVgEPGVfj+FMpuXA_JS|-NKUTOZR z@7W^#d+eto`?m6j`yCRn+W9Z$!&*;{Cw|u4_fH+D{IkcPqi&~!$k8n_oWAo^Gdfhy zCz)CwbJm@F#6_mL-H>DX%4q?P$1k6iw32JSZ?W{w#O1TpE#2xT?bQmr@cNR$?Weyc zvU9JnY7TfBIlE5X<=57}2P>bI<Z|sVmGTgrvqanS`30}McRME)m#F&3oQTWM<f|%; zFIiTwr)mDnrcVKqUIkW(XaB!w(psa)rl-C_^3a(z%zLx$UYpL+(lM|2)3fO>Kb37O zo|3%J^-%2dYPq8~vZGFIu)Ek6UbD0B;QWm@1#NEL(O8$f;izQGnk64f)~0Y3iu^pW zLCEdh+>GAJ=dGU|Kbtig1h6w6D?0V`;wHA0voA}W^`7x?zl;=fQ_!X;%lV(=!~fi$ zUifJG(UZ?kt}tB1krt5N*?2Q(Cv&*!Zb=UZ+uGR*#?cc^4<8kr)p}IUsVhOGe2H3f z(1Lweno=@1>{HpoHT(I~-A<(~^Oa3R{FXlvJ7sjn?|6s%<!kpIE#aJ(sWmD3WL5zq z%YMI;YP^Zx-~W&>J}Dy=wP)V8^4@dp`zAkqWiP2G?tH3col)Y#h1zdcDDQCn8}o*f z#q>(VOktHZDm@QP6MENJl>T%3;Kh|0c<|P;GOw5;7i1!@p8WPwe*V{N+x7LnC!gir zzvp6~bp4Fv>8{;DCnVnp`(EF;u=CB{m+Gc9UuRFrnPYPFW$*9V9hp0XLR#KE=8_ek z<aPW;x6T?*%NJk7s@7@xri*B=^W?PL`!P+pReJ@G)uX=D*%hX#0)6qbt`#;sVU#|y zl%=O_m*bC_6T9|s#!D<ZdQM;FTmNh|&xkh<79M?2XP{YnUFnapYku3oP0Nhj*u<qQ zD!MQ1FBY`>CYI<~B&a9%TH=jA=fvH+mpza@_vDzGki}i`hdz3TR_VvIWbb>WRFVC` z@05bf^<-WyzO@IF_a*BcYCLvW{2{mhk@UIUA1jk1pHEz{V&X&hU15)-E-G)_f6-cK zmz4LC-&ube-Z)*)`lGHI{U>ILs&DtMl0&-=O|lWXv{felqsJfhQ~|v^bJPCFckgy- zJkJ}XJk#i*_kMn5t4@(cWzCbD{AVYn$h@5T^pxMqosnUdwHvf9&It1qyFRsH&8_KS zJL9jcdlXo`a)+P1VZ|IJV|CX4TfBbq5B-JTX??5MG~?}~i`UA6E3a(Zwt2#g9V|Bv z{N)kyczMq|M?gBd_($^Yxo4;E&&oCayF2Rs!7e}fZFTFn?Th`}(32ogdtb9<<8R|x z`SM%W6sNAexxTU^Ql(o>#w7OPZKG3{uFcx8ooP#(uhjW2?cXX7Hm~Xb^`mB6{-5xk z-q=7>WwEtuc2!;Za%xrP)!vi+OSV3_s1v+ed)=&H!^LG+nlg)DY3*~eOtZIj+qhn; z?Dy$2j#mY?u3MFMEA;rAw;OKi&A6uP@m>5z+qv79Go@#-{rB9?p?cw+aM0v3&i(N! zS2moPJZnqPKOMpEb}Kz+AAG-M+e{zT4Of;N?E55`d+u~<U3#Y1ugJUHOEs6;Jbj{i z>CAzYm*q>;aw|UVesp-^t?;r>f!7T*G}B|2ty%Zas$JRS%xcfmzSjaCc6#@w6#HZ; z?3`PhwLv}ZEQhj_@vd*X|7z4)mL*7V*cHD}O)GcfY!X*JAy)IQ_2>qcG=chj@3X$g zG&Zo98%WGG+H{oVo$9=&N2+5FUeP)Dc=Iih4=HNz+mvqz{tu8hbZ<YncgZ2y;|cD2 ze6Cp?c$@ii&5liRZ_VFK2zYYv#8u5C|D&tzy5!Fot?m7~<=<qTqKhSr%Z2;n11|gN zU0YV@)w@GDAy-T%BV9-K>-`vY#yU>b`N7HuXa3z3KlOoNi`C*>7g=uebDUhW!noAf zJZF6D6Si5iEo_7L`2$?_OZkE&CZCkM?|9B&lZ(XSIaAd9<|@_2+&SkUvV20LndzgX zw6-@QDqSq+oHVDIab3|%XW-|FQ54+Vw@}mQD9^-(n<~LqEspeO@^^{7Jj)T$R;V4Y zyU|qr<>Q5Sv+C|Ge)N4?S7xeA_Wx&jz4NsgKR3-tdHrcgLXgkh?OmKbU97eIUxUxq zUFcr2@%l%mC>cWm55v9L{m+j~^Kj6c{3z;3(mjh{zN`63wbcqCB~P|qcw~EN;qwyd zU45@FEIAy#^l-H1L$AQo@41?9ig2@)&*n@2<8(bJ_NHaBTk_i|zjGCr7fR*}hEy1z zd(u;Com2LySjcB(yV~soC;z!BS*%~&^WS;T8lKYB>65Z^{Ei1}r4{GgDYZFy!TH~e zB^_T3Htt>Gye!VtYK`32Rfarg7E1Uu#m}Gco?-qGH*2wb%g)StJ}orgx^8-TM`iH0 zGttU3YpU*lTxxUhX2q_jxjBko-$wj+d1n#R*`%#^H-7Xym392kq-7;hSzFnTp8vJ| z=DhX0SEsP^>f6{mU2X1^)StRa@0s&I=hu8E;;!bnq*$vu*J|*{zx!+C*toa5F*$1H zts~uB;aZIgO&+9l{polT+;#jw$iJ&jzowRD^sG3%WB-(X{b}qK^{*vwG%NPrc)9!0 z*HpP9@inh6Z(E<FBc(q<`f%gUCP&4>K)-?+hA$Y!cFamLt~P1DI)VM5rXuHqEHR7H z3!8NUN>?y!RSl`yxgx1in9X)V+MmAO{}$}B+}*Qw##OJ}^|b1*OpJbWL)I!iollSY z*Ib?<H*e*)$*e*bf83GyEMvAp@2=eO#sK?1`=3q`>zCzxYgyN>|69Y~Ojd&{$V<p$ zoy^_eo(H5`3y(fpCu}8b^vztysjTe8-us7C&dS_1z82&)?<z-E_OnwJHII@#l%3|C zf4p0B#iE7b%h-7IrkvkdCB>U7KRZ1|$V@ACa{%)_<II&!?m}~Nx9_xh%ez~2dLSQL zz1_RFVJiK<cQm`o2sZyc>)O|vEvpxAsK#p8^-0y{#@aT$3-2SHeYEvBjd~qbZYoA6 zA1Gb_+otn@X}pK@zXg@5YqztSTl_R?yK|iV)>R|*|29)DykJ=V>Cl5|EK8?mUFh8Z z^ONPCXyN?Fy76W={oDjj9bf7iQsz2oX<3%0aN3pKjWT-XO*MUY_cLE^vS_}2?EW%l z!Pw@5yg~=A@HmFq<y~J{`S<VD?34YPj#3U6qGnE<RFHaM^0h~Dx^K?<T~ADZZdLQW zqx1mx-1lniCpSu#W=znKN}j;>FSqC8{#`S6RNOM>SiPpTWsdX3-wQ$(nZ?FUKNZZP z{$^3@I#UlVL*uz#b9^37eB+?cW%1H_;yhi(Gg%_9W-*l%F73`&bM{;q60;?s-Qla2 z^A5Yz%+!5uS)#c;(yX;R<*vFW&40MpVxt$+mG`#}Pdv2Ipy@bMt!eljrNW@M#UIj& ztur5Qcs0vs>6H^=#~*Av;uZAesFUEmI$@91civk%{_)-Xev;m4;qO(GZf{pfl8s$| z-+v$9gk4cbuAdg#n0M9Qd!PTM(2R>a3c7_1h5lFfUAjMeuIP<xua2k)DOX&+eqes~ zuJ3=&zhlk!3fPkUaDLoDQQKn$3#w15iey-e@l~kS|2e8G=_`1~^^x7Vb>6%Dvvlsq zC^fSGJk~q&(YmJ<al5_p?uYHGJ0N}P;xemAXQxzrni#Zeb+p9F&vUNq-TZm?)L9<I zV%*QOR|b6Vn}4xya<A>24^h>}W<Q?hawa|U^uy0L_tpQdi9h)=|JjyVGZ&|YoR^sU z`mZfpdr`&36I*s(G<+_%*va?tboJvli!QF#UZu54J6&e+P32V;k5??bKkNMU({(SO z2>pE(@niR|4T9VLPmGLY{jPc|Lu2;S8$TxZGup3=-s>VJ{ObPX8@`|G-+Zxs^*3h9 zpPf_e%~gz23|RxFU$pum)gQHBy}-%W8*)l?)_99N)XhrC(E1U~dD`HTR{qh;k^jvu zy_hmF?bE~ik4!GzKjEyu<E)a{mnpGpYw|ia$va<Lw`J@04PUP9c&1!)=al;7O&7Bt zZG7kZ=iI5XoSN-(&00j3x6XXvU%I1G`RLq84}bZmvsZ0C;u9QO?QrGzVf}Z>uaEKM z33}Z+yy@fZzUK7DyH_r=OJVR=&e+M!r@Q@p__<D_-whYscFYkgS=Cn_DtM=A=K^ur z%*{upMz?t+EV-jo_m!<Bq>@=>!}_TWE*>TUvSE64nbSIWrb(SlD&NDC(PenDVV3JA z6X`wG9Vuyn6_w7@e#B2`->l-GyXBN1^Ofj}6%G8aRVD{D)?e)tG)b`#YqLFW$!hcU zzwnAom68~p>LqSrmYNpp7cMENiAzjOjEjrA=X&|mr%%VGPni-E6A@Gt=;?X#>7Uq$ z2#b07`ZK462TdxkyFQ=$Q=9lF_SJmnwKZ+*^MCy~v}e-i<xihZeKK`Ue9^x1n~JJG zhWY*OI@F<e{_5)4TF-lT?OL~LWzjvuydx9e&2<-!sG0fXLBY%hbq-6n?OUf7YkxU! zcuK}>a`O4dt4}%XlG^)9W8Nt)rgJZt7}slYyeOMi&Q&_6y~Z-7c-BYx2}hHr<h(9l z8n>WhMiuAkDc^Jrg<r-Q|7&PDYwZ+wQPi0um%Hm$#FR_P-W-RvdNt&GX*~SG_e(MJ zVbbwU;gKgSP6$m`J9^x7&1}J<9HA#ORa}20lmvO`vP$fU3qBqfpmfwjd+Ag$Un6s` zXR_B<h2A(iiSr59+Ji=$&%1sOYdpN<jz8Dul|dVXp2cb``L^Ty6dg(B^wTr9Bu_Z- zW8+q>pz3|!o;iKxT*|oi=ZwikmcCbNJZ7(+(Q@|nyo0}At~RwyF4}oznSgmDZ(nQq zBhi*H^GNo{$scQV4qBhOsodK3^@`H$-B%);Ju44SNzw6~VEp@t`(B@}2eFfNBc3;& zsW&$Wzv3S>-K#hG;eB4qEPluRHj_S=3*Y<FRdg_CS*QO$U(KaEANC3@+v9w)IQ)&H zEDQJBJ%9h&%ALJ4LH$g!oPgcK4c~uw%q!<o$qQb|QMaB={D<k}N~;6+Yi0Xd|7YfY z-eR$T*<IFcNAHXI?v#ww+EQoYvnI-uyX!=W729>=+H{u-m+pPt;@=@CIpdUI>D<MS zB>r7a=HcFJ_~z@eg5n|``we>xRYGmdUrYRxyRWvRRn;S;dS3W{>3HY=x(AJTfBQsp zt>W9GD_ryFtLw@Msu6F#9u--Zc<^n`Nk+TZmiKROUBAC*Uv`8Ok9pEumorBe%rbOi zIs3Na()R1zZogNuNH_)<`Ck0~#I*AakDKdby*0rnqa)r;-|({|yYlB(6JC#bR_qmL zt{(`@^$qc8;r=`$-)p<^)~nKQ^dDVWm$aPkX~T|Z`^4QW4(xdIu6oPlWV0RW^YkhX zbMY3){85{yH%s?xX7<WOhYUjX*X{Iww<uLe_PGAC@>`sHzDnAhNl`tcAtx5cZ_T{+ zt<L`)dz2D?mz>F+Ub*SUnFZ=aRz-FSX@!;cM@6igq918nPmGv8{a$>l(|%_OvzOVO z6>M`v@4b4ua@y9QGfy8M^)?IQ+hwYmoz<Wz!q9s1R{Dimp+3uc+C`U|o{gCEBJ$?P zHs9zYQyiNVw|w2@rk1@YY*x9Z-P29)Ci|=uoz50^KlU+i7f%A)UF~>A;|X_`xqbVW zzsl^getzbI{YhavT4vp8xPI7@eI@JmO__dsy<)n2ETpsyjYFq=Ub3Tg#eD{2Rc}4v zdQV2)0`5ryFLaBwvagCw5uYNi(PBI&dv9K#%RIs9VQw}KGP?R#!uam_TLkQ7W1hrz zqivE%Pl8N?kfxgM(^FQ*9~eKGymHmYwp}Yyd#zUP`Y%?K9yo8+l$fm(l5Q|YdCC3? zxqIwVTwtrW(vRfBD!&|ee5wr$d^SIL@sCty*N`j9hpR%ecD&oJQY3RwdtVTzhi-1L zmrBD8r7yD=Strc9^p`h-^<S*x&efr+?+SK0F|`!VpQGtK<6r~Ze68(5#ob-yTJ}Om z#AW~GT;?!kxZ>e;*X!Seqcc0#x*SSw-E`FJCrACOFM<me{yW+-bAy*`^Y)UNCC-J} z-aKEs6PBIGessJ^$#MRK#}BSnOjM4@H+1`?{j0Y5sOLWqz3*vd-8;&2r4%I}-CLRT zqhZD7<Bab_Qgw>jcN8s8p4jGld!N@Nn^$kn=@+NmbKZ0+J<|S-_o|mW*Pl3j<w{t1 zSZu6uR#oiNIWG(smzGTPsgrB2`)PI3bN8jE{`qUx&M<raDsXD(#jZA$>Y`GgnL_dU zzkdtw>X<xdaz)emhr1FIZ{1Rr`q*?m`x&p1@Nyr|+jZP}i@4Qux|rOis2$do`ZZ0O ztH_5v`at=LXG>D`4>Mhv*yrx2S#^1d-2PzofLzB3@mh_lNvD>6TE8dm6_aA)`%^_9 zPxrE1SH7WevdGvW=4S8vO{vao4=TUfYENNkt5?uw{dke*wxLbe`)539eHRYxW(gOT zQaRq3Uc(#Ay5BdTdZXa;>5KSlpBB7d_%UJkd+y~W^-Z?wx4q82{Jt;f__4R0vT`=6 zUXKGZ|L%8>S)vold&zY}G3!~^M~5o?W^8RpnXx+U-nRzkNA=I!IJcM^M{iy>P2=9e ze~0~NJB#yLPgs;F_saN4y<yOzjNB=`8J@>aYR|Z%?%$QZinV0fmDR_ea%fK6d~V|A zlnwXdHx+%6C`!E<t|_(DS2b3Nd1=w^9hGdIUw)^?TvDHrxT(E+_4iiu<Ej(NpZdR= z@Koumg7VGf$rtXKY|Wpwc3#iA5W`$^(S;^Fo&r8kJR{uaiV8;ro-3WdW6PZ>XO>)k z>H26ocj~4p2bF`?f-a>;TWr3*TWME*Xot;8X}`#DVb!FM5gxwl-&~kctS%D5?!0Bu zV%37B_bUB`>>^q3&-KsXR$AZDJ^9-9EeaPsw(qWdd7^&iZO`+6Iuu&Zh4q~N()7+N z#jgBk=ckpIxzA}Y@$A2Ug!@OvwJV=iUOvvHds95S>l8<Nj@v0ese=z2oHP36&9?{M z*x?{{-CxvX%gLmf?ZxvKC(S&n;*dFgj^5Nwhole76s}+7svkbV>q}Ij;_9yv7hnH0 zm27>cWHI|Ir|MfqRfX1T)>o`=&163!`|--*t>UkaeM~<Rqp>2G+j!EI>#Ea_z572? z$8G=K<mIQ_&iEg9%ho@9#HB<$^M;r5O^10bE8>z8#P{bE>D;+9tIxwKJgXo?BfkE! zp6bey@azc>*;(>$va23YKfmSKgE-MS>hJCJqg7_kzixIT$^Lmnnc%$4-13~9joz)( z7F71NE|0pr`)ZGcSzVLXaiQk)D9t}x&#QE1UY6_0eQ<K#Q`XzHXEr-L6=QvUYpVLI zjQq+8Z#kz0M7@isShO{^f4aop4}yChL>Im|sPw)u`EjE4lZc9KThBH}>BK4urnD{* z;O5ZyChqk_PxT7dP3CVmtCw4g&CBGSbhYY6-=rspdDk8+id1bi|M2jB?ox~QUqUSG zGji4MOgXyfzsA&M5yy|ME?c?G<W+-j|D@$p|8-@|;NHK*YuS^PA2U7*G)~n&nxQL{ zVC>27#=Ky%$Hwi7|FbkdA9-}ApTqrwfy9-2LVIo-MVWtoe&Ot&^zJ;hedk+bYgf3& z-i*4E>-{GtsVGEhu21<R`ESKS6C`(?`R4FvW9#f+46Bwrk-T}H+dovx-8+)kzq~W~ zp}g+P87ta5FMefxUSx6S`s)pmT(aEqDxVuZ?Aep9U!tRvuPYog<6&<-`-&sH97|uj zusEi;9RE~S<QE+sR<$(lQ5^TP)m}Si)+@`Xg&8>WU+>sG^Io!fXThGgB{@2-9dG~c zPHlE6_!f8k*zPhtp@S1=m`4@FOws?or676dvZz_D`2i(I*y@@^Gvs{j9?2KZN?*Aw zf2(Qh#u}M~e4CE?45j4g4+>6vANniU_P89|may5bN`0Q<Q6}G%9PYf0%PbD;{@9ZO zQq(Z5+I}9R{=|*C6>^FzRkR{i_531xXZFgx$<{T|yM2ObfAhJwozDJp-{$SP?G#s} ztQ6~NuB0M(Wy#~x_-1y^Fu}H`o(*MBUH0_sYub0y^WOD@gJCB_6yje;L|k0da9A|O zaK+-@?HjW@k`rsa+1NjEg?Vh8@<As(Xp?(onm3<6yX&t|o1*7Nee-(5KQz8ra9yqV z{fB}*O@BUVAImOwJlJY-PVwgX&7H4h$}$owy{ot1e)VaaP{FRgUjC1B!fP|V12Q|a z`d-%FI$eB_&*b&plAM~hpfC16;(h*4es*}-e`WXO`>)LY=kD%TfAZq;|AEiHh?md) z=RWsxeASaL?|uFL-#;5~@%Q!ICtvR8zcc&0)X%JO+Jmj@UfzE*<<WVug95hEt2O?3 zy!qzGm?;s?R<t{A!=}qqQa^Jvb%b2Zm>pT0ndrDEVb8DE?n~SXC5p=zC~6d3QJ$md zpjRgIX8rQ#^K>(etG8C}XP?>m`h@kYg)V!0bmM&UeZ6Eqc-9J*?pwLg=}X_DGG^N| zv$`jExtsA$KIX8+RhV_YX^wKD(z*xjD?@%=*4?1m&NTU1nZ?4}9)3N^?6r~$#YIbk zzRlQsIdfmpmPijxspdmGa~ZoVyIx*-GClRxn<ww>z8ziFYo2jx!nuvpeO#Oc_AZLp zz*xqy)^$S0!V9&n%KyGq9E|7dt?<3xAu6zNt8CeevT1M39&sA>#l>FyuKE5{oVa$O zh@ejX5<?wNo;~Uz4d<pGmAITBa9-0PRMP&qSIe?(^$N?~rZSzp`|{DLY04{hCS6s! z)Y18+o^x)j<fo^xT>?{_PYC^&ZI!Zr{L1jLT8}n|;zU6q)n`)4L6K*l7Bya|Og?ti zYeM*T$-<@QAHB3YJ!^`W-I^B}mtJRHEq1?pX2rZP>*QxEGB;~wr}<g`o)Z{;{F%?{ zT~#5zUwZ;Yi`T8{J^JiQ&#bW8uX{4Dnu;!d=C|4=?8xzmvny6>J(uxbR&({vt-#N_ zZmyQ~z47;|%OlT!>pvTxTq3)6@Bikl@&C5$x;10f)@4`2T#lD*YSa%c-`H3?d7_vp zmyCH{$B))+5}j*#4Y?}xv~@BjXr~k;Ix;K1me6eYTr}(B`{_p~7393x&K6kacC$_O zhvyul+d84bk~xbQdTJIYKU`Yz!Od%%$%pxm9~^Pa@cr&`Khbu{mW-uFXZBq@w59Bs z{1)aEj<aFM54vp-|0LSRWcbWx6N~=VBQwhQzE>_eEg!8lM`X>F4+U;>=WSDzE;kkb z==UzA_5T*Oxgt7S%+>2X9O~B<==@o3>h}I(YuUPgH%^HbW_mp=m$}nDVRDmY?kTqi z@gEmV(<}}uP?oxJ?YFL@rrTk*m-+?YytE6Sn$PoItQ#M3@}7gyw}nAZ`wXHVy;c{P zA#;11e(0oawMr3}VxCw!=~=aw3E$26{my3zxAA_VC6W=ZZ>HC;i`DI&@n#|Wk^jFx zrtQ?*eD}zd7FFYykL3k-cO8A><CU~Wt2Jv^(_`mJo?7RRzT7z@u;udNCdpL=3qJn% zd*@TwrVrbr<h_?pxM+Akp?Y~{^{bjN?HO?@5;Bu~Zp}!HlRkUlt?|peO#Mw9TUu}a zn3kpTVoA)DpR*=}y<$Ak5LLSLnesW^Hx60bew;thW2D$BqZn}MMg8tttH**iY2|7e zlT)^dU%J6_jVn>Dk0Dgx)Rh@wTE6)rS606+Y)Poyvmo=V3nzyzU*^We*Y;#YIadot zeV-(4wALtaHS1IB%d(EX6O$MEPq}ov<HlC0%fEybta!iXp2<;pXM9HfegxZQrmwkb zKQjAnM8;HoiSD1ZZ4>*cNedtE(wAf_Qj0C$*vdZr+|gC91e)zjXKSWP3eL@(Z5bGF z%kq8d5t+H_4Hga4q}NFnC$Qu%+VRa{iOiGAs*f#fw`R+3nh|p9hyG?YPsU5-iD7<? z86~;R$7^33RtKFH)s5M<>)6UPmRYBkJQLaTN?GH_jfGcgxKeNamgQ!3WN#{LKC#+T zEatCenZVj<G6$9ab`<VAw{rR1=vC<#wQRncY%X5&&r>9??hS)@!2CS^q;f^E_8a04 zYfeqr8~jmMk0W_r=i9n9ehOOCE?zFyn|??8+1iCx9_gQDUJHg_VB4sFaD^Q2E~d(m zKQ*O-ZZcJ+GtYh3nX4ZjQgD$?H*JFVeD}9qzmMLmxs<=~U1RdYgEuG39DMJ8>gZ+N z+zJ-%k0sveYEIny=f<{FTrm8W$1Z>T>YlcVg)z1ZY?fGG51R8bNJU`6++XWwI0=PT z8gi;?mejrb5*apoRm*!Dt{_{kV?SP1Y)d*9y0cjO**AyH@oh`iuUj)W(!BOb&y72Q zrc<w$e$LWb<#x6+Ha0fgc-5lBxHY@BDc$On{?G4wwllW&>;LITHn}^#k2l+(=d@(q zwj#g&t1DNplrvpkR8_v}X@O94(d|o5=W_h6(5f)X40)P0B`>Xd#Y&&NTHcF2P6=!` zRm@i0{BL^NdfVT>uYV_;IH>Y2C?aEm*Y1jYl9P_TS|DVoeoFM|(?b(dw(veY?RV$# zdxa&-737;ddEReicTz3BCUVhak^R=ieZAkKi%nwkT-LsO%Wb!><>Zw~hDMuLa2v-- zKg&CQ(ArLG;+d!-R{i76O&h&aH|k7IQk?h8aOImKb=H0j+a&%s2|LvvIjSFhP#(6e zOKe{1zASZC{^%)B?Ii1We=wUPA*CCBftlxoYsu;b<soS+I9JbHE6DkB%d<sA+J1eD zikSWSx_(MN<tv_He_yW6{x_e|q(sT8=ktm=pNTyD@q*{f&4$P3*&H?dYl8ngx#zEU zw26JWpJ<Y3^^xU|miL`%5Ua?1vTpO@9W~6q-X85NF}tTQb<e4)`LP?tg<>bk1Wzb^ zIZN)f<A-OL;vAm7t+=&4;7^50V9WB_z|A6^-_AC%l<o~t4&tj|u=g-f@KB$-?Kbnh zwSB#fE$8Cq<?i0<Tt2&TrO8!h!~gR>3LVtsW(`dZY8G6|A^z~piU&cb!s;g9u|C^W z%JBZ^{sogaJ0B7KE2(}{u;$dArYVajhVE2c$-L#L-jT0b;<pbK2~A|{ydiAxKtZeM z$Qu#yt+#hXihNvMGJWCp$Zal4-#m0Oj?a8OwdiKIq-Dt6ooTDSOuu_+e#3X>*@x!5 zjxM)o;(rr!N^sh?Fpv6ATNndB<bVJFgzI2omgm0Mn4Qr|kI!&!3S@G6?mBhn{4+<E z9XVs~x#rDIbs?cMHM7H;?zvt$<9a0cp_tItoa+r9Z071!@190<Hl$5YTPSi`Zef7* zN`2#V+xJeG;<my$U~=QMvM=ugn3_0FJXqddy8fl&wN2h#2Uli_@7`3s|1{t0a;9eS zBibp)B0es*?D%2!<y7j1>nZHp<*zZai#?oKoa&#r>CD>psfzmTH{W{PwUParYOL|s zedZ+1Y|mChlR}#lKi=6ql$3395nRWoWGX(j*P~m>^!n}Rr*cp3KAyg?N&Ef&A}g)a zUm^vV7p>?>bO?#*>~Xo<_A=#%^r^H1_s;OW$*^1$U0B0jEStH8iAn2vw9u{ij=#x! zqszE67eCC%l&`m))x2}Yal<E7<&2-VE&QH#WtyU{Ro$;1-e<d-n9>YNf<82uc7)vW zJ2i<#Kj8R_B@gOa4fK!Pwq132g0x!7=JRh9*6s;3^1M`|eIjay!uObeMSJ7@e-ycM z=SSYn_;XYIXl$pEjJ&COUc(EHS4DF|T26D^b1QZ`^3wMF6eET`XN|%h@9@{$UD-BI z#a4T}HQ&GO+|wSlxlB;`lw>HvQ6PQDkL#a9M`!yZM;#4iiNc@_SCr1wX~da@{d#Y= z=TCRkzs3pyU)$666Li+cCTnmiT=vSI9UtMsA^q6#NcFWF68e{S<RzN=G;D5Cw66>} zG-uVWYjyGI9!{sygk+@t7S0hpnEy;iGH^n_%ehH{5y`Crc}J!gxg>FH_xvGp{Ls|g z%-fo$6^>r{=^uFKTxxsVjICaFhC0p%gzpw)TD?@?oKr2dd{RKnvcT1`D{nr&8t?O> zknLb<#2>F}LFdcG6Bd786UO0VBd}V0^Re{E_rEosYW(QBm1gQZS8Q%@psm&R&&HlA z6QeXDE_&)FT<|=S*z+$)b9%6(*%Xg0Ek{;wjbk#Jv`<vo{gPT+{fp+_XLqGcw1RDq zSpJ%*%=x;_d!vo&(T?2E)ZXXJ@^$`-OX8nhI28CZwQBdyPZz5SRUMB`Tg|ll?WD|A zwx#oC<p0)r&D3uEZ`H4_k{fr%HBC?p^1dp1xo>UCf1~Qd$vO`%dTdhm+_!kb$(WzV z>#lA6beqro-)Er@)yMSf46jU)KC=B#;NyuM1`EtOxBE1^`0cY%nmE&gC9(C$8r3sD zdRflMR|x6v5Bl0D*l)Gzqnhpmmh49+5t~@^qj*|9D^*p6v#)UU6*VND`mtl#t&Jbs zwqDs-;C^TGlUX_s<<BoZ^G8aq;?zTBqa!}oqL$4GeG<hfedOLWv&ftm`WI&PT@KBE zDfIKw5<P_;?gNjq^*Z_|92EL7XYpLSFlHZdhSis?o-{bkU|4Fg=l-Kp(NjF4c2Bx! zm}7J3=7X0Ntjj-j96j^*UdV?Mn`@a-i~i1(-&D(YZ%;Yv^~|1>tPj_nw%zqtnjhab zYsLcqbwAEBNE+JoH?=Zk-Dau%^m6UWj&zQlCW}6(cDnWdnBZh$-1gs8Y-L>O{~s|- zU-I_fmR?rg9Gc;ju&8G5tHVY!uSuDC+HA|>ej)!-@}HGhSpl0!V)gBd#cV1(r81k{ zi~nTHc5nR0#K)8Nyg>c(=S=C8Q>veS1}$22Pf>>>>7dk+<UZvaQzA}QF}vhi#eYg& zJ#q2D=FZ%cnWg;d8*Xf7lP#VQT0i?m9-mcPo7w$ko4Pi#=;VBFwbA&IzsCQK+6-=P z$N4`qpHJ6&J=1Gt<qO4|FP9`_NppWo3H<(fgUbtpxW{`<QXcU>%yAcbv`s5~{>lxT zgde;MIc~gb=4HmSp=@T0J`|k^Z<+pi+0}DP%0KnYyu08@^6TC2R#|om*jj3rhJTE_ zrlHvIs#rwsXNH1Ol;PfYuL3Xr%DfuhJ1Z<YTK40U-6#L;TXU?(@^V(zzO<<kp=UQv zk}Z`Fa1G7<x^d0Q-P7j=M_$!r_|K#ezF}eZ!?+hLMSDE;HF{+(Y<_6TBBhm5ci6bN zd(~|wt%JV%b_XztPj2`U+&K9i!|j?alAk7(e%Pb_BK=G@3uBzx9`4mPM-?1@8_PLX zPx-#D@!Ogj-DmE7&EMB7m9yfSuqniI#m)fJfBb6v^SmaBtE-;>|6V-q%>Q!JJO9=F zo7`61eVW`Q8e8X;J!R3K{}1Kogj}tBveo+8!r$-zS!gDGn6y>tri>xC?!W03*Z%!~ z?r)+SbnB+5%|a`cxU$wWKVoZC1*Of7i6?%$-Pc+zl;8cgLjTmXXtO12RVNir-d4Tr zMvhP0&kZd4zcgN|E>=4-?Y78_2dVNe6Sl1{=zL!{vt#Dm%-i3CSL;+9>$_AoE1*SR zvY>0DV_8|*({PRCuG(p)pIsL9>{;Jxr1dQ3XnlN_M#MYsDU;*fz8=fkx283v(<3%C z^>Db<#pywhjw{^R&#?E)?_lw&pL_iUH?K}&Fg*IYW7+Pyh39|C?caax_Qd0Bs$Uu2 zugjDF{B?fqFRKfduY6@vuj}*Ny7TyZV*c-!x7S(9nJss`^+|lMt>68!uO$(utAFMC zy|z%8c%HlUr{5=)V7(=oyv}zsZ-fRNIjt(pEfKr1QBe2g`jp?HnWFc#?x!4I`{<gf zamdd0GrZ68rn08^+r0U`#U;T?&)IpVh{O{9Pis<nc0M}!XnOXIg;EAFIx|x|1oEd> zo&54nzIu|iRsF|9l2`KA$|{$4@ApX1msJy#4PMKVar2>#dh+BH$Gd;lt^fSMWu}-6 z@75_&JDR4{T=Ab&*w-trb^lvL(9}OO>Vh=aYIPizp0?}3hv~Wz;_-`{f~LPYb@IjR zp4DZ_(ZS0aT3nY;7R{VLKR{`Y!ozzChDYu%lU@Epz39qK&sVqQjy28FZ++xatoZ8s zfhSy2<r7Yc+hhmpcy(^q{ia~!^Kdz{P4@do6YB2%GL!p!u`6=#stm{1T)H>j7~azR ze<8_aquX5VsYXG}J-Z4;n3oz9Zh73Gna(8Vp2{ufwI=ZIw_=mLv>Jw2Cq1OsChMeL zJaJn`oZIW^evPe`GaJ7!)tIPjTs7+HnWODr@NI4PlAwRBcC#;ENlKCIKP{`}vhaLM zw%_imf_o=UpP$4tXTd{tnFp!aT_Hu=ls6js8EfmUw&Kd2?vr>-XIJh=MP|nxF1_7H z<-S&iN4;o>nG_RyZIP^D&MxKvJ8wB|vqtN)QW`bVClhb&{L}f^%XZ}-&e^7KJeK_^ z?A<D$>!{<)!n!tBYWMy1H?zNWWZz4g*m)?VXM4vl*1uPxR;|%iK6taKeucZh@ly*k z<TKwe@0Faz-ZZ^t_nwVb+iK0s)Nb8>#MmR@^H-p`*l;UPbFrzzq0}6C{hwm1&Lx@F zHtxQ))p+Om3DZ|TkoAaP(9tFG!sQ^xqr3m6az}}MtWx;4@K#udBQNi7tK&b8=KgH; z+j#fi#<gy_+W#K9M6Sts<*UX2Xa0|jluviG1V86p`j<bq;p3e5{s!S?EiYf}V9Nhp zwdO`|r&7Kn-`WWI*p2&6idrxJ-Q;@fZ06=2NsI?Qf5vQI+sXfNhQ4^TaOxiswGh4& z-&GccZA|b`iQ-u>k!!^|PyXjj_ZD|fV~R5HcQ(5GS^I0?aX0Uo%nGM;_pa(`GF}&* z++X_oT1$NFQa;m*?>p4g%FG;=Z!->iJuhz0irYu_=2mzrtvGYwq&|0$#Qy6GRGt6q zT5tP(+W8;-zi;p92%33CwCR&k;yRtpJ!;c-De3=Mv}1+OIf1_Vy8e%^C6n5HB|R(` zIh)=4%pg$YFDBRY%&n{<Ym@Ubix@SF_W|wueKY#2?Eby%wi40rKla$})JplKKPB`( zGJK5JIRDAy?Zl3`9}U+8ZJDClVyXS=$p5#$Ljw}{Ee=SZsoi|M@cbF0$Q=GG`{$yK zTW4;)IA!tV?&%**ay*|rsMc;Z5?E`zU~}J%#a52pwi|9cAF-0xFK_rAn#-Ynf8$4= zIS+F+x{G)EY+Ctx$&XZ~y&Jf>TLr&F7qJ8vwH(Z^WZH834qwxXjYqO$eym#8THdgH z*Vm5+0)Kz#yWBFdvQqix@rHnKdCi6TZx(Oc%>R4#$#c=SuBI93WNV0hUf_QHbk)9; z8gJS6Gg7AhDvQf*7Oz;%J0o$upl+(j{Oc^HRhCwdUjAraJ25I&sdJ|XOR?%?wFR?u zY?Rt;8h+YMtU1m1@w9f|gR5z)jO?eLdSXB8_V-g?HyAiK?os%c_$ltEjsCga7c_34 zIWe(%;-aF!@O|DjM>n{=b~(&(f^Vj+AKT$dz21510?U#Mp1S3qdbYl3ZA#}s&gM@X z^PayG+tRme?Gw)6fT_*bdN{jgzg)~b*_%=R`ogd~{1Z+Dp6Xy*wK-#>m*rop$Q-qc zCnUq4E-3F%D*38->2qp9ObCaX#%^H~|D=B3-I<4V)@_;S_DKB5ZH*XS=_T){rfT?? z=R8>-u>X|}|5y9O%XKb+_I1~H9b=rgA>3kR(uNgVgZHnTkj%sGvp@32;g36f<MW#D zZ(H_QcXwk-YPqDC^SUh=Cq!S>FmF09Qf+fON=iWFeX@br`(DoXmaq8t&p08s{+!X; z%M0yK_`Ln*`m?HgN1x!Gi3@TcL~UOG`tLfq_wQ{U{r&%~qW-Yp`}^-d*4-3*|Np|{ zzt5EK{oi-|H+z3Y{qn1|e|A4=@BiN?_r6^I<G+t`@8xYD{Y|#Lmv8&%?`6O5|Kv06 z_WWO7wdcR@_1|w-@4ESCg+b{5H+L#u^ez;b+V(}|^Y#+q6|+7}j{Uv4PcUcd&*%U4 z-h1*@{$17nZO4C`_f`D=E%#pD=F#76a_{SFU)UZ$Uw?1I{4Z^L-CrJgcgpPjjgL$I znlAnAb$4FQ%L|^rz5Bnf=HHvH|Hr+v;rea6_2zb0To*l=$<Qt`Z^j3^r$1X??e;PJ zeMW4mtjmfF5#EN3sNL6|w_mCadE0yMm#oy)MRM=$tsnh8-%|L%`u+LaC%?B}t55C! z{@>!uyk>io#a?k$QA~l?XT;c7>7}px8uvw~_Q#)<ds04xubSX{qwd5ji(5T6+5T_5 zp1kaD{?}hAmic>6dVVnYB=GI|M4OO?)*tJOeX>69_^hFpJ@a%`*sY&SzTdtO+VCP~ z`R$dHmPT}MUUX}d+M`_andj$5_00BZX01y!Xp_p-4)*=??|0Ubq;*$R#HS}+(SPCl z{mK7Z(vwwo|L;Hh_P>Ai=g4c5OitaZs}za69r(m6{<2ekRch|pIj1D&R!vwRS^aL~ zT%N2+>mHpvpWJbC(xn~AQvy2YONoZPI&RqIm%nE13dyfaKkYiamcM`5&R_NxDgmDR zs(!e>>Ua=w{5#8zzTEqTE32}MSN$$4G!;6m#@VCcQnPeY?#!gD^Sd3+eb{vMlJ2#o z46J)4wI1hZRSQfIG>R%x{k(3X@|EbS9Xl3DePn!ey~Sm+YH-@g%6YS$TP+e!TzFqC zrF`poc=t+6)3?D~Q9dmJrVX2CI$ti_5L~)DZ9ns!%d;G%Iop^+jl2#=F3>#edC)dX zc*}XlxW$Z%9^_>UNItO6lvRn`!e&#w@_5-PtC%N|Cq=o7rdu^R%{pZJk|Fu(sr$a+ zd0MFgm#r48?3m{CeznT%CrU@`m=leRrarP-X;EzYd#CC~j~PMlk87xADOX=UC30K; z25)<<{e?q0wqEDFRnKW1E#x)%bA-j{9m}#GYN~e6OoG1mI7L5iY&lqBTpPn=<ooCT zw9ZFGvzOFN*&%u;V@lnuH_VFb<hK5KtorGPiJ^46*OS=Zb>7n+y4^a(VwK@}Xy=lw z7czCtwoi<IHP-0prEOJ|Yk4U!$E9$7q}$~cmevJ_xYSFT)T6hDDp{=SYO)bkG5Ehm z{P@jvzgi|b=*1ou+aRgydZJfp4`cc>t!Jk7N@6n$*x$}CS@I=ALjT>GCmer2#m?p6 zy`EFwKDp}_Pyg&kyV4i&cb5HTT<z@Sv8FI6aPmRMxnE>{UVOGmJ0P~~sHl_rzf;a) zQJdI5cTd=Fu(mmJ+n=oSU)bm0a{U;R>vh55o#s^kAL%EOG^bskyhtPJc$(Jq;F(k6 zg-!>0CmvasYT|bOI$P5*g+~W7KR=aR#w&36#(vxT2fNsgAM6qn;}Cmu?BndqR!@?J zTs=;UUI{$&byn0qwlk57*U7L>?){}Oam(-a?Wa=L_MB?i`{<0(5?9vVE62_W?w_Ol z&-4DGkcQ`tD{k)N=zS~H_(Upd^U|k_HulU*%KFoCEO&xHyO!dWwQs7t7q`XjTya{{ zCi;T)Y<B+8RExhmx4b>0X=Oe$_w!<l$6W=S77v$(Wv+BdXq9pQu;J)kowA1Gk0q-F z<+g5$eAW3Q<Cojy#VRE`qhmf7XL?WL2$Vd#`_r0q|BoA7^Y7e0+WaWeaL?(@F`6bB zirf;{9<eP;RuMAfTx=<;Zd`fmxS#%?1@bEoD<^XXuWR@ln)>unI#c&~jm1S(7q_;T zP0O4&*;(JpvS->wW{W5NHO+3CUsJDnwy4IL$X4v*|NCC?$Nb1#uOn$(i$Bzz+4?B= zw%*Oy!nFK{&RtupI}h?j&gc2hdvNls8~250eA>n4vUtKq#(DO;PDya({aKNFM)>@{ zD+ST<A7(xATfD7jp7ATw%X^c&I@YHsDerz^*;VnaHRFuH^ve~8-aL{pyUuQTKbxQb z-dgF8uPt3IT9q#>Gga#Ux%&C{#pba~J(oP@I->tg^31X9caM&}5jpRY&i{T=_{^ib zbP{IH-~IY3@7*XBmFtV<>b4!dbKE{wS1B%Kf@O!prI)SO`~UBMx7lj5L&0j(6Str3 zo@|h)r5f3`UHZfsv8ug=(nk$E_n0r=kn(C>p4ZLOUvpEf_03r4#@(2*=_X@b&aZ%r zO@hB;(%!spVf?Y0>&c%rE{Ei<oj&QOUS4|3d&7?V?5}1oa@??y_1>~Qmdi8dEGTcz zS$=+7ld{{!0@n3gmMzz;&YCj+f#=767Z#=MPEP!mH|gEXkSArz+xMTTJXl<K^JL?@ z=?yt4()Wy?%1@F}*{|J{wEdKj&TG#Ym7SL1dp{Z-eRn@`n~YFZiQ4Lh1N%cn53}9p ze5Ltib@To5q_mAj?p%3AdyKxZ_~d<$y!JWk^p7vsH@Yp-DwjJu>Bn=4l;|~X-ZeAb zrmg*w^ZE7F8P;o;7hYMm$4`n^|F@sl>mO4l>FNhCPdk;=e8`Puy3`f}*DyP-7xRx# z*dl1y%HekP?ykm}k7jmlm~rUK)y|83t^0p`YM*zS=Wb8qBxUE6B|Ou)mqds*{Ptwt z`pn_Q1;cqv=|P;qH@`-;-I?%|C*+{bmA=;U+Mg=>-+6veN?!h+`CzDI)8)^eDuFiM znosU_@_4;Dz~;-z+o^5ce)Frhp%3T3C*7CYe$?gmi?ut|YTWza%37{!l#&#*^<Y3R zTXMLMv#;bOCkc6(<>KOTIazHim%G+)ess&_gLc>wMy7n>vQ78y#>eq5-c(q$&!F;B z)WNl#i{1rS9~PH=ru+N5u;Fp1c71t!f3b@{#UA;_hqQ6zg{c?HnkoN#@czxe=k6u{ z!|!v2Y<<`wpr?Ddyy>%LYR}ne6N40f<bF5(%>8XcZ}k2(ys>8Ilx9o6lnTi-=$M^( z$93oV`?Wt0-TD3F*uwK0Z9KP^O{+LP{X9?cmkj?2J-+XXAM$jb$k*H+_&?FVkN;Ri zPe;?i4v8zrRTk;&&%3r;#!&j@zSCF!cC9{fPd`#(w}|ixIaLLt)1Hrd@7i>=Kd`nE z?cqJBJK1N>{IeBo^ES616pgO$tSE?CB-!b9gM0Qwjpn@{y#98S)tXF=?VMhFv3h-j z^qxr<J=m5eu796eb?>r+b#_bnhxi18xI6hz`Gb9)cHP~7nDL+bymeOBe?DFs!7Tdm zjq$mea}RS)xMJwq*KB#4V}7X18o^SzZJB&WCc6uqeXx5iKbNokM5lYd;@uyv?LWp> zm#bD%bvHCz_Oj&r*9QBxiWWZ?oFT{DcCD^8VdKVGfug*k#(fvPe3Q@iWEMZ`$?~e} zJhO7ms@IZ9Z!=a0ANIIX{5ng!FEt=IINDY@Y->VDYHrRg!?psIDfVuO=T_{p3afpi z6tnByiYA__%0TfC?~5mi7k*`3IYqj1MYhCZ<E>XVe%zQp!9`+Amr0w5)}<q+oN2ce zDjyk4^S_@{n-j2ks`>PXEi9%zc7Z29BuX!_m!H2)JpcEiMUjhTx#f>{8+>R^+0XZ3 z*{ZV|x0EAxG}~-pezVOa=3-RV{}XI2qS+G~swcgY&|lWz);)>W$ua-gd$Es6-~Mxp z7VDI+fBfK8?yAnBAQo@kv?#x}z!H|#LXPU9t4%%}5L0K}zO;pdH!pj}k$&5iSAJVJ zJ^jkl;#a(5e$-BrWdd)y)2}Sg-G9{Z#K)cug+Kd*c-w<@T2=cF7O5RvBGJUVXSt1+ z{z6Bc=|>B*mPY(}X2tcaep%smWu5PSHZLUZq&)q6{Qk*l7Ho%$WZCa0H@W;+*~)(* zwVPGVKj_=;ww#kQmS+7>?)f0GQ-5_sr{wdaOB@afsS7L&yW%PQJEvyB%gS$@YlRD) zliJhIzW#EpW}nmzm-`lqy-fY9)@B{8NLshUsQYm3O>>WVL3LTVO3n{jWPYtFP7u_) zb*kml`M&0Rip$N5|4;n)q#)So=A&mqe1aC{`5!9u%I^F~+}@`eqEuHcP#~~z2FqN# zA4e9w{%%yb^crJOk?NZ-j=|Em|150FoUtLgJi^~i*YteP+B0v>LnfwlX6Fgq(i08y zUY2s|0b5z`G^P2ef3pM*CitBSJan@@wf}x)@vC*~)+BLE+Ijiem9l$B|1YcZ&Hb`} zon7s@EjMEYK53V)YdWewF-=ZU|Iewl<?GITiO4B)Exp=v>VH+)#hu3IY=6J`@BQ_2 z(SQG|$N$K$I&ae=vG3cZv+L%VFW<E4^vMU=*Wd5k9>2Tj&8pQ|TK|3v+xD;f8++nq z_IJDWiTCRFKD>JQ)&JkSjFT^R=`H+wU9RlxnJ&v>ssFF<WotdZc6Mf1wB+lqq%T|D zHzed8z4L$9|6iw8WvnUw*taNM@#}__OS`KMc!q>}_V$EF7WJO`ykFP!Q*lw%N^bcH zUK9OWH$KS<bS=9$RccrM!uXXvN%wB_6rDNg5vDgq>R@5$qojLEC#U>;bmPji()|A? z>L%5Gy>)x>ddoN6Nu5rdkEPpx&APgHeO>5`>yI73pDSfxD4Y1Dufx*8Fz|SVzg^C{ zz3+Ah`7DnQXOc8(jF}jE>IGY0^Ou^B-n_27n?*vG>rY7%dig7b*)Y6nCG#1nwThRs zj{IeOEZaHP|7YClRnn63Q=R%YSnUapJ~YQ_s*qdK(g2+{wY1|^K^`{^_=L~8y_v&T z_OZyuLwv`6h1aQtsatCNeOTXo&6M3#zv7iI^PF=}g~F~D$VR5KeU<VzIkQaCEAr=2 z@uT+t!#>adIB)LfB2I}FOL>o;e{^nwwggN0<{U@gUCKLhRK-vE>Rq|@@loB&m`B=A z^+jTLC!Gs(PFi<%<<4DIN;{&$-(OjJ@~ZH`Gp_eOS@TIKaT+K8nfA8g{+ojqPZuc9 z2tAT{=%lzwu)^wfGeVlLU+mi%W7IOq_xz<DeRG53efInQcG?vD-TT11{##{Vs;<nA z)_U~z<j&aB&Kue#g?L0&MdE!5WQsP_<*AgKhg!Lvd(t9Mf4=vm$Hw;BHDywDf9pG0 z@)|f7Dr(%{9kKXH>(M<9pOvJPE+!mYP^o(O_Ew!HVJ(N#W|r;8ik`8yz2;NxJ`#S2 zOK~Gd*b0X@yDNT&RTNv_Y`wf;(zKl3?g>&_0w%eywZ6$pz8C#@vqj!l!=S`<?d%ql zW#5*mDJTdZTU7256*#xgc*VXA?KP9Gee85!eQc$}io&3s6B3U*zE-KzPn~xnEa>Vs zp^e!(MgLTJvQjr#$33*zarji}7x%V5zQW<FS2<|>b-8@|(Y*6Mbuo8*z8x=Bw2o7+ z``v!6zRt_vsPJi>>fyKfkDp(2G}HSQ@W=9=Ufqd9@lqz?C#IDge{hPue^Yks_shmP zvo3FqR&VooaIWj5#>V!Kn<lqyIsa^*;autRb+`AOO%S>JWSYrjk@MfgCjXvxNH&_K zWk>#fAF(d~SNrnIRxotBDpp2H)Vh@a{}5}ukSS04u#bu1oQ2oVEsUKV@nP}DJ6qc? zZfUlgCVXs>`1aLivdtOcw`+F`-7AyaDpn$MME&FLyy-@=a_0-(i*_5yCTAEvwb*g_ z)YrNfWnWLd+ZS6JzazFK`HO_oP2Fz{d5e#)2>hRrzj;!1#`D^+Bh^LSTf>iD{uZ!j z;qDvN5A}V&iu!o(RK5GMb)~#qs(h&T6qc=vW<J`xR)zWS-Z!n5h8x+7e@k?H+VIx; z+t%o&G&lao-)b*zjc%%#aQnEyS@*m9u3QjetM$o?Pv7W#+dT1P#9ccR+ZlIk58qww zef+@QDUaoM<=ngXmFuOew@*>k%YCY57JI);x;yiZ>Hf>7ADsVKvhGD}m|iIRH;27q z@4Mp9^tT3Pyy025_ujUsJo(V#&IFNO$DgVjnHp!+vYGq5k6qi6t~Wi?N&3r&P~jE6 zOBK^x-t1!jQ~m9^kfOQfexd!g-|Rh}Z1^5}EsoP-@0))Ww)fsyM5Lz{=j0zr7V-GT zd$#&Bmsi&ICDxfg&MEop?(bW@V_SjTn&&3-QXBVZuiN8j%5&V+A^M$#goy@c#$^^! zAN7Sj`xdHdT(wfunmNgQ(VSaz8*CQ6KEY}H$z6n3#^;#cwO-p3Va!23ECv#L&HjJt zT4~gGUdbiKzw`g^Z;}S=N8iZkObiUp*C?4&r2h8QCw7*s&+C*w9m($xur&Q8?5JDb zWw`CH$H_98n@^TJ6$rX}PR{hMWum0GeSVL~;ge-^IwqTKU9kCW{zB6ZyV)zvuI0Ub z$Ufo3!9PZGBxD0$SY+rFUs{o9o4C0~uWr#AZgW-9^Cuk_%oLnn_sSyUWa9b-KNh6& z?*F#*!Uh+E{4X5GC7&)ytm8ZUW_I7ibpPhfl7AO<u$?Y=b?^Djqi1r39AkG~mR{?5 z=lir4*Nax$ElxXM+AI0qwLV;RVoCnNsa!!{<@geB{nF<2XiKr)TbSzerjdO~)P-F_ zPMJNHv%@wyvo22y-co3~x5(yG%RU*eKAj01=AO2CHqU3B?(|BGSnTrW+2oC03+`0N zW;IoQ@=bhYus`6&T-CZ~dQ#eqH<o7b{(Iy&^SFj`hp1)kgu4$Kr=F~OP!eT2dD8LK z{SS7qb-gwXHQ}FG>AUHe$Jx(L9Q8HqtKCY>l=s`d&Eb5-`~9|f?YhQ*_jA5wE!26i zHCUxcOz5r9%~qFlm(zQ*#11VwDKtal_R+cJ{XP>;?9o0Vviz`0=GprmPxK$J^p~}n z?b!KZjq+tv$;gyv9vj>1oF0}0v(1g))@_~mre)*dqD>ceIo>H*u76FvtfG8XOnFcF zs;-hBj+P4we{>(U<XdZaZh`gIW4UL(Xk35H68>Uan%mB`$A8@2`S$q3F4?~3GeLEa z>pstZ&^2|ECb!4tUg7d>H<IoCafKu%sXpqu$i<x^<M;IMTel;1I*Er39_zj3e6{19 zm);kJH0Ab=NT>c%sp<owmo~q@`PZ8Bmaj_2Z1=+_Q@40@R<Nv{u%LIT_3_86*4{I! z<IIqHmAI(U=X>yDnSRM#Ma%gYtvOxVXKyuU*0<IIuQy%(7E6!*F`nt|&KXyCTtLfQ zs(iwqLkpIk`<P_VZ_?&+M!BzfOVIO*D^s6lt+H)uJFt58l?zvWnK*U_94=ZH^yIe~ zZ}GYJ0_FRh-iu}^-B8HAVm{q@-MK=UFR|y>^Zd;>>x}fd_0y~K1~dPHnd>iqn)_H# zKiFf5IqTK@*Dw6eJnfb-xh(PD#-H1E_GZq0@#}W7d-*Q;{cjP7y1(xy+Z8h}mfn|s z_9olpv-e)Uw<9*DcxTVW*UrkT8*Eha*7kV(lhAu-bCX?r))7g2kFJiFYhCXsxM{9v zP>?g(u&l%KY~h9F!VA9$UlIJJGws0NKIX}<zc|OXmX%FpS-0%wgd5Ej5<lOsf23Dw z`hLBOr`nNSzNtbJ)vZ5z-amUNVx!>0Im$`>Zu6Ukey)6TKIzMPqu{p3i&p44-_Nj~ zb^3vhNR`6Rr(uh@PbM@^(w_d=>)LaRzbOmNr=R3%Qn<N7PucDjyYSqLb*itl6BfPN z{BqGh#~Ejn>UTRc8?ET;mML?&%dv6|uZPp0C7cshR3r$?is)HO>mJ<P!L#pT<13{( z+qN&@<(7(ODea92crvHqXZI9aVcQ9@J_hw_&Mm$APp@(NEx%~|a-%SdsB6$m3pVDs zXX_;wAFa0x+GHAE9dX=Yx@~VoQDe)+FN(QdCpO>sd-0MTpQhqvl@-gwrIs?ZDJA^Y z^2@gNt+^P}a{0uAWivNFy>|c9k<Ul!r_E8WvzqhAS#Rp+|0`#+TSx!-_A(>O-AhlZ zNTTG8<|C=CSxOqqR`)c{eO<#mdAp#N+`%{ZD~^gA|5mS7_$)2ueN=GHo(T%UF}0hV z=TEV^@9;F^t-669)8nId{RuC%3x2V*H0tU7uUkF&^#8U8{c~gfNgi2zmm!5;Z~r@P z#>d%n)TZ8S>Dn&!Ncz?RjR2b?Pu<hLJow~)<hx0=dh*-0rx{;veY@QmGH>cck0)z( z{3zIyp{FzDzv9jksn{7x4$O}%<Gkj&3Hgh6{=fbBsNLgae(Oc57II-3Izk68-1y0} zUHW^|KK9h9J`&cA=b!iL@1Neq(Rn7@DBM%~(aVzOsR_?G7N5{ozjvGYocbca#^NX; zi}@NW;tzKU94ix?n4~AP|B!3%F1N}4j#=9te3_8Iwt3$FwbqH6&l0P4%I?Tta^Z)j z(}GP`ECbJ!3QfvZNz(gecGWgWoAL82wbETne)gzmZ(uJG@lc6NxF)Kxy=3yw-+z<u zO%31Ku_fczYL_?0x7IhzweJmIsrq6LhYR<Wn$E6V=PCd8xqrQC>DO1hXwGAcZi~%v zH-!vaV;gR7WtVZt<-7FBGhmiR&NI%EIV*~ldjGup%pqa8Vv*y0%_Y*w(l?^@W)-`Y zvp*JG)^k`>R>*Mkvc4%RW74Yxw45IHSX8WAVcQXLI>71TuQKjg(ieRa;^)7c(=xO7 zIMcU$nQir+PpU2@p7^?CeSgg2st+<p7piw2l=*t+Q^AYErRO|n{8zQOqJOvW1*`kv zh3Zd=QbJuOsjqo{{n!E-*><_<@8`H&am<R_E_`)&rEU@D>RC4qd{peyWMA8!-}pN5 z(zWf;c@E6ew;JB{bWff%*GNjqJ9B^Rq9u1{%3Hi_+F*1ieO=ilL)F+jr$bG=-<-Yi zFJ;q-*Os-<f-F~GW508H>mH?)*fX}CRZn)UQ|DKize0HSw3~Bkn9iPkYP;BZ`SYb6 zKNe2fu=`Y%UqRITw&N!^>3%ujZ&w;tVwd~wo5|AGnZetaU;4Ub<L%2=)-C6~J8#yy z<zMg4`}V48*Mi%Zx2#)UdUxKpcU4ih-)7EVx7_sZyl-!-qVB$ZSs3g8T)yg-qV&;w z`Q;Ys%DbL%%-*pzM%(I8NlEca%`p9$a@&gIL;T+U$Ot}OKhL=T<$GJxm|CqXojt9a zF6v&H^X~h-O*a2)17#OYS!X5vf3=lW+P96xR~Np&eO+#~?liM6E{`S!T=5q0ZePD{ zTg0NvTRU3&Vz%q;KDuPT|BcrHx=L51LtYBpI&=L^;_ld|%p&IAymJ@c_+%Gaw8QB~ z?C1Y)o9s?k`Apuh{YT%Tt#)2pURrKk{WJf`Rl{E?GHZ{7Z=AQ%^3I9Yt_AyNb>CE* zyzqJEG)_60@SIZn?d|n_akD+m!h}}bQGPyG*W_H9lj4!$XWY&fHcE_@!SbBW(ZM~B z69qpv-FePgeYVx(NQ&fRLAm{Qy&ruiWh%dM6mi_xD%5FV;#O&1YHXM3(QfJy<EY~N zr|IDC6ReB3p4nJ1``I6_Tmi0RCZ={l$BL`Wn_QR<2^_f+A}C<oCcie#_P)dPNAph9 zE}L)U_gmxOM&+JapOoXouFL)TxlC-T;|yy-eI_T7*d#_%6C1rWhDzpZMsL!q&*yKS zJTpCShRoiAXZ7nQ#0xK-cDX36V&4PHj7dM{Z+T*2_V4Y|#Xmf`PpV#?-^ZCM_c9^9 zcACuD`dxMx?>xH^!Q-|ecJ`JlKewp<l{jp8`BdSf;uSiV58k+YYmvcqIi}wVuWldj ztev)~ZDz@wmp9gbWLa_FsKO#pZ6@F6oqrEbKVRvhaz=L2eTxGt@5~gc?VsuDbYEKP zNxo0bi-;R<Unl4s*)5!E!u)HozI2%8;n*9NvN487x6YE(Roc8mb$MW-Yk0cXRPCdC z!`n5qrqo=Wb776KLGlWr-TE7^W^aDQx$_d^C1<V&5}OMB1bRhTFWqNkzPa&A@II}X zQb*3OnQklO`Z8(r#sa%F{Cm``zV^0$mah5}W5<83Ys=eLp)NtUa-J;Cp80-WrpL=D zg;|34(j(1pY_W;_Ci=c$O<IWW)2pGEpQ+gQ70&G6vBG`#$M)^zJ8EyRFE5XMQ-8_g z2ZO%EvalE1__zzhwN2B?Z%pQx{&`vBD}QF^#fIUVG#*ZxrRWv$;aqk~-ci0i%q8CU z&rP4PH&n?;htnz~^FaACe-jDcoTYyyPL+S&nw%Km;d^3V@AevY+W?2!)P+Cvm+X{U z+j!)G)#~F6dlTdm->>QP);zWC2iw8*YjbkCpLZN|4r6}IH<KeZ*k`?@S%t~GzT<0S z4le%aS#jsoGx2G)hoUT67BDM1p1!R$J7w?t2N!=x+2pmR%(&3LVt?}E$raBxtl#LA zyi`K}nE466KbNGQF!&$azI5%<uj-M}RjRygTP<_n>Uyi_baOvfOx5?VIBPI9H{{ex zp#(l{OJ6NX|9cxhtXglpuR%M@caA1o{WrtNO5JyU7asGh|6Qc2aNfgVU0FkKXUV*G z;<bT4^|ZI9dDy=5*y71s_KWSOPWI}yJAa;q+e|i23f(HoyLImTlggf30w!E7t-2Pa zuKL)i#{W;z<R7W6I}T+mdh+{y8Uyz%>AEMEyG5rtJgoU+9Ormsb=aCDgEf=QjF=-D zl>fK)O9`D~{^r3OrWSUu=e_NUK<DR~dMi)we<V`!XY1u<*TciFg@wrfnl|UO_ze3g z{I6%-v+n6z$@4x%^I7Bd0|7>^MoS$Jo%(32xJ9BOi0jnZ)sv6PH7qMzWv0K(?a`KR z`AVr?j8e_4kCZ0%O`i9e;gre8)64c$#4w%7yeoA0@;-Ol15Q%S|DS!RNO}77VueM2 zS>4S?r<QKnZC`ITeMPHcU(zRUuig857wT7UJu9alGP!NtvzxyrwzT%edcDk5f9DnX zN_1(!ogF?6)k}B0dY_ubwKPgeX8F$p+Ag^lWBOV?%n+QoLS*atR~jn4a+6O=NqL@` z=cJ*!U(nap;-RJJ#sgLzm*rC@XtK@XKT-6xk^6+x)WB9&-CUsqFBK)V>ue8g+rKy< zCT3&CWe%Q`qA{K<D}?qYK3^>y|FEUSAa{b*$z(5qW@q8nhgC1NTw3F7IIO!aJ0%x} zPT2MJnUaO#SMDcHx0S7rb$8#ZHdOAY;Om|zm2~oQxWQhAUqY!R99d1QfBZU3+k>u5 zlr>4xe-Lm{NJg9SrrwH0)pEbw6HPaC3QHg3d^TCepxd?i*SD@mOXe|4T8FvIJ7)8o z&Ha-fH`~SUSO)KQQNzClG3;VbI1X<txb?oXeoeq*X@#`uokEuKpSL}#c=mSjSC0mp z1=bTYe@T>bes&kmi}Y^wHIS5RoaOr0MniG34`)JM>jM?3;#tv?&WcU4m>FZp<?Ot} z#v_W8*_-upv&4f)#T(1zSLkU9+}OQG%A=+uGIhpvjfo|HZcew+*r2I;+A5xD()9L< zo*zn%zjU~bFYWAN?hKm$h{OMU_|%Gq<i!zEqK<_Z>jf^ER;)>Xe$r#hk~K;~6CSe6 z>^Zc_b5-ipkj)QSqw;EO9~l~?)&wy4es(B$-N`?@tKbnAlT@42rxSZNq-=D(R5w+m z_xpbCbEj?Anmpw_p~|uRx!}`}VK>g~RJG97O1#MOq|wPSbIrD00V@SQM=>T|uR8ct z^aS6--E)piaD4GSW7fqpS%sOR)jG}1aeG{R1G`cu?=VhfVtbbCHj!l&EA!`eF9*Hn zVtYjECx~}n`8t7l&x7qzKby8ce)&b<g2HXa!04p#Q>>{kdu{|xa}}8&KVR$e#;D|5 zDZR_S7GJCENG)g#SD0ulx;;w!L)adlc3n31TJM_=`-R#|D=tje-(hxf@!`LAyJGbp zR%}!0aw}VVw!mxYsh>YQ<^MjHs9CG&%>L+uRN-qiZ(gacWlaZfOnCjXaaG}FhTtV` ziQSPp9jq&7_M~!1bfqUNHQ(g=;F0u2t#saI|HGH}HCLzznlKkQPLY#gJGz+P_x%F> z7J1cE5})sz{@A%SL_;ee>;8kkho_fHPXD@i-sxQ-hu2OPQcXALO`OECdouTK6+xRT z*Nw7S@7Zse@>-`$RzGRS*CfsdliUuzi0Pcs)5&Iiqy3q)y8L6VcfQ}6xV5MGAFT|W z`oW9gd(`WV&AIEI{`mhk`jlD2iVJ<e_&>5&R-42{Zkl^mY~{NmO|_ZLXRn?9;T-;! zzs7s!{=7SJY;{$(`+6NKCQR+FKXUrZ>y<krrfVE7m-;$=>g&w3oSG|pdTZ9GN4z*V z<+qM@wS?!*?{C*1>kGRcs=41XKd$tR)-fAjrQ7-n!RuGextw~fM&#MH^z7p0r89f# zG9%yqm#DpN5_a}S(E7f4ch9fxyLxQdb=lQd^%q+7nZB8IZ0FX?%jC0<#9O{OGVvx~ z-_?&X@2(jBdK=TC!MvotwP@F;dr7j3x5x?ovviyJQtG4Af4RjW&wuRtYW;me>zhEn zF0&J^R!<IpVO{bdS$Ma{!N>kV2i{Hhowv$wnzfnT<`X=nR@?pr$VTUEiGFoslSSOI zl9_8ydAQo>O?JG{SyyrMshy}!;0}=qZ@BklOgrwo@S|$|g|)N8Tp77Mj8iQG4>$0g zoZ`e8qhV}S?`Ps{VBhh$Y+{_*_A@)3R_N<a{LmW0oAJlmH)@imy+HUYtJRtT*S~eN zD?eJEyDt8bjD~Bre(5!(59Ys@M6*tPG^0*vqHk=Xjk4A~O}~H34)vaF3iaI37bYY! zHS?4wn@zx4?)0ZzLef8~boZOR3ZLdO=bf_EF6Ym3^-Hrp{uSxk(PV7)d3D&IbzxW5 z^Tx)%e;;GvcOZLJ0F(2SOIPP-?KEA_Yj!qm&#kX(=5`)YVVm$-u9Wvx+%MV6xysd> zuROR^!oI=Y<F?-ROt+O2U6`kL*+*YFt+CJdlbN=3&Ywq~ze6QGMS^u2xu=|4>`=Gx z$HkB(Y;$d5)*UaL%@}*%Z^DT`+3PND`LOPeGUKYUqk9e<EHIrKWf^a7_26RZw-fzG z&w95V;5czv=z`6?xR-U0PJLHVcztgA37beg)*?3EiJy<15IDKm*SC>pntbQ)?VI1( zaewT2ReWAg-Y{%M?hW;IJFD;BV9!2p``gDd`i{UOOV*9+!Z%z$%(u|eVq1Yum95pb zRvRzgWlOF3!dBcl;(3tk7r$lS1KIm}ohSM&PuAK@PM>_j@>G0MfV%4&?ZV=wckfGV zt4@n+Io$fSW$X3}uUFfhm~q@E-$`6`TW`)Br&IZyOTDV6yyBjGr9jhn#k7Crk5ay+ zeORM!e)flE>YshjChlz$ThnxYX2fyNgZz&i&8{!}x!=S{$(L6{VsT_%O|M%9$A@+W zKlfT=2c~Z~=dTYAIxREh^&F8s(*EJOtE#&0rWj6RTB%%J#D4Xmps49hM>WR<?6>Ye ziq<@ou>G`d+M3#a{vV&!!_3lm-;lnn9yWDyQ~mMNKVC&?yNG86drBTmmUFMTRaK~} z>!-@Zy1wi`-<P<#A-e*XZHi$^n(w%CwsO<-DD4YgR)0N@A9OZ*s$C`)|Ec*~(R1&J zW8O;+@l2n7G}YkPHLk4k71uvjZwohaS5jHMbizjkd3mjMHw`ZCc70YP^dP+?AnDbt zAFa=~%ndG^TyRFEOu0(_uaLe-xfHWfaQOMQN@wLIyZ<(=zHL~rzVH5IM{NOB#h0gq zR=t_w=JZPC(2<x=Q+TE?-}(FrOU5Cgnc8U+f0#}=Cbw?Vzl9x5OskJPuIkwOMXm5H zr~Le-(=y*0x9kye75esqPs=skdZm=q3F+qAJof4H1)G8jw{N}j`=Xj)>&tmj-4A+p z{^zQ^H2LM7L$|ckUx|LY=YHhdBk$K{yB@1JJ^u7r?&`BI95FJ=C3b;I?l%u+?|%QF z-l}}UqRz}WKbbm9@@}2BHG6wYZnjxm%;jszmyN75j>s8yzJ5Rb^-=xxs_ymnKQp(* zoGfuS*_Zu#Tf9d8@>iwoa-Y`<gg?q$)w}4dSMQ9YA5Eq%yd{1i!E@oH^sT!*TNCfN zg%@fG?OysUq=U_*i09uOqt3#L6=DXgF>iP|3d=V;oiRF-Ys8Zi^zJ9erEin@rmf%d zLN&5~!jH^boF}g(Xttabi+%aFr_V|2@7sm4txc@bDT}s0(faXttykXU?bEB*@Nr2y zef85nsOp%v^>KoW+1cX4%m8mozP9!UElE1XnvczT7yN5hx|;NNzxH(1-y7Dy5U6V1 zchTr%;Ro&u_di{o_F%@uzGl-Cr9Ag?7S8&i=#sf5^+Vggn8mE+ufMl%JY-n0ZRtes zB6cUXbe=^sI`4hl)4T3W`_}3HR?ABi*R-Czf91(c?tK5vzsoPAEDicFLqA%ge8=Wr z1&Jw6S=Tv?HNLMqD<mE&x+qd*=3k?!49yFU&Aan(Nupf-wwLOwEf&-*ed?$6mFc9l z@vH^sE(V+z%!;k3-)nI0iMsXw!o^*4L*`8JZN7Y!Q!0LH=b@wFwc1N2o$y$=@tu{v zWU>3CpVuosMGA@SwrAX}xU)>Z{+D&j%f>=I!7n;;OE&c}B?c+&7t*m|n>%~bO~-|z zq0ipw${euel$&6dB!4jPesR#tFUvBn7H+t`J(At=io=0bX+DoT=B%9V#rMc7pMh0q zqP^Eri6s~E?Qbf(=T6t$vb5z_cAaX(Fa1@8t4?Ok)s^r%|MuCs@VoWFO}>^D&#V9W z@qIb5KIg-U<y;#*m)sPW|900|`s6c>KR$I!87zKY-M1@w&*ZM}k6+$VI<8pu#GKbP z<-_VD*Hl99&8)q$@x1FT$62o1p9SPSxA3_Abjd=!_5<QP%hX;RHj{9eSu`;+x;5+r z%RSboQy6y5nB2F$cFHYp7x8X~8oM=1!cNGoVfb+9`K4=CwI8~lO_iH+?`1$*&|U-I z#u(1I6L0==T~g$CUCMQXsmQeF597RNTmF4D*D~CbsmyfZy#z_7lc~%u+*_B_%)YiF zo;UxVK;gwneRb!T=CX%*yKK|0FL-h4+9b6l;U@3bT&TUn-I_Yz{jJ)g?juX>Po9*U z5*BN9vi0bj0{*`0zJ}ZWyB^Q?z4FU4sUY1;FJG@wvf0hB#(urDGFw4r?8@0^c4veY z9^N@SW7*lmMulhPm+YV1wBIyP{eW-GR3~vw9-poym9=?O6N_vsWj{+DcL>T{yMuLd zDpT|FKn^(t-CY|40>rha3rX5UPB=8B<H=%^r!GPN!lPUM$!6a6Ed94hvw5FrldR?v ztI{{lGn@R}cdeNa<MGi(_6~Dw^KWOb6%1|<pH19de?$1ZMDO>N0UC|m<p;gxD%SnI z>g48Zd{5Y`@4Hju-_2W_vS+ePwcjop_D^TtJx-nTzD%dfP5k?B`m3GQd%eIRsvv2b zkA={LpGW7NcqXbLIAJS`t%I7+nb3KAa=4aGDv#Z1!o1u|$t3i8*Rw)(QS+^<)}P#6 zCi?zj_S%xa>Kpx@b649HOb}F<;u7~#P-bb>il}W}-PcOyc)If!`_1}Te`#x6e0rhW zn~fH?_a6N@|C+McbF<k}OV%ANtV;Ige*b&(j7N@@2j47E`1}9*>95ztV%M2oc)IJe z#Obf?%F|Y>%HHG+IcZVLyU$;F{m~omZ@*qCAi43dLI1Hbr&cqI7TqqVx%(_Cx%Myh zzVUMP9FDbStve=qO2`$iuvSUjf9hrT^;*RvCweQcr&#taPky?KMc(%1m!r~lMe9_W zk_-(et2)HDIDVO8JoC}NFKe2fDp!=pe9mjUqcd6mvJtEDQM<L#Go#k`*gkM*3^|?R zcuS-qipkx;_Jkwf9H(i?$CUC<Fl*|YWm_6=-W$-rK>PPk<A4(r&UmCqEXjH&d@$wQ z51(@tpDw1^><CHUGwD{~w=XmLzip9w{)|H|wAW>s%a6SHqD_4Xu1aq2jz3l6_;+3W z(T^}Sqg}Q&_r(v1N?JYCj}V#rA!O#6UD3jmBg_wkirYNpR@83MZjSoveLeev$d{lU zsyjTcYlmNd{m4~FEXUJeNo4%N=Q66dgkC=S^xWM}?{U<*XIA-UC(qfZSsqk!i}}>{ zd8WR~XLjZ-DFrOTTl=}U^j}zLw<JiBHE^@u_5QV5A|GE>uI_YLsT^^mcA@oJ&fQ_R zUUv6;dVkB3a9a{)(XXvDtLgRTitk7NZWL{ry>Rts*6!Ihg?F!+?TqAJD^P48|9Hcz zeIeDqKRF}?J>O-}&BvtZUSQy-R3{o<C@s#t-|xc|-OWx@%q^R~C5uEHV45$x*dew+ zX5Yb(KkOVYI>W7VHK)jx@l3VRx}mVE+WW-KFQR|$B%LyHUi8UxrJz>HO7SH=20_}w zdeb;wi6y4&yKvG*>HWsSRq0`!(H}UbXL>3fzrDl1CRpW-ZQ?Y}9M3794Y4+<h1~VR z`oiz7Tn<~g=}y^|#m|^S+)TDe&JlF26)2UyU_N{10spQ=yXNvta9I-SE*5zHYd1&5 zypXpdt<wZAJ~X(Oc#zvDNbL6h4Hw=oNU+;{X10Op-rB`Ik6cgPn{ar+L@iA_Erx8_ zgTH=c^!aSKyt%7<XVa0yvV+TW?2DQHznk81LzvIP=l<k(XMd!NF&?ph6ni-|;)8j2 z-G>T)@!21ADg(OrTwh<g{(kTKwACundy41O{N44v>c04%I%i4G$tO!f1sk2Uln*p` zDecg{=a(Sxalz@?VI1#|HQ$M{eG|Lj^FO^0S^PEzp?M$ta)c(SXusd)RPkOr<Q-?* zq^aeRihJ&_EfP<#uR6a+`{b;u@M)2Ocgr46npDX0zbC)o*P|-!E|&r=^;28!pOlix z_{{dRU+Pidck6lo3@+cEsoT9QI8Ef$sUL@@9uzK&(R;SV_$RMcx6Cv4H@hbIM=J6> zViY-Z&w-=vhqn8N%@*rlOUv9_V^nxvL*&Y|i@7})8##{es=wz~l(EtBu;C49_33xT z?Kdy#J9zu!9Hx6+sn?2y921H+Z&{=vtN(k_G|?yZuPa?8<NL~|M!)US6fgG*IAitR zO8sc8|3}{Q6N;9JIDAeJ-aGqpTIcT>Hu~%C=)ALjHp~9NET{C}QJmN3sU}~%9^ACJ zzFDHixmcb3$k97f+3rVl?R4ab?VNG{wy?_Ys~(NtD#g9$?a{7lc4<3sPIuye{@nXa za-o%LZL8Pocc0ZL;#_%@TXANBa4N&X(g0%>>jxjaCv^W^KAmL-i_w7=17Txf9?vv4 z*CTel({Aiv(VOe6eY8n5YoXKD1-)x8nf=rK)xG~v;ELAeOU-}DEbv`XJg@w%?a7uW z4(AUt`#<}1_TK&N-^yd}@4mOy&iwkO+dF>!zFo2T=-vhLM~Y|Gtu?=R?nlY8`!7Tv z+_VijcI8QGk(jaR+QlqeY&1R}yByQx*t=kZhK$A?rUjEX?47>(xclopK0IufRvRY- z>Ph%#cdbb53+WY&ncmC()}QU^_QEOqW;n}bo0;6SI4)NzdU+j7Ql&!20gftX>!u~L zow_ACH=J0`q*cAV$Kig_O#emVH;*2Ndeh4vgmZ$z46o)g@+*gV9xk7}al*T`DN(;U zc4z)z?r@$HdS?F4)Kyy~HcU{u#2uNijwk2R;a^)dqZs+@MO!jET`btv@yIQ5FL_pO z*}y#Ii|3TP&Hq|GmCkJ`yU1Kpy09>hb9%DeFQ#QHn7+Lbl^5L**>qNlasTDdDZ#v= zrUyP6MKx5U{n|Qd#q+5A>yB5`m(4%A_gc@FMSYy7e@WVx98I-bV*jEwB(iey)F)?W zMAa?(JuPD8rX6f+IG;D@y*iU!D9~1PCG^XzjgsMS_kI_=@^b!HwWyGl>lVH3bf2;0 zl>3GArt<Z3^Rk&(&o9b6*s-`@+;je-&3rCzj0)^7=xAi|Gq-%+d{1HbZGqWUjJC7h zEWO;vwM<`KTyTEDvWyb`{3pKy3ZJYw5H0R7DKJar?^;nQtrx46XUMVowa;DpQRni8 zDQ{$Aaza*4ELmlG{1r$1<m_jMe^2t;#%9=YQhmYhts6bhq!*u;72A8m@8M3S*NGdu z3a5xK`F!-il<E7l9Rv@i_7`U8{TFC*nqMa?{ZeoC&Py^WMz>PF78{>1pONNsbME1U zhl>_WKejb+OGnfmQ-#x)HGVwOab5E+HO}m7=9D+F9*_M4VpCOR3~s;t+_B-4+iKZY zJnU_@$3C1i%)S`7Ag1=!dx1owq}$v{PZp)<Uo7K3Zrxh;LSyy){I?Rj)~pwNP{-tK zvT3G3@!ZH$X>W51Z#!{#Nz^RJQQyIAef;(LN2T{7zez~vJq>ToPkvU+K7Hz$ERCbT z%P+3xW}IAXeqpn~Zuvh4B91a<om*Hl`^dMA)7`e-SX|P)yJgimjiNVsHVFre-v61n zfO&xt6OVE4$z%C9OrC9MlrmYjSWxqM*t-YkMHBiDie2jY9wT)(?PEh$;K_(xzq5}V z{uR4o;<RYPi+7u&H1;m=ORG&d^VCB1;nQDJpE%xL!D7QaW2NaO!xwqC&NKd~`_+7+ z^Z`r4dFE@krM`8iZ!WZEKf-TSQLndV%ab=t++?1-+*IPo7;!44YX8Am*RS1I?4ID* z+x_l=q>$3d$^712TV)dDS41+LZ8OeY#?E5=aY3`w3gfi}eaBhy?s-&dMhUj)xjmL+ zXchk@S90jKV8Eh}41PX~TV51B?a`=blT~PV$&l%8_;=0LOP{h^+U1sATsm1YZe>+* z*R<qCe?JzxiRxUfx_EzPQRCspX{_OUZfZ#M6)3;p7g}&>LRHA(7yng?MK8Npd^zWT zzIySDRU7~PzxVL<<&}C1Z{DyH-R8V_#=aL%-A`mI2c*hO+A8_*ePPWj;~9%KXxg^z zD-m}(fBBaA)S@7-*EL<X5(XDeuU+s@PG|WZv6Q2_GD|0Aa?~E!nq~6WXkKvWQjxy_ z`p2p&+RiflQ$EmH$>g}(a)Fz%t+0Eq_ZiNmGwxlTJ;5*fF?)l~rRZN3>bV!KtQD-P z8@%^VnWN_*6%lcf(NiaLL%8)zyS)P6PyBq~cEU5*X#Xm~FDcpUjE|;Qu<d?+?a(9j z8so{mdOZdF?mwBE>mEwv+w$$c>apzi<BWvrbAFw?wTiQQl-UC`<#t4J-%6g7{MmQe z6Qwf_={IYt&jzvCEOEQ|KC1sz!J4G)1;>suOkZyDX?{sr`3>!U26-dzc8gupzppg> zWj6QPx2ObFw)|i3<83-l9BtCt=KWm0g0G)3f<JKQk#&8MVj&!c0o)RLYI|RVaij+> zz2wSmQnzF8fA(bU>K_|K7=Nj~x}ZAq;AJnP+;HWL*;bEy=FW7C+%zw!h@aiz#GBvF z0{_F`9yOiB)M{}(?%5a3DQ`lPU(B6m&fem1{Nc?P*85LoB@442oGdXzEhlLIDXXN~ zuTmEo%UcDO#2M~gzdf?<?A(u+i;n-^b?2hwce&T=^Is<}ymw6UT!P%alX7$To?h6p z%D+i_o7U-v7q)ypr!6yUSteh*b1~1+4Leg_?4G*t#;Rkflc&31^XY7pl6t-Pph3)B zDXGQ5t6nEHCIo9td&U~8)pgHxQ<U1l9_FtTJ?7s(n)*bH=eX3hrAwunYD8Gy>j=HG zpOw*(eW_=echI2&^CwL&X`HTD5u5V#)W3p0XAe`B+^iL+pD?nwc<<v`80I2%E#!F8 z-=bKzxUCmGEIt&b?a&g6yzB0>o9Eywr5BgvorRAz@n$<ce6{Pqr_h5>S2I8KShH)N zokW}@*Z0y1inmOKM8(pU^<Q{ubC%V~q|LduaI=1-`@~(ZKAbu^v2AMbEP=JvTzT_^ zx^(($Wt4^QoH_W`-lf$=nb)w=)BI$>^*^Um&b$zqcu+r5@c+*v;yJ7OO^!5~Zp-;_ zymF`d*&vzD$Xlukwf~vKH%(JzZnM>%Vi#uC&=t6pf4;%&buSO9DJg_#1}OQIi5na( zZ;+p_YFAWe_H3=t<%P55gIp#y?_dtux~V#Dvz^lUV2@AdMRzMYMKP&29-rQR_IX7< z-|w&4)f$pBk5zgFe%{40xAe!HyMK7~QYG4a4sxeTvp$%R9B|olHG>GJn5a$g9Q8RX ziw!)ssUE%j*KzGJqj_GA37-@Ejm1xWYg1|Z>&&+CQ1?fn2iqCeE7&K7hcdaTzL^nV zBzVGOQ$)LL>x>QIk5;MG$exyd_?0s^gfXE1O{;|NhSYacj(&1Hdpxk~$%W;73y-}` zS|@5Pxx(hNmZW>oj+y&b$sfGk`9r2EX#Iv2iT6DZ<TGEpa#CZhkK~uKMI5(eFPHJI zE9Wp5WtBX=xZ_&`yW9RtS`YsIIPAigzjf2gYc4l>-#ll{viQsU^;qZy+uJSm_e!<r zY+i7G!M$mLuldXZk52jUtgGqZV~u^RX{F6|(=Ar=m}#_G<Rz<!-A#^~;pQ!Kbh>c& z0;8PEH8qobAODp0PTwHk|8riLhx((qHp|I(y#m=C+zYrh_)As^=+1Q(HfN6J&}hz# z|D_o}dAZ51n?>rad95?%vc5_B`|gU)vL~K$?A}if9tpf7VZC<Fwg~I320`B%`PCOJ zymfcF{R7ob!B4Lne=dIb)WMRa?D~7ZsfCu@uA*(<-hUIll_dV_(*mdOQLXPLIqf!; zmz-PMy6@2px5a{|Vhn93pGg&e@3eZ_;?q+Xdrw`V{UW<l_S}`oQq|UkX$@hk)-CY= z!ZDTgu7KmIu(`(`yq;hy7JFTpYtjDq*HdTie-{7a^`kTK+{X1we_i&m^nK$b$X%}B zzPn@Pjx}7Id18#u($hY@JQIKOhu%ESw=6!>zaF~6Hc!)KM$eJXmAnh0BTgppN9xZI zb1>0+`i|G<<o}EJy%R%vpE76&%xmpQY@43NzWYb!ff6Z&AeZ)E+l*Eyr21y=o-+BI zMNWTOqxXhVvl((e&n~@qYq95+)RP6NR~{P6Epd`NuJ2>nVlk&tB3|#?`2;VY^vSbt zd}gsfI<Kv!Zqg0A9_h^+0~d%K5}oa|iRs&`q++g9(!$4B4sVfSyBPT<kgeCUW!=_Y z9P->Z|L{$}^qVi?_?I2eoUea2kUg}MMdtAYSLT-*i`Q-tZ<>|LWfJT4-l8irdee$5 zq06`XoSM5@EZf$~3oQAzsjBMw#g}(}iR`Oo+`WtG$o1ll_3zRvw0@_5^cBuX>fQWX z^H-+K3fs5Wxh+3j;CYu&&nO*we&ch+><e;T`3Dy-VPAW2ODMl_>CwGk7QG7&zj*!e z^1!!pH-mMO?f*}{eRId;E1V{CI4_GuaP78KP_KXep@}gpednd7<jHBDw(R4yc%>oC z?AUt$_O2McXT3aiR?n&~`6>8_uhW<|!%kwxl5fG?({}_H<R))!Xy8zrrX6yq==c8i zr!uBd)gkX5@#|iH%xR;%xZ!@$1C9H~Ds8&eCkV1l%Q+(GQ)%2~U^OwoE15-)JK|@< zs$Wxl8*18o=XHoKD&^kjAS|cG+*e<IN;GW!W%WPCe>LCBUsJDTTKhKj(&3rYcmC4b z{my1Ld)h>IKc39h&%R_AbktZC=NPzOO7D-_n*Zaf#;l%(dlvea&vNTX95A2jyq~4V z=<xAU<C{y}F3s&$+qARw^VJuJGyJzpIU8@|KFK0+{Qs=OYWJHbxMZ?Dvc7Ym`lYFR ztIxbYso%I6v*dRl6`D{phgl}z=9m96H!dxjn`)>jylt9<z&`fHJ9^IMEZZ*Q`zDFu zjls5k{%mjV<P>FyX$3xv*gIjXy!-kcXWb<0bPW1V{(R$9(tb_h{sFx@r$eT}*?Myv z8y&Ya-{Tja^t#Q4jUmV@JV$KH`LklIme<&AceQw3<5QY!nrNKl5}kG8yzGMj#_T!A z6+Qkko!KfeIrZ8Tmc#mc_C1&uS$N=Fx7x283%DfY%Qm|2|G7A}sZu&*O42GO=Zg&! zR?K|CyGOYA_urG|fmQ~)a(<n-c=(sf4UKon!hBLQoR%F{Rdt@U)Ah|FPwtuj%rDrU zxOh<SQlC!ro*wbdv$@2KbX`@_zB^^DTJq5Je)=yP7p2FHHq{D8O@xY$X<0g4&rgeD z<t`R`t@q0F%O>gm-GLfk->*%0opSQpOJiM&;<J2acO(SkA21yGUzt?3|HtnwaxCXu zeyyJQQvciX|0c$N)&IWKPhTeg!vFsKng6=39scbSYQS(L<%*}wD#_GDv&LB*>d`H1 zzJbgMfl|E1`5f(>YI|O+%DG_nR>0s|xzN;#JGoM`ZZCLNdM$bHl*pX$`i)a0Ha$pW z2wVDK+1CP&Z>Li}sV<AS5GB6FscdCV;_<mj#ov<5DwS`EEtq|3+5x8J2X1{2l(Bko zOsGaQUjF3PQrl?`dwcag*sUiR2N}x6`-<Fr{LytSZ*re+-1>EknmP5iKaQ+lllaGW z%Eak2wx!hFKA_g)y27N^Y>UDNgUv5$nAV*x`p#BZ^<v|yw{~aK&$@9ey|*XXP)F6_ z>5E^>RP7g}PMs3!e0HkICzjx|d}fu5?N1hdN#RO9V8M1t{OUW6RKtf7=NF{QCZEz< zvP(ATTg0zPW^yY7&h)L!u}bGG&+p2d(;xOmAou8k!rL>M_J%$FYq|O3t*vr<8`Qq* z9E_0Iwqcf7=%tpsA{tFwd0xLTym!KQt+apoy&o&?R22Vm+qJuhb7$G*M~vo9b2&CJ z9#`VYnQ<+Gf9Z{w-#WFYj(>@qUG(dv)0LXl(=#XPs;s(VbZ}KpRR5-Px}LLL`aZg) z@a$LWSsJn|;`>s4T_5lGNoC#^$E5ehtX;R{>&YI+%6k`+|J)aUAm6w=cl)oo+nMJ6 zPhmRv=A3nnNWA&v#~c3so1MJ->{OSEhegX~*SrY%@4ypuZ^fpU9`VZ$x$K%|`=BK7 zt+I?m!DeQoT#36S6E+B)P3}Ln?DAR1e*w=zqe=pDcsq9JZGN>R^UWedU!J<<?16TM zFK_-8e7*6nQnuIq?tlMHANor@TC4c)frI5yMef<2I}P7%N_-=-?`PE+_D6daqZ4jg z9$JvCcx;mSrmv^o@rt%;t3<|qnV+>%s4Fq%zy*bWh3S#gcK$PKFX!gi6Q5{a`0&!n zrJDqHp44dh&K%h;{w{el=bg%|)}0*2+Y4FL3J>frf4VAX*Tv&gf_=8Sn(R7ewc+sE zq8ANiOscDMs;nQzM!6=gKef<(bJ^v2*SvnQ{><oSQL8b3xRgtOa@=A&$MYvw@EWE` z{OZlI{>u4+^?$<bXI+YZmc9#8BN%5oL>6r9RQq36wXecI+v$Ai=4`b$5owz4p?tOa zr<ZSfx&7>G3$I?wlHD4P8`gG&vs>_gRy}sK@Q%i*zG_i_J&*lej%+W*|A}tc*%&)# z{_%`_8L!-~-8)WxU9q!ey7z+l0e)O(9zOgMaj4tx*cbJ+GwyC;x8RAjXc1SAay!{k z(iN#X$Ng1lboj43Oak|AOeqy(jr=v$<b~}we~vzeLsK?R@}BB<{PDI$s&^kty$~** z;5<)BO3y9MTi<w^mh0X==S3wgH)iy39y+XcMf8P(oZkuSGfUKto%!r(S;u{K-Hdw$ zIxXjTX7&^~$>^^9TBubgyGlw&UM*a6(Ou4#r~752=WEr>ZxyV+;UvMAWs#6Q`IOm- z`Hx#HOXu&pwZL3RaQTPh{KZqOr?{PEKO%K-nMo7p?nxI_Jv07mYo$nD)Yx|Kl|Xpj z^RlIZCWrJE-#zt`XPc9N>AAc%$5ff!GPAyU@|v|t%@EzvXx6r{VVB7?x$G5ja$DkZ zU*xP<&E9zZz;&<9Viq6#GryQ0k4P_XFP--I`5vL?rxwgkDdq2+zy9Q+oUYuP=iM4a zEVgcabSFC~xkb=&h0p@QN3uI>mYPU$t-AEGVTW6m&w7ip#eoy$H}qdk)mi@LqU_7v zd0(FfD<_3F^W|3*a7mZ(@mra^747UVPFu0-r+z};*VW;EjlmTw^k&rU_n3S2u|Q0W zw)}^piQTyyekz48k$w}D_p;1yCWEom>f(s_<f%Qa`l^TOXUBxPUY0A!tDO=1NBoUp z;O4CdMW3t@sN2=uJNvC5+Y9zIpK?3)v=2cKKmXL=yu8LSY=&@y^Y*1_x6M61cnent zc6~QWy?Vm(PTsPI+&7(ji)XCUT-MCzvRQqxz}}`=2Yl}wx7e|CrBKi1n3qAnLY!nO z?UtHcs^Q?Deu8n|cW!t7>J3{KRPUK$!`rXA^o8w_gU*+(vDh#h2Jw8#Z#-h|H#^;P z`Sr`%eR8+v>m2%aj(?dZgM{lB^>+U6|6F2bACUIluumqKkLl))spo#3J#s_FX;zy4 zl9N*Q9<$PQGjHhM?qgY|vL^SJZb<WA=GfcnC%C2V-t~T$*%SXq+~eclgF!9_n}sxw zEnB)mSaTD*_ebAouIz_~8fsGuTBq#g|EDD9=DX+pi-mrx)fP2pKZ+JV#h}}n=fvYU z;c|%yf6{ZW)}D#aB8_)czMFJy<2PRhowRO^?cEyFM1rd`8n+yKbI|C_alJf;<eEe2 zrpH2}I=EbueWq>_=h3VFD)xovTXN{Mx;6LJR`pypp6A!4@!s-R%F2a-wjz!<HZPxC z%(m52_SEa?sn>*FO3E!OEJ%8{T1a@h;~F8s)g>Du&IYO0_I_?rJ$|yz@|EXTg(Dm5 zcx@Hq?lJGqIK99tGVgou%0*MXUseYFx^!@<zFfqw{xz5Q)27Y4@9e$Awa&!lrMI5O z+`36$l&0*m>s8wp=qh-0ugOb}+KnATQ-hrI6dPy0S(><e?xB5F*>ew_{Pm;Q>EwZ| zidy}TuQ$~^nqXMq^6|nP_wcJ6=MPmxq$=K;%JU)Xj9rFnAb)o->m7#2&iCSP>b^c9 zl+P*iX!p+Mui2NDN?M1C^?r-AyrRr*5WxQ2$ZD?l-0dv|A6Uy3`j!g(59qq58M!8) z>)xefr)RmJS5q=mF?U#0;#Zi@SrM{<bLxeu?Jfq)3*V<mGw+=B@c05lUhcy;vo{2s zRe$TQ9M8#n-(G>8BeZ>O|FM$N3JuGQ(qG?l;@eb|%c6h!w0$$%;PcOx_w~lQtxsJv zKfevKGcM6AU+TTna`P0O%+i&1h6x?<8&AIF&|*~o@t9NpMfBWT@$!owbH3=$Tch!1 ziP$oib<UU5x|$x#X9>z55|ZT6@4wP=NPD@?>OQvopIiQ>>2ElCwRhu*QwbI~0%W<b zooNwkcHNW}bYICbkZJd<Y|9jPQSObiwbvYNPIlOK{)5gsUDhQn3lg^%>}23lDV$t> z=*YIue!Hv=KS_NMA@}3lt{s2M5{@o7^2v!YZgK(ZozBjy{kM<Jo3KDDELQURa{C_k z*<bwDxU&5Sx^`UTUG<zv)!#nYExmm0$u1w=jsK0E#J&oJ99dvCqpj$o6pM3$mv2Gk zl4nU=Uh%D`pMH9qG~Jvf{0i&z<IC2pU6=hQd|mh2)%$OxW-rLq<v#lB2a|IN=gwt@ zGHc@sPtI92JyvJ&irn3Cg54qUd)%kqyK{Z<x2y}hr{rDOl6fO+#x~0gz1+*U=V-cq z3E%7fa*Jjkht~P+VNT(%9wjY(QSrIN^^31Uu0-Aa7<Q|L=SsS^ZRXbG-+s<fB|uB< z>M=&W0H6B2lYxg9EHH?D7;I&`s^*Y7H$(Ba&wqV%FDK?zY!THE-WGFW<8%Rq<ZT-^ z`@Gxq|G~6PRf3myER&Wvw<RLuMHNGo!TrSb*%xk3i)pzN>Kmi<E^zZc=iWHG!~cHo z-7`7%)B^pj^FplVE<EeB#+)%TijlX3zf`5_rE{*-hYONlu7({jJyJ5~_LN1*pT68b zrIpfRy-ff3^2ghsH@@C8ug^uXaKiq(m%2mU`hLASX6in*zqhbr-MJmpgF~h-HnD1* zF)33jR{W63AJ^MGzEhuU+$UOi%TT`D@ptR#y!+x-3jdaE5<UANr=xCeoS)6VZI@oy z$S(BlG_Ra5<@f(yjZ}znMy;lVAz$*f?}smK7v<QqWa+Nd$Lz|c(odJK(SNJ4J?7<= zl{!)D>XN;9&0idzmSAuFc1qwq`z@)?H&Sca>-oRU5t-h-mtl6e_%fOHWqVZ*mYegM z{?XsbEj6j>lFfr771b(#%s+djU#+-#WJY5U>#FNl?o68ZT|d~&QsVz7xy@F)j-5I- zO?y^cb(!e*vm!q?eY?T7!RTVK>W_7CD@+)o>i*d*-acvDT<3_l(bu*2HrRSSuKA`d zYcb)Hz<~_m=NFxos}|(3>dZNMs_);u3EWddi}uvBl*N}#TiK=?HcvkG=CYDk2TL`Q znDq{MSlt(xGAYA0$c$5{_|Lt#)f_I98I+#y6P{kSrQ)qqhh0FJqQoJ#(rr(QJOuZy zui4}H^2)_Y+`rf^x9{NjUbmR_Xab+ZDYbbEL}W|ywp;y7>?>+NwMhP|e8s(mng0bD z82<l1b(O~`%E!}*0R$MIr&KU**;_p)*>xj(-8Y#D?Hm`sRf_U<EZ~q3ov)!{@n+L$ zrbPC#YpF9i4-2&AoRD+kXiqc~7Mwa=LQYA^%fW&5U<bE`l8c^#LXt~JO-z2s6>cT- zD#5Q^@7@Q0UHj_t$#WBa{<Zr)-Tv9ce`Td0P+nSEe(3X_v$M_f`Sz%8?v&kjv+Q-t z`?t?ds!x1o&-ZZty!5QaYFxg#Gym+L{^uOmyL;jfxPxaKXg6=q&CQbBY-CZ`xl;Fe z%k>Zb=e_qam3*K2-}kM^KkxtNJ%3$htbaT6)(p;O*_uV-zaIa2o$^m|Piu$v-8ZWp zzh6D^Z04<~f8Lj!J?jnYy<bND^S&%S>7UcTX_vx3#Lv1nrDWx@^epzmU8h#7zC8Y6 z`xLt@t$&SS30>ZM*lM2rDqzoT<Yq0;lFxf9wqxFdbz65B23yW$3Hca4<5rRG_MOJ3 zWRK1N9`)du&fdV_xm%Cks(Qi_UQ{4je}!r5oxTTl*V>~`^T?%TX@~6Fd41uX`prA| zKgG|AoBn0(&*(|-IsPww*{k@{*Ykd3abR7>wrBaCzxvGn2K@8Be0$PAvwzb*%|BD0 zxobMh|J|RqPpQ-Szx1W1XT5H{_sa}U-}7F-s%sd0bSupNu6)@W>sVi|QoXFq=Ks={ zxt{v^57%q{Klx?(vgPH@b-Cif)_sk$<h*{p{uzDB{$zb-Rq&<n>Wk*c?V11Y%9nT2 z4)x+*Pf9X&EK@IY|8+S;(~tk3M}5|=?`li-PZF|}m0I!gq~gm=&w5tFqd%LcU!Fh9 ze!Kb${%`qH-8Eibuv+zh#mXydtfFJmC+@g1JMf-C74Jb^)nz;H2nK&NUe@+z+nsd} zt_gM&ro<afbKH9T<=zP&LqjT$_0H_7m;An+_s`~(6I(Rxwwr%g|L$=7IS=vmMXTO3 z@45Yc_2)0Y?+MJ=`aPd<?^nN{s`biK<CQkgFkBk=C-~Vym(Qg^C(51T?aXREKMS_? z*w6cu?d^_b>8gKIRcxl+pZMkUvi3>;ivCUe@<ijG_h)mJzf1q<F75vmKkMG4FRh=- zRcaU3z1u7MM?WJS#GEtv%XfqDBk@P-HS2vn@AvOt`bF}e_wD`KFE4|%%{rxd#cAr+ zW!tVi+Vs2WeBzF80&}*Nzmi?>ebT;fatoWhS^rf^{1-9Z_;b^H7dtbyGw)|;{$w{U z+gB5H{zL4Ea?#XDHKF!PYC@&9i%hREFW?tE@o2~Mkn;t{?$3MRr!4T;&F6`~(B2mX z`tP1Utaf;xz@+)krER0;#iH9y(as77LnHsLuHEsZ+EHI+!h=))1YI`xIBaF+J(yeY z#QNoZ=N%`Le=LtzIK1#_^?T;KYJ#b|l9#<%ublETB6#zsOs;n>-sMMpetUD8%f8uj z<dXLr{;K_oNj~{Uru>!W2-mAFtgd2b`0mZbsrSj=eMg4rf1645a%l;UhTb3ctb6iX zICWA@YJJa!r;~rEPg%5Q&i{?}fl)Da=DqoP%1Tbl9~ti{(n?+X;QiB}eXsIun`>lG zsr+>M?{-SCsPBh5^VIim8vm>>Z<@>BKcnz}z2^Oj-g>sFs()_VPpO}K%zoyRAB7v^ zw(>L0y&w26sP5!{zDDmQ?AkBA&wq~cZj5$rHhz5UjLNOVOX-aMzwX>s|I4lUS!KG4 z{q;?q&-!15CRx6C`|g7FPy2;ymL}xSI%s_7mE$jg2mA-F&r`IWA(&V-@9Ac}9ea;X zxNN2PT;9K=_@C+@?H!%0lexD&zUqAL{KxAV&*#(&8?Ua}*5|PE@8g8dqL4qIB~Hm- z{eMpD!TE>zo#z9Czg-U&f7t)1Xz}^}%b%*7x@9a|5Bi;{e4)RYN8@gR>5F~M-itrE z`>6IjZ3#U6IjU**$y1q!)^OiDIw|t`e21^m;&(dho8upU=c(sb-gxf(<Nb#ZHhr3H z&GxkZ=(p@w|834|{H|x5W_Kz-iRnk-uSq{uD{Bv&i!b@Z$-3RRQKDV4f0?<MNBF*| zN&jS0pZ!TryY^hp?zMRC=ZLDcGC!20edL2b^Dn;db>3R+rG3#W%eV7=jb?tU?~7NF zTAN-j^uzr7!~fll$E_YaCUNc9ADDRNdB@6M+lAM8DQ}uK-$HIj`<ghP8CwsoyAzPz zyz8yQwm-rR_40zLyB4QCi_lK<Hn-%x)2=&TIBjjc{?z@`e)X4lcjwRL_#^8w<z-*u zz3GObM$aR3kN?=f@F$ri?N0~i-%uC(Fa1yBA1_&Zs=Unh!*+ogACuMI*UxD9dDu6% zb*j7I4$*1v4TC=@9}>NxC;BJylHJ<+cPbC~Z+5K+vY%<P{XFaP%?$bTxoh4fZa@E1 zUP);C`KKD2#cwaZcJjpiMf#TZy|<?eTPX22eVVV$?4Ii`|7rS^Kgnwzgx2qFlAaTv z^><IO!*kwkdyo6*RcLRX{aIe{U6SQ;Kh}Sd-SZ8+o4t32d9N1Bd%0Zc!T(LXg`S^g z7_4pzT()1UBW{7^mbjHxANFs5e16H!O1&Rx3Jy=LE5!=zH@`JX<m2W`-_<_xsVHmU zu6yo{^I4^J))&n?;&rWV=G5@m0{u-EJt0wf&X?wI_$qHa^}+p}cf162F3PR{w0?eb z5%;ut^-6zNzO?l`{%XdLPnC)}Uth*NSsz{5GjmZrhhZE~*@L9+f7d_0U%0LO*tccB z3!n7AU2wjSVXgl!rX}mQ6si1jH+KC0TdAZy?y>NJWYI%^HoXk5vi015>XrT0@0%F~ zV_N_1SoP$_(r2m*zO#rP`f|+Y<o?MImOkZM_tEn~ovXq@*SgzAE8fQJ7uj)^MJVR# z|EJS-_%lBL)p_b)=dGG)agU51_$ej%<X;J?kXXH@{JMPU!Gqq;MUtkX^W4MziVE*7 zcYHtDWsUrE`Da(QYwkEN*io1qwXS|jvv)jS)pMbaLX&exnfp&IRo=nY$Rd8}k?XI{ zZSn7n7nG|uN|>i<g=Y16wbsiq3Tocl%~TP7ueL%fxiW`;&v~Z*sY>g<oKHAqGkMLL zcgyb!{izp-_-OQB^wOWeI;r{0f6ss3eueKz{{vOqiRV6?7cKm~Y(2}r$724UqJ4R$ z+P^rlQ+Wq3-?~@wnQzxlo1fQoFKW5{)W4d`p4(?#z3}b-v(N+kZ(lmv<$HUr_RILq zFO!!=sTGCRAG$Ja_1ug&UaN46r_=sy=7?}A*Za9AZb95sL)E!f(figr{>!(1>hh0W zv)<+L+P?Fr!XHIFcwh7(^x6ciuS(YTFFDpde{kxb+M;MRxh;Rbl`bgX`*i-b<oM({ z{|)}nDmniCL-&FCxi9->t(vczF!hDj{Cm?Ic3(Ic8lYdKaO2bLrtagWn_g?*JP_8j zd2Q<5@E0cgd3K$*dVK3u!r`zZ2VN!2`Mj3zU+U*f+u5@xypM=JZy5Zc-v1`o9bPW? zV)lTVM_~`X7ag0u>Hqu|efIoqPu~90{agCr_U*>NTk?^Q=llOK-Q*A{9CQ55TF!gN zZ>wehxtz53O3~ikj?bCe&j&nfRIL8x82|LnkMai(YZuj-XK77*D<^h6T1Bt={O8NT zcF|40<!(GIjcl!QJ2v6DVY1fof~Ql?|Ju7iy8rlMwMCL!b4)bv&U=tlf4`%%NrYR^ zs_%&8#=~(hpY3Db<=fsrDgN=cCH=2@%k2xStshq^?wI;g?2o+QL#Ca@E_<%|sqF8r z$yZO(xo`A$)1PN5cZ+YW_Id1ZY|7usy3*6)-YH)AEfB1@^JnR=%h%#B$(Mb}v%1my zb57Z>=4<=^)?O%no8clVDG|R(_JLgK51oq^^9)u0pT86GC(tgapJD(0!|s+h=Ks{N ze!T0Hd5y@Au4a8!{j?g+y4jP?zYSj%m^o|x_v9zP3*z@p_uh9tc=wb)ng6a%U;i)o zN%iu{>m_o||Lpp(UF77yE{UAIx9r{bsi!t~{^5Llu+FAmj^9pBApc9C<(4|un$w!@ zUkr9k@b3OIf7Y#{wf^r4ADn-+_<zyD|5o$*d&Rd-nX&$!@QLXqr!>3vbYJmXG~?F0 z&gBjJ+h(NNE-IC(wp%q>ZQJ_XgKyvcU=3rf-pCmBv|LBoYy0=~3$>RHw?8p{UEe8{ zFzuM_(bE6y7UePPEFN!qwCOy<^2e%cr{5`Eb?&%y(`~_{RcQ(F>oi|l-z<sScl(d7 zXZ(rz7a|p6Z?9E<xo-aASJzkGdz5!HXFj{7{x@N>`L2K8mHZ05`k&)@^8VPX|Hb}0 zH!r??Z|8o+`z!lwxtf1RE<W_Y#`?etnce>x>LRMm=P~E0r^{}L`jWS`etvHL+Vab% zH@NJ-b^QO#Ge&pLe7<h|TseNX{{7<?_dj2?-YNQN?zQmu?Aupt|F-{`*#YxkAMAzx zEZ;sM_*Lrx{>j1N1=GKrd};M={_2zRe!WjC%jDP1W4OCj%sy?|1Nj+?SY3|!IA#T# z@SdKra={rJzn^bXXMeh^GHa#jpWu>4yAM^Bao?9NsIQd&yIQg@^W&6yy?>84bgWEp zdr=(id+@g9QMLn1*B7nX&-LYd`HSbx%V)aT-8de8G+=MWd!9e7eRn<|?8`a+snOBy zf56$auO{2MKk-hkPYQpqOxIpGt+Zg)iT~Eq);+B+fAF|c|7`Ha{f>{Bx7a;X-pl^s z$?k>xH|M@u8GWu>%DEt_F1+Bk#jm(;`+anS)HZ9sN_sk>Lip7F8C>bVed_iv=D7d- zdp760z0>sG&i=5ca8|kd@=SZR+?U_JvBbXFHF>Vg!>UxDsm!cb;!^JO#O%3mleOq; z^tJt(JCr*-mp)&=MAN?hid}Ve<GqACZMVKIS#mwc_Jsc**H!s5m#viyp7*%E;&<P4 zh1xH(tDEcg=s)>Ci(yrNZ|#J->5Kbae^htxxuef^I>NI1$&rY>&#Cv@YNiVtu8eni z9CFM>X0DPw`*Tj)lixK`H~oCT|KyWO`>EZZ%cgAZJkX<Ff6BFti6ej7bJK6EdfTj( z(`;_EMauKvJNGwB=JWBwORsw-+RDw+POn(qZog{!%I^*L{zvTWpHWj_eEH<(ZQ=7` zV@{=K**}oxlY7itHQ`e3e*PW$H-Db!n8|nVK*{n-{S2!WI-Sq;A2J@uPyYDi{GP^- zJ0)+=)%{z=_M<$~F2nZN<FteSTSR9+-Ce8jA^yRw$@eDjf1@n6qDrcc!QxGt@HzXr zn^w&Grczr{{cGAK^)p=yPQN}bda(M#>_@srX{_&Wt^2TE{avWu&BG4=gZt#3HH#Pj ze%icJ_t@flf(O*+REhoFy;57GyKnwsY1VfE*8b9loBwTYna$gG<JKv~-;NLVF@3A7 z6Z<8<z34*Ow`)w#f9apvGF826-HvS!1YF&}xcQdqZ4-`q|K#F==i9=c#Jm4--Ezkv zzwfs8cD{=1{b8!p_fA<~vNyS*__}}So9khbXIF`+2z^h_m-!KBo!2w-<pb?C>6Z^q z{qgqytXVzwQGR(sKl=)9Eqt@Ne$|`*hYqd1n<3Bsr<HHttIvFuqK|7u<F0D4a_jV; zwyZl{llUR-nf8<1&jq|wm(^6NGswR_-l2KvU(zv=zuIdhxBWO`^1<5T@0ut7r`=j8 zl9PM&{I>i}3^lz=8^5hpc(BYUFUvcWe^%4$ZubSX)-zbW*Q@WSsINZLVpkn-DELn4 z@v14i4?Wy0bmwf*iVJazHF~Da*I=xlcR0OeWr)e6FO!!&xU*wPhir~usQR+)j9<!1 zzoghiY!W>=&u-WId3LNj)^1yx?`gMX{U+hhJEz?J=-q7pVE+SwKhMHqq}Dv$x@cSS zH=YYW7cACSwESSCcBDY~SGdL3jI&JtYei1~wKx>h*OvKOesw;}yFB*rg>_R5p9mfE zKfZp}TE_o#?fz_yzR~_freJ!6%+Z-2kNK#+-nRI`yOLWQCEgsIq`|g4sx|m`w7qc2 z_RU2c+m_mg`t|ZF|D9j^_EXTd-H*4}3I1O2ZC9b$hv&kAdGepw_s%=rpXT{i*-W_B zp1<#J(aGY8_j`+1bj@GzD&B`>)tlV4o7V5>+UjlN82)$7e15BU`=0XTyPTVF{q(!& zW%hh`4xjrGz*Cl3*L|?EY~I=uVR5E<_0Yc8?scEFbE;R$ST$MS?&D8R)2a|YKYjU; z^G2d)iY$YLK0C-yt$t@T<umVcS?+`LCE`EWdlhM1_!al@<avhuZ(3bizZ73GdDaxX zO+6*~-2bK$m9_2_vLDvTTdOduy<J&yIiBI2+w#Ba&EdtiYRwm3O@35u&Je%lpU5ro zdd0`r+1_vZ6jJkU^#S|Luc>E3nr(N^d$m9Q{fqK%*$4ECKRkUP+OvG;su`Nm=bx!P zh^>FE)4upj->h3fr`yZ93xxUZ&Qv&AlKw#IY5ytxa_tX)Kl=UmyVRF)-v9Z*m$w_N zPcMva*}w0Ewy&%WpBuC0-*eX|zGsio+N%Ci^7nNuZQ05#`xs;H)F1b8`Mjp5vm$){ z+-;}V#%;@I|F@LSUi81#quqxVXSWuIRwQ~|3{nc%Dt<R#>A&4H{Wm`{s~+(!iBGv) zdoSoh)mD|-t-kV48*B=-qhlULFW5X`zt`90dOM=${%VQnZ#}pz%vRT~R{X`Zx&Pug z?9RQ7dGI$gB;w`v_iT3e?A7<*4OUsd>c7CP9=oXh&ukCWPuw@9tb2o9#|bY@y=wge zb)k;JC-L@Y{GNzh7rV2eH1Uz$h2MsP$yG<xZ+EWR-G4{;jxx(8i*KF=ez!M%mc8H| zy_1do!hEgiN0>e0-`!Y#;kjsmM9luBcZ%<`?t5{<R=uusPO|uxdvn_x`yX2$^O^aa zH?_W3_;|#ByMo_B#X<9xZY{6aBRv1oJBH`ScKfnUFW&8cagyxWyx+O+1s}+lyfWAQ zyfAaqcS+;3$^5$O!jAmBW$(1_@7yY}m9fUlBw~&p6>Z*|^fRM&?|dcsGVK-nC)@Qz z)&}gn&ib8k`99JAVVB%*%DwAXe*cH3<oC6o2lt)v_{n0O6Zw0>-_{3j>+UYGEY^!S z%KUF;@xP@Zmu42XKMvpE#=Gm&^`E?-{@?gyo_Ou&eU%?p|NRT=18ZJyKd}F{WIWeF zj?dv7a)F=3?pHHal+Rx;lykV^$kYXjq3cdAZ+Px3t`i<rAMl5p&1&oAv-V8o&AH}X z$9GS>-uXJ?v3~NkKndLy)zj*}`JZ^cZnjfNv*oI_=h&;7cHipj-jctItLE|~m1M1d z#VTq>yCj1-^PcWnJ!e+EtEISQ(JU^z<Bwm7y!jsT;!ODE^NjUkp|f85J{PZeusR@S z<*DV$J1qW9d9?f8#;%1=xBus?YQI?~Yux%^>zVpSCZiPs$K&VS*kiHhl>KvAkDGg| z=l&C8yPxt)k#pX&r+a+m^;CCi{a?xO^W5`0m+}qH>j<Cwq`zay;d;R$eXG-j-rIMJ zzW8_dV*6Id_Ui&)0<CY}6|+!${gNx-^jptg-K-A3jRl`=6b$u#x~#|}hreoH^ZH*L z5)uBd6b~}D2RxOjRFChddHws-#@Gj$o2M<VF)d^GSHW(7wlGrC_^jOP%)HRG%eO0k z_*ixMxnlHfMeUb=mTo!hb8(h_cQ)5Mi{*E`3Kp1lgz<4s`JS!)-*7Vjv`uzTnty+o z{VXuZ@a*%wB0nEh6wNaKxNG5|ccw4nOvHreYw^ENJyE_<n7^Q)K>q!1S(%!9+jd{u z{xj;q@i|o?7aLbkEUr7}&Gg+$tLJGGhq11?=F9EYCCdce?R;-<II|=<f7kAYdDi+p zHGDQ*e&(jub=%8X{Z2&f4f$t1sqb>Z6uYdn8@dL?9oG7O`{hc?M4XoF4?R-4DN3t8 zYW|zN1NG+*PH$SgbFbH#w9Z4VI(9p)Kjg(kvp)CK7Kxi{D9UwyYmNFhhJX7#G|qgV zG4rFnvu$$QHO?^pdmMM-IaPLagb7IsAKb629l#}2{N(js&Yu<${hxbhWi*|*{6+U$ z_>;B=A#%9~cNQ+b{I9(8LCJ@_)!(+&?KNNg=f|HDD>}{cZuW1g*5$h{G{?Gs-J$s| z&r_$Zv{U)cw)ghROELMc%=a|AK6iY(XREe_d7bj7N?kvuO|LKhvRm+7SSjsg>WX`! z|3$3#L|8t($Mk2@zK^+mU(>X9^c{ElwIU`upZ#Chub>y<wf7VSxGM#sJ&v~jX8K<l zuD?+}^ihFm5R-bH@lU@8$@X*hU-ZrQva(*ivu3_?&(=Lpc2D@vvD|L+K~bCeGkEp3 z@vi^ztM0(=y8e?7&d2=s{Pa3;SJKD3-<kK{yLFs%v)T{V%kj>S_gry*nQnYQx?8>e z&-@j(U5)8Sv%eb1Fy5OhF0#=+Bqlwn_Jl0U9ez&^9rj!9zgFcYTwVVq=t0td$)nl# zou0a1xxVGT+BC-P%MYjfeVbieH~E9*|IK%{{JHpRX}H4u|5k6KTjpx937g%qc$TjE zVYkJPP5Udk_Z@a_v~Kopp8JH?Me@a#I@^P>uO8g+<J%Jb*x<tMMfvlZf6Tmav;RV6 z@+*!9-wU5M)^3rRzvcW4nfF1@`Vw!l{C3<rW&NFrC+fR&`=4z+UAuP0qg|^TUvCzE zvq0f_)Eo7aeT?OC^Y8rV2)3-?J+-WVn|C?yhjsfM&+ojrCG^UbM|-y(*!`U8bis*f zD}Rcv|2*l*#RJE`U3$)ZDylB_QhxCdUDn;j`?VVNj#p^e)$+Wk-Ne8Ds#wL<>+dW+ z9FP9lH#3uq<NS=549A(5z1+q4H2UdWTd|*cDv2B8ChmQDn9sy{<MS_jJRii^r?EcI ztmIo<vz_(%vE|b<le`Oa{pthvdigZIOYDoAS`q8Qm}b*>%^`y8^df!z1#!#&Joj^` zyUuUbTzQS>=wj{olbH|dyr)j7nwGfSvZp3MuT!{jfBONk^Upm_u^xX{!g_r6iympy z5`MOAosSExq8aw?`^(NZW#PlDm0PWI5AMA`@%Wbi{;z^BUpl{R<6cAG(*oA#KY1;% zck(fi|HuAf`zcNBmo^p!zgEm#Si6$(_eH@u(eowq+GZZkuv_-!l61hl*Uwi5%=yqT z*R$=w-Tbc=mtt(iO(G_)pLh9b^U~Yak`?9iH+lV1F4_7gP13?#ufEOvM*4}<iO)G- z1~+tnFLgIH(Dwg&@!xH+7@7HRJ_j;iou9*6l2`Jo@Ndiqxpl|C7Mr-Hhz9-JqxIq4 zp61sR4X<V%&%Y%aBcpGh`EEw@qPvFWvu3%UcK>a1;V-kP(st#!;Z4nNzg2!%%kksJ zyGvC+Yi;brQ_gwHKeaAp`(Rl6>Hb{zU+&d1h4r&o-`Q#R8wT^(9eJc7=WZ(^KQ%u8 z@uLr?+^hM1tafZWU+K1lU2;x)*ses`9c$j|i|~I@xSqdt^M<V@_B?y6_n&Fbn>Qu! z<+bxn=WLgoyRP+Nj4scQ+<(oz!T#{Z{XZW_O>>|3Oni;(#(B%nvE92Hu2;z3zDRq2 zZ*fEM`HLZccdv=lSXZZ4@I0cjcUH&T=Yn(XHvLsBlq*;#yW&hTyHr#0<8`Y=yp_H= zJ$*8lzpCBhYhP3N=0D1DJ0CBuNz-Srn|J=wzlVioi3>}tn`S$g9Z5`_Gv)8!rB`Z` zcg<&roptzP`=f0q_HpgrHh(+I@!5=b>TB$hl7D75_}R>tH@tc|;_u(fzPf979BDmp z*U@FhxsH{a_OF${E_lbC<A}wl<wXJUB~8ifMdFS3f?1MeexAH>Iq0Bar&nW*mDc<> zM-RxqII&mY&Y@pn2M%p=NEe-Y|CxH@{rMfne*=xByYF7xuf5~%oVwU=_3lg>yMKQ< z>EAeS_kH1{ztTYxGgj<gI(rvSMQ`+yZ!;H`&M=v9>tWjiJr%W?{#*Lb6$i~{o7;0Z zUX;;4wCF^7g{5b^-^(kxIp(jYE8mx&Q?u~D#8vGjPme3?e<*t3|GXIqb{TO;KL0!Q z@!XI3zjX?B=yrT5IdbO0zr9E7Gpe_;gqNJsSGL>LlWEd@{hD#5>Wf|9InFGYXS~N) z&AxQc^|CzgeZM<1pS53e+_OGx+0+%EE@w3D+a34l-`tD;Trc(fm3<g`;P~UmD>yUv zGd(-?>1{0Uoqb{Y>Sr%+KDcqs4FB^*DMv1E-m;+m$kh2?Oiz3_Fg#lmY{Pxd_flD3 z$K^-D*O~rHGI8%~C{AO&WPfz^y)7*JkDRhMI-m35r^7E}>59Go&ag=_bj)~sJ}17t z=-t+z8F7C(UY_0Zzqvx@LRm(Q;ExL{|4)`~*1G=xWbdB%k5Al8o=ld$c`<eW(zW5a z?(f+y{4`&FXVrg;snNEVEZwL5F)G-jd8}x`*Dw8?;ZG)<RLb`L6Rfr3>61K{e^aN- z{M<il=H#`O+dk!S=3RNX+~u+M*%Ln_Dmty_T=K1X`tzlhp85`pe}zY;WGtLusM@6) z8ncPDDqU#u8TE%@0k+<$$Mv?eh@aoAd2hPyy3|F>e@*+N^}^Qg$PBr!@0BjD4!E<W z<K(pYJ0yPybDa4RC|oGx{>4$MW6Jqm5Au`*9&60|{5UL7Wcn|u7rci{#XGZeqGa}d z(d|C`Rjf1n-Y$!(o43W<KkwUT5tBV}#~pJqcm2ZOj|$$pK01`&B*%VnliY<J`{#tT z+OJ}dJ@_`~w`lM0JqJTR>i2y;|6A<E(a&n1q7N$i{LWZ)z4YMJHGdaYR`#9cfA8L% zl>Kw>Zink%w|`)~#N&T|nwH*mws)%2-gV5JRIy6)KWBFO>+<~0(?9DM)J;8XqIA5y zv{*@E>HR(IKkxkXG)X<0T6kB{Vyg3}xC`BH)o*g&Gu2C!?&iC;OxLbf{zLutw+{bS z=5M%jO*VD;ZNq<c5B?uo>-pI3=<W|J21Re%KTWP<_`#po%Tsc$IQE0@JoWY5TGu|V z{Jh}V;RD_hQ<uwRRC!<GXR_Qiy`_D@-6!?$f1mhY_jCTU=#qavkIhA<i^bU3tNvYm zIb^c^se1Q<b$|6A*R3+zIn!mW&fP2g0d;%o6s&9ZEM5K7oV8qby4%+Uh4UXj?LM-) z@BU49hxf(XYBOR_{hOh+ZEnf8O%rq1e!BZx@zd3}zb1TtAMx(zgQ?yUZ;pPR{z3h~ z@z3>I?aGrf<{$FYS6}M5WzLo46WfnHT(46Y{q$;-m(KiS{*A|97OpbC$-ajB+RPpI zRqNR9s2FPQm>Im%`s|uT_ZEIy$$f6Fc>6zfPKl(y0-rQX-b5X*DXHW8WyIS)@66`- zS$~c`Dp>g7n(*dB>yO-&d;96O=$-Vnwvxt)1$N)J3w(Yv;qeRSePL%_dH=OPc--lE zSm=78*a!bSeGKG9{$Et9Tq9lj?0siEqq(cXvEEr7ha)dWPl*FfV!ZYK@-Dr~-TI5v zem2{$`9b@O&+BUK?R#*iqEYFty6Wf5qfhIYtKQY@bNcw1dB>H<2af*jcylpw(bA27 zb~P1WfBo};?=}AXuRp&pC|`0pzvv*(_t`SPMT`C|pHqA9*yID<729q+&-JqUn)z!& zj7CD_SHIV{g=1{wF70_^dAo7S{v*K}?`4A&-m<&TnC`x1jm#GJoAylo8jg?p|M0DT z;}U*4pZlFnbiUN{zK2!*tobpEcD$MI@cxb6oxCRL_(f&Ae)AMGKM$Vj=YOfaw|eFN zhI0ln8Wt{l7tDK-5wJ6RXYRqHRaQwa-1uY7HP2OxJ=JTuT(yk#yIWR_UilH1<3}H- zYP|gTcf%IHhZP-*>icrzZtq*We^HEi+!~ESyQ8<J{kU?!(f;~I^;U(GZvz)N_bn2L zTK~<yVSn$8)Vkw6EZZ)uy0&ie>+_7y-_1V${O0?uJuBk2{bQ}U{c!OKb{(T-7Uy?f zF5uk#QvA(!FY}ko+b`Wbu6xnRJlX4@er`m^(RO`?f9WBXE9K{(Ua+G%Soe0A+|AsE z|C8VPm*l;+*mnH#znjzY%B&xp+f?QM`Sg0@brMGyr=Kb>XMa$i@v?8`r@|Do(+640 zzV!agc+XMuzt%wYltbacvRavUEOU1Mk7r%?YM!%)N<;DahtHdr&$w?hce3c-fV$iI zjQ>oQ|6SIsEn)w*{xFx{SB<|$50b6@o}1dIJ-77Qu54j<Md9tj=STkTQO$l?7SFc} zG|{(WW};z&yxZ}=U$h%eTmG5WJa^`Oqx_@OEN6MG%KVwRkL%~J=dL2JryEw@il5FC zb^gh@1G@`<8SkjiZOe1GSoMwNdusc9gNmM?oPTHMx=zhA=G-N}@t0we)6V@0UyQ}3 z-x9Sbzw`X}W#cxzoK1hX>h6%%v7HsZ#_^~6?MJ$I3(POvy?s!;S^QRS)#>Nb4c*@* z-E;O#u20ape&^kRon`Bkey_Z7U`>I|vQw*fvVZt!)q2kL<If!v?w(UrIwEqvPWeM# zOypFi$P-UJc^ywZ`nKGh@%=Gh*MIVB6{h5+`#-p5S+wGpfAIdt$$J;^UAwpZ8&lnf zc~)0XuUWGH#KmT=o8DdePel`0Z_f~o64BZIZ}}a&1-thq$1B}=ES)HAa!5<>(anW9 zTa^O3({dz*T|+F_hW`k=u>0IY<t4whjnsI|j<R{&w%n=zfq#O)&ifbt$QSmn`@dU# zM}?h-MV>idc2K3J{5@;O>%GNmE>${bnfK`&cK@z_sGRY?Z6t$K*Xo78gN@RBCp;`T zU|RI|xzvj5vl1+`e@d;HcdaM*_S%cfu9bP#GnCw|{h;+HPH6p+e@;=aH)v`8Un%&c z_<Qx&IkG!5*<a7L;P}CB`74C4FNyE;>9<?1T*}vDwcGGISt|UR@ji)=w+zeQUa?}S zD4%CM*}TkdWlgnq<L<)`7dx@HYHpdiYp21wAKw-pSYP~Q=^J~8O*-uA=NIcoKDb+J z&Lyy*puK0aUWTsFbUUUmcPg`H-kntPJ5lEUC80apW$fPCD*WSL$^F6odHTbN3#3n< ze!g<vsm;1C|Eyqm{kKE&^KY4u$?Q7kq?zZ6G_tsVu26e+WA2m(cfM<;-LQ39K4V%` zmF~g6=Ba5_Tk;-qS7rV_*Z2LbsmAxL+kGW*e|@g?|G9NzUix*W<Fmi}<WEs`-}<9r z^Zje0F;`FJ9|=jRi~RV0!MAlk=J)(%+L`R|UEXEN%S*LO_XO}1+BVO*6x+Tq{^=}f z_kBO^seM}i;o@Phn>W*6aO&9|cP)DGTP8!=G+9Z4^XlbuEHC~YIhA}S<i`R*$s5wS zzqRGpCO4L!FK>I}&Y}71&Z(VtoH2Xsed;y`2WYm(zqfc$R`M&`?nnN-{O8T}xB3>9 zsT=bCalE$9f7a*hGY5W}M#j&LU(B5RVnWpUJ5^7tFRn}%c`(P-<)6?2-F?9<b>|L- zGxdL6;`DlN&&nO|=cRZb_<lZn-4-^lr_=8+#MI2|Iaa@Kg_%-(`?t;89<V(=pfgvX z<eYM1mz?W`_KtT}p)uYC+-tvY*)#6}pJI~F`SjguOD?G|-m_zW->jR9ldM<nD44k8 znNs0g*GGFkJ^JCzdvN8vr!3#M#H#zwI-Xs#LEE{0&H<@k6}drG9oOuurG9k0wfK5@ z@(sQVl8#49S;ddv?#np;yup6;cEJ~4kLnl5gid|`X(8jZ8u@AK9&9iBVzx-z(@1U6 z-?XK-tz}F2-yi;H`&MwK@=LyH{a+*x<Y)iBJ42IQKS^`SPd1}|doTNF=DPIixEYTs zm;b0aKkI?;HUG6W?dgpDmsviTd+fPk^y$0iI^`Wd=2-u1zF8mrtzPc)>|Yu$_FlV{ zy6gVqsH}D;gS4|<OK00kSKRbV*e`TkG=;}_L0$K@2X={HoBkZAFxNWIzVq{z?D>Y3 z+s^)c5IJS(pLF}aA|bzjFCXmRK4bb5J(hP7?f(rd4*a^l<$jqS+qy%S)c4iaz3}>K zQO$X&e!DG$$^U36lWoe5I&&Y)ubI2SWPa}cFH=vHFIX%e`XX@Z8BuBW^f`GZjpct& zmlUw{y-S_8u3WT$-MZxTLGBytE*)Jh864*od+OBdtOs{18aethxGJ_3cE=t(`u&Qt zl2-eZ=Z??cA2B)IdCfh162pt84?Pph1uH(^{P<XXz2m;WIo3Y2?j1`|HokMaWA5X7 zZdt`*3t!d0vwG5f{%nv9`+jyK=Z~8ujg8%!7d{e>XV|yjKF;Bf>z=yDaXSxPQ~&Pz zV7^+~QoGdsjulpB^9)nx)!**!3yI$p@3gP=UhYcoUk|E$KAWr7F8m|wDSu}D*EgQK zvgK3vwqE8o@%B9acT=71kI>o$<x**C9z{Q1eAhiF>As#zWP)<nsz2p<414EJylY(a ze*aVdOaBiqn|%LAuu6DW@;L=B%V+C9r(UqW_-N(an;UJNHKX!=x&1nSJ88b}>0FOr z&V2umE;x~8Hh;d+chL*of1^v{`RC`)eEQ;R<eL|oe_gnL{D^ZsWbg8IR;sVyo?Djh zS09jnePiXv2WxZQ9~TTa)Vo_YN9@6xKYg|w`Hpqw|H8#?X2(|F6OH+k$MZJ6w&BO3 z&;PtDjW2!P^JeXW>m|=Rr#^_9#&4OIvF+6E!=i<oR!(nu_od*`_Nx0VbN}ryiF^F& z>yg@T>R)vq+$|2TZ2A2pvpwLee(7_i!g9v%Y^y}R-xf5Ud^>1<aZ~a2e8Kw(Vqfom zz92X!+rRr@na=b@#pjz}IexmWaliJu0#8}Wo*f-O7XSE=_uy{v$G{EZkCp$+r>y$9 z_{TTf1NAp%s!w6sQy1{4S^I*>(e(H1c6RRmzn@lruxT_uGNZ~yZ|i>UKjL%ig1$|k zxNk}`v%$4X?!RMy*gjBy;XQ5p`CuO1{mL)DN7SjGIe1Vr=HEf}8?Pd*FaKeDA?tQz z#=RAa?R;kszF7S0LbQtB-xH5sn2L42<~x|VH`v}~=ewQL1-`4bl<00f75n;fok+#^ zIY~4BY>*6IW?{7S_AkK#iQ1F<`ewXH$(q;kz4z%YD{u3!$qydyXbj{NI6vc6r%LV8 z+hTYAPna-MZ*BI^V@(~~u5N$uU46mpcl*OX%;UOmzUb>Jd$v3L9V;%Z{WV{$ZS`u| z{oe1EABc5OI5u(ap<TVtcuuAJ7wAuEzv+{E>5i57pQiWHJ4*FFlrM@p`t|!fw%G4> z(|^7@e~weH&OU95Y;JSab)lF&c`~L`E6+u%{gZxr>cff8cVD&XUN5#h`1R=Ok44r} z%GT??zT<c&XzjnK@}vF@zbAk5C)j*`(s=D>#Ow1K_xJMeQuxj<R@1jw=L63!hn-3F zg^wkl+-{a?yshZJ|IMBix-WlQJ`NL^bF{{#@!>~pCHLhTJ)hqHl`XMPdCTzg_sdk? zq7Rq;R!x}lNcueE`+MdR4-ft7SpQyf!TmqtAO63*v6dtJv)h|6fjw8fJ6TG<nm<bH z@^_E^>#Ki|W98#*3;)VKmzDGOFNiO{mb|)&J8l6V*U_chS@+hbubdQj!Di;;45unt zufL}{-!|Mne{Z#6TG7FiIp)O&ts~A^zLT@$n|0=5UdFK}KRA13%xW}ZSLL2QujX+5 zYxo(9k599%OE(D@-m+lKoxf~}y)wfrbFn6|*Nqxtvo)?Bf94&quKM1Zj>4rc>ie&3 zKk+JJ%8j_G;pY|Z&(5(-UUua4MWJ?MP5YD68J{2XoNn-5W9K*TkM~YD>fb7pFcrM- z?5DoR*oeF1tDVRn*0A@}XDT=AY(G5F=%3ulXjykTzs<Z)?^m0i`Z?pQ`@j8v!ZrjI z?ly^IpChd<`_P7ecFELaEzUXC{OcdZZr9@8AT`P0Z7u&P_cHM(m;bNa`)u~}>#Wc3 zRR^}-Ule8f(em)Kbqk*F>ld0R?<V4R+H-GR;f`-gg*Wdy=x=+jEVccJTI6qk?}Cqi zuRc*vvM*@8Gf^`5;c;2*lAWo?LT;4$t6w+%v&qrze_LqRZOuEfPmjNTdc)o+V(s?r z>;)gIDzBV5cHS=9)`$CDfcyT#37p@RxmF4lM(ye~Z(6r|e&{i~$eX?SS^GG5_(%Lz zv%9%8blLWjDd(@uI}n(oRqLVu<IkELkrj8dIo>_yn?JGt_i2GRzsI6YfyOd|T<5m# zSN-l}`Ob2!*0tB6|8L)te)MnJUFjdQJ2f{>7W}n2=E#BTCT>Z3IhLKZ6ZF6Rzx->G zby;iPHMa0V%g*f#|8=vsXU6R9eLA0iUQ+e!@BgzTLw?_=Wd9`Vd&aQdE`H;xmn+Ma z{$8DO;^my2pJ%*&G56VcA6&iTj?holmO$<O<<0kY^6hJ?w$~EzQ!Kc>ZtZu5_wTNU z2!w|(jNZHT@9g)i=W6vgw)}k}bTX{)?cavt@3yB(_!HayDwf^5SR-GcUwrJRro@kx z54>acYFg>7`LilETfU)&-Qs7Fh5STryTd<=Ql6R2KXvps!>7GBXNvot{&&G-ZG>v` zw8>T+KP>Fp@9jLkar)GQ#xWPX<O0JlX-2;Oze?ZT?$zTjhNkm1SJzlgdbjU*@Y0`M zDFJ&k)-%lgE+lx3yJCguU2B$?A8oEYUpnEMx%SI<d%YCD&33j5(?1$nQ>^;pZQ@g_ zOubp$YLyRmW%PyJis9GYUu^NA`JcM({nJXd>Xj#YpWP2X!)jgZzB5U0N4a&0=mH!4 zLY{wB+ZS&S-L*n3dDWff)GLQ>JpH#M_D{t8&5sv+j%@d{vp9ULKI8W{wT9g{cD^r7 z{ZPDR^|t&Enb!ZZ_DWwqVJ;!QyyW(zf5ofQOZ=Z&&wLj(XL)q@(@RmG{BG7=j^W?+ z&PG|qe~yk$NWGBjgF8R!_C=I2gp13(^}N*nZ1F<*`@ffc*)!Q8UvbX1^w@&G&$BD| z7A9J^Uz7=Z*`|7-^l`Ye)ycVriC1rK_swH?Cw@fb_0JiaiMHX3(icU%l-b`b&h%e$ zc`f&wf)g_i*?jLd_h<VTFXms@n_pPHM!K2rlKbnMHmnuj|Mdyfxz`@wbYB1JN{0V| zN{9Xg%e*q_Y_j(<FV`xN-&}K{=3m&X=GK4V+ny{AXT4{;JnYj?#!Eu2n*67h$}+w` zwo~NAoS<VOKT2De=DKzNu6|td;O=L>R4Ijw<hjNZ9#^@p`CepHSbn<x1KY3Q+q-Mo zUhI6H&i&6cWd9+p=QiQBVit4tFW4mQv$(qL!6qHo{;$_-w>$n$PEvmHQ2n7m#LB;h z>My6?`YJegJ-^*iLG$hBS>qFYchvU1Ygn*o%icZx4UgpK)YUwX*)a2A`MHZBExs!r zRm(HioqKfrOvwiG+2=ENIrMkz{(QK&ars`4UjhGn&Kcb5)xF#IAaC>MKF&vvOTH!F zu@v7EQublXzFuy}@1lJ2k1fh=<Ydm=>EC=iVUF9|9doW7J@Ncle9-O`wtKQ6JJa<U z|IIyOb6R$yqE>&~lHI@L9@c&8I4oB=J8@_3_9sghe4o0uQ)=tumdM_UM^_KF&wPLN zpsLQft2T=9XSHu!edQEj`=*Ai<WAL;!gZ_O+{>1UW4yzw(f9c5&xPxHG@bwMoE^PW z&7S)YzsJj&`wfks{%3#rU1VB(@R@5OmaFHKeOu>ZH_Q8S`>mZWjKxXoL*q&h?);b% zT+(NkyzEb2j{KH+d(~dV`MR{u7drm%ljb?muIxD0Ioa)^(~UpYUcI@xv3g1e=U%P& z*AYjJJQlG{y?^C-WB=pw7coZrKgYURh`m)h_PlrZbq4wO&H;N^{TIBLmFI0<ty@rd zN9bs;qR_gIVwKwWswVpw_Grgfb=W7bs<Cr@I^l;>f&HRWo`1jCoZVq8_m$<l^YXdf zcKf-*TV5|YahiFm^Yf|;wG%qH?i#&2IQ_5vBDw49E15sU7rj_LziBbAH^;TmeVfgh z_MbX;`q-J257%_Rt&G-v@az7=$B!>e4L!HF@#}gf@%_z@>Sz79_Ddz-ZI|`={ufO> zJ-hZ^+hC++_t*5n<Lb!cJ}Tc2d^*_M)2Xp1{`KTNtUolhraX0h{In?h$CNzhg7pP& z4o<X@KRrYFWZ>az=F_rYmt81*FRR7=e8WMF;yF<>nS;N^Y$;d&usd?MRoby;d84{H z_p(pUD2zF8)ffEtaRAfbO(%jn-a5aMyYM@HmB{sMpYyT@yH5p9mE0{5TDjz%>pr{x zN@^WX5;pzap>9z=*Q~en>X#jt`*(kDycaI>+y3appD7+@+}AhWk>Y1~f6RP(!MQaq ziSJWRzgYaIOpfKA?ejC?jp;w6>Q3kX-F;x^_t|F?{vBt(yJha)_J-d}Z_7Waohfv7 zcMGHJ{ayE&|79+(`<Wn~x83I6_Vb&ob^W)e9{KvFWJ13A@;OS6n7Yj}4s5;M&+z_N zzS6Ag7hMD5YStGz7u1)&5KB3rwZT^JgV_e3LvMJsqW&LStns``YtGlUH%j}>#Xnkz ztX;Hz?Vro`O!LgoOZdNEckKNu?Yqk!tlL`jsPb@nrOMvozOW{p$fN1<%y;MC{3kY( z`(F_EC!4@s5A;ntw}#ientova@s;I<H@Z%WzjTl@eEuTx$NU5PPaO;|=s&vW`Df|a z2frUhoZ#1ayzJHD@7fQtLS~#$UQ$@h5H3FFcbsSEul^f?OQ-)eeR1^Tq%HefY71@| zgvYoSysHgW{1ft!E!~E*p1I0i_1TR5hQTa*7sYS9YpD0X@WI{McS1Ae9tJ<1|5vx* zot1`v^&82_<?GgOEveqmY}XgOq<q@WIFWlf$2b3a-&p)MLhx6F%Jv1@R-an<FaE&g zSe`EnfBNpsI=k~|DeLol^Fx<ZPgxS@wf-;53*9SzQU8xkK5*BwwJWBw*898V<)a#3 zmM*TpY0t2~`?7r4#QUnk{};*X#ZJHSpW{#6rwcPz`p#m{KA3CszHWn9%pPXnzjA9> z*Vi}xDO5dwWa|EJW*xsPE?oRB6we>T<=3_SxbD7<vLDnV{;8eS&pvo9=SH1p<JJ`u zFMTg#_@}sP$(8*bt<i=1N{ih;lw1ArVU>UK&∑nOx*DeI<v((U1Df8}0;-}5`L z^ZC0|B?YR<r<TvY#kKWz?!mV?&kZL(yR`FxT-t-6JHP8*#(a<x?Y*}7x6p<9TMw=E zzt4XD(%1E2^vAi=nf}W~^PQdkq3FEIac_m@SJ98A9@zb_eNX-F*!|k;)OXzQ>z`@! z%XMDZwWzw!p$ncLlYd>QxNrYbr`=aR{t)~p{lVYzt2XQV<c%*X9nOV63he#&Om6Yh zr*X}H`lI$r8ZTAo|MREL?A7WYUtTx--_v}ay?Vo}r#smvm>sRUd7HK3yX@4=%J96X zYgS(_)?Kc({m>XJ&&&Aerd@3HR725yS*<FEwicec&HFRh@1N(MZUax@{KnhARLa@^ z)%w*gOW8l;@5vzf+~aqo8{hA?4+ygmUY7P;HTK}nj~uFJPJ8X~4p<b{|1)DhXUX02 zYpd+qqe2RAGhDm9t8U$U&YCs;|E7EN-HH#&cdM$|FF4mdNp{7jJqw}~b_dTD_Ptv3 za_@xq=lg6vnS4Gwqo#m!wd=gcXFhGw6yAS-QXTgv-Qr(oP3~@={gC^%ahlZ+iRbg4 zJ-C(mr0@Cu-tCJ2qv!l#ogZ$}`oQR1q(ZUw*~F(8>exTM{J!kj=g<#Y%a2%3tYhG8 ze=Flwm3w~8lHJdpPxMbRyy&SSb@lhU2X}Tz_J%(WJ}t^4rFHz=?tpixWwi#Ga_d;x zH*T%paja30|N4bxUv7GRF})-I^V%uqqb7MdvnIEzo1JB~PYcYM#mzU#en)W5tj7~J z`4(?6p0)4^e_{WfB`2F+{cnBDKl|AUo**@!+vaC49-8+*{qV-jzQ50BKihC~e`eoe z4UN6?Z|(WNkv-wE47)(iti>Oz4)%x~k$xTgJm5s)%&Uh5g-=>4*y&09tf};FF>d)Z z?b*X?eJYzz#0%`4G~eJ+b#rNG=V|6j{h3LxRtny!{3&>1|E-(;{Z0EfT-fTf+h=L} zQ;p5p?R`#l-{*$cdDtX(ovEMLH2IOmgC`Onrbqo%|9|!U%KmkHVTH--?B@KR{ls(r ztNd!+Pe-4BP&*TKWB1l}>(}3&T|98~bK?B<H^QwtOFh<C{kUMGv!t*hVNV_h-)8Ag z)xDcOu%^8%Wi`+Fb6&2w=<)A;$9!6=rf^*OWX?C|_4_65scfftS;gmPOTV>dU-9LZ zb3xSpBP^%xW?VN-P7{(%5!$}S?~s@Op>Fd^9@9%H25NF*y6X*Dtv*)oUcBs7#IAp) zcK@#l-*NiKyL7s>+?TeK+m&}%&6;|9tJk*}mgQeIRbD85fAi_@(9{DVbIO?tHrmRX z8V5(8yMDQ;_&T@Z&sZZ4|IjMggIjg$P5f&6eDv!@uFr7WdH9^}R#x%*-`!r{4m`c! zlJ{JWs&`ho`+oOFH+4Uj?znd{`ona+hPaw|#kc1_*mf&MR_dB>l(P`j-tKM^zh~Zq zZ^@br?jMg{$|;M<;?hfeD|52{J?Gj<2dCwwyuPco=uf$2iRKZ<ms;~}4|-OxeYPy# zBf9wLQa`4>iG^_sA3XZ&Ilu4Z&8iaBzii9p6z|u2b8p(3^ZCW%$A!tvr+Obh@VsZU znQLV=-?iOxOzZ#7dLzv%)%^7xhhE=r$)}(7n|E9}xu9IgQ1z|w#Re^hsmZ|w+%MN& zTduq#-}<Zmfde7}et{33ZeCi=b;^Ds*Yr=PO%nL0%wNIq{9bg_r~STet6UCGoA!P# z*B$9MKb#9EpRU-$-E3VFnflY$^+5dN57C^Lde$ra={(f3MrPM?X8HU7UH>&7Uh9;( zca!k}+nkq|tmlRs-F<N+;{Bwea}Q0$+<));fAnkA(<8r^nK#{Y>sGFc*zWe&aBms! zj>KORXE2{z+iu5EyutYYdhT<3?G@$sw7j0UoO}Pui!$|z+xd1KKDPZ!yI6ZRSDxbU zg`eJW>DlR9Wu-aY))AX-CHyqb*=O^<ix#!d#L6~kTU?jh@%`J%eX906RqrfkdRl%m z%-lO?<G!!wnCg0S-EZkX`sz5d&wHiK)Vgnr517a7v8i$RS93JlvWDk}-F}Vx^6{$M z=N?^pp6#5h|Ibrhjdj<>=2Y8za(-Ls@^O1q-Zz;G#mDzENxtjR3}6q6c@*>DQN_H# zzSUEbbn7Dz#`^RgdF%4`%@y5SE7dK|p8r-bulnAl=L=(E=L`2e;x<m(_TDBvwm>WV zP^fqGt3daHygjk4_k^eSMBP93Q{%hkDwgZbw|+k_li9GNpV>Ue<~7H;-s{@G?Qb{5 zJ!iU5y?e2_>bva;0jGUU#M)2CaopKZ_c)eij`h1UF43p-)h+m(Qg@!I*IS@#QNAxy z?f5d29oLjA4NvtxHgfk}DmH!f-<5h6<?~m1nMYlyRqfj!_i~v&<K4`ppdU{TY;61# z4O$uhY4U>ae%5xrGejNR#oe^ia*c0&DxS{xKUHhaX?f$|l=VhwT{imH>_22aV0$bO za)0xrx{ZtZD}Q)?7P0euS5#-Gb3RV=+ta|xQ|0fAF4!MF8P8h~?>O}tcTeA6$%mo` zvK{uU{5iXG-Y4lgv6s!?k7rhvddBCS=KdC!l*Vsj?0q)p*p&^^BGa$`lzG8<=h*%1 z%2?0-_j4DO{?O8X{$=Wk{>#!+?#r8Q{&I<{P<Hxdp*v1bx2W3MvA-<NX!;~*7U;Jp z{?X^jk7v!>!S(X?;s}3Jr^}Nk{VPs9aD}PPD*Wr!-!`9)f8HV(9O*Ck?T3b*szt@b z6*9N#?Jiy6GZW=n5E7Fu7bCc1QMY$&bJ64PWqoF^PsGV5UAs81<I-y`y}I(UJ9iA( zAIKKFN5njix=>tr{%S+@I<d!>uAgnbt+AumaGkmQJD!7c1s~6fXW08&LGSz(t!Fzg zvy?~maPPO^&ue~Qc4Wtw>CX=Oa^yYYSpB~9_49*wRhuf`t+Sk@Si7aZ<oV(JFvi?< zypN*I&p6j_=F0kf%(3S0>CX>C6K0>6IFg<}hkt$3?V4K(c9oZFDnuVV<8A(NZ%>8j zlV_cdHNUk#U);`gw`RIW$kUq{XWRQ(R|$pIyj%VG(OQSlMP2@PZS?PPg{ZEoc%Kaw zdVi*WJ5y+<*1nyYalgVAXayc^-+rdMpH)jJwC2~f%8z{xih*0RJ8w7KZ=5pctzg=x zS@Wip7*<E$_&#L`|GdT5|3rHBpP&ArP<!^h315EvmH97yr2Xvw(hutIa?W2l@$2Z% z;z|1^+~re^y|ZE7%7=gEURv+{>w)#MS@))VQ87IF=^$s4Z@%{~na@iqwGZZ0g<pz4 zcR$E#?;`o-)%P9#@@@KeFrnpN$gi8$6aSUXmY;ZO*1ZWOcg+n<_kBCOtX!pb;kTEb zyK;;x+^%i(y1$$IsrQdn?&-EK7eDrLy7c(-4&^kN_i25<pC9?W(nY%D+{S-DQqxS| z8y#?7@?};zv-;uv=~?Z!oc;55?yW2knKAv~hm4m?|I2<!vOj$>E!O3*E`Pt+b)lG= z{|vqTJWC#4d^G8M!@Tb5b;m5E<aE~=%I7H;q<q=B@7~_e7p$2rjJ|bovx@Hz7o7Fn zkGZdB&!auR9oF-2XS6VS*Oh&yeKtd1&#p(4?$2z$7c0QS+uxOaw*9tF)6JO8JHGr~ zApZJu$HIn~497LwOAqQ^c`xmJX}0sFXy;3}oiABCU)t?_soMF{UUr4$t*_=wOqwS% zX`a-id2*BHP5rX{)PaO7#{8M9?`GI={Ciw{#c}SmxLNaRA}+19<#_dF`LgX+9{-m~ zs0DN9Ew?wd{lj_bWZ0$SR~}Se{<3R{zR5aMmD&$?W<SgK+|{>w*|B$Nv+qs)()woA zcZna;HuKkg37)ji+VK2irZR!8_D@w}r|k~07yik9i?#JqCTHHls%@&Z;aV-HALd%m z-mm_$`TG}*zeoH7`&*B#pR#2CtPbPaF2A0a>8iHhuK$QvowM}6@rC}!EB@r~d@1XB zzkOfIuMJOT-T!U*iNEi>*6}4vj{iF%=q&EIYHwhSU%|#XeNVVc*a~?MI!a&AW3^hZ z?Qr_K{(<Y}oteje+8n+3W!sX|rE8-19KSg6%a1>u=iT2<x&NfTVc)s^mG!gUy_b8i zSZ{};ZHSewXaC<Oi{&~gI{R%8YW`o=CcN}l{iEp*)a|CX%~mq8)qVMK?^L_p4yJpR zUvhtZ`B(jgyvL!G%DxY^V$C&atlM=PCEDedJbj{4t600%yQ;~kxK3>M+4~Zc?oIj9 z`o<~x(&Os-4Z*Abd{v1(^v@=^Io<cR_Qh7254uag|Gq6E&XqS~{(9vdt5<CD>HqUd z>Z<VX^RM?i?aZqQY<=|Y#Ua(>_t!mLUe8;zf4=g*1CE8c2Wy+So_{(i-}L>;%Nvs( zZQ9Sc*CMX-ht2I@OGE4KJwCwu>+ux7s7vi9*K6)b{Pel5`;*wJ<)1>u??kVd6yyKK zKs#R7Q~p@^qjHUWiTH&N#1<{DDP>t+Geb&==}Z0l?;81vJGIxTT70*eeC+PjIe)j@ zsc*dJRHM0f+k>{N#y?qJ#CkZT?v%^=65C{%UcW|nN8(qG`7E~A{bOe?%st5UUP-%t zr9H#;fZf{bRCgr)np?;9Wr66W^(PJaK8s!!j<K0{X&>AE^}f$*-~4R<(BHlIy67E) zs<X=-^B4YFWvBXI+G6eh1$L>bwTf1=)+<}ANeh*8FLLg&crTe+t&y)`doc0qnlBbc zo95q}@6bQ@u$a4f*M<6xwr@D~>b}o^BiR15aP!Mwp|3Ch`|RkGplBCb_wqlZ-)G)a z`cu9n{#~xTBk`~LSDsVF=ZnKV&M{YA7m2BnYkgy4zyD<5mQ(q!^cR?~nWuYDH-&%q zq<sRiy87RBPFc@gs{QuV_1D1*^7p*IB`V|B$<=o&d%?V&`<v$NwvS)R{nB{Zt5>n^ z1smlXo(RUbtogI_KU>vD+vzQjJ5Jc`EM+n8+5hIg<N3Yk+rHg4YCF3|cf0nE)+ft< zP5T{xL3&QS_J3Z_cn|w2ec$;n9L(u_(*0XuieK2J<o|YGr)R(Uv3AFk;suY7*=GOQ zW!+ctpCxbMFU`HX9<<%Oe`LRy`+CJ4z3cWp+&A6MdeV(O9#u`!Y0rf|UAnsA<3hb1 ziQjDYrf6PIkk~uzLEA;{zeg{==E{@Uo3_ECs&j3FwA*@Ri!EF)pRefT`e?WHm3LA0 zg~zTMk3IbDlfQ=iWsE(z_sB1Mj`rVsHUA`C_*Z?qA@Bc>%};A3N)PTV>|YgMa<KK& z%o}1~+HQ8YJ+Skhy78-J+wqHq>2cF;@qKCQ)#g2@YqD-dO;uCz@454u<Fm3(`^>z% zGwj{hw}JmQ{Cl%kU`OJ&eR?|*KYc7?*!!>Wt;00_*2v6SsiyApU;AeX-P*n7%9XGg zLG`sk`b>8dzh-itn;M)t@2h{6`vvK1cD@I7uh^}!zvs8W+~@r5rsDUf*IlUTxK?}o zm0snYzPBtdz8z1FPx;+HExz8cpytJqS5Mm`-zl$)+sCpa@oOGOUc}z&Ch2Q>3mtbJ zepBOLz<u%ZN5dM;7rG|r%v5V{Sj?`Fe4%4<?wQKn+T$C9B&z>UcvbxQ>4M_G`F|h% zw$%QY8@(<2*VF^Y3uW48Mb1k<`zf^S);iyVyp!EOg)UhBPda$<)u=V@mY(w0-sK+T z&Hnym|H3_qUtTpyyRFyWk@!vZd)AchSpOdDl6MNpC;nJ{4nD~HSpToo1?gk)!3Eq~ zo417*rC)fxdAW)Hxi9C_XEjNCz2Cav`9A09g(n_6R)M&s3&d~7N~MQynyX=5wn%qJ z;@gRSP4`k$O19Ygr5B}?zWudNdq?7zqTLN~|Nnabd8gMeul0Q11F={4Z|N8BZko4y z-ILExM2_vdGb`|R-OB6C_C4pPJ@|D^u|}WOf3@!3FL?{hb7C(G#mMiiyk`2+yy4!3 z%4HAQ&OSbLcmDi&r#5O^eBak4@Yvg8zKnHsL*AR~%S)rK`3YR@TYjJ8PJ&JIw&K`J z?OTie3*K4pNv&tqOqx0E>Z803CAagPb{>8*<CgG_#G*Hxd5YDGzn<ME`GxKM&COq@ zeo6d$<2H9m+uGt+P1YA>r~i;)R9W=)_HF4e@5&2B*yk8deUSQXN8{7Yf5jfOf1K&Z zXr6N?pF2-u@Ajs7)%=~`gs;!PAa=*;%NE;9`OJG;p9wBpqJL%GtvuO-x+Z-q<^<=v zSFW_P{2Y0<i~o0_)mgDA`|s>Kpua_5n$L5+{)E0*q4U`uze0s3BxMP!$=V!zu5`(6 z|NObjo<<#8VmN!_|GQ4Lr~e&av;6qSyN^SdYqrR}{Jv0bSKsC0gIfFBmhfADpIu}B zV$Xqz@h46n5m-LkdCu>vMZv$B<==1qacAmQ+p0<L<_T**p10Si+W2%yl+L~NS@H~3 z%^&wg#kpUKZ+cbjrX*pySN&!4?<uGHr?dUd&i!X7e9=}@vi2GCpA~nan))Btx>vHC znsLg?Xq%V$Ovbzas@I-d^lj4bi+v9c27I(Vc)V~!Nnh{#_9>rVb!cAO@#b0Mg8CVk z^#qS@Pxm=e&U|6vE}#GBAJ6&e_MZ8~`)kdQB8=3m+LD%R*|&4z(T7&uN0#z4*<QET z<!3%SQEau%uBO}D*4Gt%j&<><$+6aFy<72Lx{%3Be<#n&{my3l*7wD4TlVAcRzusP z>U?bX_PQ_a+VNd$r~H=q%%8Cb`HwwZJNeU|rwhI--n_B2_^tVQ*89)<>OaSP@alWA zzf!NlV}E61&0(to<G$&)<Ckk5Kb8NO<3joK*s6^yb};)Xv|hH@eMjrT?7o`rKl@xK z_6eu@2)b?Z*jRAdcDLNOK9_y*p6yD%w;KI0Gu~@-gMI(aJ?m_Gr^NnSrX6aOrh2!- zb^p3&OB5d5&Jiu*tnb_t{qKm}#ZzDTIF8$dKbn#mFJ>juFBW9`&bQ(HkG+QigFk4i z2>r{jHY+;7drVi+>iFkblm4|RZ(ns@_=8qw*Okt6$C4lF$*W=}YMtCPfBrF*Q$Izg z^VRkg*eTC4{b(2Q*W$sQ&v!oWjlVA_v&g#hp2lOg-^E#6UmpE++cjU)JLv6Ze%XUZ zzfYVL`((EM&%d@)vmaMnh)#WNxaj?F8LxQFb?O!sGduPR?oD6BKmDA3UtDlOVa>^o z&WeCH%dZRH@t*rl>q2dSWblIY)+zgsn4FR|d(XkT{lXsSm!&Mn&#|t1zc6juZ}C68 zxAKG47v1?+DI9MTm}sv&e^tlxM%{z_(fUid7F3&wo_l(^O5q!~*8JRqyo;yD@mJOE zhzy!tXYUYQ@b0g{pAEjpT(o_2SAN@EBKRfF@r+@;#m|*BPgV9hcFytpBY&Dz?%^H& zYr=QpHGlLKCabw`-<;~xw_J1Q1IAgttM9(fb=Vm{fm810`l|=4wrySAZ2e*Ow}TgA zb?wgvPh7wL-;HqP{ojn1&R@e+Zp`}I&|Ww#^n>8C-C93*rT2uEOw>}f`kq^oKJmS< z_lxLP@>}%(DO{a?Px{1+Pn>_uXHD4mD&D`v^hx>OIabb(BbD}7J&N4R{k-A+*;Bpm z(*H}nF^_%0{;BY<Jxk60`1xz2pK1u-Z=Pi(yyLb`>@NS#yf>$21buMJ;##+0Tk(6H z2ahcbvbXasuRO7@=i8n)?hVyW|IBUdZ#ft(oEdm5q%viN->CyDeqNGy-S=bOUe3+O zp8saN{$tPGz0Wk1oX$53r{B_lE$?ji-R_0fuhgk`9F^p{&(GuilRfvF_CvYLLcFmq zv+b<vxz98HyUw?-p>QLEseXcKM1rKaL3Gb;<#M@CyX&NF`)8P&R_90f7nI-lw&1&W z|MRjq=l5J`Aq-zuWyQ?D#=2nty@&Z`6~@nJ%Ze*n|51@X@ZLXu)4#r1Gc96HZFBc4 zcvt;b(CtG<{Mn8h-&}XtT0B!PSA3EG_=9jFtCP5Bs!98{_zFSL#vr4;%<~LW_f2Up zE1dJoOrPyu?fnG@zR!Ia_k}0w_^q?&)EnR1r`!0g3W>{o^iLp;YmRll?!;!RWUo7$ zRGp5$jruS0;qbY&+gZi?cYlkOeP_NqP`P5>^gTRZ?tI_3%E<Yo_3OrI4-B%^ej8^g z)%|amQu+2-&7QaFZ<#?|f$Qm9u6IF6PA@`_ypJq7<s1L+&zCK>x0_zyuYW#!ht?PG z-_svS&u5I?{?|LAe(H;T%Mbp$y^ra~Z`s<Jd^Oj;Xa3)OW!>c^lc)WA-tb>KwC}Zf zTGzkS7VUY*>^WuECrgJjo$pROrra0tHe_4p7hb=guDc>S(;kT%I?lg;ZsGIUGP~Zc zo^W0ua2a=RfZgs%`%ZqVuM&SLAG5xz*nUm!_H2jzh~H}KS<l6qPgZZ;b~S9qtlIe1 z$~*4gsOp`;!QTE<(RR(tA3TP!9!=BlzMK}ZYD-P?{*`A-?5_S}I`x15qSa+Pzp7sN zTVI_&?Ua5c^QZJXzdrr^>2v?D?8E8v<(F4o+$XrhR;DU-r}~`5Ctu8L<hebkM^irO z=Zm{7HSen2|C(*Nzxt2azE6KFt}YJY-jSTj<p216$%eO%S3E8s-2KFK(v3Lt|Ex7K z;{M++7o4B))1&_XiCnh2fd0~b5!1gM+>tajIQY{1f-ies{GWS6n=O6QF7e6*`t!0@ z{Lk1cWyiE4F72uJZ{s`tmVb&btlQFb^x@R?=fVygf4=VcnJIgMty+IiTdu(qdHP~m z=3a)McmBS$S$E^q<-KWL+}j!Z_+^X!tM3<lXSrmSmi)@x#^U?st1q}ZO7fX3&O6Qi zL-SQnMc~gT+no1R)X)E=zyH6^brvNh*V`-Af3!Y2Jo$F>y$8RdPJ4Uqs;<vx{k)ZH zS^O5AD7nY+998eEH;8tvUM5w@zH)-?rmC3xj6aM$B6Rdu@$YXJ{<zgPNdD>ZYQYcH zR=)&KT1kX;PN{0m-F~I-!1tr~AIeR&Ih(%q?yRQUHy1`LZg)Kv@}gFJYI?Q$1?ef% zw`IFuihuN~c0$V`<7U@gsU2>D^B%nX`*yE*^Sjqi5;VU)XL{twRb!mS*l#EDqEBGf z9~<A;l??o)jq7TZbvu7oKYF_0y9DRdr(XY<_U#Xz_VmSjt?Tn1+<*TeR%oC1=RL<~ zSBbv(-@=z@_?L4s@3qfWTHf7C8<M{oY&8DRToi0Qf6ABlR-fkceM{NtTU_nyAK1J6 zrl#%QzTURr`}eXP{|mJLfB7KqiF)s8g~`{<_i}&ew|Lrm(Cb+Hmg3ol50`9S=etkt z$KssY%sY0y*C&eZDz9Cz@9W*h{=c4e*NdM$e<<fs{(k#v2jdLs($-hepL!4Imh4l} zeaU{aie353@@M&PI{!SsUB&jtWc@Pr?@qgP_P@NtzcKT2F!z$|{hr>x{zv`VQhzCO zQJ;<W``UnWPsPmBmNmyl-n(2^^Kspy-T9F+aesGy-Od!h;kU(>b9)<JPTz1&<7fW_ zwy7^lxAbWKobm4W{O9`3b6J07{=0r$J7(Tj<8SwPf3<flSW)lCy8f9@@ClQr^?jfG z8vjj+iL!B>eCO#kyCvV*=XOnHzPVd2Rpj?kH>E>sH-5BQTg&ii?wcF!FCR>f`B(Bm z>)PSWD*qG*{>#cIrauW=`mNPH=(fK4%U$wq#ql{SD(jZ-=zFkjd(C9~mivx6lNC3= zEfYA^eSiLv@5W(<^94_AS|9Uu{Z5{Wo~R@9&vUK#QZ;Fxxu)sz;NAavUQT_&SN}`H zZYR^1ecth0c87MHJ1*;LBX(bSPxX6?w>whaFpH<~Jo=U`&-ANs5Bpa6<MHl~?{rD* z=39DbZgKa{sVBaBY43j^`epg4?R6TLlIyuH{xu9%iR$9!XMQKBr1y38A^*Uo$-!Cv zo7<VcuSj11=^%Tg|Brbed+rIaESJ3hcdyO0?N>BD$-Db_Sqk2?+%<dJ&ZhW=n5!zm zy4x#NKFQv@?q3l2Ipo6Qve}2Ks=M-TEDg8Wq*mv?@w?-b`#!BjJ5|{4bxn9(6sT9X zZcEY2wXSx1Bbt7t&->H2#p9z*wtAI2^Y>kEUqoNp?pgdZra;8F?B|?25*0t^+*w-v zQ>Ls;e?GV0gN<)LS^ifJ`Fr|##%3kw{gn!#f4yb+f6ZQdNB!(f(@Kr+XQZOt!s9xH z8~@Kf+CQ`KPP>JiPU5;_f1_6(Dg4xwcBW#3sbu(Ea}__QfBw<C4Kn3s^M3enI(hS& z8U1HBCI8qMxrh7j4V`>x8?)1!FC9<Q)VccUzLn&csJ{Iru@_R^uCZRf`JH*|zMULD z*5>~FA}1@iBT9VDLvxlFi+>b|{rGP6w^FM@^YZ*h-_1O%3jgPvZ0uT<t6z2f+0i>< zj}NlP8CdXp%;o)MZCN$@dn8ZgmWX-J`A+%&l6mm`)#1%;&kJp<=G`&6R@eTVseaPc z_ne!xW<03ddg{NX>6KLp)zP`pe&2;pe7DqAUv<1Nh`;Lpmi_m>dN=gT?CbilUi5GF z)@vVsJc;G{Q~lOXVXyFogq?nD{2xv4Pd*s*=e@l{jo+{9$4j>SU$&k}_WQhVT-!LG zRu#Uh{8YSDb-_2yYST~kGo<GJ7XKQ5#`>k2#L-gL<Lza-eSeSl1n+lx_j$qdeXFg` zP4Dji{L7>6QCiUN?(5x;pZJ}eQCQ~Ee_7$_i_pJT7xuPtJ^z$x^Z4tAH4irKU;k&> zeuh2P>sG|5$^MUg)O}!Q-A}EvkB{=Lyl2Y#eN8+6&DC7TR&pKe4F8q!^Q?a3yA6L0 z-d8<nd)xk$#k?mjy5R5&)qIDYhyR559^Co8>T*lDL7nN()C<yL->(VGxviI4B{1z? ztxZ(=!j1Ok<&QsKzFO(&UT}kTap58Ru3OHx^-G0c%t?7GadU5e!T+e|^Rs`qUdrCq zaJ@{n=l@I3UmJeR{}t|-9`UFD)6E@{KYrYGuX_G7<(aYknvK8hyVl=ls;Q~}Jwx;0 z{(z>)C675z=k6`q&a^+ECh(Kl5`D9ME7X4+{Gs&p{BNrlJAaF+&RTS_=O2@H+3ES; zc^|M}pL<KMQ}LyzXS~KdP2HDCWpDR#pUMxr6ubY;=cC4z+neLf`8t%cOy_0B2RWVp z>~yfTY_IeC#GlV6C+;uSyD@S8&RxZNI~=2a)J{41dC$A;j{mp!-IF^}HNlYm(lLp@ zk4(4yYp>8=>;GKtNqmk>=;ycx?MDMw&OLpPeNS|Kq38Fbj$2uu|0+Gwc-CTV{wwPR z-~XHHt**2Gw7YIf;hOKq?pCzkVLov5Z_%MOU*9i!7V-MgA@)Y=1AVWB)!zQ^EyxtV z{!6bQzp#M)k=;vX&gE|})^b>Uw_V2}Yg+U@F=XG{_l)n4Ee=`|-gemcQSH(%hY#>( zw_j5#Jo#R~FV4B(@S}@CvKNZKe_T}g=;D_BOX?Z^bg!{FxlUJk=jzzUPo@7ZSs3+n zb}h?KyO&S2Kb7q2xTgE7_Vvws{EhNk%uls{*SPe4+n0<xGg7L3-kYa?QD3k1=x6eC z_Y>cH&YGujIZpg6`eL7iWW<)a*7l71(m#UELz35(J;4)Ecgvpj-MhCxv-_H>*NH8u zbow2myQ4r_=wh*H)T>~@TkECzmGA$Lur|ufn>G2s=Go8wxNF|_?U=MXGq2b5Ojfz{ ze)oR|e>g0?Kkdu=+oggZ=Rf^*i@oIGp~$oE4qkm?(|vsDF?omoXID&1&)OhzYhA8q z|MlBXmOtm1x@!NmP1zsUE_cX}__OfT{(tTd?*6X(dGcn@%4<G<_SL=0d?3~pe8%h7 z|M<eLyXk@dk{*jh*R%dhTOFS4_E<!JshNI|iQ3NXADOn!yUqQ-ujYSyVb_2D%y_xy z5rJ>(&rUtdx;Xrs?gE`tVgih;ijr-T5)vGj50@D8*iK)@>C5p@DoKsU*we+)<!Hki z<xfg_Jc|=po+>iAxg1=c6!T%>50$1J4}NFJz3ck*d(LOu<$0=ir{Dhk^J#xv(Z14G zS5~eHzP&Q|QDVng#-HuqzqHjQ`P|O2cg<D*C-$di^5*H0+t+^cE8Bnk^|s09xo>x_ zJ05+TVgJ+B7e1U=Uy^+IFZ=$@+czp&pOKb+H}B3AbF&Abn>HS7Pn4E^x42@3>*xG@ z_PXcMb*sLc-$~uGVE6uqcKVNZ-<tm9-2rwZ#f5*?XYZ&_|5M8Q-DKLIU2c!`C&XSh zz28!DJa1vqWt)#VVn*-p9a{Nm+WaY}uG@0Fd{g|L;q}{wb6-!UT{!-LpY7lCWq&VN z{507*XKLsBkNVqcZuMKWGuW5pb<Gv9+HUgPW2bXt^^p&<-#_h{b7r@Aci)S}HNowS z|21k)e*Rs!{*3$mRI?Xd(j0yA?cy;F!P6fEW#64{CG%%dLDauHf(LAK-bnpCzSn{E z``z7X@1>XYG5uq0j(@9Le*fUJ%AL__)$erYbG)s574hKkcdcW4jjmlyy%(vcUgtHl z@J;FI`)Ly#cNzGZ-#q+Xc#GHXTT%VD;*7W(?%%#qf9UhI2+_I&p;2`cf4|*(<&sJ4 z27#AyTVJizn3in%G%V%+tE5MYUZUq78+T90D~Wk}HtCbQ@UQ>t<qMv%JW1ZaI{HAJ zXQSS-jNKf5HJNj+l~;2Xl<i*e=+2I;-)FV=l?#2?c7N8rV)gCfYuTqJ7Z`o`Ry|ep zO8L_m{WtP+mmc7KTfBd=NZjMI8>PP96h2&9^jYLVZvAefaNb|-eV2Czv!-877v(E{ zHShfF%&RQbQzXu3>*l{QS;GH#Z&3A9+b>44(%F@~b&?;RNB(5(ydUhy^jhNNgXrYh z?d5*#_rBS$IMlz!>;1*ElhRo~{9oXv@ok}3uwd5Dhh_~E)lK>ST%7hNbk6pWmpg9< z{`<%B;hVMcn-3m;>f7v`<gfaF=Xqe;T`%ceG}F!N>H5DL_Y3`SUt1^hLCiQ-pq8zw zwxG;z?&b;S@~*R<S<c-Vtr6Kc?<3#mnJoYM6nF0MowD*}>RzMrdeNZMmp@H>_dUep zVm|Bd&XR-brw?YmDXTX7P-eaUKyde=TXQP74Hc^mS!LMW#rCCsPqn!>wNhtVuEU?+ zpKJa!um2$Ie)>~?%~9(mpZaUIPB2;Y{>AC??;py49KW;RV%=Rk{!cdxvsZoCz2Z{! znXc?}4C(Ka!wjFV<lAdqVps6ZW_{Oe)h};mKb)?^kiK6#azR^XYV*YNI~jJ^PyFOk z&uWz}dsH-DYWdeUF&uZcT(!GWfBxR`u$lm-eMaK{{@r|AS|Ir0H}AurOV2E_e6#gh z^vZIk{Usd#HCJs?$~DWjH~KWCaeo2F`S)wjYS#Zxdhqu9DhZF^=j!RF?^lU`__ia& zr$*w_i^Q#}_1n4rFnP~odZIr;`pb$xC#61o`|ZLy$5~XU{M@wVwVWTm)v7jcf780{ z@|mRv_&q!_^<AqRN|)?ia-A`~pPhA<MBk%p%;LxOL)rDZ=3k3n#dwF`(_-TKIS;0$ z&TLy8SZnF~;Qf}buiqW`*8JYklj-UGO7jo;o8PRtD1Lvk=7*PiU#<Qv_u%k%!{ZhV z4%b#+*u1YT|JU9FH{W~yF6w%Dxop9Sg*Cz94E9g%+aLe^%=h5SUuTu;#dj!Nj6Uvm z?#%B4{1>A$=kA@tn;WKgD&yzvrp@2~t}ZE=^>tOv`ej`1Zbz4|W4Ui1lxlFlaD7YR z8kZ!Uk6wG^^G|)Pd+_+2@vNq9>+>(T{mw7k)A8GB=IROgcWM=N!^@37&g9Qgt3P=A zd(Wv7N2Swp-!?x|d1}Y;@9@`}wU!ovJ15ICy-QiWH$1^#%jE6!w6t&Ke^xijf91dW zcmA>q+n1ku`s?W{lP}vYEWT8p{GxWD`^R!So}Y_eXfAy3a%f{shM`uy+l#<YKb`s? z-5046`43juDmQhX{uhm(bHq;Bdzt_Be6eev(*6ad)2oC;ZRfDFG+q9cE^}0SV#})a z>mDdQ75%lsX4bu(X?c}X=XEViPkOQM!r~{{$1dD<>Yub<`-{fE+J){*%h%1_{b$*} z7P;*IEbZ5PzE%C$Yj!m~zF^{~8kSEkThC9qu=o;0>ksqf7r6`jUqyetDr)sJ>>~Ty zvW1xsR<%DV)p(v^ImJKfMPQA{brG30GB0ZvZtr_{-@`sI#-43?QR=$(n805jTkWFi zeD8}?h5Ul}#cq}O)BF7kvMuLIE9@7M|26;cz9%f=>G8Lkx~GJ{nE2_~g}Ks-`60hT zcx;uwX#D&mQ5F0m@aOhcxug5FzpVK3mt)t;^|J&1S^V!?_TbIWb~YOYcjKqi_f*#X ziE7&XJ~ZryoJ@PM`CHwsjDHrLnl4xsQvDqiev;1l+_qiy=56=He`Wk#xo=MYqME=m zx8JdT1&f~s*fm~Q{6k}%_702csk>N<Tpxz4TlQelm&;<mGJcxYhQA1W`P-@A>wOJR zQQs@qpUahAtm^N%@c2!rcR}H|Rr)(TD)W0MnzzmCT=?7Y%Q8@KAHT5MssB=W{ENUp zbN_c}*0bEbG99ELyrA%#>h-$JN~VSGThC9su=vZx&V}Dw<c`)0IQ!Shd;*2@RI#cl zu@~wtiQEB&Z!{=;%>`nn+zp6%XLdriHF&30Q}-o*{}+p&UjM?p(0!?Y9aE9ZQh)B+ z5dBm3;*Qsg*qr(|>&G5EdUN^{_2eg_RZI35eo<(<*R`;`MQ-W&IG$RCFB(55&+pRQ ze_`>Z=SnX?=^#Cxt*Lvn{#k$Ldm5Fuocgoo$1ZeV`u_NZxwj|Qi~J7(#m2>7A+<l9 z`Zsak^0#V|)@}dvlGC=U|51>1;5?1p3wNaP_&t5at><NbPWD9pmOr!XRKKkFBDv6g z>GxJ}r1vbyw!95;8Z*dgXO>OZTd)7cqcZu$TZt-Ayi`rGn|prGmOq*c-A~RJtP-h@ ze-Zd{wqQwcJV?{Z^NVB(zb#eXp;1`{avs>{_c$#Vy~teX{_*_L3(Sy2Dg+5U@jEN# zoI1Xf`)S9c#XIIac=X0woo#uMs(pLS;xCgS(dzdi@FmEUd`?iv?H6_oul>>@mmVJ^ zJH`0iJ{EX-tTz1O$YcAna*DFoz7if=^)DJfnHRc$%;&V#s#R~wd*%J2@SAG6`6<ct z_+L%klaB9XuUT{}-Rni*OJl<lPzsoB_+{G#_pfVzz2dSxrI%svS;zQPMz{TwCpReg zW`V-}uf&{FQ-3Nw>AKXf|K;MR>|e_+EWWfIlyJ4b1n$-NU&j*r_2C`vpO4>o`xO*^ zTdKRmqq0>_GoR<~PyZ9rQ|&~Z&vV#%+1a<<6R8UMm%GsY<9jY!ty=r0yjQR7rr4j` zXTmC;9zQ9bX}?D0B~b8LE_7eYpY%c(r1HpxYA5-t+h1iXe9_pw;IB&Y|2tIycKg6t zLV1V9?@51*K6M{j8Pf>L5<=iC;r=4<Wwlekm%Tt$$X_*i2TQk?tNOQGc>KmY800*4 zkn`-c`d=ykd~oNJmaVKaID*_yUI!;a)q4LIfq&SY`n~4YXe|3<_+`$8#kYbLzO48Y zZTO|{!r~v_lU{(L)BSexCvA=T5c_Lo54iKT$edcP`9;I7>oup|s`=V_cUxluEvBwk z-J$Wfqy=2c2v>#tYP_)clKOrwOZTsf{#*u|{DU1-nszNLPkLdy(EX`>$3k`aK2X~K z@w`PYbe}@gy0=SIza;M8VZgBV(WLn1If*vbUD~qyuCM)iRV-$TP3%JVt<(2iSbWL4 z-=R;V%IihoA8^Dj{uO3x(kge=Ka}70VDG7wHEd4(j~4GZb3O3hin=vB%6R;q7VTrK z3;gBDSqsVz%3oG|0U2Ws$qs!BvMqNz^-KSs+`ni};p6?FVgwwed!?O0`R;f?pT^H1 z!!JD-7C-q8D*iOTtoQ=UDk0TH`#L|lKb_yTFug_Y=>7hMAXDXY`*Rn%e@)K4&U~<U zn)6SU|J+Y|9xb+rFDU%BK)N}2XV878eSyDDa)8`(PrNDwn#{o2vv<L2yI)TIo7rFH zFP>BQZGk2z4naw5ue@{lK4#0sKbE)1sm80dy#p1b!7l>;+;-~sy5GBC_AjBTJJn@7 zk1uTBee&hxzXmV&J>30L&bRV~?UVOR;@j&l&f-4)QL*j*LyvC<^0`mf*QtG6^KtX_ zq9yy-@9g)mn5e%%dw0=)*S{Zw@3WuVcR$#tX6C7b{w$n#KJeBt?5SP9WkSWpC+lmX z?OEQjc%SoXVm=nC@n^=i;;GyJvwS%GzSi&3<>)_w`~LMeeD;m8?5dyktoqF4ciT2U zI#pWF^{4Dxt>jMIc#oP}Cvu<sko+M3DCXq+k~7>d<ko07{jU9BQm|X{j@Cc#m%0m2 zf30*kzEpF|Y5&Av!P+z0%I`S#Pg%CZNB*ZxN`XjyIqy~fUZ;tg=YCoiB>p*TxZX>= zPeAYB6KRfjJgein-U;dl|NLT?{$BD!^`Tw*`??-|Pum+>vR>!)+DXxUt6yA|Rd#<q z-DCUWqx+})*u2TS=t~{zo#L>ar$l62zO4Cr_pfQeO2xYQOFm71@lmr;df(ss4Egt? zbuUy)Foo<pm)}^dyDxN?fXnwp{n@qtx<B_HxSw{^bjFm5-;>`xUAHXY-`)Srb*n9J z-}T-6^&|TOf74$Puhu?pXKcGK>h)J}$HN_-(<j=UvRuFKh<5UmP)oL^?T=%w7TjMS zrclFMk-NRwzVZKyzZI9y{p0!dymwB?{I2`^wF6t!&u-V=u{`(huJ%uR&Gv2AV{`cU zc7N0Rb<6hlR`1)b>B#z7b3)a%&zfEj{(J4aThCB`^Yus8z27%06tW)_SLpfIWc*Y9 zPs#hobDrAQOn>m9{uBScB6;>Rwf1$7lWsDVcRdZb(E3CFBS(6Jf+x4o{wMzru-hO1 zDP1|mb)Wa2?)@wb_Ajf-!(RS4^|zsImW9;O;v!FtHx=IYRrb>l2ZspnS2*{nzj~U1 z!LP3q{wc1u)5@FHoymSAe9wN_58I=E>^Y)&&f7=q*NZ)BJDESQN58E-6?^E<atntQ ze{7~MnX~A`y~up7jj=Bl|4b`mnxB7RdXD(ZT1LK$5&<$=FOMy=RV!!v$H>GzZ?Rtb zUZK6y7r))6Zt;8GN1kIlCA+ki{QO?VX#d-Gmcl_neI~B{DPNm1U%l>n|DNkleeg52 z`h7d%q!url)^`8mn|Ew`R$Tq~sv<My=hv2hEQZE^%na0L&wpgSyZL^4i{jn&Uxe!w z_RN3q{PmxO7E9~6eyorD;VJ%pq0c4OJ!{M>+eFvf>=pk|Rx?>h@7DB;3P#f&?+1Gr z@Bis)5&vnqMf9%wvIB>{ENzwN_^0DNPx1)+JkK)$hG}6pew};E%eQ{Z2i6;#nL>qE zEVTJ&_aklJkA(OKZ+DzfJ#u-@<~=+AHvHEVJvC)IbCSsOOa8xn54=x4Y40n3S+n7z z%*RE4%$e=uYoC2%|JN*5FaPmg&5O&+UzxsLUeEAhcf^mHN5)Hj?1_!P)jy}XVf%C4 zKLu&mRtGs>E?lC!qkK|Ogg$58uIQI5n-4x`Qfi7@aNjGR^KZegNiSzOX77H_Y5PuY z!>PI6%Jy5sYxuwCXg&Mz<CfT+nxD(~7~}P1PJ90DTRyvT^|Sjzb7bQe_7oOp?44jO z^5@Yt`PhT5Ywbh)&u(v+e|Mf~09z|J<JDLC?GI=Fd}N$IS3d52{Bl0_e}Aq|+IHff z?w720lWqJ?Gz*oyHhw)b-X`IS!LF-9_m^s|R#XY#{n+v{*7wk7jo{?j#}#jF_A30& zP%Za9``yGJ`uu)-Tw|0&1^DNkxN_$<<HO%y+$Qh{b=b&E3QP~mcHGre|M9nw>37F> zfl>Txk7|5R)iApseECP0%yZ{QY8rN>Odrbbd{IiO5f#*4;vvj$oVV4F<sYl@zjfcj zcIg*bh6Vj8pTPV7+Q}b>`uu}k{*-ebZc~WW@=w?NP<eR%Bd7U?{w)8XaOjHVwH*^r zG44MyYrW5|_K#;WjXt^?z5H|WpI{GTy;XMam(91L{XTzNv%O~R(e2x1c6>kK%px-V zO<UXU3jUzKyu9B{l|Re<k3BFy|H%xch-TKE3muht{WY(=`;v8_{iER>^9dfS*wb}i z$dt@~8j}&#Xwqv`yZ%qmbf$Wh+LJ#_znuQ?xz)~jra`UD`|^v{=6~!WS{L)zPwMD< z{N-BXeaR$=m4Cub?(DVHyCcfG@}suYyUEs*-PUF7XfF<2pRvPTBW+Ih+b*`Fil>C0 zc7JO9E&lg0%irXg{~9Gfit=t>`bXf;_pY|xQ(w%nlX|XD%l{-MYwPr^N1IiIk9HdB zzlb|=f6c@BHL~lg4F8{1T$Ex|y(`?jaM7%Imiv2_uFw21_t^pa%Q774v#zy8=Ko^J z&9Lg1yVLz8JnH4?4*#IE$WwMt<DYpvPR+U)vdTa&>a$(VN2|&6Ud?~J-{VGv9_#+C z4)VG8-|8#QmE9j6Ul*OYmwV1`d5fvn7nlCIIZyBL+3&gsYp<Lze0TPOozJz|jz5-2 z)~O~x<Iy_DbNtZa{%xnfFrR3b*51CGh5xG8dyc=CU0CM2hVS~XaH;+MBsGhY`3vn? zejV2^yi|Nb<#PW%re6=I{khz^+~Y>(y7tT{-?qOhms$T^Q2gUBasIs&XWZAjf3!Y) zv)R{C7PRC?wwC+r#0NQ+U7UT{@k_*Y9eA%x?_K{;x_V#yLi0a2yIS5Z`OH!9y7%tF z?+5!H-!t)jy?l-N+k28_GE=SpN?dCFT%Q#mzo6)vhFRC6N%vXaJzf%XP5)uVy~xF# zQy%SV;(n|3R{rnApQd|$by~@L)pGyo+wd!UBGbauy-MpnpDzEcrq}dmd(#h*Owr{( zf8J-D|IniQVfCF|`=8kG-C<R;pT(XqHE!|m&n8ljf4(Zzn*WKv$|L>w%GF7UZu-Tu zEB${}ANbmKi+la)Wy1HBHZIRB%)iz6nfU>?m|g#mGe3{SrtMan^0@uL?bj!59$7wH z`84Y{*F1)I9NGJeZq@ere%vzKjMw4x^-8Xa-?b?-FJJNCI+|L?B@@@W<YQ6K{oB*u z+9j*sYMO0SwXD3P?5Wq9MEkHCr(YahecPb+Q|6Q8_sg#e$t$s5Vz!A~e=NWBlz(zs zkF3Y{iE*#*u8#M)n)#2vC2sSR*+t$u_d_1#ol1YD^Uw0!vY6BM6>K%RwjV#IUg8h^ ze>S~w^W&)XhkupI_KUn0+T#$u@1K6d{{E#ZdoNX-`S?^L;gZnNrSq8I1#&N!W+`32 z&*iZnKg;&TEOpC2RyjM%iQK+WFgfNDFR%IGiPy|c3feX-Y+U)Gt*v^ZLPgT<g%cm_ z?cnA8zW$SK?!WZCyk6zupMK>0TfWadB`P`Uo5|1c6Z!9@MTGu)&eBtP_c(r!pN`kh zUG>cW9JS@%E^GT8ES3~}nAc*{>t$`ftIpL0GaF7dHx)WByxEtx++EeCQNLo=o|OmF zWoAolIqGORqw2PhXyn#k$&7zjPk3<B@u5$az^!$2);89!zuKqvwDE+eS@+}{rt^+e z%Vd3zTJNw+GkJOTy~o)pj30hT#WC9KV-vo3{Q8Cs{p%O<=~Ws2%;&aCkNkN)put3{ zKRV=*<So|oRmP@5x2Ih8SGv32=ilSF2kTOD*+dvZjV_f<ueSXV!5jR0$=7FZ%B!lI zcDp@UQrWUEJMXwZ$2R8uJ1X>T54s+$zF6g*&tE4y`TdS?m;aiVelLj=6g(_)|GrO6 zHv5O|kDbnlPWSq`yo|*z&vyNfZ)uN$t<U!FzZM_;VcXpmL4UM27#6WuKkm+6`z_w{ zjvwzzr^~{bVr=v8i2iWb*(<(5L3hJF?i<g;Eq^uj-%daAmTh0)Z=O^7JFN=>|Ag%K zJ^1(1q{+-S!80xhXSN)6|H)Rp$0UAk{x{Zgy-AmMYt)D=t0`JJ{n_Fj>mDpRwfd*& zcb5Hced{i)pSD@|3%}H_88@dMsG1)a&+%G+ulSFf&tpW_tbW?7@*wow9qERAo{#Z9 zZ<{UjgDk6>HedI4Y%<qpx@W+dabM*Q|Cu+u`>dniuJdASei_rFt7oUxzMucYx1DQ` zEw?|rT0bl%zCe58oVOEo-WPrQy>e#lL4B^}PqVns&D%cxWZb1{+xN<*Q_ib7um16` z?m_jI&~;oleWTJpTSm9e(>DCP?aJ&%{%41_cm6lnx7Geb@mc8~e}A7!5<31ux18a_ zH_Ir==ewSAX75+7R4CAw*gJ7P<FyqQ>y{+%{}8+JiQ@tJ%#*gRuP3ijch}$JHSdx- zf2qtF*`AVplI<^SJH;z2;#+^-(+az+HP3YIBgIlt`^baxSBswqeE2zIYQ5a+OE%xu zei998Ikxk>EZZOJH9LL?zjL$+pYfpe0n4_3YOj`j;XGH{R6Kvf^>iz~?R_l!z1RFv zKbSbF{GFP<g56}Toy)xU$-eB{bm{C;bFq83^v}w+mWW5b)LM7C>alp&(SU6G7jnEc zcPeIAu@?kBUi&GV>s+1uBA0($k?Xfyx)tsr&s_JgYW^SIV`q;weR|FDE=X(18U0gN z?xiFy{H9;4^TYM$!zW2E9C`MNI=?@1p<1v+_qoFh`(;i=mdE#M&icP7g6;b02i(#7 zS*<o6y}s<O`Q{&wBv;E$G5j~}?sm!VT#NTVuz0ZFZD#Sm&i0qvUzu+f_;UA!_p-zL zU7mh#-M9K={?_et9{pF2)VTif$D)k0-pdpDm)$KdHl8cD`Tp4$o<AR*{}xVa6n@wE zVtU7HTgT_Nx9W}5mG5RAtGn>i_TK7mNBs{i?^$8sx8q;-{H_xDjZbDT-0F30_QZ7M zw1Pj@hgWX>Gc(m=d*_Ueb;tJFuvQ(vzU&Y4!M*2SWZu!<wf?~;k(2+p<9?b=f84!Z zIQU_-*M~jzFKjH!tqQ`0I|{GNuka1FySeFp?Lk}XDgO^n`fr+cXz{nr=6w6i>e{|@ zrYJIQnz+51ZC`}9Q-@4w+}4Ea0YB5in7;p+AhV^|n|<@$$f70TjQ_b-$1U5?H1m+* zL6KaEIV;L+j5+^I*QvQ!%YCX+Y~~#$fAg-Ij@TnTi#l2>3N3p%eq`8Mq&MHs{SkG} zyMkwhZ`AKX*@Lke1y8;oNxbw@_O|OOeN)!Dh5uj8*gmbl;o7{M^Y%;!_uhYW{I!7! z|5I!Bc$bGE?{yF6Ccj+zNbYRa$_tjOOE`{hKhJu9e#F-huRoJTA`V$?DzOWl_GtEV z#{A!<XLdvz{g=G;fc@qJ<L8gNe?FSa-LU;T>+(CxXZ*i%Ys0;sySoH_TrB@SWuEXa zKDYf9l7TN9FQo21^6^!KzSdsVFV|;$S^gp3E1&!C)jzsR-MK@n++S2n?K)qtxmW+o z-5=3j_BrKqg-)gKSC_o-a$!$@lz!ubyp3-XkM5oGHeL0j`eKXR`6rEjyxJ#t?RDs? ztbZE!`yRac@hDBsI`M|ozO~&4#iN#d()oIA>IzHV`PKX^;ScX{h<{VIUbpR(bjX44 z#%%uYx9zE)wr6EI<Ms<#=YQSHe6)D;Q{Ghvj_<90wT3Zo-}`x1f4Fugf7|S_|IdaK z8GAYNe%St<?2#x_7qn~jMdw#SCj{Re?yna8q;vTCvU^ocoBw|J@|Tm#eO=AgdDYnm zAGA-q_o=9E!t$SURW6j>j%5FnvES%V%e@UhL_coGow&?4a(xBY)waIpmYNRNvi47Y zqCfkq+TG+Gzx{XRo8P{!7W@0|gvT3pUXnGkp1W=Nzv_wS;?9TtJTUKGE$8Dazo#>Y zZ~EcC`?=xc%<q=U6Q(ULSN&idy<p*_`jC^g(>|(xKmTd=z5UHjq46_UPkcAsqphUm zea}7ir_Tbc=6+fF<4b!Z|NTgn{U_cB@7DbI!QFoCch^5+9|}Kz-1p#3;WC%kF)HRy zdDa~im*0NM^5wn*zdI(si{3eBvdMjS!`?e9r6=mu-0#1~TR-Q?yd#z;RrD*j%$sD+ zoPWHMf5QVlzfI3<Z4cgjp1+jEUi(ztZl$)!`fuh9?`NO=eP{Jv*LUwLf@>!J&YD&) zsMgfI<gf0m*L%C3s2_ZOKg@dmH0RZs_nhWGdw#^H(vEk}+v$hj>!k0^x~JFw;LWEH z;rR--p(oo}Vh;VR{a;pNd`o`oek<wtS@VRdD&`v=4ED{<mtDC3lvcXj^JwR~=&two z8vi`{uxk3fWjjBdm;SwO$G`N3>SM3eS+ng{?zorUxcR%`r_Osib$hKFt8+T;FHe7X ztDI5%`1-$=4;KAcS=Yo@aQN@s_r+6A{EfK1`j+Vb&j<b=I^p?z{hJMe*6mTPHtnU8 zE||u?%Ug2$`lk4O)e_tD@5eUZf3inGa#hV)eWklr#eF+&%`W(V<Ok<>o#OY7U*Fm= z?6K9K*1XTS?b+_8-EmKr6mE3+9pI7o$=|L+|J#-)d>qriGJQXF^ZnV>T?g)GY&~yO zsgt^QlXrWZ^3&J#qCcG1R2JQ<6`ihs{<o&bf?poCOnd&u&DgX2Ra}Bw;{`jueSCl3 zpZVRsdT*-Uz5UV0;+!M%XYNkp-t_Xz#hPFJ%6C_8i(OjD*V{b5VrS;`_CTfMg_rDE z{so8G>X!FED$*%8|L`Y&=Wor$8@N;#eV<a_R1$CSa@ij7*H;`jeW}s1edAyM;oJ4@ z(rXL;+8b2_zU+Lyg0t=aruaYIrJs^r&5pg>R^O*zX7qmBCF?H!z)w@R*qp7>erUS! zlI`5q_?PpJxK*moJvaYFLfIROyZ4*U>wjN*=|Y9&)7Yy8%PSdnt$KLxeCPVC9sZv# zeh=j>@6XkkyjtqryEE@swf%k^{&@Bgx3#Ss{+8N&S)#k<TllnU{&zRs<}Q=lb(?wr z@~i*4oZmCgbDt?%yV7y({)2oT+xJdgcjoy1)Fop76>MK#E1PtO^QGR_OE2x*9u;ps zefd`9=MN`i8|$}!oW11Z#{H_INfUQJ6P?w3>av}{5BbPXK1KGYswQ7!)j4X;&-QP+ zSe=yn>C2t-wZ9kKla^uH^Q(H|U4`FDmot;wtC}|7zk2=W|0!SJrTz~1(7pTl{3`B( za*H1qYStaPeNgmMnBn6p|AMMI-%n>v@1K`pt1J9md-bxzhucwQs~*+${4Q55TopLw z(Ol&N_rHDDJ9OT2n`_23k!_#9Ogvz&^RMzd{~7!9*Pm~wU-!p4pM78IucKM|&+i@h zG3U1Nw1AH@lN+|*zi9sY_~j+W5r6e6bv`__lkP3{%_=jki{02ZS?cM1QJXzBC-?;B z#a?`Jhr8@i#;GHPb9~tT=o@{SEPs3Rk@(&HHig#BuX&80Z|M7aXHl`trTpYK;y)v8 z52mHxs@?miE&ig(vH6*|)Kto=XB~K5aWj_7?wjo-E*V}e*41;({2AY=tJqBMf4BUO z%O|#drtx3=S>J7E5sI0qCRAc~^t;rl^gzce@17JLxLvoPvHS1N2H6cvb@9dmhZn!x zwOsM8@U=aaQ+IdvzR~*5xoy|#JAZo{uT*8t?<}c~e7k1a53{L@k6jkz*{(MKUgUxI zDL31-bRTSfweh5M^_R)o5nrulxL8&14Omp$yCz)ec-Ya>Fy`wjsT=nlv#AS+<>`8K z$*$mAox1%K)27!P=f3qTnFlvY)}7pZF#kvFiPs+dqMw9AY^{S2#O@UJbbmYR-Q2i? z&exY*xvUb~BeHkpp0%GRo}0J)+=UJ2qKeK~MyzJIGr?Zw+skZk&skD>+E-7UO5H1U zze9*?cf~cK8iut$t;Cz=_nlAqpS2}GkTt{l1MBv!SNXc$spi;sed*gd#r@+!*9X>V zTbqThIjYKV2W)M7esV8E#qQYYLiZO4y)+Cx_l|w0(TD#MkLs;I)IW@${O7Zem*}mt zKCCb8Qf^M1b^F=3_{FVnJS?lS8~*oAJ>PTVtfUdoinPV&zo|A&f1i1WGvj~QtBWtv zbql^#R&$!Owx3%4<KNN)?{iMd?l7@GQ^hEKqv4KH&)g!Z1Gmdoow9x%I;&Uz;<sC( zF^A7YXYOUtU)y<P|K6%=vk&a!pS!8;`SF>j57xVT&&w>AzxLQ9H)P_&n&)o{5A7Fv z*|+`D)Rg_3Q*8gNdHSw~;YV`&hc5-C*R%_F?)*Nxh<_d1zk=ZS)q7Jr?*~~<yXd&T zPyMA>f%&Y@s|(jZP^*;Dn4p|~P5Xky^sihsD}pWf-+KJ^eQ&jd|9oubAFIYs4<~v* z^^fPjv(s%};rci44*zAFCM*B+_CCgn+k8_l>iyVr;z1sZo=yGIKR*@b<acEJTRFSI zJGZgs#`=Q2yN$(54p)6YZuCjp?z7<o`OQyw@9=NlbI_v1K|a_1<bQ^LjHmUU+%-3_ z>*wC~^GJQm%aZuT-wT&?@A`gEysF}#@6*Rz>3>(r8EtRm&-0$JS5;>I`i=Q}pIsNd zlTjD-Zr+9VpC638o+(=hUaBdcU-7a=^t$kzx6fmg;=MPr=c}Yz^$FJq{mJ^mKfU?b z*3D;j?%sC0@j+2oZC=)n@`B^HuN3@V^V662+r1lC7VH$5t+96f8MP;$tyb?__2i;c zoi%UPzR+^>OyMsVOa7%#e8;058+mT|58kJ1!qfEM8>wxNTK6v2<M?!@9Wh(ppZ)6b z_?b}bb+-A;@1B0!!RbEX<dMTF*O&aZ(tDy(^<3rt(pgJ%^I}|=wthRk<BQiL?dZuh z&dzn0m{+gi+dMDtUU$v*t<PE~zngxL{qa?mnfXz?r4c+i^L#Hon!NAH(}zo5dvxt* zI=m{Nr#f{-z}n+s;y>$dZ_2K$DmQ!m^e&6IiT~~Gk^1LZ&&IddEqVFZeZoIY?Y-~y zQZ#FBF8^RC@GC_uAS+v@hUew{<z5r3I5SrI{VUCO+P(Jc{C{d6%KnPK2n)HUz2kS$ zHr9J)t=1b>{=c?;0^joTEB424eAIb;RV}mL^Y?v+g8xR}c07ySdCYc_Y2j0;@3uz9 zra$C<#N~ciy*K#I^2iCn6*i8YqW5c<e(3t_SNyZ^%s-KLb_cR|=l*5+v1DU&@V&d! zFEugCh8MnF-Vp8XHRaP<)$osNwwFy!pR4^}WXFmfyr=X9?uZ`g`KkLgYs-0euFco3 zp8MZ$|1FEr4z8{Hq%2Le_V+z_^r^Itt*++hu@8@r#h#A-xs6qP>iSpK-%Wnp{I^>5 zP5aav=S9xHl&V?9Uo+e2`Ja>jK31qz%(<Vnb5~RUE%t!j^M6|Y$g)~r$G6e^Kf9BC z*Z!s7c3xN=dm!sc*R_(lm5<n(s%M|=pI<8XY<eAA(b0!Y2alc-Zw{{IVcEWSV*hmJ zws|#TMZK3o`7;;FXJ69)k|h(7w4>&rO`+_;qf_$@{9}HJPxvnpZD)5}ZlXTh@?Wnw z@<Qaj-n(9S==;Eec_I6w6%L=*-<EoJ{YJpuYZGj{Z%dhErM>JvnlmXcdx!YmuBeB( zyi(qWN+<mnpORm(zew4!``X62FT>aFXZjzOd^|(G>3u#+jk5Xz&(}hm{V)BN{vdDg z_|KH@4$W>YYrZ=)YyV>0+xy9(S$Vq61&^8&JQBD3{)it`zr(LoA5vx^)fGMEk9vW$ zZ>`|FAg%KP>$G=h)Mb@7)i=f`|M+)OJM#W)sdtaUlVq;`lHS8)y7gd>^1HKNmQP#! zRqBGi;irqXTydG<ew*J^8*#>1#K*o``}l9d4}XpQ4h0V@xo?)oNN#^y9bfQ#cFpux zrln1ibvv&s-7olGw9;MtTgncD9qS%Edg8s8@6V$r*_?WQcE_3bTl{1?c=Y6T;h30x zGp{S(|D5ypqfb^uz3joGAH8$g>k2=avWg$iSJ+|k^UvFf`6BkY-)#@drhWY^^((OV zj=8~Z&bLlQ?jLOL9AdvQgHvOUlrOV*MBTxia~rl_H%vA1ja+Y&`8J)8CH=m#uIfIQ zzdMg?o-E|s=V$WPXgl|hqQ%cXPXE){XZ%|3?Q+?Jx=I3%g^pj&UuwO(ssCL6UaPQU zx855$@jusn(q6K2WAv)yjSt>blrYNXr0i{28{gn7f4IE-iS)MoE@pRgDUV$n{+F_p zi|spRC#~HwZF;wiu6q&x2j>uuLp%8cL^JF5ioDn+%Tr+3xUK%|-fPe19eC?>tmmgs zW%#wHW&fs@evg^yzk1)TYUcmB$=@~e4(H#@@tb%!;@a#-p<hMTFJE?p^F-m9eTf_7 zFXbDS<n1zD9{+5ge_ej_eGA2CHgn0(uhX(rP9K?BE-CA)$NAIz@`L>sX6^UiVfoBl z@uyG1e#h^t3vQP;<tzJ4$ga9J`@!UioHwPH_g{P+ebD~R{p7f<d9xN~%D+6^Xgxuz z^{dx&0eSU{=^3RbC%dhl|I?j8e%tb^_k_CQr+=Mx<9o`MzomUFcDWz*FKW&E(^+vq z@8Yg&Z|;A+&-Q2jo2tY6zD)To8FpUem+?B47nL6WGnV|`n<-!N|DCIr`^CKt&lOyK z_IGbka-An4u6d1rYT#{OeQrDdeLY^jZ>RoQW_xbOiRa?3Z}dbe)o0zQ(%gBm`nkoD z?SJ*Y+{xb>ZeG|li@Wi*;LQvBwEi#syG-}X^$*)!<m2>9TI3{t&Dh@e-TK<v4c8a0 zd_1v#um0@gHJbjHWwtKfrI)A6^iO!&zt3AfdcOW~GmmrGJmW`#veWxB#l0t-x7W3u zekVHe{L-&8E?;o}deKs{qKnl*e*L@$%oa=4r#H#nTFfHPn6Z;-p?v;Rag}#VzX`5+ zINxUFwPdy8eYb5{Ysxo#Q|%J+THaCp<cWjFxhH$Hv_8qKJfXY$M9<33l)Xv3sh7&G z+4I(vZ~1aYS~~K)|DOhyPbbf6zy7%={dw>iC#~(%u1EHLiL%RoQdjBrB7Vyc@jLk& z>bOHbMy@}weI=L4t=8wU=PZ7_%lu;)R_%RcZer(d)$%2(HKNs=Te*t;rr2@6tP0yT zXZC~7J7n_jJ-ze2t9M!dskc7LcQ?EFeL9?Qd1ug}FKbn{uUfOc=*69a3HSGutlIwX zr-%4Ed6gZ>FKdsMFn(#%WWW17;#}3_RS){!@7{g;{>J8g^MBZe%gA3j!|5DbWIlC& zW>$jM%wv%+C#;(9$58pEEND`-%&X}qd)K=38pecwJ>~ITJjm=e|EBgD<@Fw?#ZDf3 za(TDJkNr}=EuY5TE_nZdYtOcWP4TxaBztd2@mHBHxqUr~KkizAc%JvH9cv!m(-w5v zdf!@};a|mO{Zs#pEX!W(SmkJbH_}A*c+qtx`O^Jig|P?kIv?_^yy}0f&->1w6Mug2 zvWOpFUo89M=+E5rb?kcQ@}qBOK4W{3dh>Zj=^Ey#^MrThe71@*^!lFsqVd9Ei<6!8 zlkSDgKiGTX{=NPB(Z9dXI`2@hxM<d|eVjG>T+S?w<LaEevQ*^6{2AJ}XN8xs<{v+< zdtm<tfr_uHR`<&D*zQ~F?zVaP?m%skP@#hQUEL?~aeb$z?L0Fz#O{CcgU?Ewil0I^ z*akfOS@<+5OgEtK@%^s-|5DC!i|;TmcH<WM9=ucR#Mk+(@AiM#n$x9I@STfy!K<75 zW&f0IKDN~B(d#42gSP(FH)Fc4tk^rJ{Oqjv&)+e;`=eO*W_jSozZ*^dzf*g#_#1PG z|IG6Y>Bnm|5A0v+aD8$`!KOD(&o&>(&p#RH5##$*>w(0o1JCcDy1!re$8McJB6H)@ z_iAqXe=7c|Umf2MjsFEtZ;RatE&AAcZhiN=rdZDji*}#a*?;`Y_XFYQ3s2N9(E7gW z&2NQM`;~v#>g-I<NI6qw{N&xnBeNg!dLI40kN@BI$(xqQeVunV=J$!U@(l9g#}B@E z+J4~n-)$*hqmOQV*6D3$=wJ8wh-$qFnEGEm?+-}O63nZ&fly3!4|nR#)m~%7Z5_P- z^AXkgv()!jKAL3Dy8p&EbN`r6_0LY`&)f0j`~m&2dP9r3$JOVv?ccoi=WDI<bhAIJ z3;3%-n;x%S_bBp%*3Qo>Kkt5ae&WCOvZ`I_z8{}iwp`HKchtzr@7I2ZUExt>>hpid z8)a9{TB`Z~f#ri^JpT*VHz?nCdQ{GGUE`2;#T?_ZSK%JtKU)5{Y#TAv{o~pD4Ev89 zIxjHcjly}kbiG9fy>6$!uYB;fxP-IOWBE4qSGN0~<u_Ib%57WlxvlQ*Uk>dV{~mB= z?bllu{dd>y8L^JPN@uEkf1NieT=%cl?$)%I{mD<IeL8-Z-s668{pS1?@y8ABtykaY z_3P#J*e)->mqL3!yu5x_@?_k8j~IRxCcQ7OO?tNqUpQ!QlJ;e*>L2~K^Q$fIl^$q+ z`=!{kqE9r3_0Kz#j1M~+r>;A9ILh#gAJ1O(FK;_6Kgav+@7cq=@b>3T48NB$zFobb zq;KW@f7d2lw_^|d?=JPDRPOpMwjJ*y-WvB8-?BNh>&ZqLvx75#@|dpwUAoHuf9QkB zn{Um3pLhIg%Zc+pGJe0_BlTlNW&fi~x0%}SO3q5x|9b7C-SZEY9^M7t>U^duy`B3Y zG_!H#pE=hX<n!)x+1t5EJ$rs$`1)LRy{RtyzFBoWH&vSdYNP6o4{_?f`g_ckJ_~F4 z-q15z&wBsoBlg*y`TO%e|9HOV>|yKm_ibv@jX(Y?n|<7V=ig@!chBzRFS}v$Z>8+> z_Uqs73h(*b=h&)r;Ur63;oG?fzI&E<&zm0pApZPox!nG<a~r!qFAk{5ntt|hxw-KB z_g41*qWsd$AKXtp<@tQ==Ev7eS=0O5p9Hui&YBkSJn-e+gZcaZuC@2ybL#dj=0EGD zCzXGz{ZqnaA-g;?HuYWbnL>H{o$4RT{#;VBd@=WlXlCP*pWj*1e@lyJR*2qVR0^-w z|1f*KTv7fFn?0Z19z4JO?$+lc*_OuD&Gl3E_dnXzwE6kvEvgfrzLxCf(c8@b!}aRy zPp`S(onGXAMf(1oCw0qreB1XxJUsqi-2vCD(?5Cd=d}18v(fAK+@f_EuR;q7zfYQy z|L*Jq>80*-<-f9&PtY{CVVcsv+5SgN`im?6>DzyAdmv}Bqi}r_XI^XD?~9ca>KT3< z`}%+11Fg3AGr|+MPkdN@;Sck{^L>W{7uL=YwEf1CKHquj$w?P%Wp*_v{ml4zoBeEm z{-=Zo&rWaGui)Es_OtAz*Ib+9u2y}&{NT4PlVRVVv>W<MZKm&e>D<tN**Uu4^Hg(- zlDeATO|$jypNoEW+`Lqx;PX>!ftopTf41kz-T(6N!10f{+<E&xvALh=&yO!CtSOy) z+fu0J`Uy9az1>?+>}_~|ORwnv+1CxOo#_?5-txKMUp}6wH)s3g{eO3EnX`JLbKAD_ z+aA36p5b*vT<7AJ)GhW~#TwV&o%dCy;*`|+zef+)CSB7liBDBMt?l^#h19%>%C$-# z>Lm|7w(a7y@;qN9{94C;`WMdw<+3#=?ui%f3$wX5DOq7hGEe-g`q)d%_Oln2`F@=n z$F*<1(eL-G_olup-Lo#vjn67FU-BnImeti{`}UGDo3@!psx{_ImXvqhk^gm>$B+3R zyTJUX&)Y>@A0FGM&&;<v>CnSJ;V)krUf8%Q^_RrPkSgyNi@$4bnY*R+pP<)~mzwJ| z=kE!;!)%<FHm(1e^V`nHMH>$<d$my4qCVs9>8cZ(ckk2i`*_acN8Qmm);^VQ+kU@Y z>rwoc?XJ#a5v}}k(+~OEU#TDd-nhWxsO58p@7c=id*^=&X!@4*qFCau!v6Ecjn<bR zoS4t{`n6_xbl&>l0@X+Tr{>Of+_gTcXuH%qM}763(Y)sRf-)lWtJFVazLxoPt?jo) z{;NA)ftz>ESaz@Iy^zgwudiI)OP^+Q-K{e>Sy0^(slVVrRabWC!Q*lA#Y=)E!$qI3 zVbpsUvq9V9_Wxx`KksMk_C9GlXK(MH%YUXnn=V{+=g&g*9Uty;&YC{QHEJ1Wi)MNO z=ac@~*Oy*rO8>oE{N-N5neD4<&MiIA`uyeL_vTu9>O0DdU#^&U!e8Y3;*;TiulMU) zRR4`=ejc2AY_+~*?e>kE`F^&4vp&ds;6=IZi==BZwdH;<GZ()8A15oP_N(6SMWW0Z zmiB)^yMo#OulVj{<<x&_zjnz}^I7%rFCPBg$<ltw=vS7)|D((1U;cZ?_6DDSk<Ffm z|0dP(e`udob>NK8PgbY<SHBc*V&BoawP>cK9q+zU%O7v`S(kiV>Qg9|F)wp1^VXWX z+6~wLZ`}hjb9>~^^%DeSv<q10*>~mdmH+ea`VH<~?Dd?pr)NgRFZiLh|Jl_8?cYT< zYznH2UHJd(i^-3CWez_}7i47<tYfR!{h@1AS2N{*@+5m#uGB)`e<x=*^q;a<|6)Jm z)0%H$JE{%#vx>-v{K)*bv%m4aFq{9+_ya#Oi(19^yt1y9{t)<+-Rb_dDd}6k2OPHT zleD{{aNgnBiod%mt$*D7wle2!e#X9YZV#UPR68zRd0kKWi@47I3BJ7Fv*hlZnO@5{ zeYq&z>OuPPt$Ll!QJ&`QYj=d4J<q)UQ_r^D+b&#Qb~n)emtcSP<VU+*<#o&7%YER! z@2ph)o9A})sko;yHH<q}9o??*G36_tUag4yAN3FOxBa?2rJCXN!MfFJ{ua!){i^!l zZQ<%U5}!-%T>7+)W%>W?pI`s?UAXUZXhZG1h4F6d_FY&nt9AT+#5$&<iv@R1iT})a zLNqmfm(zU1KN<I`8E&uTJbiA(zRU59`*)OG6O0M5mgcCPX)6CS=Wy+$+c(ptO<12! z%GkH___OKx{soJFYR!LQe(-tPHLb1nv&26gtIuuSI{%*FowdjQ=bYNT{-NAX)varO zzt|`&cK(X@I`)0fBfn+stmb)LXnD7s{mvEd@9YOo8yEG3$Db8iIj45v=g0X<&!fuS z*FE2Qy#1%ze1`cC%e(&{w5ac^x$Ms*e^riY;rraJ?Ni_7&zNufZpECp^Bdx0sy%F3 z?_})FsQ=vhFM9I(9pOdm6aP+KQ@8%do%09Ye^+~VLd4dqSW@4_+~ma74%2l-X?46c zbLPYzbUpd<4_nQvX|;QHKit=L`|VxcUAGOU<QFs4RJ@<`$mB9lSC(lG|H=Q%c_shW z{IyUn@pP-(KBxcHV%0B;j|lc&yKDJ<Z**|+*`4x6;;o_bd+QbKqviMH+Zdfcx0mD2 zZw0;I4?_wRPP|TkdR;t5#{U2O&yURoVs@<eDcig7v|{kPZ~G6Nk7Eyca3)f5eo@$@ z)a8HcndOh0zkE=0b{~J@k4sH+XGGQPZt8z5y(j1Mk7W<Uq<7W@{xxS6Kh`VpS?l%L z56K0!2VGCD{1c`3WXt{Hl@IJrT==);f6;?SulDNi*ij~u!&vvws_C0%`u_CW;&Wup zi`RY6xgFg!-&XJTYtOgwC)YMie&+wTdp*layPAc^KfdJD>+;Xaej<E)x#|uLyV`}f z|7DwA@n4(qR(<Ps$2$L+l{|Y_7n<Du99j_itpC=;XWEVNzqtNIy*Y6?r@i>yp|vId zmj4#q`?kUU(3$G+YV{rFM*mpKO`jJt#YFvB{LANEPsuks``@Y^jlnVQ1+OhlPda~J zu*~OhSWK1phu;?j`eI{$fR;AA`Mg)??f0H1(r>uxzE!$8yft{uy1e46Yt+BS2X;xv zbfcC(oOjBrGknvgHBsDu_e57W{9hcltM%Rgjr+uu91c6xg%>HB6^GQ->o4KHeRM|n z?g@Fb9xUDgn)3|)k}z+X@!NmA58Tu1zkGbv`SeTlZD)m&>i^r>en%ZPbn6YDeoFtd z+lTHh>(|_|v##sZzjSzEw8lE_FN@Ie5BbL~L_6_+uNKM?3e{&`*#3RT@jY9g&v=${ zYVJMhryJgThYH<a_;8EU?cG`bL;e5R9k~6S`AU7;;X58oQy1@)|FF$o(_ULwbcw}t z-vc+lvuEv??t3-wn)$iejlU(cyT7>oOMO0Z&ztAkH>LO09=u(6QLAHdfaS%i{>6Lk zf3$r+b8N5CJy)ILEmMu=oG^Vh;Z<@0!=<$*WsTPkM}PiQYQ^!p`B8B@gZ!`iz191w znQsf)$Ly2-dAXhOzw+umQtcmYr~UQbCu;G#_CJ%XNPx^T<Arj&_?P|S<72;fFaNXf zb>R#4SGnIiru8wrOG^%a`B$uNdui95gQ54c)PK1%?QgaepSh^=vDtc+bLHj=7w_`! z@;l)fD)+o%3HR;KH!l2J`l#k$Ve<1|^3qcOzsC877wAvtE&KF%_xgunH$L3CUa<eP zX-Pb@JKuNHA5Ld$dmE1IS<mQi^Leen)9EhlJN=XRX1Kg5&G_fM!*lWUIKHR5FT5!Z z*rRi#UVBG<`bMsI^|ODj-ZuRV*OK2WHqL(>w_(~6o*SztOwBlZ{+IB9	&t{omFc zy8dI{yjO<BYz6$n9fgnYnaJ&%vFum4_4F9dJ1gd1{^iGATYvfA@;%kkdDF}{yvq%E zv1(0ue)IdFi|#*vO@8p(>(`{u#}6#|#On0iS$NsyU!orm_H93PTlmh)uz&wU%fDal zIOuk+c*6b2Ns3$BpTB-*xMyDFzISzVS?l)2P0?FjwC%`$omX)w(J#JLhVy>+&3+#B z_ws&@pSS-t8Q<7HbD?X@wb>8F7Jn*ZdJvy|>-3cE8y`;FxiBY3VbR%RZMzq9H-_f# zEmxdtD|e~Y*>F4C^fZ0P^b_`rTz*ey3aQIIc(blsb(es)#Z>dHY(M|S>dmP7I_vtr z8@3tjSygYlpVof<cC_Sp%d6m@%cDHnuX5e|Y}hZyD*la4oa0<w|0a+3){AdS%qiym zv2y<w_s8?TuG{`HylM0C>dz1U%lzXynSHR_{WRY>l{(L*vlagbJ)QlJqrh7?=HA`e zt9A9u8(%DbySh^L!zCTr*dHtFqMWuL_y77oBIWG)N!OdtJBRJFyXX4h<c&+F{(UlD z-x>UQj{m>M>+yZV)I2YpJ5#p)U-R|OKF%Kxt1Y)rlH>aGZuXxeIeKfRe>>|T9)7-A zV$P|FHyP^Oqkap|mU?$KdV<PqDVf<euXD3joa)_Y-&Yd&ZH?}icLw)uEA8K?{`ghi zkUwR=zxRuW`;O0W=U49E_Icd{JD*;cU(TFti(K+Kv#d|&I@P^5{ax@l(fV=4>pN$C ztY6*d{BwFum0qCC)ivRZ#D%B6f1vk3PVUie)m!?<L)qhxmcM7a_wBfry2T~OncMsu z_-;qPmV5Bt@Y}2>c7Z?UD_qm55w|Xv|L|MQQ~HYivOT?}-`DSXxOwBdya!!3BbIOe zzh?W!b&6u4`<^9x{Ljq(GiRDb`sFWjYdBW?d-yV+@&B8EpZ$-1M#{ZjWHVpsnW6iM z%Y1oa`4cC%%*ubY`@!Umw=Swnzq`G&wr-Q5cHWZz$`7_17hU@IMeEvWmbuMF-vj#3 zsyFVx)5BkqSE8~x-sJes&`;?H=4W257kvLqyfVq-Q1oBZ3aN^&SU34ii%oYqX!3@= z4UR7eW_k2W(Z2O)+QNmuPJBK1o-f$0tGHpu3|E_$*qn&ROF!#;nEmWXeP`8AfqkE4 zK1`2%I=dwP_^;Vp%ua;7JF~vQeTr~ac=E4#w=K7v3fZ~4>Gr`%i=Qa@C3K#XbuH|A z|DUa9{kCtv&9C15ddJ87>fQJgJpLaKav!+;PqRpH%3}MF6Kwh$U;X7j@L5?^$NX$} z*OGpzc#-t={Q~p<D1X=<QS~uZ>dorHEwA=|R)6p_;P!{-t6k1Sex3fyxZuWF^}iEk zY?yc+&iZ`hE35de<yY?&b-61&-u){3gZPu*daG?1_kMO|EEk(7C6F5Wl;<Ctjo)0) zbB61m2(JrSS`~K3p&;;IaM$a9vVRtR+bS~sAA7;~2|||fQT}mmlKgl1<vHG2F&XxK zV@&_uxGj!l|Ic5I&;D`NMD!mi|GB2_rs$l%a@m~1Z9=8qf%`S5f6o6PJMHmet6amc zS48L3%5QJ_>e|}I{?d%?zIcD%2U*rz@wZxk>)TII<P6z=m&L~6!{k?`H~3v{dtYKz z`Vy>YyJ3IqQ<YEeS1hnOwOzSl$*i;Ch38H;ay(u!xt{a5^@@v9VTHa2Z~o2}{Zubz zz3Q`2I`@ZaZBwRm;`4T<?NctX&hwKi%=%=Kd%mjjT}|c8ip-zQx(Bb<>F?~YnC|Kq zaOC0gQ?u`J{owZCnAZI6@e`ljft9zLx~u9pD1Uj|$@%%<x!KPy3s+U>XP${ZK5^0Y zxehZ|{&~4p@%-$wyOK`r-u}++9Pi_MyQ)8Yi}<f`&!6#s_NghKm;P|tvu6Inht*qb zpMPVy_fGw3=pEneZM84B^2ATSJCjgnTRhJ+@9TG~shdhqiJjZi?dq_s?d`pK<qz39 zHTPU)?%8||_gwJw^?TkuwfF7x-|v6+{K5R&;y;?s+JAJ{T5$H>74LHU2mcj{Ce`~Y zeoQ^|xz~5<;@@fyo}D(|YyaWf?p)R**Hic1()+{QaQphC?M>f>UQ~4*+{(vtZl8R_ zVcToBRKsdb_?h%-mgk(>z2;rq;kVB>?YjBs%AeVp4_5MDZhvOGO@8j9UrpV&+Aq~j zvSj(=_TExUKK{iwo0hlhZ?Io2k1u#{{`uL}dtBe^^p0^&e{^H<LJd0$nV)j$XQQ<~ z*}1nmu`}j9c_YWUC#Je|kCn-Sr!Tl`^@F&+uipG}`a<>lZC?VvyIyvx*Ilmk&+?!5 z&*v@sgzLRaGQwUqUReAo-~B@9dE14zpXY|oGB{D|ay0c`U(t=n)l>gux);bEy!pJb z@oD>#KbPDa<KNW!9lZJHnbmSXIo`T&)=8o~3{&@9eP`XY`R@$x5;_0E3mK22zpQz? z^ef+ozx!(Z|L$w4{dy_p#{-E6xz!o#&P;Ni^dZo8OCPJ;Vuq{lXKbIgd~Np287HrN zb|{GUs9N7Qb>=o^b!NN8C1=$&OM2#=Snk1k;#0-;h{Cm&1){e?C)X|Cks|i<W>NU{ zOB}8JkC+bL{2HD+;pUoonf=Pl2WweRMxGD-@igRRth8==I^(CQxh2B=?w?<C{pIZT zpRi-H(UTcTQS0A6KJeUa^Sq^{kIE}-cXym;6;r*JJ-0bUjJbZn!OK67l;1q}V^9B^ z&#Sy%hkxdrrf@g(Sl;B?L&aIz73npsx$!f8_7od^Slv^r^k=r^e9;?Iwojf}^Cx)1 zyZ6iPJkgI>Tx5U6<4()T2HSn}xN5@qZk>pKvaqdMQ~SZfw(8T<JQh{G^<l{0zd7R2 z>71p8uje`c=6R5?bVc1U3#IC7tJiLOeLIpf=11M19pRof4xcV<NP4ANs#frAcZX=# z`k7y~YX0ta+HQ2X&}t{wkNLrgmj4U&A7qy@x<(s3UQ#Sp@a<pj&0G7g7MZiA@8{k< zE&23X-N4E%^X7VfsGeptwWhm6Cj3cg(#9iPq5GH*#``54>#t-y|Cx!;@Ob-%zQ-ov z&F{~K?LM8ncZ&Ot(>CW%ecHji@c#y-&lcw|zt>Y?H2HtU@<FwD>Y<;(f1Y)F{y*3f z9>3`4cb4ySGW$!`ohX#z+A`Pict*EoDC6WmOy}Buo|%{-&yu@QuO%e@uFa?Y(~YM8 z(T<1@z40eB<Gb#f>(43=-2N_B*<_zIovle)?);B??o%IWW&iuf^P}~7)0TC&+J4{k z+V`@#|JCYhyASKl{#M-K{t=hp@ZNmJw_Dl_-vehFT&O&?_Vr!g^$NCkcHOIeVj9Ao zxSv;#{hvss!JH5K*X@4)fOmEL^}F#M$6MdsE?>`DH&fMJvQXu;N#^NKMz{VfS@onT z!!qc);@-XQI6s`za9<Gm_X}(J-l_g_pD)!U$)0kMe|mclW6j&&Yf8>}pZ_{1|LFNs zcR1#3)1T#j=}5?`i(C4)JxKHU7kuw8?{|lF3w}$dsa*Xt*}VC^sfx&U^;`Lm8}v=1 zPHL`Gw&<CcH2>Uu+dNC@1Lx)LzbTCT`h@%3ymFQ&^Cvz1nAWNOJhZFaj%%N^hsR0# z1)Yx9xWg{*ivFOLAN^rQsZ*TMgjM>o;&Y=9l-DPn+QIbKsLGG~@73y$*YBK*i+Jys z=l%9m>sK40y&L3aZ_{5>zCPV{|Au>i=Q0TKGoA2%AN|+pv%sg`+usZJG2Qv_t5uyz zl<WNB$IGT!-(|g5H-DE?<BO#aR-NyAV3%?0<c{#BS{>E8r&p(2^gXud`~07Yf#LuE z&nBN_qkKG_7{I{Orh>6%PUQ?0_sVDYEw2=R$xu;b6yj)6Y2JCo_VTPuUWJ@rb25G7 zeZHRYU<!6gbxS=KlI9{Lc=PVn8yqYWZgaSANW^L<b2d9h9kk0%VdlTC#3?3iFfBKQ zg{{T$PQ{+ndZ$nQc1a0+K0VZS^8b?K@BbQoI=y54nsTNaJms|o0u?#x3QL|wZA!5? z@o8Z;e^1i$1pYY*j%U(apO|huwsC*>yeGXjQ76^}Tv~8%b)W1^>AUUH)f->k*qy!o z`^le|?>#wmphtcBJl;7sxNI`D3s+p+Hb?d1g$WZ5MWsba-1eB4yn1uYuN!$b_xFB^ zKF3_Qv6f-BUtZ(6rJ|bhQ5O$=DqObpfz)}cNG_eu8Q0eIHXpG{Q_K5krgW(2@bMjm zTzN_}3NJs(6+U8f#8!R#0y&pe^OorxZhpNmX4i+scQ*(Ma>qy|o%UU-?Bu6?_t8Ta zok;@c6}?WitchHv%Wvqu!@zOL1*Ih`BTpGW{k-#>YPO2ak@*75;-Pm{(``d0J-+Pn zXm!};osWGY*75H1pK{@;)wVrLznF$5&MOG^b~*4dN9)L()KVFh6%$u1n3{Q2g!B5D zq7;{y#6xlq&uBz$jyiGTLE0j&n@8d&6)X!q&>Q$m;<}rLrSfd9`^s#G&Ul;_&Z*3P zx%%|><m=09e6JcEFYXXZ+4-5<xTW%f%7PO&%>{&3PFZUe&hu|h!pU&19}2CG(;ok3 z*`VUN@n`PR>Xlrr)xD=Vvbl0|CLUAV%yV6!_jGD=2SfY=p6xMuk9GIjOqYGS*d=pC zOk0{)_R{6S*&llCa_6}(-ShSB((S%!Ig1ueR1M$qA!gAAl};hOLSKI44o=U?ES=44 z>YO6eOuIPj?>MK2UN|(JGv;xWY%*t^Q-t1<yVkp|oU=Gr>Hc!n;qbl+n@i2^-z#gT zBs}h(e|)vbZlQNC&$jH+x+iAC`O(u$IL+tos$GS-&&xX}9nIZ*V$q_&yWh@Ta#xo* zrMyq?o#kAK?qx^6y7V^bq_rilJ#<W1Y|D;0uR{LXD?gI)7w?X$ICAxnzMy7u5R0y& z@}HIq6U=vhd%y3u{Zzf((~I?A-v7q%ZNA?v>mr8r3X4wfY%Bi3#$+7c-~05E-;td; zk~I@L0vAc?NO}v2J~-W?ee!tg+<={1ey~2YIh$i`DmmHWf9%r3_X3|A78{=IalP|E z^~ixNv4TBK6EtQ7T*{iN67%@0OTxoV27gwZ;pPA6sASSwa#lue&8F~&ze5EQKJWIv z9x=(J^~h2d)7CR<{9@D1Bai9`X2-mD4LN#|E4y&dPJXU#{;v*q?lY^Il)FCMC|9F0 z{gP<g=KI0BUfuc{;xX}=<~N~zi%PgZvusc~c|l2m%V-_5p6)%j6_F2Z4!3h=YRMMl z>x6Wy7ff}1sbXT2YT=q8a>nrPtdL3m>N^YXzQ6o$#h2}u-=FtLobGbU(A7jbd+R0z zem|M=bK5%}NgG~&wrA=ApOq>e7LzO6syWZ|&hMza;59Svp`wz;$=#Jd_H+7WOBVm# z@$|FKQ?~Oz9$$(T-jJ%N+-Jyi-8)ZXTCi9rgYF`?gF7~)`4pezx#!v9CbwmWZ)I2i z*8uy9pH_{TiP<0Cv#cw7D11NK>c)#hflRUKFDJjYXxul|(a$q)=WB!O?hM<7FJ8Bk zKbg)^y0$0ln)<6^)BCZ1CS**y?W5XxI!Z3-`}`?>pVmk|s${X)eSkO9&^<%F>0Q8a zp_3ga3SQ6R+B5xu&*}u7_}F8$ESn6js3kM5*Z<6LU#Y9Gd8>`}p_CPuJg+zB*T=tH znmK!^b9Y|D-V-@JiAqmz-4EjbX_VE{o)p<~r~G7uVvKI_U7ssn3-7p1Nn12S_d_~| zU*)Qj-4FVO)^%&`e__?+dHtRAoZ{k_o8Bu-5^Ll9EO|Y_adq614~2_bl|szQ9-lB< zI;YicdA{0(juXEQ9#Q8wvt>fr9M-iiuEs`BR{!{y8S-Y&(vr*kA%BJE`DdI8>rHh$ zJymnh6t3zC6A~=XR{YkTTezp!G(GX|bM<#`gI2D|*!Fs^S{u*u{jN#EZ7&tXPRs1r z`Xyk7i;2nQ+!(iv<u4CgB=U+a^D2H{xh4Iai-+HGDM8O^7L%hpI4=h_{9ctH$uGE} zD&dQOaW{9j@MrHIrSk(;`@X&1z5ISy)%g;uUGD{qlfAi%jfG8pgwJkq*=E7$H(_@A z6_+R0n<uxJ7fo!Lt7!91(J8oi-?OTD>jflSUI`cn*OfKZt2-GcY93=0zZX~ava)Nn zMv_$~`$VpYNjsFf!-WDrP5m-qb@^Gfdkz-yPaEYM?zt`~48FuFThz<0DK;^iM}3C0 zk;I=rTP_72F1%Q;H@Ece()ttJoL`=v-Ie$HX-k>*Z=voFQHR!YM6qobK2-9Aecca} z#%a>g@zQtO>tD96Ui^E*%f3s?Hwfw)=N4!trskG^?rC<pxM${v19~R2*>n%_`mbGo zBVYA`WuWlHt2&4Gwnz&;Jgp*=k(LxLROgjYth%O?WB0!;C8lXcJ}o^m_nj*ORnHts zlu_I=L-4wbiJ)<3=%O7)RVLgsOF!qU6|!G+O1x8Dl3(56v9D~`M@G5VOE>$t%j~`v z|7%y?oM$(JpN6sIZ9JUN`GJkw_jZJRGFQ~Ps{L&%9G7Ih-Lmk{3W0K=qoOjoA1a$> ztvM=tY%z-%vxYg_qbk4FJ=V<oADs7^uYCRQvt`<QJ3JhhOuKVp=L&z96D?D0N`%{| zq_X8JT`J13ij#kq+gx3>BvSG7)x5(W`dvzjckW#r>}wwIf4}XYQxluwi_%|i+bn-K z`~&Bq?#Q@<%BSv{tUq8bD09R|O7F1FfxEU5pA#&(KZG{5D?K$X%#ioXZTbG#vwW)F zy6FB#tkMrxfB3Z9YVU+>_l<XWcbc7f`hNHB1v5JDIV(lZ57=!e^lzTVMY%t7SSG7Y zXOw^LyY%P83$qx+YZsJUe0t6CowU+bp2*$T{0y%y_TTZ&Osi+kyLCwu-(U5YbXdIk z#P%2BKjkW4F&yF8P~D>QsdQGqON3w51M&IiRL)%9@>Z^i+3wuSpNuhkIe*wQ?6~G7 zEp$5AY_0S9oklO;=l-nDkC8Z#t;Zr)Ym{)yNTED$=Z5AVS<_B;wC~vB9WhBqYwC=h zGRb>+zUl>fzF7O`@xN2{d><La&M~bk>YmYMle3Z`IO_ar*R@J#dmZmJa$l~<ejdLz zd*h{VJEBh=x%>LViYKcj`E~f`e!PBdr@7qu*}m%vS1pLizV27tU3{LYrRYJ$n^O$y zG!9I;CfsRc6C)BiF--X0&(;zK{o8DF_VS)s$`Nv+z4*3Gz?#rRfBiJa1IE=Yp60j9 zuJ8VH=}r6%#yxM19^6^``r?EZ3B@lJIy&pG-}xbRoMV1MTlu2g;GXi!0S(m|8#?tb zEjYB;B;$j;ibZ$#Ijy;~eK+*81<!AtD<Ux~)WR{e+x${OpS4E;U%jt0que6LzW56Z zjy!O9dDLc}r?X<5Q!{6rTQk>bl^q@BmjW92FDG>T&YbYr+T_NO{EUDHCsWP%b+vjf zEI25`c}?JAK!bGVhSu8|21jd6R(vQG+9PMbbRo;_?bA7nYuip|UU*RMQP31Ar>VPx zQMp3LC!$MQqcgNCT64mgRW1gR_9{EV0xz9QYSs_rJ}aekAX#)uk>-pe=VX_*C!fya z(f=58=vbtZin&a?_Jy@;JGcznuJ&(~$X^+7I_igjYK)tX;18u#Lt)*?+ga*-R-Ou3 zHH&L^*)+MnY_a7`=T|FDRFP@dJiYkP%Oy<7f{)ak)MlhC^xm<t?UItvB-J0MyVk`D z*@ie)9_ZPxo@p?@NkZrE?2bt7)E!H@f?{GBV^)hj|6$7&I!mkb{`v)Hx)`<xO!3y6 z<+c2W$kMky+^HWuS~DlAC>?mr;#YLobbH0Gb(bD{?mMH>Jwr@lafIuvg?^s%R9Br< ziSvFCa^>6_Z{Dac@0PK7HcP5~G@o)aVucUO56MRMotGwhzb!teH`jW`1`pLks)sp_ zo;s#<z+3Z@&#f5`Lq(5Oa=%}oVt>$NGt>951vUqT!t7V1Xnf!obzNSmE%rmSvHi}h zx9=_;clL3sY?`Pg<Qr(e=+2e}XA5dWPcIFMSZ%O+=8@kTk9g097s$IhZSL@F%NMYi zoEF19?aFZz@0lDMe$KhHRZ_C}I%E5fwOpo+Vs(ortA2GAQ_qQfa6(dNOK<GCJ$*A` z4o{nzFLuxWL84lY&WD>^lP0zD++S&MI_jOP+5G5VRWr6m#>^MpG3U^X%+w_DmnE#r zW0cnjlrB>J@Qdq|^R`1yjk`JKrgF_++p>1T4>MI8?xeQknnIb%$B#ardT&>5`m)!_ z6@~UP$F;RCJgG2ceS1Ll*;&yiQHp;=9gB6+)MtoP>|lyMW6&EP9&zZFPNJq>ozu(v zGLsi-^M;4d<VZR;(`9c{sX%e3wALAevzPp)?&#LCdE%?)q^q(o;^Cd5!1>9!_YR%c zYT~K<yCGv^m|nrbpGVx+O<jHKYiEq~_8(s!^}5{JFlPZ<ljfdICQ+_Ck&Ks2<$NC1 zd2KyZo@wE~#{2nMae?!<e3tn<HaheyvN>{o#pEfUrv4HV{(5tc^17ln^PmesKZ2#s z`ObNKxkbmt(EB5Ys%Nc|m~P{7ZG$!Pr<P`Kn0X}McH@^SMb6j8({{T3PvD;ye(Tt} z&Ws(i<E}2&E1KKI@c!lTb&C(z+U{AV6d$wy=JDcB6B^Y+ZKF?>db3pU9gW%|$rqFq zapa)3O6sPwAKVU!MV<+J^lM?rNsjtJ!AV=z?tJp2sP)-bPQ};l5q<Fia+^<F5tlqy zUG(x*(wZG2``X?uzOms*(cXJe`{rc0|KL<p-ZM+d{Kc`~94j|Y$xNJiL|x0IyxTp@ zxTgEjjLhp9c5$hv^*+z5OMIE*+p<vGWPfntM4NT3qDD@O52c<FYLnJ{{p87SZJ*6m zzDp&i3(BqF$en5?Qs)q<cX*NXjY7e~)pIqpUwziO#N;gM$Ss!8w?I{Fql>G@>NN+S za2>v_9dISx^4&W}?{|OyZ)2AezVqn0=#5KE>Gn&%6m~9EI`~=3#ck(NQ<0A<{Y4yI zNzba*nTJn25G&HbR&M#Xl`EU;<RXdxQH@sTbPpd0_CDUXYt~yfoBh5I4Al%A4;`PG zX0*zmLt8+wZtj6zl?vO*YYtwUvF>`@RO7QB6P2$0Q8@6RUcfAD_KcY;<sYs7>M6+C z9qb}4zHo)VNcGtrA0AzL?3tGIW_d&UEcU!wq3c095f8Z18cdy*hpm0BXp*P6;md>I zDcg*%2i8trF!N+oZH&jV+h1GH$leT;x;;Pa*RwCGcat~Fmuk7wTe3NVWk=tK>?spo z=l_;cI<v}yMQ5e{j?%BcuB?i-67YH?mt?#?<-w|g2eW!OML+#oBy{Of^pUiK$6~G@ zpQMw<o9Eh)>#u)T?}+EUl***n#uGzknF{$mmU?e&zamoX^OWn|Dz4Yk4UJ#Md!Cr{ z_*w6jS+{wPr2k$Lx%E)#13wp=Ys=3XN+(}e-Fr^{?51<NzdN>_SYv%HeErs?sjDk) zvI)idR0>};Js;!AdDnW!CDobUKX%=YJg(mP(7QOb^NX#LY~sSxHaV4UFW(8uaXxiB zWPGV}9Sg7E&SOhUyZyeZUiaQ5`DklMsBiTAkZW(>{j+-*`}kLq9`o$#@(+qh1y{}r zR7Aw<*Z;5z?BChByneS-xwM$q<3c6<tf_^$ccTt2ojxu9Qi!KT_x-o3oN*Vsb^a|7 zf4XBU``L%<t2>XFbIc0!v<TFR-ty5xaE|g^&bLdahyJyG@jOM?cFUX<9E*1R=nmt0 zXZ2A0`?{+44D$>)_7tiI=p_E$YyH}9;hnALmTid(T6N=kMZ(;owa+V$tciH$Zo&OA zc$Li5y#FP8a=Vuv(Kg%pc&71t`2)Ah82WRWr7D9jEWIFmd-odidk;%fy<R7U7F+oX zUD0@76_mrZE-=$gZ?j9wk;S|HwB=8#9uL2s`lw5qwct-y?5ic6(k8Jx7QfyVed4Xk z$%q|iQVtlp9p#G&%9@pVBxF|E)<n;(R?j29|9bPYouB`~pUn;Rces|EIi^znFI@a- zoB#RVojOOG{GT3wCwn0GJo~@b#f-_N3!WJ5e6Q%Ve9Jo%+5JjeUh)a_y!)IkJpb1o zBfj(NWj_8X=bV4(mj3BSQ(0D>=?Ym>xTo(xi+Qd<aXHrqzou^6**<IE+-+v(JRf77 zDK^VH=8<{}xAFQni>x&^l#4vK-Tx(EhTh&yPP?Cl_dU4P_2BG!nS)WSzm~<b*m>GN z`TUSyUh+V=9OJ&fz6&<zUcA}=qrPQI=<`YLUc0AFNGyDl-gDv1E6ZsQr?Qw9OP<lX zddj$7OgdjJ>d-B%?X3Sf9_qd1Ta%x+=Zbap7vb9<=G;Ayex9x8(zG96^<%G8b|&kb z{OKedU0&{Ey=U8@nD)oP54hxCX7Ag<d;S*ZpDOm72Q!y#d(QaoS9Ja1x$*bgCeLoT z-gIX{&;8y)*JZ)lA17XZQ74spCo=xe=S-hvVXYf2vM(RwpAo*zK*F;3V9Wit2j1tZ zOpkoIF8xPU=~3s~1y2$m?EU|wti$KPq?8qD&Wa!JuX1@RRv+&glRZaiMbH1v`x?o` z(r>C)CpQ1oiYVyqT4Pk-^Wg22pMMSR#JUE5<_`Ys{lklY?o4|#v+nqB7dAF08-KR= z|M1f8bLXtqsjPX&SH4T-P;u?L`yb-BE#4?uaWHL?=Ct374?A~uDaDDbVz2G0d%pPg z$3@u<PZS=Qt!z)bYstH3rL<1)OXk>=oE_<+^WU=Vy!Ke<i1J;7&0ZqubLuX;?&M7i zZQ$lFomW_r$yB|Y@$t5+&!%iC40P=;ZFM=>@nNgpcXOXjWr=ms;?|!_f4$V>jl3-q zz2-;R^uo32mfWp9X-keio7oq>Q{<W{$Mh1%S96Ri0?pq%I&?8lqmc7y-06}|drPD9 zOAhVcbwc{*r%xC4iu}VH<#`^y-&Nr285g{hZ^yxvdN~^|%#XMJKC7?2GwI=_S=oP= zZ}pmf?a$AW^0(hBD?jFMdwgGyxh#%L!`Nh*a`Wk~|Jm2VJ6CrH%v^b0bioSeT^u`t z|3p2XdDNOKVDr9DH7huLUw&<S+*leE^7;MR_UqaIo}J#KA3STD+H~;=+1H<P)z_5l zX%4HL5N&Q6kzzLQlGH^vCO@%~+QTC98VuKe?TA*8+iYB<Q+znPd<Rp!Ziu;Az~pxd zb0!>J@M4Dlj=R&}G(`9Be9sh=dgtTOdDe1wJ?4vXmcN}5J>TMe=U%}no`0L{dSB;N zA27Sp754B+Thv9fnl1jt>rdofpYQ&w<nULc>;E#EqAz@sU%jJ$(ysj1=U(qRR{X<r zZ~mjCu(Cka&eL*x_MAUpJH`L`rWae?Ym&db`)mE7>+Av1Erv#}r)}qWZ?p<go3Oj@ z=kB`7t9&tg<$wIKTQD;!>GNE^lbbTnTGn0WG@IhpW%gY2cXu??)HKd`-37b-e$Lsa zbhq)lKGW^zjOmZcez(u&Ts^1$#%+DInwjcs$A3?CXtjBC>HNHpzv}jChE-a;-uF83 z<P@Ge?COGcbyB+@)g0J$v;WswAw6Yj|DDX0j}J-3Y`O4VY1K`WJJZzKfBAMr+;O>g z;LB-Op4OV`{V{iJ-=&@B|F-(**|@*k>rBthKKn?w@MF^a*LEBEo}NuR^+cuIbM?jx z`OjLE4u=1Bm2b~4x*J;a@Y_`Xw+9|BXWYL_;=x=WiM$`7B0)R!YNPhwvi<)2(Wl3A z&Q_eVe}CZmHpZ6>5BN&?*{yaIrDUXKWv%)#;aP0xLY;^5>$w<>r@02HF52;acc|t0 zI}>+wmMXuw7go98!JPh=3iCWSvn&qnwLE9}T~Pjj_UbIb&BYS+5uq`2=2qKXTEAv_ z){E6I`}gl=E`P%|Z|Cfklr3sTpH3Cm-kNf)-J&?%;&ZP_{i^#<R{DRq|LJ_?Y5npC z@6;L0Z4aI|Zs~bpsa)=Q@7;lGb1P2zJt($jm}jy!s8ce{>eLLgJump=ZwF`<zv#5~ zFMjQm*8SMUb@#Si)#~f4rFZ<uG1nF;%D7qUvsfkW0&D7=cU67mAF}=Lc216F*tT@? zL>-?U`-+wv|G|6j$1m?PCmx3R*4<0qMJY)g`Q=_a>$PCA+z*jG2@AfTGkUxJp2uvF z#^}X`>Pzm1%6$6u$GH4aGeb=K%uw|M=K}lhtYYA{&Q5$6X7lF$!mn%QX2kQwR7*ZM z-_GBz?PGpYde1WVmHm;cU%%@<Yh68+^MB{`59*ixFLO`1_Da%t>#Ub9tUs=@y;^kD zj>+cnr&apFQSwuDSB5=##FAF!a$el%`hzpSrft_?+4$Z^Ztl&_?04*0|MyBO`@h(| zHl$*CONoE+y+Fag*VSTQHg-K>tBifRT+~(ann3K!Cj9{Q9P4QNpkIf&CT&tEn=kR( z{~b?LS4Prp(R+84O?Dr;E4uJ@C)d`0Y5d_lo4!iOumALwLx1=Ds(0;@M<3o&THCE$ z;QXBLQQPOnz0W6e&5E8lVN*%${A*sy=8MY1FQ(kB{i%?5pDSjc=)Na>yU!=p2<p9l z{m^c0)SmEPU!uHICNG*1cJ_Bu{g0c?Kh7}xxoNPlt@Tym*EYs-rVXb}CnwH&+-LVf z=D2)5n^|%}g~0#M3=`g}b52lw7c)UJ>P!9I)%P|{jM;bluk=Ybv%e9Ko=bNYy6-6{ zX?|`dbgk$<^R+vIam~)sH<##qZax&At77Kz{a&>Bq{AjRwx{<W5cgAlCx27<!{*B! zi~VXog-Y+Rows7a(|wGGez<MYekp05k*m_Dsx+zMdZz09N%O7N+B~0DTEAoB9y8rZ zDK`0!IN8k#ehS3TJ*ykHW1`rGBle~@JkCtn7Sq3e`R8ggqe~mPc18xx?0^1QdghE7 z>YlrMj>n$=o+|rMp{`c!!_UnPF0M<q)Gl}K(e?V&z4!0>%Z@y`tp7^8XG`&kXG=X< zH#b`IyS(HM<?HXFz6#nz^FO+G_CVj+b@h{{t-qvs=rc#@@yE(<_w6`lb1<xxOP7gh zKi^ZoIgj76`0sd{{9Sba?tM?@|Mf2u$iKll!|{M^#f?qJx@H$<ePY}Gt$Ug&kKN_- zs_`EuUzGpieVTWf;f~esEjK<s{I}+B!0))2a<;3y2@TVK%Ds8IUiwJ?^2Y9E7yfQ+ zdw8bS+c_?6jlXtS&9b!Rie{IdUET5fSVo%A`<Ihr?<hTFoBv|6($ZLM&C2J_nSWNC zKk`fe5YzvMIoDr3dKq{9?)6QvrMvu7-#MpTzFIo}UA^H#?fci%m%l4MweC;C{5N%l z2V&oUI9dJSpkvLmn+NXOa(A@!er|iM-`;znGHr*9U&xZ;$E%MoyKlGH^JY{3yqg7k zcg?Zb9D7*aw|x1N!s9b_t>xv!<HLPFojLa7+RXO_r#BsyYMyTp^W%;2zNm>D-8vaN z7aQr@ZZ2$=d^b&_CpEKe_PHFJX)2qK?ztN~dEdXh-%l0Ha@p**t<*95_gQPw5&rL) z=MJrXzhia8q8oKt0^;*{Yih!uKHU^%a!2#A$|3oA_fD*r{Isa@&y4RMByY4vZ)cc) z!zX?5nGY9h^HlR{3m>pczeqK-%=i9(*S-JDy8C}0@qSUxS(<j5T}68Kg@`+)r>g&+ z)ZP8z`R<022{zwb0w?|W;$Ax|+p+)DluY5xOFmb#^M8pvX_H%WB>qe1)!F*@uKuq0 z-m&u(bK38mKih7-u$ylYvv}{ugHx4bnal6Ki?_BY7r$3|S*+&i6EPR9L?fvnE2+5B z?3lf;qyHC1%`Q?b__6Hr(Z@N*{<Jy#?J+yLXyI<XuX6Kt{gjbm{Ilok+OP?K3a;1X zo0Oim{Ly^wJ>yz^&a&w*@66I!pOdg_^^95d@1_+OlnDP}>%T24o5zveW)g3g5P5iJ z;tl%;C%4aK-pX939VWh?%fv_CMCI)*#?w*^4gcjq^ONx(b^gQWCmEv?D;VF@R?ZPQ zdh>s?b+*r*N22+%`Q=Ab%hSpvSQc++SP+vKcx7tBd!Ms8n{Dqpm!Fq=up-bf%S6iN zqDya}$l?dDYwsV^?%Lj@u669U+Pz=DZ9=ccUcLTi!HnzQzjM}a2)_S(&F^*h7#OZG z{VBh>>xVsqv%wX654W-i`Gl|@#iK8q6LfzZc4LrVx7qAWTm-xFsa>H;k*4b>-C6(e zMQiDW<Q0|{b&0Z5O1)1`UZ&Z$>h_VA&0^OaBd@-llzZqY_v-^XueU|1_!__cC)C)i z|5f?!^lO3tQkeSogb2LdvrOcHjNh39Pp4mVTzs<h=3iB#AngOqn;4Go<2`7$a}D38 zRNLLVuU|j-(WrRK!Jmwmv!2<!+GLgS<WoV|k{NpHe$IO?8<<qoZQW77aRuLs8`rvD zEtvREs%ig)GB!7Tq0D94u11#g+YhVqOlIAvtm0$nyHuX@LxRRHu2tois?<{dxFww_ z)3joBkI~E7{UC(%h{^If+=mXRPTxEy-}CTYp}i}ntT@xMpZhJ}GLMLpW}5dU6>lhU zZZ<LX)_Cr{@P(G-*0V*|mYq>vC-6+QVirqs)nOU?f={BQ7Yq-3+NsS7@0`}WTs2Jc z{yVSzn#b%z4u!6Xscb#|WQE76o#CghT~*q+yGLe^&gbHs$wv>ZYJRJiyzLTa@!tcQ z-Ak)pl)id(wDiKnSqD!&eJqi&kTHkTE-r?BRo>w!?^g#l`G)Erkn`4X@rk|Img4<X zZE?!#HokPX2i?=JI4W;_!Ldd2rk;`G)srHs?B{<SwYjo&{U^!K0p&Ya@mXE!d!4-Q zlXTFTBr8_d{d{csg<Jhin`f{8mi_Q!How3xqs$F|q6GeYHnqFT5m)OgYf`pl%efCr zSi|?dnPt_nXl?P@*$<s#B3IwflFCfmeN4+W^!5C<+!D7BrlnG;w&}fwzK3&+i&*$} zPpg{Jxadg83BQmt?_Rdu^gYvg!t}nk%L^}lld~b*tVwbUURg$H1?EiFSo+b-aHX<* zYv8d}vwVGSys<Jj@@;*S5ghn+<E)?!3c7+_Z&h9Mw~Nj`&?uBMRb;PkunxBl=Ubih z-JQO?=chlIl=<ZNYs*XQ;Xf|~KK&E2=t;(xd6P2sc4hgicBQ`f(8&_*q8wShCF_ve zb?*DRK_Sa#xW!%N`2N4@n%1GZKkinn?Osebmi5W=K7GBk>O@wEb?%;o#v^YOZNywF ze*dyMk=@o*`(gX0drCQV%>`RIUf)q_H{0)8w2<Mf&_;%{+qthXy-{w}i9T88Y}g=E zyL(Aqn&-u<I&<_33tzle_;T7hW1-5H+Me@k4<y)scx~Nc&JrCsL)M{hxAV67%9Tln zT!qBjx9tmF@#w+?>y>{~nB4AZzC1AJRiKGQ%%p-1kDWJsR#}r!GVSvRUxuGgV-Hm^ zMn~nud>45j;D6LE;A-w<kp~NQuV{WLQo?y{*(7Cqf2MA}ii@!~CMIYw>)&hj?Yq5P zvuawzw#2^cpV_Y5?XB@Ef3E1eC)(n*;vdbf59O<PdXx6t+Y{~OyLyf>S3rG#z{v@} znNNJGR@ta0Gvh$Ikg&7f?r@Ey6S_i8p%rF(<Q>@VsxRqEo&DwDI>wY6wUa8Nb%lia zCe4s2%4Ud^64>l^QH;~><7cZD;eU#I7G}vFausqk&tdxif%*Jj=Hj!mPXaS<Jgc+w zS=P$2+OsClm1k1oC5Dp6br1ay2669NwDt0-e<>mlZ95ZIvUcCt@R8Yxv%RdAVR!oW zh&SIE$~QCeiQ0cYF=c_zNfw#^4O2L8HeFnnA!Vq$!{?ITLI0M+`KP10B4zRpSn+fH zxA>AOaO;8MoA$|?6OXvQDNR`4uCiak<gcQqVro<UuZh0@G`kbmn>lXH@i^I|cT2WQ zPI+PLnq{x<MjX|fA}YRVameka+qQE=qSQ8D>|C(u;B%q*&2PVU6x=<iX76;o)lb;* z_WwPe9c_UYL1G6EJqpU}Sz^=4b=f&HE?MPY??aW-)jat>HS`sF`_3MEQ1Qa&&4pQ( z&uz7omaUu{>3aFWQ-L?ZoToG061fc354m}_Zs2~W)_C;f+YSBtHmRELr(V`Pp?j3g z!tn6RrTsBc>_H!xX3p_D=qqG7x9O=z*(9f<RlM^(k4bF$oqWi>ji=s6tE9xtAl5Cy zK1=zDZ}g1*8OiIn7l&B#d#k+V`Ki|M=hH{47a6&NKX3f9=-zr+-p7s2QBU!vVe(2= z)$Y&i($DPml9UdvKJ@VG{0T{sCPk^ePeh^{75zDMg=~JW4ES+m`3Bq4IS1q9(*66r z&hTyfcG;=1Irp!LSF*`u&KZ8peOj}>+!Q-`>w~!GIo9yD#`r+iReh%}onMe<J~cz{ zTksjffK5}+8Scvcc6jc2j`J+Lv_xXxM_zgTBH`ubr4g|PZzC7FG_B`oe_y+ePwJ+E z=rnJgxvTCvZ`zi4$n895Ez{k<JFPUdmhv5EoU_sY+;dl#o2yzs$%rX58ntJ9llw2@ zcEDGnb5qDBkL!F1ds7dwx8>+7#=2-;bPM8V?w{;%RArZu-F5c*ShcB^Q{+2Ec0@lC z%{W|?7`x!N-f^)7l{YR-3ky>=y3iZ2EH%QZOYE(`n8L!PmJfXj@}u=;ig!EepEQV` zf1rf5A};CpLlM`<MyHN>SDzM;mXrOpr(mDM?=_2=PrTeDk-X~^bK=nhWq&t5-x$2~ ztIFIa{?vInE6=0{ZurQ3XbH=FQ9k`T$1a(3UpH*6a(Ps`VR4*Dmh2lId9zDqU0Gu5 zDg{rARn7G~$gZ>C^y`KRHJr(p?%eU&X^_{hVALGmY8*dl&LPQ{9;cPmw&j(m@s;mU zso_+7|FbCclS`*hLE8OuVkv!7KTKM-;?9j;{mYZwY|kDj7Mi@pg28&fQqHL#_tsxn z>FzD1wm*nHa&g2Zk9aFqmzF3YNed?-`D`s$CVAEgH@GxIk4^ikb0nN&vB-_{{4$%G z)|pS;Y<7;Dx3ec#f9?St9_IY1xo2JCBGf(_KH*=_^_j==f2fakPPK8t>(!ffOQ>^C z^A?i1_0)7>i}T^Q!=D~+$rWi)7V!RYpgaEXH{HXVpM|9qGMx{e6#Ur!;Dp5j){U87 z%iT7-I3Tv3`*)m|*`%T;ulFqf_M*jg{dLF1;=kCP`W|kYXWHf3yj4Em`<87Ba~M~< z4a=q=Ke2sXJ3SsfyKvkw<E?p%-y54k*P7$ze-^v+)G@yiZ<PPnHf4U)Jif4p8lD@Q z=RQxpQuy<=8ozw29fwot|4BX<I&Xh7a{Aq0xT9y+`~5C8ELA=lyBxv-kI1pCn=T=_ z>7BKcqVu-N6Ek<{u^TsCcvG&@|MJycm7aGsoxuyD_iOiXn0(YrTOI8YG5u=u0*!aA zIo0}#syVauCr$DAQ1zbkuF#&()fS~G!L21+$C5Urx+S}>N{!IEspEUzAT~n3=16tK z%2ft2U0$m$#7~R47&2GmnTN0OrVXEeA99tv|GU<QVfmyBZ;m(~+W5YEnfAK?Yte3t z*`bGHr@XLa?+JfUd8NJB|62R;))GVSlG`CB;TITNR!y4JZQbj!hv~3AN8zD~t76Zp z%6F`=zO><8yW#^rp&8-7MP?OsA3jiR|K#iS+uPaFsy@W7?ctU8Y~9%roFegi;uB@p zEeu5>T_5lL^ZD~OMQlF9^U&CfS1gaT+*Y~CP<Xq)btOaLkK>cF&z-xz&}fD9w~AVs zKre&vAe9TO3txn8;xgYWKe>WCa$f|$bd8dX$P2ysC*}!W4$__d!c6;<u!^Al+wY+n z;-|fy?kd{v^Fm8YwZb>Zxl!3pG%x5u|9mewv8h5YH~X@RdFSZuxTdA}x+!|iA-2S} z*=y%!l)cbevn4Kv&&X<~|ITxhz63rfv;Qzd=W$MPokDZ0*1PgG-}4_zI@|Fml+M3+ zvHdaQ%fL;Gb*0(r)m&tKxDVE;C%PVevuyIL>ca<~W@tR+;oSen$1ncgkpp4#y))vj zTAM20=B=*Pt0_GAGx0&{{L6|(-O{pwz4JbP`h05ZadEk;GO}M=-?&Al|Gqdk{tDx{ zlt(vahNVn%+IIFr?&Skd50&jI-V$(0dda>oOE*X@sr$S%WiQMAUtR{&AAHGwa=|s| z#H;NEGnS<7e)#-8mm252htV>PXD4{6-tV5#z!Iou`Agj;I{kst%xDM8mwYO!OD&a; z-*>aQIIHaP{kd(qA7ee{J3P-_B)w2`w$Bmm3BS(;2i{opH<e?XiqRqIHv6x0JJ#lE zMH;P|Ge2qehm|ejn|560SnkL(XVSTa-HL2^U#fUFOMee|H}Qweo7n<6j~$)lpT9me z)4)z9jP2+G<%_eHIOosVFWc|X9kC?N#N$)z?{fR3Rej78#XqQjlRU{%)3fN^lbXj{ zRSr%{DtoN*^qusi3%jNj1RUGSQ8RDNiM!W!y13nyUGQ5)wj{+&?)IF}g)gc~CpFik z+=viQX3x3vWclG8Y%_#hXQ*Zis{L=+u)5_@i9@C8Bc<JUFFv?rHBqDW{uIxd2ckAI zd|5r?iBY<@XHJRE{duL|y59Gy?NyrSwPSa<+7}hZoDlsrRUFk<l09c$oLHzm$wzMS z?E;G;m&woFGu$qUuez{r#dIa#<c_aw?H6vZ)2;a`Fh%3g9gagg=5THgU8ox`t$aRw z#fDim+qd0cd2;S%k85t*%YM#0uj{DgHrcO7WnpoD$kKhkH%pW(nVfg-!jXiq#Mh-x zdmHC*{JBzkD48vsonz4w+wFd5io-lk7JUx(yk=0m;r4mwxeu=7guXJg|88+*u6beP zQ>A_$_UL-W*$*Eu?w|1F=%v-)xZ|$=Oxz}1`>;4rCm=NQc|H52KT~G}#Z8teNXwtH z;p47D#{7vNb{TGqe4w>NmrrI-o=;TsgU1;!oUd$r)0ca0V&J(h@9I@<56Vt%=>Ots zlDYZo6O-iI+fROV5wR%o6WHZ6Ek(L8$7)x|qp2UyUK7ts-IH0Xb9wFB&a~|j#zue2 zYESM|()<)uGG&R!0`vAo{p|~L|3>yYWHCSNU)?rwS&l$6U*PGQD~ru1+*!2P<=u_@ zD!oSkf>{1ZY8_h0^KJEw8##LxF1eX*s(kr{p6T{m8y9x8_skb*{O=oPGEwBGk;(OM z8&pIU`u;C95LFPX(=_L0{8TDuv{onX^jB%lr;1PdUOm|<ee&AVk{#vBnt|$*l=vp; zR>TH9Z;H;*iYYj_%r|rT0XMIgB0fh8cDd?=ZCPD>L&)98(8g|}9z#S)sq$PYIr(g% zM=g&;cVt|akW*iBdiQG89q-bDbiCS@^{R!5eY@df@un%iz43$gLC*<OzX;rIOMLht zzwYVgW5;-w9qF}_*rg$|_j9=60_C*jqLbIuX?WhqnwU_{+B=(@KepihSylI#FCWe( zA293N@GRwl#mw&c2X}B(798o*iP-theOaH2)nu=2%PPv0{)ri}JzTr(K$&E#@r6CC z+wSi0n&)%iiEffZxuU}Je@5E`_HEV`OggkO@qy}!RYxPA?dxy*vCfO_p2KXvs*Sz7 zcj+2RW~vx%csy;7w)1wcZ8sT|nNOJ5J9Q_q_4OP$f6vIxf2EpmLF?g1zXCEE+z%hP z9(dxZ)}if;%YvAXY=3lbSI<&5k9^f2t!RTcdXqIQPlx$PS1tBjp5MAP`bDF<YFzNe zVz<JcIK4w<(cEu^=G=?Yauup85SX9ytb?<dxk{ogndP5_R?%JU1J)8{#zO9%J6JZq zGdpB0K1YHrZTm!-H22qi_t?0Z^tB@L^xYcfGamc!S0c}oNBCq&1Al=3k0lkCb5(^` zt-EzuWVLkAZ7%WH4dy&HuG5Y?a@_Zh*`%8m%D!Ib{i&EV{oBd0<<^Nu4xZyVsCS<) zbmz$#p*l?OJ!^O*H}Ac0Y(n#Pcgr=(x|tTq)oYHg6ROg9pLHar<5g@|fcvhkrkY{` z5>JJ%h`HU+lsjB}_T;o@3v=9etMV#8p5ZN*A-1V7z3<rlb%{YC%cR<P#g8qOuy~N2 z_&{8)a_fYxzjJORv3t%*7Ird7W!F5eyLG{%%oB_E_J~BwHPt>4p0}*TubH>(OT@B> zXpXWCEh}$y&8|38;;2zIwM9w0XrE|P?e4`Q((Q$}=c=@CxOFpt&q@8AN@vkF@6<EJ zVjmJ`I_-SuD;ZR@`P4LD;dkQJ+@FPNA}n&=>+DoKzwx2W%p~!bW|zKB65_L!Z?U@} z$*yf-c2JsI`kmA9jceSS6eYYY7oL>JDs?)h7cp&eiMqwi2H^=W+Qb5ryo<FiTZLTV z3q4-?PDU=IW%<PYQip%-?wT@@wO+n4e#h!5W;?i6y^^}4XlQ*yY&YjozHQ4}Qn}r# z>o;Cr@LN*(EYqL8QXdcAHIR(R^;3Hg%42oabp0#KEeDpnyndr6`EAlM*U3e@lYbvL zHc8SVuiQj@@1#u<FZ*gMD?ffTV5(8MS-L~<qQak&gN2F@EEXkPFLyL~IgM@3%B4Y) z>F57lWz(F#qR8q)Tg2k?YR&wd?dk1@c63!$CG%L_SY`XI;JR7cti|rk4}J#d_FUWI zKEuAJtYQ8!v!*(6K7EeXn<Yoh9-gzE)3{Rodd8QD`?y}N)qUr8WLk4=-^R_t?d^wa zoBw`H-#1UXFL?a}z5RMxhcoVnF3jDmo>rEfedy$yZMM#9gMB5oKbrUN;k={aX-w90 z-h@3YU9J5=|H}erIp(XTo^~@;`o+W4E=i}bYevmHFwa-1*7?NWt0%cr-Zr*9S*Ip< zpK)7p;c2y*4fA;;b-yiiZh3yQ$&mNEnAOxAbF;+Ht1nFB>Acw7X7Rv7&E~i67m+(% ziWX)?(xPndF6s0HrgSZuWne3Eb@oig<qf<4+?aZyGM7~^Z`!7#J6DzW^IST~Tl%83 z#k$Q*{qoF(D??6SSeThvJ2~U$#lKcLcZ8Z5BbIIL&?s0b+<YNEYQsU_l&B!*;KOap z+P9f3kB)xnVK}p)`l1)hTWgV$MRz7|=DG8v;jQqY72NAMnC-n6JPfId@K!R_xqE4n z=h7pIf{UKs{@uO7+lY;MgUF;q3e&4BIcg`lB<t#SUOTfP@$jt6GeeZ-CRp1`-q32b z4X}8-K!j`CGU3BPhugNQ1$RzM;htT+ZOOu>Cc!PzffqdG7Cq%xO6z-)7<uZkp8M2m z23yvLY8ktn?mu{T&E~ypM68wH3NF8~Xp2gZWud^k*V4Zjm&i}^`eS!Vznv@8?$U#W zpSCpWUVZoe;1N&Ov(2Ba#p)dbVjLI69thdyQ71a7K>54epNGq&?;o$Z%KWH<x&1(P z>YjC*9C?qupWx9ocVdw1t!`WHj|U=WGtDdGy)6*i^+xukWR1knG&XBC^)2TNbc_zq zdBAr#Nx^CHgcl;*Wl1yV?L4O_R~PllMTNDd*z9Gt{ejOmuOfrnyM(HbI#_JAdR=R) z@pErDcmDEA?+X4!O8Ho2{#E4N#r$hN|M58m?q95vE<Ab9zajCc9f#-1a~EcISoM7J zypf#Zv~F|3grq~Qhg}VyTnKi2;Tvg`KO-{eMAVm@LsiNxd)f^&yDpeIX&reaa=w#; z{Zyx_{!y#KOWh^D)7CXVoV2F<@mblvW7->vTDF+H{_sbj_2*tg=S$9p`!kO3aNF`P zIe$%Ec0}go^-Ep!lENYl9$r^kZDCTI!thE?Gn`lb<|#45mkRz{)YBrbf8<$z`tY`` ztj!jUA0M2UFy}|2*71I>;$Y)Vch}jw?vc~Hv(UJ9`ip!S-dpqBC*7Q(AJ^FK-s<x7 zxViOq-&6kF{);YItJLQS|5U%g>)yU_ci*&-gy$MnbC@Tzq`S{~b8h3Yg%(onVo9wH zhtrj-7p$7qmbm-n^EFkSPnI9Inp+vPhbg~@&-299D~5GEVJBQxZz+F#B*E15Z>kTE z|K&dguJJ|FH}oD5o$Y%(=%}B8?X;>lYFo_Z>%3<wcPtOMm@+B$p!f0zMo*?4;ty^s zS*@MFGKckjZ3@r9?RWU+p0wDf`mMV$Pa|rfXF_{Rf>jsmCj0K{4O>1QjWt%6{uFid zm6GMHk|Wv$XX_Ma#!Y_W_V~~%>xH@k!SA)RYASRB=1z!dFpV}?k>BKd@k&!%ilJw+ zdC{{S0`vb1xADvQi~lZoG`;cO$Hb54r<)qKJX-$WHN<0M%J<!of(p~8#29bOe)Ly( z*WcswjRmu+tWQ_&xs`pCd1l<&hl}TK4CcGD`=C>9`c0v8JHJ$<R@9^|kSP6j`^X`6 zI}YnKz3;y&W-w*{R=JeU&LaEhpPTyaEmOId#~JaObxjDIofnsQ=tNk_o&>Rg_0M_c zii$=y+lhJG`ug1A+Ym6lW4m3{#+PP|^72>j$sOzSl<#_6RKd}>>%L!?*MVrCo{MdM zPMvdEed25UT%K^nF$ne<^Mwdp$$7G*(4lP6#K})KESB$1cB{AD${T&LV5z*YhhNT} z6+xoB=O_6GRL`)Sxh|sO*lf9N(R+<7Z|hFT+0eA~tmdLE|L3fG5c&M7&dZA4JE6|( zVw>V_?P2AfpZY!N<Kk8aJsa1h`Xbw3b{@_=qVp^G^sydpR_C3^Us&1~dum3f1XXL? z>5JZ3$8|3>bJ<ddb7C&hP8VG?cFkXyF-Ks%Ls^iA_3!&^eHXHR-)HmN;w(1p#09fA z`+4uwOYc}VKZp7I&qhVwJN<@DP4;qIW=(54%4Qc4E1S4iLB_&1=SGz7rPdw0%R&W8 z<<?8H9OwVBWn#i|N5vq8T`yw(nbw*HKRI6bxSlWW?vo3i`4?rQEy^`qR0=~@y<)vD zvn>3j*kQx>3hTUIDqi;3xJ1)zrrY_fi!O`AQ~4}YOod<Czr4fPzAo?5!!BmOc~35e z_S-z#@#ezSp7#w><?lF(g^CZHb>`{#qpcNIKIeRBs(rQSUA3JCT~QZ!jpw9H%JHAU zq4$5I<CYvHcayE{50qRLriSdz5sX^)NHpU0h6y2uBL3X(z4Xuc&dgFH#?5I*8uppD zt*`pzo9esOQ@B><(-sY_YWW{+8b)#N4lfsr?@U^7o_qb@+Z?xZc2^gbZu)pz!uHk4 zv=5tJze&8s8u+NkCO566&~00~Tjutk-p9q=Gz%o+WIGhgDq6UIY|`B~aYMQY^DKw9 zX1?dQKdj2*KUV3*e1_L)xm@4wCvTqJc0Tuhn#mPynezwiyh2J|TAiwR{xjNOD#!fV z8uP0szGsQZFJsKPCYjh96g)T3Z}VB(I<^iM&5s4Kal+RhPgI+`-RQ+?x$IQsKi>+b zW<9d9K3%LCap7mTbiUimhhO5KEA4ssWoBT>^R<1#MS+~Yv-xT+^|<|hrr{d5&DXQV zK5m<!iqWHUnmzVzS&ss%OBCAp7fWQcY&+v#;k9yRO~|GT;d3?o?Yx>3c2*}$Ggs10 z^!Db>4eBVk`ZR#;iN!hr(S?hG7KN?ry7bWC&3(~_ZHE_>y57{d`R4A!;zc1AJg3={ zepp>%vt8+&d})Q7g;vCu#>i)@12-m~K6>R!?8K@UlMhZw{~Q_kj$@wSW+iQw$5N|> zblE?zUY+EVfA!Fo#PX0g9~9WXR=bq9+}At)htYCfw}~@5)0>NHuP?shVbdGcVk~q% zMOVwu<Z<Qv!jLIyGhbeenqlW!tyBG|cw;x8+2gq-TP$tn+&G?)ll-_?`y-c+o|kjb zt)yS=d8?PdUzWrp^-@&f^k=!7pIz&WPjFv<x$wg^E53ifzrGP@-FN7i+{QV1Gc38? zUKw^Mob6Sc`}Vqrv0&a8PlGQ{1HRnv>r!)?AMt1DL79gK)A9@A)jOtFsJ)J|pTU?i z@8CJ^OC`@MjTQ?kA1}R^@u4JR)`}?)4BZ*o>Ovn~Gu*IQ`ao~hjAy*hyw-_%*$Exq z<ZB@=m{q=Mo@zm_vcI!_rPKSJYg7+kP?LysZ7xkOj%O)x5SS&|@WLS=++>Hstdee@ ztk*#yviJC%vS<2<Tx30E=C(OeJ-FzM>(+oT5t6dLX_vpV=kYSnH`w@e$;qSleDB_P zlonASpI@-4_4&Rz#c$i5R#+W1FtIrJaOuL(c>!mhWtA>ItMT=)*}f0+%;eW!F5YrP z)6u|`>HW6Y{f1K`?&)rntrsYMwD(H$r76wd1(KJtL~3?)?n%6P(V)~yJE3~Y&c}QO zZSoN>PA$-S)fp}EFS4&O%f#2b@IOabmDBu90@Y6@6z5!W{%L#T9nWD~i=;yy{uy5U z+itoATQAH%Q#4QL@y90f_Qrn02`78EJd}<9@mkL3*`{@Ay)v0u!8%5v7r9w(RV53! z^mDq3dt~tCeQJ?=(aU)EXsWL_XV{lx3nnTqK2=%s>Yw!VR%Yd2GLn2guZmM6ToR_8 zKGf*_=KycWvN_?r?N2>ZCN>8CHT=ME`In)g)sJhx=l{B>CHi1y`BLozjr@NOEU=8& zlVhCy!q#{4Dc5U_?+x0&MaO;kV6ee`+WCoVqC!k8f*+M^D0ecL(LG)4$Js+XZ%o2J zwSKvo{Lz{Ff?4>FM@`3D8lRu|^yP1QRoE}J&hQ1<vWi!@N=_>m9Bhp}eDc5sWtH{{ zms=mDEe)I}eG?FK6MW}#HnZ%BHS_utvrH##zrCv?>F|QC0<Q(tt&8@re6UcWOm<G% z6oD5jA9&hUxt(zTDJ^>Hf^*H3C(B;^)ev_3u|$Y@U(#W*S=X$C7;<tm!#Oiw2fZk| zq`|pZ+e239!n?W$i{qVcet58p;nRVM6$(9fH$L#T(^gg6#!>Ps@Q`+!j*XYl;m{cq zqZr>!z9IAGv_Q_`#jRE`2M&nNu~p$o6}<gE<D|#(Ecqk%lHN^<V6BSNsM@-w>1=DH zmyPbm(-p7E51C8O*Le8CIHE4$-g}jNTW_syl`#H9SCNO>k<-)Hru<HBsh2x+F|eh$ z|6tjflSyLg6`qftUC2Ambyh}7&+(kpi7&}ZGj=%}TCrO>C4CQ)>shj=Zq1vyn`15( z%=vKiARqU^G;fL0ha&a`2MZ_dei!qGuk$SH^_l8DyB?H%PWiv0_pqv6nJ#A@r<3<{ z&$i96T+wrXI3$%EeD=6CQCy~akBYvSCzsHAhxPof@)JWXUh}>8TElnkfAzzL=D#1m zPpVBn`p~QM;=wrHgLac!UxrLvc1KK|!<uQXOxH9232!!6Dqk&ZI-`4yMUKDyzLn!S zyJP3o{&fng#;=fTlNW59uleweuzOGa<jE~^lJYVKmTR(F{89PBp5Lis(aGN!*?l1Y z{9Vy5yYe6f-5DR(`z2l8;I_PK^Na+iK;`OxjAt!fep#B=eC2#&&#|1fs#2qBddn}d z?fbjba_fwu%Kj$pbvu4C$Yzd~S=d|Uog#nUrJP*4$uB(A;^n!FOWYZ3_s@U*lCUv& z(VLV7AE$6wO9m|V{Oot|;uL$kaJO5To`-`2Z$(|&a8q7+PKKxRqy9I0w(7s-`<)yz zXA-A{nU{QA!)mAK#%(WFchCD0{r!qY^h*&HzuphFbD36iz1<RA_(0^u>e<S<TUCrc zWKD5Wc~=wFqV6G6Dy5dJG$DPL$`Z}LZZofmRcOi7nKL|ox<>ZD3XidD2jB0>Q?g2? z9Ax_=E3}<uz93(`V)jMeTAq3Lmm2%Tq%|&AU8zty`RAdH3Z{nhd3XNYDmw4!s=M)B z)!er~>~LP=_Bv>dUitNkv^$kgV>zCmu9DIJc8Q;-VxNt``UB0MUl#mPe|S1_d2*zq z{p|D#+1B6w(~YiP|Fc*9vf3tnwnJ6v9XbUY9xC3LVqDPm&^+Afif7F&*H5iCE~|<f z{VQSl_fK+9b>WnYlgw|Njd-IZbw@z;&<5l1csKiCFZpH9_>GRRdvpuD_PDT9@LtD` z=RSJ`AF_oj&HNhs!_Pv0=DA;Mh52+C-&cisNJ<5)dafhqTfTY5I_tx#f<NA795r~W z7RVjX;UsS*5Pdc=_~w<Hh6@%M?by$pb!5}6>Zw99f)0MR(&1GF+a<-fes-OmY3MWi zNx{u8%Qi0+{ipEr^Q$Mi=MJ8`=@~XHTlV>bQ`1}Hr({Vb&)UV9%9*)*eqi(Ea|gv* ziXTqSHqMRE*?g1BNnJ-^m&KQK&RNfF_`XF*{5aMmVR!lXwwJHZAC0;+sbszTvGYe) zX&qXu>3nIg@6YHZ-48B4_j;KkeEM2X)0F<{;p+A!c|0E+A}_l*Z{MBJDm=%}qulRh zyS{8f$<2_QKUr^<J%8Ax8E@=0@Ay%}Ll@q1p0(6x>kTp2Qw+MfS(Z&a^7r|e#_pV- z>1Qmp%#UB-lzCHd;lj*$7mmi=%Urf7`opp_hZcN^-ywSC;DW#ZA62wVq})~LJt>%1 z(3KRmXS((Ii%N+bKkrQAynX55hg$DFC8w=UdOo)n`{;N3$H@g&H8wTd^lNVZw3u@s ziRt^p^C6pe2mGD3ntN}$;jf#lTNHLaO=;)eAhYwJncK9WGPTqR4at9Wd_LZ<so|-W zn0fbCw2?`#+T6fxktN}=3R6!jRL<sJJ;^;rV>@4n&HQBU8(t2V7RJbV?$lqA_a-Ak z@`1dy)U&@=-`}`#u^^7YW%`TYwtm;i_x@@>JHfNuR5Jg|1f4b2g46Q#&0Z>9oMo_X zG1G}Z-AtN~eib}+ope6t>x50~zL~ytf3xz-%$*y5O#N=I-_@A-u=3c(Rc<eKuHUsk zkmGR0T-I&>q<_6Bi(a|^s6)###fuweEz>9yKmAllSp2}#x(8u;m(Se_QTt}|_)~&( ztdH%-gxzs6%qA9&S9i~RqH#>M;ql)CmN9ILS-d5t{)^N4Ti0luDB9{T(fIG(o|Y=d zdg<u~uPvw6Hhy(+D{lH;(d@rTVb&t<<L`eTx?h;PLTWe9jjV;)U2W;V4;|O(aZKKq zVLsKX;M^gJ5A&1tOY8lf+FRc|cPR7TgI1dv`nNu;{8D`(HAY|j_AjGl2Ju@qPKhY! zmEZB+D5rA{dudn_$86P&tGC`(TDR$Xh?mY{H~pk2)+F``_pOs&Jo?#vf#ZEd-s3&V zyqj0t_~G{J6T>Gt_ix5GZZyx>@b2lE6)d^u6{n}~ke^Xe$s_f#IZ|!o8pTTAjh>T* zO?+PLv~javF6!4CAK1Ig-z3!Z3+IydjDmDt8}0QRyKaP@d*0n;=e2eD=DRz5*YoTU zdT_Jw;{luBx_yrBN>5Y1>+o#jIn3j)_F&1;iSv3c76zYfkygsJklC%<`RUNZ8}*#q zCi&@o@OkO<x4^B#K=qGt*9W&5MmE2)w4`dk*wuy}ke_)cd~VIT&>I)|<{q?TseByD z7(4f`aKY}7iwfWDLp!Y71dq>3F)MKo>iaKmcj@`=isr{}+>I_WTn}4l`|iRb?tP23 zE;pq6C#+t*bLlKqH9nn-4DMGCm7KhK&}q8zmSugRI?~hPWIe)W%IsgJRe3+#;QytQ zKBwXz<SY$7{QUpfx*5_}6a(|udBwW%b{sdI%o*`IY7Uc1+b1{EnGJ3(Onee|UD$u$ zYWUw%pms_ns{N9i@yv$V>v)$5=gY)#y?QW3cRhE;^C?$K9ZM}uUvDutZfX+x`efCW z{q8H|d^c##{!p@Gi*w#Cy9dUz%1%sPx7_lTzi*9o)Z*IDhK`kve>O=Kc1zF5s&Q)y z{jt~bkdtv9$Fi9#{(p8_vF2lxh||lIt^Y4dGfm7j_Sqb>>G*5a^c{agCv^HfD{bZ9 z`EA!k%RcST?RO+fCY6i&3CYzbA6U`<crBylwcVWa=RdnI>8G|p^6;NMjz)~Xd>!?R zj%0r36&AZA^5LNK{*TXIeMxn+vbXz~tCD&;y5P_3oW#%TrbTa<_31!}SCXyb3Xg~T z{dcG?%AB${w;?(^x%#hIRSKJJ?q#0t83r%H>^Hq!d&4!|$izH4pLf~fJGE!`n6k0_ zP7BrNc^OiA^i96h)qLS^CSN#1S59A)7rNcJfcyW|No~h3{Oa*LeBpuk_J<Rl<`?yt z$-T|oshe?Q;n}rL*6dTuG{de>SToaCZPtzE>E`#uni+N|@%%ZX-oLtYRon>!+uQfw zU3{$Sx6V!Ho}PM1Vqesvoi08<F24=(oWf~+U(oSKX~Ti(j`}@HcWT;0W*dEYB+Hmy zvDx;8-+o`e2qD*s4}Lk)H=379R=oC$VOl(Y)z(>VQ$u-^cbtnYQ4G4Onp44H=6Xm( zd-bZfN80Wge3-IZ#aQq4)bfwJTxJJbeeqCx@G{`b-Q5KfJhtq~m6&DfV#uu;vo=#I zQ%r4o&W+daBwU32SGnzZlc#evuu9%pOSjX@PdUx#;*?<SBby#h-d%a})5F<!l+&-u z_`Y4T{BQ@S^ZSXLywv`vb`>19J>z!S;^-?cwFjK>KekpUO;Dcu^w5Nu^-0fWyWQHx zS+HLG%;iYYocGOzzsq*;XI~aM-Q*lICF7^sKM%z(RRPB;cd<*ay}_mP&0+Fw_dY3p z{z`+GRqa#dG8RpG8&t|v`rUHf;?z2(f<5z;Yd2&|bgq&TcRRRK_spk&TRlp1udQU8 zY<<>wZju!1syt7IWxM3Q9$5Ul)MtPBABEJvKkc7H_$-Pl|CsRh@6o_j^P0`<y(&fR zt0rU}oG4fD>P)lo%b71l{v2iRm$BTM8)L<~{pUx{;A6cl&;P!-()nQ~zjxZD4JZE= z@hm$x$D+>5+T`~E&EQ)JP6FP`6Q=Rcwc5A$t%AkDcC~iX!$<Ab)Sf!AGPmIS--xS` z2ah!^c=b)?o2<tN-Nx{$c=#T53W3mPFGHnD8BJ;V2_P9y67f}Fn3pW1$3)7p~C zU*)qoly{ror?u`r%X(@&%+!MUs!zxYY!SZX>+^zN0d%!g=l!T%cUB87oGbrL!cjxG zp@L_+tDs$_M6tR`Zu|es?gy+`-Tz;HEOqqU|K)GkTf^8E>N5$HzkT8U=h2*kcgiL! ze|Wr-@_+Hp{epF%QdLLi`t*b6<)==yd||ing=7Dtii@?9cMmMMaAJ;!+5>;NL~%X+ zsk%EqXnNan9{EthHLYdC^5BAB$&R`&MgIIX>=*O7oWdmc&7ip8(&J5Re9z?gPb?2E zh-omL+ce+y;dKAy3Afd4KJD42BD`UJd-Q|#E)U{cw7ixl%vMj`92028y4~%8zx~UP zE7oeaS8hIa`0T-l{wG&i{+PX->C;P*GkhDC1s9}C{ODm<S(Z7&;*7f56?@&;lQlms zw>A5CjBEAhWZ%Unma11SagG$@J@e_r<(d~)1@7Oqb+fbZo158?zba^ZC0n`roz2hJ zN-SyGVE^w;L0Y5aiNuI20y%}pHj4l8{}5DBR`f_nU$9$axy{R^7FWLp>%S@Coo(y= zI=egMt?Z?^^N%zvdV&(1It3O^UKt?qR84J)XS>H<pQTQo(l+gUYO|b|OgjH?jebv& zh485sj<buM5~r-58PIXYI7F?*AVbn>I?uv4n|RVsDYj^aEHQ0KTI?b5kV(r($K{Ef z2&Zz*1|2rlqwl1ec5DAw>>2#*%j5LS`pq_7i*)-Pl|Qky{@Wr`%~sA9uq||L=9H}M zmoNX;*PH%KH15)~^X`)-ISSdSG8Zd-xIBOQbA>ZJb{fpr+c_j|=G}PJ+K}6&9)G~b z`(aSyjSVw@G3~qg?&z-xhDzt2S7)fMjR`1Sow+13#6<etWZmBx`JoHf{#9DG>Ap&7 z6_@JDcfN~*<EI2WZ%Vvrx#OR!<}<rnpR~4|Um=*^bNuDcGFyJ-_(>s`jy!MKr*Y`d z^g}i>OEvGZ<V|UnVV8XQI^&X<etVWlPhOH=mNft3xM?*dTX#L#ylV1#{;PJoX84+# zAMQ@vH0Rs#aHlgxx9-Pt7FU)S-kz1wrmn;?aa)4xj-Vy6`<0wBtuFHDyKyMJeH4Cp zs?yta;fJU6Dc5c=^5NpN-Yd3<kF$FJGu`8UaSz^1kAG?Pb*n+K(BZR*r&ND!XTGq# z-uBD^#w^dnhckZfm3y?y`A@a!qvnNG>d!xmZG3pxz|-$`VQPn`-|h6&8JmMYyqV7V z)4<Vh_inDYPYagTs;;P3J|e2p)1y?fNATH$kfq{%T*8irasyk^50{ooS|%ObzA&h# z?8SbkJI}V<t8cZuanIFct^7HD;U`<Jue$KyY{1ei!LmBzBcduLZi0OrS4#sXiacDO zXf)-Mm&()C0i~BiZPULT>dSAfIG%Y_Ys=(&hvo^|?>!%8{czIuq#riheoyRRmuz0& zUBJ#HAN)<@RbT$%Zy_Q-8@6Zizj<35bG3M7LAJ=xB$2))cCCjhcnX>V4+IOo-!IFo zx#PU`ya!LpFZl0jozZB+#*^LQ>hEk;s%fQHc<BB8gNwE5zSKKiWWU$Rc<-a+#`HTI z<|I#j9}uQ4QZ0Vfa-r|NqtAjr_|=-TDSvYjeYbkMsK$Qp>j9;EmK^od+<vpR;MwjS zb+4G$yM;ZGPST#c_e+k8a6DuEx4RN8!T)~6i9Efgpz3YH%eGg3!h#=R?~_Zc(tf-N zkXpFK;MAi9W`~osUG!Zh+tts17CYlmuKj_>ZA<+9SYI99C%@zTGk(p7>;|)So;w$p ztlh-T>idn6?_5KS@YG8ZzqTCu)3B%gPiX0<Yx0jWUm3jJ@V>q7_>QC<29t_Tx4)?p zuxkk4bXM}J%>PHuXJ!Q4)=7J8&-LS&{a2n}?sfNNPBl-Qa@{^@-$mz16<ZbUwjV0D z|G2tfcI_L#?S=l^j!MhCWVvf-Q2n*r_xQr?RzKhL8?IUtw0Ld0-M8KT_QDU3BtG|F zHOakR$V2>$WT^7FcLACU4jec56}+ES-r26_pjiQ1xvR;`M*m2m&EKS#8-I{%pCi<m zx%;G+4`2Q{aZkbindc*(miGwH>sG4S)Ad~1cE7E~yz4wi{gxM8blHAch+$^^^;0wd zn$Me`+?Bw`w&&b5pLt^9|8Bp}yQcAa+vJJ-q3Zi(uiWwdwKni??g|#OpJ&#`Ec09I zHTSP%fA)*ziz6QKEZZ(1_2Aak2eE=1P6Z$9EIR$XZh0-w+xhIq@#=e%Pgi|=Cmr=* zJ!56(k2KRqx8gr&BnKYu`Tjq3X_3MI+YIdM*B$VT|M56%3s()#-XGjAo#PYVelbdU zka_TB&>6PcPTTh1oaYq|KhS?!d%%O$IIwa0sqAwbJqp+Fy0ARr#mTo1&w0<fBazL> zbbeQn*54-#vMdiAn=4r3{!e=6Fnx1HV_I+K)qP2eMYCA_erU1vvR-|sRc@Br`)EQ? zc_K&g<Q=^KzQ!L-U=aKCwLwR{?ksnIu*a-f1&Wtq7iKoxW`7d#(R5nQ9<N=$8T`x- zbmZ^Y%V@cFmgV{XZSvOXbEEg%HS^kSenh*VT;ySEennRI#e3%WA1pa+>$oUFWp37@ z;|_(7cAegC`0`Cxe?^k%qowyhZM3;|ipNIf^SMntdozP}-so)4-Z%Me@TtaKp?Xsb z%udY<N;tgXO8F%1&>wv(CcdA<Ape#j<4Pyzf1Y2i!JYF&)i-D<=mr}H=uhhXr4_uq zJy3mm4!4*~(7M*DomZk)Me0Sm$S;y)-JD~(aq$`L1#(i>Q5(;favL33Q1u~sH{Yu7 zSB&@Xk~yiJdUn}+Jz29At5Rov-E%AQ{rYpKrsb~qyFUBbo!qsrHYP1T^D;ZMmp}Q} zF0o~@uUFlEe0l%3U8~qEukX)(bjvI(R{W*nEsMi1wmp~-VOw%$f|OUioU*jex^?}Z z_CzqBkNO(=H);0sie80S?Z*$VN@n((-3{?7NL|}=J}h0Oc2#e)P(=RQRfUB=qgH>u z_+3~>V_htNq@8PCa{a?60&mu5hwJ+&9rC}PAl`mW`rn!?n-{BjDpvnFFh6v=54Y$U z=iIhsFIv?O>+rlhT<z!lLRS6HpYE)P4L7a62Fz97>}UI6q3<<SnLU1`yDxjp*X25w zxgxfQ=g-ocr)~a<hc14XI`4aT)q=Sd?D6ec4c<)`eEFX6?3(o=-9Y-$JC3Ga6{!cO zXIYj<ir>!u^|9t+Tz}klxj#2_PR;mY_C{T4F@JQ^jEJp8VTYDq;8p%nb+@We>Ad^x z%)J@6_PxI9oE#WfYI7_7pyKPR%^bOV_Q~8ztnCT%?*4GFUZ>#EuLzD+27mgrbSx)( zmA9UI{^4wh|0zku$IeVF_dB9GE4ro`&Z%0zmvL6{ez$uY9Bp?bC)?HJExP~n%<PsM zKX&HC@Oh}+xmXmuEg@yM$F#cNCz`X}($x(E&*?jTayid-!D-s7gXfR`VCA|wX_9K% zioZ_RUKxe%ovkM+@v|o2+NRz~cU`M^gHN-rT<8_L*Zty^n3HCInOs$`Pc|-*?tk<n zGi<qJ!QE3@wl4ZCN!h8pJ@njjT(2@^O%Qn{#%et^XZxb`&Qxi&{{^9Ym}cjTOe)Tq zThcV~?Xu1GmesqS8EpQ@^(7~S-!IPicENo$#*CY4B@3Qhdq2~%={@VZt(mqR`l9bz z6b}YB=rFxpxMk1QC5duu(L(1~-<~#@;bD2O-JG|x`91R@)uc!1$2;;a>%Tj$z2SM< z*9S9B95`~;spP@3wb65Gxh9(J3|CLP(;MYD<=qE=o~YPV>+~<1GG`{dQ}XJYv13NI zu)S`+M48Ch!0U3~_F8nGNsIq~D9`cVh3RY4cI&z=^0q$2@5TA^kVIT`zktRhfx7N9 z_txtsi1UAVu;qrP(UyQdt>?>cTv88w;VpYL@>43Wz20=`8QHTIb@A)8&2paK@iI#* zA!=$$ea348-<KtkE2MsX-IrO>>!l+1dbv(i<{XV*T|DWX;;zO$Y){W5>by-UQ~0@| zc6Q#3yGQ0MC=oi_Rj$H(Y3|b+)8BKS?s+ZM%kKTRf_2{wp=#;v#R+i-`J%5r?&h;G z5pq5k-P@k5V0-1QT2a<{m!%8R9zI#?!u_C0zrAsFK<kgVRk=dngt%>`_I$p!I#M>X zUNI}FY)V$NvhN~orS_6b>HG&K>CRTW$o@!c<`Q?)Q(-GMRj{(<J6FG6c({#MT1{%} zxuR{eZ(P{N`)$s{EtONA)ki<`a&h%4zvN$EzvXO}nn17Z-a3V#B_7>MthH{ca-r`R z=A_;Y`m`jo%Q5_4;e{zj;u217Tl1nNvvInGp^w<L`+fZacXKvhX<GHX_n=auE_lrp zXJK57_8*0pt+x{&-}gFg)|W0f<<Lo%J)2`~@4j8U)nI1B{QUEW&nYZt>b-s4xm`ki z=Y5A(=I!xk=PY#HuB>VI_w}o;@CR`w!fws|6DBQ_pOe12-EVdC*{zwqi;ns}N%lN> zbfRpwaowSvx^j=wau;zww%|M#cE<E(ywc>)m4P?Xm6+}axwW7Cz4OUO>jYa5>-A#% znzw)YOrCUhlF+`l0SX02_`V*H*XI*jzuK)vDD?ODi9bD;J6d}&7ge!jEecvBe8oj2 zb&*fuvD21i%U*oc+VWjFqvFr=@7A7m9##{}3R!slf4tdSyhG*^-`$zpuJ16va=LEU z;u@*oc`Vc4oXOJB<(%@{@kyHZI^KZ&+|Tl+dMeJ|S@z~owtg<(ji}7ldpTTn3JrTh zN)Bdu+idi%J)%Bo#<BH>O)q6G|Lq~Nq>T6LmE%`8X4{(l+VC&dP&0$2)Kz+t?$p^m zLbact=Xn+8m42H2$L~TdOXBCd1($A~npf|kmhdC*j<C<Kl%#?=Z&TBx=9C?i>UijL z<%ZMp<tJHUwo9M(G|O^uzpPnt@Z!OM^2KU;d6zF6pW)r`_}!hkjF#%}`le3xf3k4L z?kgn~-*kR3C%E=$Ev;b9bBYvMny=l>K64?X@bZ~v9xp}SbU6OgWZLC)^QJ(etIU&x zzv6kzdnWXyp5c)DDxt?YQ$_x``|_U;!ZQy4jN^1)A#3b(fXl>m)0K4x<uZEL)Gu^< zAi~qC(YjpvwPFVMwR_4U_wx8PT`N|f`aZSm=?-;e8+XA7qm4dgvorKgn9DpCwW#J7 z+q<e}Lka&GQ@Qou4KEyGe$Bs3R;l~rgM*V+C(gV0ak;hcqUQDr);jwc(@(hV+SDIj z{rB~s=DX=nGo%eH<Q~k4*|e6eBKz#hth<w|m%iFo9I{ZEMf+_efA5~tS&Q^PT()F7 zbN}+&lPMLI7Joj@yYZsp%9bUG8I%3py`@em-thEge3`;D?U&_b&WQRB|70UZYrc=u z82iO_j4oSp?|!4OE;)(!_};a`i(l+`$Z75xm|FVg+|&J*t5@BQSdq~Etm*=<tM&tx z&fbb+m&z_1Ck7W;8o!gx>oOFOK41CcBM*~yT@&y8wCCC?LJ|?%4!SB|o4~C%`Nl^# zmO{=IOwG~%-v8W_#QRHT{edq>W_dSNu<EV#oV!#k!t8{1xYf(AJ~|@54EZuUax!+l z;xQ?oyX}<6HKC3FTh!yPJ?osg^yt;#KgH9Q@5x#@>rqJaGU=>KN9L_eohv%qxMP*e z+KOj$nx2K&`p4*$^8F6bT3ubccI%O+$G<#SeXUBMI9gg-cb6^8?WNg;y4qaH;(eBn zL=E<@e<1p@Dx;)!;j0G=XHA*9X^mRtq*-AsW&s`H3F{Ybby?2ldYo0%vh{G#^9z@> z!!v&AR!&;n9^-QJg_74v_DEH&*PTw9OU#})TXoD-bq#l}Fbz##{a9{oSLB9AvVSIS ztYDQp!7}OD|B9Y-(qbJGukiYdriN{u>SvX5fOT&L>%IT`ns&c`s=3=m^J1&*jr#Rj z^E^)aIC&YoJA6iQ*+Xs3U5Tlp|E2bbiia-Gm@2ZS^EL1Pc$2!Ix5s`)xP<V#26HPO zz4Pk0E#p1O6WW(lH9zf2>_5JGwZ+=sXFFyIKXCv2aOSL<6JCZIX;X8=ryV<3ef?40 zij45Ji~IyjdhdPPt7^aAb5h4G_X1b9CslTn79~f^omjX>Ykkw@t2PVIOb|QaZT8p2 zWe<~fU95N4zxBH;^5?&QoV17O^uF~E)P7$}x~Orx&}^MZ=bBeCUEvR2m@azyZ`PI< zZu+Kk{2spha3bo?_YRqW$sL{<&t>zEL?-TG60g-?nX3Hqo>6qsk3DLlS9JEZ^-i{v zI{qzi>k&hZ@=qE&qqPnmn)OBG!))G~1KMxJ&+D8OF%_A8eeLb6kE_CRH{V(^aYfVZ zq{qdz1(*0Y2A=TRywXW*yV_&Xj^2NadF`uhezdPRa&OKqx6>YbnEclS=34ixRNXag z?Tw(_?%$_;EVlb$x9Q57Huw6E{rO3cHwL|2aL@PM9M?_XLMEA>k>Qb#_Ey;~^7=qf zpWBq{FE{U7e&^DxCth7Q&(7k%m^RBrxy!Xk@v-ggWzq|7oVpYhe{20TkG~Ge=dYxw z<W%fDz9Z@m_vACzRU(Zz<+cV`n>x84*m3zsE$jZZo&mRXmnUaT%#L03RQu5jyST<r zKcp7FH(AQOx@LpgRK<NcyX$rLwQ%_Tk84tj{W2-2K-`3-M|gtHzIgRz7OJkxvzIk_ z2A^R%9og7Ab=qJ4A9fRkt^Nz!)dfuM-xbnj;K=sHQ02JatN`z<HJsk7vo<{`4?Lo| z%dB%wfB1tbom*XAu+NSRojmhJ$zg4SgO(kB_69OX{=Pe=UGR2E)T5uuRuksMbTcnK zF}Kq%u<EAe!U|Tg{~T7YmtA?1exfS;hE&v%50`t)yFPop-8SiMnsR3aYu?}ZZTr9H zXLZ`kO?e#sdC@6Xmu=U!1ex@H+v21+`@vD|4TrDHUl445<w?lejo($`_An*i%I^I1 z{c2sI@2&1d=X_OMWqP7Na@BlgPBFfm)j2i){L>}xl}plnol1<S<hh?Z{cOjB$2mz` zWg@zdGryi0JbB(Elb=(qjkoXY41e&}blJ+U3!)yiNpqW+x*R>@$=zoBvhZsKtJvDW z-1+h??k;x^OWfhfv9x`#$@q|~ec?>MbDq02J_g@mNL*f3z?^dI;Q#$cSNxn%RiMN% znV-|e{}ylIXTI~jk4`S)nzMZuQ~wo}2buA%p6l*49Mir~n4$ZxKK`xw^(A{VM3+3& zxt%W@_#<vxYsI+}v%0Px4dZ$wYO#FrG>-r7u~Ib=x_Yilm~GutOINGr9C;)fu|sWY zZPkqJQ#YNmT+A^0+=`>|&Zoa>L@hXZ$unQ#O=s*LrtrV)IXT~Mn~L^Vu=+($J{7mh z^X8eREonWS(`H0^w=gAb&Jx<}rE6krd;4yfrXZVIbHagFW$o7=y_vPGDy=sxyW_z5 zDYujYnpb_B6(ZUA)zA5KYyS$Sm4Bc0=RIsVH)|4edh?eBefejWPQKS9(Vv`ISK+ID z$1J+Ly)stn@59Oua;~#BKiqozgr^PP#hQzswq!2~7y4VYhbjKQ%U>(waPO+hB#HG0 z_V1g1rHrL|)`6?bYX!u*_qeTFGjUFm_65(Zk7uWBTX80?N?Jdzadv&fn{?BYQISWL z!eVrq0vuB8@5!2;kum(K<?L-0*O>fyt4n8ARP0s#=Z2-}7Ka0?j>_#j+daD~b_su7 z=mPcKg?$tK9Cs<a<+Qxs_A>k!pYD}M%QYS<-CDh|<w;LQOM#|#SgK9!gxx(x%U-L$ zx^ddna+>+nMd{Nw%vMrjwVFET^7JFxAELKTyAdS1D0VAbK-JpSTUJc@;ia-yeTvVS z3F)i9lwJ4REW@9b@UQpu8UN#|!WX2Q=ISIfPTn56be}=D-3o&RkEKi6E#8IjNp08c z^5WleIr*8WMOrEAx>D97$th08djjX~+4k(m^@#_QzuxIqeE6Ymmie`-N<oF83DZiS zo;h(wHmIaVqT}-ghICW@x|P4bhCROLKK*>eg*A<m>)6VcnAw@<oa+7V;kxtq>h>EI zou{rezh{)NdcFR!XvI_ai&j?(l5S5dD83XccEYVsrNgaj;*q@2bY4gAs3YoY_CCG+ zuwvz8Gntadzgy?e^Ike>vR7W8RqLaA)y`w*{$>9B{3fSrGgtMqX(lyh(XVD!i(Z}Q zC35;gqIdA2&P*w_-xel46F60U1HT%2wBA>G7nk>>{QC#tZr`klH)7rR{JNebNScPJ zM)BpD&3$rc8Pk5wp7OFg%gcYvwR4((bMM7fbIX^uPMseomwYJU^E5tby;l};MMu7; zr>;wjHVd5kb?dE2bDiSbIn{c%{;b%PQ>XAQJFNS6==9yqad%2DOPIYWT=Pq_*T~gx zIrCzUHt&R;GV`UE&uq9Xt<$`N=`2IE;n7dVtuKD^+Wa@3)B03VK6S!vO|BE^?=%mH zF|1UzI=6Vi_bs-qHv+5oIQGSV>IqM9TtAuZ|MoxgZha5_`uqRJ@~002LnHq&tUTq` zk{Nw`+3vSBYn_w#nRp1C;Q2D!aav=Vz^tSH3}n-_7EZbnu+l3$G=oW2Lh>R%hu-|# z90wVvAM|$&IL<4w$l}b7q$wOsfsST3Q@A%--`{!L`g?AupqskTKZX0h=iaydKBxHn z-uL&y-`|z)nxOXJqnUl~jJV5cXWtp0O*5-L@8F%^nDO(h;iLyL3nb48&Uz%X(DIyM z_N3yF^F@6hH_WzQ!LodN?(g0ICG&$nITzN=mvl0${H*zRbBRd4c9O!`$*Ps&$Njy3 zv@h*lo8-LqN^D5Pn+3wZRFr)du*iH^aIEdh)mPtn+Pf+)Ze69Zt82SXcveo^78}j4 z6D8j)u9Y<W_EK_R8CU*U1>b~2zbDl1W&YnabCaK3>FJ*6EvYQi*T0&*;ZuI#vO2YD zpM!D>4}PvtEKRmP%;q9;#Ll{*`CC?sWYzAV8P^u8di~nclCgeTgy>F9&z^5Nosqw{ z9r=1sH}Ky6vx!^3=Wa{z&XWGBkvr|I@-OL?9`ZI-Gwy!5xz5SFzT4<?+Qcn#F@haD zoJSvMa`heAoN--QsQ;Ld?ax0m<m<QHj!WF57AM8gzIB7PkGD$aB$r77zABv;T_#ER zs&qyQdp@dC^1L$3qjLN0LwYMsCW?Ife}8fD_TLKrx2#RXx?L9D&SC0JKEB)GVb1Kw zJ6NvmdDigEsM&*iQ}(SIo&~wb8EzHuY}h8n{8cS^!q&Y^<y$;A*B344|1`tdc~vsw zHcLNy*4{2RAyEUj*#CACb8IvNm=jwcR7yW~y4+ObS#^ExHi-?Ta_{y9i*Ehm+U=6< z6YCZgDt_VFRn;wXOF453Vv}+!V^=M2(0jZy;K29rqg#ER&H3iA!6C-(dk|lXrS1&- z^|pLB4CU5*c8oJQ=XXO^bgoECx}e4@btTsP>o*^Tgw4EgtgdWruUN+fLni%~CmODo z*c`~V;(D-k>wD#8-z!`y?DsoL%#P;%{d~J-vG~1Kf2G!+8>H6X_~!qtdBxj~uRG&> z9$DXc+ibH_ch(Kn+yWD^)$6=^IzmFb4?n85-r(jUlp}9h7q8*gcI(AT6%o!OSyj_o zPPMzKwK56rc$`;c(Bix6Oz^M1$*&4DCUQK!wr$1V?DkJmir?j`ze+tkR-LnUP1&=< z=3Go0ci7n$PRQcSo<8}@R_;ur+e=Nhz73l7qHLw<+qXP>g>O9F<2@nz{<rGe%}3>T zFBMp$_x-0r|GT-S8WTGl^|ep!<7+nL;4U+WQ9G~HF7YUa^U#~^%!O>fop*J-t_);v zf50s%UHT^N-)E^UFFw2|Y|fKmwBHvm+52_l(b~qoUal!&ElQ3O%MU;LQWBQ5YH^3` zx9uL{ynSI|N1F=oOrJP4W_|a^E2VAkw?6iXy~ez)hsU+Lbx}^R@2+V|E3U=*&M65N zUUK)cOXhZyz+1AuS8nn8cHaBzGpF3zaMJT@hwN>C9R6PT!r4AV{^W<q|M}A!CU2HI zHlOXRBKK|sox}xFCXw%-JYV-;+-pr!fDL1H;Dc?U1=Cq~{9a?VW-oi(r^${7A`-Zb z<XpXvGnK9FW$KvluYdZM=Z{U_ey;GXwvLPbZJAWX?|$Ug_Walz)7G3_xjoWbPJGtx zrD0EQeXl&bw)GRY_P#Ci*6SbHyo7DH*=43%cUZ1U-96%YdA5QtgYeSKgheKjK38T5 zEpzeb5#GM+_|*OzOnH;)73}5(+C4h2_C}WVPLTe|Z3ic8i)dRGdX!D?SgOCP!)5+@ zhb!|o8!#7M>9_wA;cc0d#<M;nY-!XhfA1CgE2mYZb^enM)A}ZRAxmigouxq={sd+v zX>;iXvUYQIuQhdCy!?y7R4bG7`tp<A9_F{EonIlayo0~4r&r>WMB&bE6Ba@JL#+lr z!CF`NGo~4@$u!^GwdLaxC6(qbS0{I|?hZbEF+tg69i`U}$%3yJ_qv{FpJT^*^iyo_ z=l;_Rwz;?1zxdPre}k*b9v1boSIab$CT2)3I_=T)f@zlgu9ju(dJAtZ^j>pvq4(E2 z7gB9ZPq1vu%dA%TvG|<&&GQd$q<-j_B>E?)Urz4v>T?_J|9Y}i#`3f1Oiur;#~H7G z*>0!%xM4H5*~>(G>yz8neu|0Dh~BQ_J=L;$<_!J^EQefgf1YK=|7gk6Em8cBVo$rS zP4asX^fAhJzWMg&##{38^CmtL6P>i;Y+0MQX2jjwCz#yc@86;AF>$5Qb}_%WfS3QY zKge=y+^-P*r;Azc2cM2W#KQ-s^_lHwL+<$~-Cz3Tk8SXY2u)G01-HHSuI%`<KQ!iy zdrqFxotLU_eymxeTIuo8c%jX|cQW?xEw+pO`^a=!KhO2&s+iB-s{;>u>Hgcf^+CN} zY51fSQrY3Ev;GCmFq&~*?|$t)u}j`Ye7^rPyPIDha1K6g=lA5=q5QZV9jBh{Z3v!u zFH7&Ui}n=uv+oOCqb_@%XYdZ5EuAS?q#?6sqm{@pe!c9(7u_qZ%Qn8QJG}Yb##ha% z=C|v=O`87p<Z1EhY1gfNEkrmUxy4!hKXUVkw|Ky}3hh(V9$eq}!%JYFvU8b|xS{90 z1E1r+aZP&ngf+a(Ewt^siP>=>bJKt9e0!DF7Als+s^-mj(x!3hTdeEpTTjn=K6$=0 z%W&_*tfk-HtzKE??iE{f>eSU|J<cW*IX3S2e9+9gIhR@C>A&!$vag=$nREm%niIA! z=f3b2=Y0+ry)D<&xGMgh#^dy8%crNQbHet;Jlgi@Y0&ej`qMv7U0*5vd;0ZTj~kfJ z&N2Prr*5sM8vc9R<T;)ZraSkD-(z!nsIXi1$+Mjtu9F@fOUll9s9Nqm{ZWKF*Dm$7 zfA?Sh`zz|3RK1B_{p1@vr|ro*)aQQq|Br5?aFZRUxuj|m_PI2tdK~O5d+2+0s_H?B zD`q!pln-{bCtVHwn6M<=B1&%cwf&1eF3Rj*W7Dxjym~{%^lu9;{(I}Vc<$Ziw6{Oy zLTV*GD10(JSTjYiZn5o;ign9$&+qGg@IG3-pJgxK1K&SKXX<G`e4f8;``Pk4W>2!u z&-wcH;{Sh(;=kX2d+~qY;(R}m<@>M7{duqZWBI*rjB|6M)Ey2lzIgJ&W7(TdJ6>F# z9`@y?>7Dsng=ejkroLGCreRsnI@hgM<$Jw*i<ds#qn@Sm+RxYjVRcBqbauJdnmON> zu2T`N&))I%=FU(nI}1}o9jVnkDnbWuFs$zszn$nb`(<<~?@?Zzg5@)|C1>4byK`xE zzM<WrgYzrH9+c+SU9dizxNDXW_p;XyF0jSuwVW2Zm|?N5Z-u<@Iz4{Z2VML*Z$l+H zT(>N>V&Bqf*L-5iyx7Ypt(jk#%w;TbI>+$(O@HGrmD!FpR=It1+>&RT{B}{kU36ou zVcpk-J10xmA2IG*JFopu-Gv*80k1UYeqH$W%qu(A4M*6%y<_L)_{8gS<L8^`54S$$ zw(kj1e~?~xgk5m!Y2kM=X1mt(ys7Es;Bk<9w{3=v{^|*{(z{vrwVtbg-&mER!Bov( zQn4f_W(ki}z@hqYZ-N<SfApHAv(L}dMV(!_Kuq<M_hrG0ng@e^oOBafaj>ysg6s$0 z`euXM{Vj8Mus{Adi+A#mvx|Q0n3=mu`J2^@PL{1p6FpNGaJ>=~;$N?lD|&R5P@|C9 zp95iBkG;)4CS)?53lLd6gJX)rcD0y|9$PyDQVrNzeJiYfJV`ivAV4MYfJ>RBs=%}9 zmuJ-+$k(r!dtRe=#ZfM~yibgE>vJzXt9#RIBOCQ=<C^aM%d_P~^BjaHCmszswolJM zA$PJ-v)9MdZ8cmRRY{Zim$ZhwIaZ%G*}zV;=w;f=aIH73voEHWaF@3jJlJ~n-Mj*~ zcj|J-ichWZzw_qlC$W%&PWi?Yg^RX(tp4#J;lKsmcV(XEHtEVu^SpFTH*cHgu{Uei zF;1ECeA}Yh8B>IA9ueqSe3<hKTS`vwv(G|5`?mZryUeVAPxy9=aNLrOXD{*VUt5z_ z(kiKEHLpTN@7*f4JvVYT-)*gYyS(gk><VG~trZUro&Iy?RSS3FgY*~dW}RPCy_o;a zJUOF(+jK?Vhz~PAosTlP-#P#D&X=iPtZnsrHNKs-a#uH>n<%vRf7uu5+T`b~QU#~W zp7v#wD^_Ig&fKndb62dyio=)7B9in)uD(B@Wq8HHTiYbzEnldr^Ms58Q{!iR72NS( ze34O8ZA-{AW5)ivg{v-}3o(E2YOaQ$-FHocgbRL`8G5^?DLmXb_lTJLv9`IFblg8q zi8T^C_vz^F3o1RFx4Dlsl=3)8e`R8;+3GEMddJVOL+{IGAG0#fD(6m~d-VFdiN~x? z=ih2RW^~(S^099kvt9T+PO`7?zT>OAXqxRIF7AH^;v)CDe)tp@xqjBGkc}rRV|*_0 zT5P$V)uFxhhqtlMBBk(mwehc(?GkE#v-!rmQ*(Cl&pjyiy~5($HZ3X1HHHaS?Vd(( z)s!xOx%+;}Cex??w!~Z9ndM|IGvVC!Wg(9m_`4#^HhM0p2tD6WZ@<7s?Cj#x*0LtC z#VTKHG-?W_<Q;jGbEZIKzI8{KYUaFp4nNK|UWo#Zoj2sWx@>KZKUZP%v0rlM(*vWk zKQ&jJ{&}kB(9sLw|E6->IvQa1p@55X;-=N#<&PO8Pk!(qXljS#hf|57K8=Z!BtAS= zP75({{I7h-mN(bQ>NG2_U7<^+dGV2k`?97}gKg?UUMx$vo@~S-viIMN64&!DmD)c0 z2rZNNu>SZI$tiQ=Q-Z!F>LyJoeH>F7Gvm2i#orw!S9=RTSj_5u7P&0&$Ks7OnztL? z>7Gh>=(11!|3fk31)8EaZYafgcG`Y#`J%1*YnSi~m1U9hBF;QgZhIB9?dv?o<Ng}Y zWuDml?b>H1TcmpSZ<NKuQ#&3mF}X8U$fEPuCb5U!MKvku2kuNh<*`=R^pau7`za<; zuV)1x@+o(HKYvo$uHtj^oSY3;B{7}*zU^4<ao!X5y-r`8?6}vOCfvz<+Ps$I-u)kP z582k(=Ca+MT=8Ygha;1>{^%(=Hu+iwo3C5F!ODWZ8;1l+4(;mP&3JuY=)QugeRGZ9 zuX)+7oq09#VY7DfCCkkH+E4ElUn+a4WH(VNHZ1Lcl$w)zO8?WhDF^lypJMgayt-5M z>$A_x)KBgxectMPvSa^Gw_j6xejd-SIdCp_(akITe<m#7#O>BBU!eZdKImM|v4g_% zHGZ-DSlG07X-FZnTG<EZdAw_1J`gwkd_&q`!QwUUN)!7sI99tjeYQF!rDC*Ua*+PQ z&bdqSzQ*-1hA;R0$|5s=S(ZYEl5d#P0Xe%+e#iFTDWQJ~z1rfh9a{hY)_Sc62P*bo zIlJC^srFWx9agbfDg8HQU0&)`HgodAFB@OSr+SpW*~EF&=Gq&n|FLWgvWZpZ_ESm@ zWD9tF_WvuOwcx~t*?$ZI9-onV+b$U%$QSY>aZA6_V(q^HQ~V|_7pj}_-@EHe{Rw{i zQ_D_txc@b<pR6Qr|F-?K>Dl;oUk)#-Ikj`~O@8TFnnsDGRx#~*TKm)XOy#?~`9oCI zuOIF&4ctG!zV7?dpp5(JnV5-;s<N7!{@&z~_z>Oo=S>cq%+)`;-iXgM`0aIS=lXoL zJF8w=Ua}Wv`?hpWe0bWS#mO3*9&YuK_*vXla(fnMZ&b+l_a|D8&RYJtFXhlD`HMlV znUNpLXT1;BKi$-6Ipy+?%Q`bIcPefFqr~l0*R|&NYMqKn%u3N}<>#AbWm~kkm9)%N z-t=JmYt2iWv(3)znWJ=i{mC}>OBzO-+|5ifN>jg|YMbVCs<A7_Vr}Z7m#1=GtbF-W z@O0bMs5$29n;w2U7jj-c?{wSW!y2Ey|DVjuYpXG-PDR=(qspi+^>Ap^k)nmhSF#1G zw+5$2thyR{Ypd}c<LQ$tYWj3vFeO)pGD+^*z<nj_gf#c=11!46|BC{}JJOgK82;ol zfX>WZ`l_>%QNOgfNIxetNk2V5CowNwzqlw_zo;~?Br`V^M(d`hB<U4YGQiK*<X_fS z!8oOsy&}Z*`@ibVii|>vC)?uQnmB7Hm02xPn0UiAadY+N$W1q6%ghxIesX0M72(wq zbLnN}Hp}T)(7`I*@pD76^OMbsjxm+}w0}Rdc)QAq{r3I+>c*eXS*D+xV;TJJ&hGMO z3^O0>-xj7IvVF0lf58Uxpx$$5obPEU{t0jCe7NtP*8PP19a=&hw`%`BRCIN26XliU zdt@A(EzX*>v`l8&)Of?=+;8IEI@R^6N94}l|ImxspNsVoqrZW{bw3UpN7m@mIt*d+ zWLGhYMRs4?y~4|zZDPia?Bhwn${!spM4UUr-d#DGG5^?;yu>1lhC_RD6pr&%o@z3? z7Ug|yM?n+o6A9P(B6n=htl09}<fb9>2~GYRj`Cq1KV#2uJg|HH=c}H~BPOox6Ehj~ zJ<s)qY~Qd$cY^SNw3D}v=!lpZ==XeIv+w+_4ep;V^$KzPd~?gNv{1TstE9Si=`3O9 zeWI#rsZ5n|%f8iLYV9^^>=SosKlCe%Wzpor4=Pe82p=d9+TvAZ7rRbhhJ*FdjFmTp zt1ZmVB)C6mNnUz&qKAc4f3Mxwk~uDmYiDvk38~Cd?>%hz=H(+ZlhxbHIF1?a447o6 z{xjZl+EuwWNu9H2n7C$V@Z1jWo;<TOLabqpC&Rv1SuORer)c$*pJy=8ZoIiPb-$Ks z+M<L!zk~~CKb#ElI#bwmbK-#~8IkTwkN60kIgsN%|6J6ySWUy@+-kE9yjh*fuBG~n z<)F>X13Z^DB`rPTBgS*fY7^h(P0CY+j9m?cg=c6+m2C=2TE_LvD``{a;eBrvex`f; zi#{WM``(Juys%Tdj9D*lp1b()+^DkoK}j}EGiM!Gb8XY|DMG<&GrEOlyx;Q1ed&=o zS~HFd&xnos)_zIl8Fx}t#Y*!a*$)d{=57vJxwVJ+WLD$aueU#Ll5!C#S$K)XB2Ii` zcbAsU#;!$MHke#{ymo^+yHi=`@piVgrIUlQC6D)AHDrotw|~v_NsA%-{#Cs%8Si`S zJ(;u)%=^p#MtbVoSg+`f?=5Z%rX1VWvYO@nwNurLLY3Q+mu|Yfe7PjUDYO14bKBR4 zu6)z%ivLm{a%aQ2;Aw(ObtBg+Wo!9b#;ZjdC;e%@m)QA`bKU>j+~2pJ-+e(_-Sy+7 zIsa!Lom#&6Q_Q8+TLVv2%T)#!tIhNH^iTY3wtJt$;!S^oGgk%LRo!b{fAUu0lzTh> zn{PcSvA1{Ka?RY66;XN$R>7zKs64)AHQ)5fh0T}m#H=+6w#*M(B~-*TVdW!Ft_8Pc z_8w$?GdbyR;_pAX#{c}nCZDoc+n*WA9a*=jJZ0}umg?rF+hranjC8Z7Uz>78F#6O} zmh`7zbsdDP(xy!7lh-=>_3PK#%R5yV4znb@UAraa%@fV#K6aURJef}3kY2K7ONix_ z^ml7(4o$fj^fUh`zkJfE|8ftuB)s?4nHgpD?a1V*$M2O&*zUWcdH(k7zF=>Eec9Sq z73`bKEn_$?T=@F+>(^@2Ycn(_`vl*5=X>l$u)q1+8`<VPGmTD8x%U3*iO0)*FN(dn zdTjCWx$9Pa&7J*Bb9ui^W7)cy)2E#-`t*QTFXClGi*~2{*5bd1Sj8CJeu!NtEQ{QF zKeBpTvF`p821}c_IrPmlOFdGsrTC!{^UlXV!-~#k^emj4eS5PVm&>ygW*Y)>Rj<Fi z_$t6AAeG;5%L!rraMnF9-kfJido|+~_n*s?Vps3@*A{*;!awK82bRq{PlZgM_djB- zl|YyKqrfZKbANjM-<p?cBeQkxE#9c?&P)HJb4|4V)GhtLJ!_8U)<afH{amM{tMSe^ zsECZc^Nr>2i$9fW8{$?QO#6FS?c~bIF?rd36U}2}yZpB}mzg}!?R95XtZ#bGe=7Rw zNzR4V?Y9DD8P@&ZUFa;5c8I&=@vHyyTw30^DOg$FdK=<r$@nGVB)ja3*tJt1zS_S+ z;>GD_48JwEp7~{e@w4l_RUT(vM6H=1JXK9B?A|Jm$Q$A9Lb?$f=0D72Sm|wbTqrq{ z)6}tfQMl$+PbNLX=>~D@U7h(0B#HyumP`sQn`*oJmhce`*B?`&PTyjP(OmUkeS&e8 z&BX<>PA-ktHGD$^rYY?W?6$MIFwt*bb@nxtcTcxO-j?~|=%<_Km~!^j=IqwOd18OB z>WhBb-jh1H;~(4Y(3M+zcJn5#o?UhP*dm_SE1YV#9`jhLX}U5X_Kw(6x6F^3%smRs z&ZnMutx$iV&ozDe@i#wSD!a=(?>uR8ddAL=_ZttlbA9?+?|7=laoYL++yT$+o#OvS zXT02SxI4T5^ASJW*aqKfM!%0&`Z~jozvX<m;`+3gDYla)w_eVYv?%mnGwI{r?bDmN z>un~A-IskK;{P_qx}|L0V?DFa496F@&duE{<Zk$C+6$Rn_A?=q&;NNo;oX&!SM0k^ z*>0@3BK+y#rlQqKA9O2jw%)lRaORPowRu?1KaWSu8w(p(yzTYZ`d*PMckf8!sjcfo zT=p{-pE?#Y{kwiw$Z_?Gq@qK?Y+r7@bE>m=VSG8c?!>(0f2VpMRAvAD7Q!c&ZxfL0 z&-r^*b6HQ9>j%GM=k$XW<LWDdGG?hi5tmhRu<P$nD)cwWyYcL{qUh8scONGk3%`hJ z_L+8EY2Jk^pMst4aovv2w2t`Ywobj_^=7NOxvQGgv)XNp0y5e>ywgOtsT-*Y^!swS zyZ^2UOtM*WNPj=mwe|5kCusY8sA7wJ9#(N-ilK&Y$Kj<bbOe@be$r+L^U<2?(DEi~ zW2tt_@#oS`9|aT6&e|gOqb608^{3+Em`UaP8Ab1L`LC!Cyz+RfYxU_<-<iHeugv+y zJL56uk@WBSo18bVew+1j;>`oK*0Cr0e=0k?@f9!1-nftVzemyccI9~)sihhc-@+zs z4A^rfEAX~3cYA}R{>};V%fEayWq7VPxhs@SX@cU!6nEd#t4^Qv3_ZioaDLyEb*t)& z6vUS2AJgjKVcNUDP;q|Ny>rRij~sYmeqjCUo`0@}#eSX_CN{ZcZ+Ms;?)s=yv*+>; zNe>knPsS&|lq+65D7pCbs$%}hh^AAG>a&8R6WX)<HoQJ|x+XR9r(D9tDshW_9+NLs z#4QY7pL+4~Nww?8E?@iRAM~^LD@${kiJ9W7m6H}udv7XvfTJwR@|e#bP0@Hcp6W-+ zZPVQkhxWNoV~oDkBeT_ZU$E1>gah)MYL(l98D$sj-25@?*n`-WJc}Pz6dW`7c>Y#> zib+m})#B$yr!Gr;l{RSZeHSnCDdcAG>7)E6W>4EspKiQ<xyD}L`xM#douNJ}_$p6E zOv`l$k1~!E&y>9~#X9v(@9*oGcUC$FDwyq?wtRuwq?QJ=*Fn*8A6uB>;w}989<Ow2 zU4G20==1Xmr@nWLoLh90ayHnAeXr2=wn=-^a>!2F;)S^Qr@d=VOqlwGm36*Qm&TrB z!a1q03bvkM44J(3W#kf1y}xWz*t2X8CfvBae}zrfX$^h$zB6ZB7FA~(eBQ{ju66EB z)iCkdX~*AW^d87I@yVWZviCt+ccJz5KDWZnGKwlsEB9<(%^bM9>tlUeJ=?Wim)JY) zW1c>JD6OL%shP!|>+hrWG~AV8=GlnfJH@t2>ZrTq-k!N2=|J1}*b}|sH<FnOZ6+Ok zX=a{vd{uLy%{15GC!4M^#_NW88fjj6Z)+*_;rH8&z&mD_V`X+28Vm1q^w)X!{ZU`p z{2b4>Kehaq#!7u`ImTvd$oXpHftcIcoWhTt9?rNf+?2yVx#-lPhYv0|cr?}Io<3B^ zyvm~XtG?FfBN@WWT~EGJtoGZHGwtovU)6<$vzA;57UkSjwMj~x<KTvPw|LzT|ICgR z`Q>Ol*l>QWY`nCix|zZDvM%)$wIk=2Wpt^By!y(LTQaf4z=r*9i=*p-_{4ucf+<ej z!eOU+*Q8YLXIxlN6ZFF3w(!gImc7nuOn=T#?W|chD@iwfeMIb=DKjK%bZzvfW=4ws zY|Va?Vfl5l4%-&9n==#i=S`ja>g-<;naz*?H=O6cKE>H$N!LmN!+R^<cHRySZV6?$ zd}|4p;`5V<{rOGDrTI^9a{OkLw(YchvW?2goojAIXCC*~W_o&QeX8#1)P!*N7l}Eu zFNi7M-Z0}(>dH*p=Qo$M)UB#M6RgTEE4=ujCCj!;ch-HZSZyoy?o<zFk@kkbc^0Za zj~*73bLHmtUvns9vNXT@hZ^pb{fwdWI1VS*+r6BWsxH4dGOR9CX6>(KqSyGc6n>~1 zgw7E95Prm4qVM}TYXRx^KCidxzI`2WT%PwlXUm_I3#SY|7F2YphnR=%uI(wA$@52` zI{FWvyUo*E-rtwaP)NDG&ujNOt4E)YEY<(NF*b0wO~!S$tT*)=W_&zZa3S4hftT%q zssH?>ojF%%tlx2`;DR~Z`fa}7r4AR>tn$t<xA^*r*X8$qp4+#JuBiS!BAGk2Y;H$z zztb|4v(nR7-Uv?(4{bgBWl~4x&wUXw%V$Mg$^Pf{^!0b6y07|4S0-$YkTm$9d_7L= z0ME+cRLzC2f8WVq*uQP!)v5xGXX&Z$3s3H<b-cD<OKG)|O#A7ynG-Tpor;>opZZVj zHTX8;xkG2Cap3+_!fTgo6Fzh?tVU>$;%DKTt{W_xyZ^+zJabg?<=jj9H@`U4<m*m% zkZN=l`*~>5(Fr{-X6@)$UOsKAd?$nbGT*$;za9!<LT0PA>>lOs%=I)ec(IZ@-22TX z%Ns{DHoDh&WZKAlXE}S{Q@Zty<>H-k3oA90k1*b^5?i77m`zE~`%GB0!tyWkzdP=8 zIBTLe!B24Z%TG(c3U%I7Dy|Ydyk_Qwn{VS1Zg;QbX3$D0RucYuIn01_H;dC9J&(T} z*O_AGsocJo&tT4}X7=k);b-}Sg%7rVTlv{j%Sgbh@kv;*{htc9+VuB5TjQrJJ-A-D zCU1w8-t&SAz2-*k$os<l>}P^()4r+gRMaUwnWYuexm0gnh5E(1_dh-rMQ{5JN@X9r zGUrt&oKc<smjCzO8#9kSbrL#%l*3<piLByYjY$$F6IY!58*ZWUu+S}qtspW_tzzBA zg!)LXU&;|%>bpyqly|8|RYqAvS?)R}%yG(<-)h^+*(v8XI*0s!BA3r+aA$5_c5L?Y z2CbZa{vW@dU-_rLe&fRc8>wgSR-3%*y#2TP6tm*}Ew3iTn9j7Aw&=}@9M<0F#}*&h zVv>38-xbO3JM->MF%x6nc*$~`tYo^*q#W-v>n=StovkHZ{o``Q@i#w?Y*ZE9HEm69 zX6F9#p809oowo%2r^H;E;eOWW&-)qvJjeHlZZhz`Hc8R2Fz4mT<x@{7_N6`zXRBy& zzMjb&?6bNl%$K+I@iy~}>J(K`rgeW*_bRV4S)gk6^N!gQ(KMy~r*h;cDgELtSQO86 z=0mtofp&SPd#-;<(49U%4wlDdTUMMB{vI|%x6HEOUbmj0!z?X_>mNj~*6lv>*t~$Z zd*`!)>|C|u*?Rul=S{K?Uaj8pZF+=@GFwAz>q@(<KebU7GS|;}|B_Ij5cYBMKCL*F z^s0rgRvFqXpV$!1*7Ih{soB=`^*Zl5!@VtKjcPZ1^|q~-l;sI>e$J6*wn3vedOzd8 z$rbaa7|lpy-o7;PO74s9#mO5TbncyEj8csH?H3fFB2&0%UDu+Ud#CPr^<Yl^CE4Q_ zb%SJAOE`C=T9$shSCHE+_ut~pU%{9=kA5t_&v5h3^eS6LUf$HJjSKWkf7$P<UUhTp zp^%$*JM-toh)=vIt~Z;t<o&s`KCg{==f^(nyd7A)I`7eqNf{B-*1yVMS@-n%3Gx1G zzFUObcS-##dj8?c+kHI;Syx&WUNS0Pn3wk5O7PN7iI)`$*C(wHjN22dvL|_|cR`q& zYoo;O_(z=VZ?BZCtXiVue(Y1k^sPK0(^b-TDi%%h^}Et_^W*>itdl3Lj~!;s+-w<f zV*2VTw;sJKb(2G<MXvaJEor8!?#?v}|I}y19C^I`sP3g}D}Fu;xcR8dBwk@()aJ5D zQ*OQ}Ogs_%mOXk-*5;>|-|SEq5Ykqh>_4$P>0`l@Ck|6}15Nn$d@y5g7OPzJ@mlIR zPJwC*NxLgQO$(k>tzNvbK&1F-By)L#c;u(_NDDdsaMd6l3&EHi*&D{fJ0~5od0nBF zG$Vhheos{NyCVe|TPnYu?NyHY;lAkfoG#%*7Y(i6X5Lr5tHe01*r4pfy=hPV7Jl)G zntp%wrKjJ$#Fu=$w$#qwJj+J$bH!4wg0EhsFCt=dn%b8KP5bJ9Tq@KiAxY=;{9U!Y zY)OR=rt#mbJ}Gx8Qavb8{P7y&Ht$%@2U!fq4rIuzjxmz3{A#0Ezf5bsjm(q7iCWKP z_=<FM)=Y?(>j?UHsK>`@<^4Bjeq2%G+fu%C+ot;sTI*%I+-)7-{bfFMf%{DU^v*vK zORhHUijS%m-T(dAvNID+=OsAwO^ouL)Y*4!YR`g8Yd=mrvoDGFbNVXND~tNnc-o}c zpH%oQ@~!JgE?)mx*EZWkUjJ+QiBdC88MF4Ue)eyLeu|e)=`-YR@m8I<s^@0<cm1xT zPYt~#FWk_cJU6N{^qOmJ^o+afW~Egh4!nQED*wVVZLiz52hP@N-nyXjyYpej4l6m1 zo2nNJDivmIn)SiGZA+ccqJFm8#u?XzMbG`pFgR(La$Nag!Ubub+0AE)YWt>VUr)Hf z&AxoqYX`|2m2GEAck}Ofr=WNLiBHYh`rz2ukN*tBwLZ-_7P;up-{hrr%o5L%1aE5_ zzwR`gExqke1<#Ee`ocdKN_;puEktu;!~E%I&wjgkYCXsK#uth6D$Cx?UwPi~XZWU@ zq0eUMe>J%+{Pp@717Y_0UtRv19w-XqmuNeAYGcEG8v&kI#aa{ZBsqjuB&i1Owo%~M zPEO07CU0n|J5P2dyKv*IhJNFSppNr;+ZQihT*t|4w;*{|?oyMT&w0<7#?4!!S6$)g z>9Rq`t!>(Zhy&--)t6nlpLJVs+SQnudfS`IdSzRV+NE7+z4QI_cQ@ObZO41l-tqkB zkvXB7ktf4mnB}?Z@ZZl%0xB&eSx+@8+Ak}6GABbJufPA)l5jSePi|R>DpDLrl}`%u z)gGBDk`Q(|XPIF^-4uVplPcy?jtAc`$}|h^_*kSBeXcav+kf4H_GOmM`gaz2NPREi z-=?Q&k|@t>dvemMhy(JEh1JeA{mM{@e8qozp;TFp(P}NBty8;mx^^`BO*{87<kJET z*7vK|b-hXbsGqfO-pqArDi64C)!jOL>Z#q|<IFn({fn+QdVLhCo~(Mw;imewsF%l? z>NZ<=TwP@q;;N_nDZ^{GncUYmccr$3Ug`U|PLgqB!~U-H#XElPy|S)oTi>~Vv%}7M zf1bF?!&KGVbJx#RTRw&g-YIja3f#|V^N=IFmp?T8>-6PDR}S)phBKb$^Wv?4=`sK2 z)Bo}lCKl)%*q-pO_x+U>=bwd5(zZ!D94P<c<pLiAhNb;#^#(CwYx&MzW3x^)y_vr+ z!{X4})*XjSTVK8t*!(!yk7vGFn^VTo4-RZ$_XIr6dHq#oWL$mhj~rb3+1O7=?`kmH z#GRYo#u=(UJJaFr$0N4-Tc4CZzx(91g)CQ&{$Q{@yYo-tM)CQJr+2shO?=n?LAO+Q zJ)_y*>#cV_350EXy7|1y`6*pnoV}J#(3`U7W0dXnbG@z;&U@NN#(wJwm9vu-Sl@Sa zCR3J%`IVwWA6n1u?Vb{#{5C&N&_C!`DBmU>Z=U&ir>6Yf9JhK#?zflK2Uk5^WwUjT zvSi_>v+_o+YO@b(t6t8z$E115I%C>VS@rxDl|ao`Q$IGeDtvCABfKTAeAlWw+h1$8 zEdKarVYOx3inJB|?!Uz=Z5J8V`s+2Fs8yS{bLP&u^{P{ocY8fK5$f}MVa{jENA^}0 zch_>-sET+x*$Zb(3f|8+F=NM_H%Y(5Sr5zk@!Cv%ZDnC9C!jLBZ_$q9`F-iSjbFWX z&sXH`y_9A7>DuJIe|Aj^UMr}XZYMf##^)DZe@-<V-tXJn?72~HzW<S9ZtXS6l`qT` zUl^Wljp`8kY3F}ualg@Hx&1$1zrVHe*t%Ae%Qt4}8g6?QUmtEPxO46<A@57s1v@@U z<!Zd)bx+Zicr2(rzvjuYq#LiSyz>q{tPTHlR;u>bk>_TU&mLX6L`*Yv`3afHVv<7J zS0+7N@mV1_UrRMoMKAbg&Q71usJGnyOKyHITm0&q&%G6=)*hDq<UYy!%(||&!#!C) ztF7<8HCGV(U_9$X+VnLXdk^ecxN_eVu8qF(|CE=#xqq|bzwDuAuAPbBzMuNRwB?xn zE1}=z9y4uD{!G{V&nNkF{VJJ%>pK*W{^y&h&hUT58-bnkKc1hE_+QUMFZ-|Ybm#w5 zy&v4?4S#o}wSH1+T6?|I6mOG%>PPlh=bh<0-?8kg>0-9`t5w>APbCOcL~;L@l>6YG zvj2wHNr#-0NDC?biPd#B7d_^m3wCAu6PK43?Cxe^7O~CCab1=3yazoE_9A_!qGs;4 z);m|k95Lab{kMC44fbm<R<lmEX7^pY#XsCX$!As7xto*j_Z?@7`DUqo?9L2!^WCrP zedpRIG5%i?p!1HCO}(xEb4!h5@tG4{%M=S^QqIawlbXB4OTjKPzjxn;(8W6&*FW?W zvR;4d=7H-B+->Pz-wWAinmC;4n_eU>HAOWvlQUY3nTvnw=H+45Vb*pROI$VAKjE}k zmk}w)V{0P3v(9G5QP<!+9l7oYAH~d1l&s%qZnvzA<-FI|C6nU(J-)r1a{OtB!E>%} zr*l51U*)NpdbX79eCwmDhHp;!m9wpkQw>j<l%r}~sHs}2tg2e%uB~e=mhgVLtj&j* z=D&0QJ@MO?R<kQAOGfGDUNwoB$yXPNGu6$XTeoOq`LRV3>#rPbVqAaryHV82xf)-q zBxX-K`&72ovaBTQ=gHz9S7tg})=K=^d(dHpk&u7RC#3^+@=Gh;C+vK5fM4mzt0dRt z$Hl3B8Ku4_9?uSy^|saf@FR`Y>1m>Z+xArtn_cqQi<AXpX5Xyq?cT0D{e)n0P;}~{ zZZDx9bL#xlryZHiec-W8;rS3dPor|zuO>><`KP3B-ni37yyxGiDzC*|zj$W^$bR~! zFD2jQo|+rN7xT6G=JuG$mLJ{!i5{4ArcpWAeQEG%%czC<%R*}ICLLW;CAhxE)$!gU z>w{v3h5lFak4L*Z>qlC#JFh*LsT8NDoqBtzQf1#{`Q_WU-U*-acu&TECI6nqWj%L} zCh69$nkfC@;fKHTeN5eE9)E6g-$P6P_YBUf2N&2}Q)a%o;p_>2(~gE?A=9U;{8g7b zc+cU9$+<N@c8IF3Umx@G%r9P#MD_HEQ@rZh<rDqVmdj7nvgtH8pWC4p-}v#OW0kh3 zo?}n?(N}sl&(~NL>DN3BiJHecy&!#wpaN5l-7%J{%>ABgpKgD=cyXQF4$JVot<s+> z*XNd8u|FzoSRVD+HgJDWl9jq}sLYo9?+-R+F138@{j#k<CFh;f=1M;A`i+TGkK8=i zaNbV$Rj11vjqPu$LiHao|GS=4Eb{2EzGS>r$P1~L)eR13cHXo-yC==_;iGxF4QD3* z{}?Ohofp15o=Kcxuldp|G2#CG^Zv4hd^|a)#CrZ4fjCB)539LX_KPGv(`1%^^EU5v zbe1Rg843H!Lp_%!r_ZTzJ6UmUn)id3dJi0q`B)XkSRHxl^CGlNG~Y)|{hs-%E8qLR zJaw*ny<kS8&%V-tGkR}sT&$Fjp7b*R-o%?8E>liwdrg(R|6a~7E!kSC?(oU=Y6tx% zt^LU>*{GI!rGCL@rCF?XN@`3!Du+c+6{two%}CHVxz|9(O6cgR%*IP1qWwz8|JW>k z6!^qK?|kMamFVJ<dkQ%LdHLF*>nwTBC7)Pn#(!es=T94b?zw9UCYZR^9dThbn5Fvj zXP<}XvW}jki?<kUTiLUiZRt&w<zjMjj{WD^KiM4c*|aWo5z`FMYu{BPyp38*e{NWK zsA|e4o5vGMu036`rtW5I|4Ch@GfJ<Un37jSrr7Q~a4~Aqi8^KZ88`V7%3ZB$=J8(< zWssfqx$*bU<LiGqNSnvc@!pa0v*Y2SBR-;ad4b-l&prs<^e}f&&0682DlF>2J9Wp= zw;GC`i(M9L70%Pxcyz7T7EKnH&SI|1Q$DN``l|IOdZ}OgwMR*>cjxNq=$S;tsOa16 zIS|5HF!9K)`P{O$K2}FB+*5RR_fnoc^}S{DW$DQ7(`y*#?=aXf`C<La5BBXVxgG>P z+`nV;;rmO1f1FlwV>uIYOuG9rYsH2Wo9qh{oz)f8-)SD6daZl@jt|``g<Fr7Gq9IE zo8IrV<Jhksp5o_T=7j8Y3W+=u!dlR};*(RlyXa)MX{#A_c&FMgnBrHk_vyPxhCi1q z&)!++V6d`i@~WyE7p7_5*UGo%ed9FsqK4JH!!DH^<z0Q<yCr1v1!dzCRx#~xE%~#v zd+}mVX<6<z3B|ipf{s`B^fB*V_EJNiF}%9zsKnAP-+)zq2P1w?;FzYr-J<?f`b@b= z&%-rspA<-U3O!BI4$b@W*1hGnW$f8<JD;q=@a2;Ie`L=qDhmXrP1o>E{I$d2?gAg* zSJPQ8AOGL?a>K%mfV6Tg-^5)}Gx%PmnV7DWyes1y{$DnDU(5P4aUrt~m8><`>o#-N zm2S>u&tAt?8)_b|o?LW$tx4-^tB1d47n!bf-etXNUG~bXpBomM?Ut2Dvq`mIs;M(y zblSXk%f70W<~BXuyf&gwA}>Nrdv(xKO`UjwY5LmUlYiMB{M0&4XD{FBnWtX4fy9NT zHCIdM`mS2je)+@nsEsm4B})P~2UhM~Z_@gF^`XyJPcwtot5oc}H7Q+3&Qxc+=IY07 z(u<dEsPT>Xw^GM<)sE$hpJnStdfhSb@t$%sYpJHs?3R`5muK$wjGdow>&m2+!u>q= zzn)ruf8p9GwcbDSLiVYB4R3lMt^4!VQ@QoI=iN3INf|5kCimG&%SS%Vj*+;<eWIH= zTJ^+DpQ}bkPrhSY_G-?RWpPQf5;ts<$`q>74sr0%JbbH6_}T?)-8CnFcYMp4vO?== z`_k1S$G0y!yy4!TL$`Bk)PGI=zN~JZ(F5(7=6NZ*rfFvF=v--~-fGv^7QZy3qV?47 zC{5Ep7c(sO_|Iyaw;*2br(4M8KNB;h)WZ2(dij3+Y@EHX>*mUkSjEn-Cqy?{re=h- z{4Z$FR%6gs7vnj8ai(>7y}X}R&5R3~=hgLeQiJ3iN|K`EUo)r3M=<1Mr`t(gI%>O; zVZ$$`cbAwFD&iL$zRB9KKfh|VOQXbmPj`X9hpVT)D%bzyk|p02c}v_NNcE@G%$-T4 zitFn*j@5V`t#nS_`{`wsOVnbX{ReYex2`{&Z*Y_U{`83^Q}5p>5MAHY&-c^od4&?+ z<9(+#IA+dTbbMkVtK@0Nn}sPg!jqG9J@@Tf|Csx@ZscM9?W)siL+^-L<$RZU`9fFz z)QQQ=o+XOT*Xu%LUL0C_k3&uAVu8$yLywn5`po{UKDn?v<%h}ER?W)F9o*;7ZTcpj z>UKcr+@Djo5;yER;kWj(@vTFxtGxKuSASV{LHV2i>l3S934|UGbbIQyG;G!@<`q>H z^^1Qo6z!ZFBeeGU#@XTX9$J6BEt~vfW`16T?9-aH6S7vzi~QSnw{`o;pf$QDzp*pS z-516y!?&o?e%A9D%ndU3Y#&?<Pwh_@6bYR9lzoZm$=Cd!E6qQJw98M8I{ib_QTuqG zn{#4}j(O=l)%mM9v?|SRK6g;)KfnEaV)f~npFYGbP|3Fm@UaoOW*NeE@>=!Zhn^cd z6OW%PSd;n7-78cl++}|1q>tHcmjxo`r*=t;e#_;5QgC1PVEIh*4;H@^7$W~MEr{6J z6M9OmX8O&A`xqqL0=^w$TCi~MPoCuBWxF>rXxMWU*oQIPnc<M*?VeL4P~G8?-4$bC z*IV9utYXo{2HB%#qJQrPE=#<&>6=jUpBwXI4gV!5_}?pheXJt*^pZU<uJzUy-#@79 zqxxt0i|V4=Q&Qi)Fu7J)WM9J*{!xDN+yZ&$+oE3{r?>o&nyaF2py}-NZF%v`DSuab z+TX~}Kjd9$WIe4v@^xs=$r&aeEd|dk*zh(*ebpSN&|lxnrK(PSjo)c7*E7&{60c8N zL$C!y{0n}OZ)X|z$C^x*-2WxL-7c76M`#%T(k-botBV;6-Y^{aTgF&$vSHdk9k<7( zRrBW*s*2Pl)$Dp?7?bsp?bBrDhB;i7l|R{jZaIFAan8pl*KB7S6-`J!=4G~JlBZ#^ z>hCvUYRQascfu~^^DuFxZ!(=&F(ohR)FzIFH`_mK-qNd+?8s95a-X{llStpGrNNV5 zSGru|-ZXD!>X|(O+f4h7#9Lp_ls(Wp>APh1`8)0Rw;nZ`IniHtkz<ODPUvRaHB+9= z);^rb=x8K!?LG5J`*63z!LALz=P@mqSzi$G#$LkU#2!Aj$b8N(R}ON;p0MYrofYtM z``=?y!SYL{H0f_z@_1Hf+Hu){H(xfn2W|^mbYA>J=FwZHrwh;dTgVu&C@LmvXT3JV zHBsis-#Z$uw|si+Rm>1G|LMK^yfx=fosc?WzjaH&oWKc-@;2PLeCGh8^9uhfygZi< zB`syq-Fj#G?#5!yQ~R#*t_iWt+kA(AM`PPUhih8q1$)9~tuhfmaB9oT^RLbIPOUx^ zvEHxpI?Lh-!um&K<)>MHRp(=V;Zrp6E#uDiy7LCdRl_~zm&@@z`MEcUQ=6s8Z1W52 z2*tilGcq49S6locV1a;a>J3|^36Ez4ADSa&qgxX$tF`aTF6keEqJLvGdp|Wi{da@6 z#&gP--N7?fuT^~Ec=`Qaw+nlolr)IRt(mgmIPY?yrYQFt!AXr1<||8SZ}q$Fnfc79 z@wsW_-Z=9^8+&gC>^ZbW;*n|Q(@TdV&N;4>Ebo27KKtgID}ukbHEi#DG9fo<p?crj zYlYUaH=Y+9)1LQ^^~1^qZiecIWa{p!CH?-;`Xs*0VnXsdi{Bf9H_iHynYCa;<b}dN zDXe9?9#}DH=$w4sn3(Dy@ki~2p!*VoAKshvESh2`oqaJQJb~@W^J9nj<rg+T6?b9@ zT+|WIoAh8}SBIRZTBj<f{HBl6HYb8N_gNe?d~at|{qbvz%<+UBe>}2UHvf=aX!~b& zzv6PkG}iv4D=cbp`!2V-&opqGcVfc7n}O$=5|px~@++g3+OD3m=~U{Sa{F11%V$1b z#W3l8;rn0?pTxSL{8uuYo%bn9Eam#|zA{zh{AVWdfP}vn9p-Ie5;y3u_j2p}W?d7o z{;Ir%=H9;_lWdYcuKMxZy*N_zAiG%l=fdL*mZ7DF_I6t;=04w+d}jKt^;<MPn=gCA zQLwaUsdFFer&CRPDq6Ht%b!?^y*P1oy6n^9&Du-rcbdrZomL3A5#q4?L-JgXh$5X@ z_4B+>&h)+5_b>HXYPlYZ*PUZw@zL*;xf<{Hvs(3Nv-qVYZ}QV&5%YgI|3K8zEkFFY zBhAH`ZZDg)Nq@?wH>#)iZW7p0BJv<EmSc_N(%9z>Wy=~~tE{O{-l39n`rkpXt<R5T zE(_VMeq8?GC!VNH?=zBjx=L=DGk@g_`G_fwoApvBwZ%+1xbOCvB|DiT{Z9P9SFD)K z!@jj9Dl3ppHt)!cD_41@N~p&#beO!Vz%6r^*1iat*De2bK6Np#Hh%Ge-`F)atXt1o z<)rD=EXH!#*;?vZ)!8BEe4hSa8npc6n%`X^b8W8i%-~geF11V}z4=7tM9)_(yiEUe zef#z#I<9x!ylK6K>0Zma%GPI-dG`H|FyZ^e`15SiolS*3rme@;{!>3Rt;aa-u1@X8 zgBDhi%}RB`F2_Hz6;ABlTY9QOX;#Mv_YEGO^pfMQZEkf~`9s<uSW`ALysf%gaYLES zgsqzmBGa^&F*n@iFMD3fv`<y}aOVABpT%8MCS6{t_i)R5mZwjq@CN&EEuXAza4NM_ zIav4Z+9`9^6)3)5;dfxY-@I89QoCbhmz6W=iSlvAFFShksIx%eo1&$+cK4Wj$CNxY z6&7Ml@9d8I%^SJ(QOnd*59Vz44W25fe9l|XZBDJa)an0<VSEOvg3tZM+mCe%`(D=* zU%2ylU<ONso>G5mkn*{r%3Awlb7shH*;{0JKjq%pGb`S1Wj5W@nquUw{!v`yM~A|* z<JC&p{QJ%0BM$AkDfmV}(7FHlnsXmEelWS*uzKpHF6$T}yJvFiA3kn;ocL_%F+a7S znG-hl`uK3%UdB2--HW4m{sP4}J;EC8iaI526N{UJPVH1OP7O+6Ph2)@^JAXovNNyx z6DIGuP;iv<Sb?_D&FFlCqX)M;<t3;2hFPzhVEaPQM~&%Rmk-+<fzLh%A}5|~DE^)D z&x%EU@tMAatyik6jixRuJZF7;g`vOZ)VR5t$6lJc_68fd_6FZky=o!uzff4+_V|~$ z6+Mc)mACdx2+CEv`@uN##wyJm&i%_4|9+`IGqGaB=CjLoUGEe+@}|hDt-^BtBljsU zm6mFr$<KFQJ-1}hnK0*syZ74EJpQ(<G~#A^RMwQ(Y*git$#q!tP(fVNL$3CPpTF#S z`ZQ`yh}!q_Cd*1^oMkiH`jDMxzD3{`?Y6BaYxZuqJUi-X+1g2m{bll|9a`_lQuJzr ztI*zMm!5E5w8_@dI_9-@tNY5WI{w$(_Auog|6!ElE_ZW^&(kg|Gfk20&B==x=ii_A zU?msJ>k0c)db!0Xmo^ozl$DPz^6ISon>R~6k6G^WtnH_GwoZE*>=Ab~CV?e)PnK4I z#LGjntM$7)(`z3en*L;F%B}nc^PsghXT2M4Pl@c)pPR{I@%m1F%!|-NcbS8E-l_V0 zOcFfzsGa5c)PmD5Iksqi*g47M_ZFdwBnuP69-FDxuK7*AWyo|TP^)Kx71vGQKF-Zs zk}TrxeLorOCjaBI#Ip+m-a1-gHA4OQjoCU}8~h)!E!W=7eEp>Qt2~R&&(}24HeS*V z%DnCA;AbGyJ^h$=;p(lWySZC0cdRZi_|EZeN2cbEYSwbO!khp64*XijP@UD9dg(>l zrSF+lQ<h1a#;$*PdriuD-o6i3brY-p@Z`@m&p7V&CS&DW-u9Xs%g(-woOta|pZJBN z^QT_T%#Pc3eEGVDeNpfG9HRcpSjX^v4oOjsmUzDPzgqUYa=kx?N(|l{PwQUKdq)4M zB<p>qj7@z%&$aq4?POHTTo==R;Fe11Oo>x0d+k<+Up%rh*lm8CQSPf7L2E)>n>Nd| zaviz;^Bhm?-!GF}PYXm$@=1KO`Shy4$+ia<$b3~;#M8IBL}KSj4?gGLpMRZy`s9de zS$ST_idzB^cO#qp%rl-&pR%pr^@4jsweX2*4~;;}+@_bG4o&6Qu=D&JA7|Di!F|__ z9)?VLo?rNI`4*oWb6kGSXL@4kaKL?I`us^+H{`$FF8pT|H1Cwv=2H_RHF8rT-}SwZ z$xzU*Gvu+%xp98E#oH+=T-VDVckx&1=-5pazU(@;L4Qi_v`wm)Yrgl!h|cBOk^h=y zPeoMs#dEzsUPPSfJI4I*!jB9SnYZyOEXGq$*KU?oweFns=>cD@M%DSuJNCy441_=X zu@~7GzB%u5P10c=@0WMC-yCW(cKMqWu{W~q(7wAnuXg?PZMQ3Ro8{M7aie*vR!Q2H z)&d#de5)Tm3jTT*Wsizmu1^fo3zFUXR8@S|Igv`|M9)mG>$h_jRQ8|DT*_4uzA`n+ z^RD{-+i#qnMIKr$aV_Pdt>g_QNB;v6K9RY>V!Nj-+hDc!@OM3#xe29F++ln8#6S6R zeJp<EaK_~4fh^~#zI?N*7n^>o7SB4D^tv(osqQsVpQ{F{+Gk$&9Q|}MJndq$|4cn! z3AWQA9+}QFYmyv(MN0>+3F2SnJ3TH=^!VCO++p0ym@QKy^bTpCH~U-D{q@3ky*)L3 z9$Ias-41~klWk=W+!fk*`|Ja`8J2N(l;_9pC}rDicXV0J%<ICdoHusaZ?N<2{U+|x zE>dXud5S^HlAjyiYIf`MDC#rpN%$zed;WO_tG_?wgLiK(I=S*-chIeJwFbZUS7tuS zpZe^|gVc>TB!g=DuB{8472F)|`X)DM&&QhJ-^EKUO>d;s?mO73zw}4o+ONAV&5_F4 z7WeO7tD@Ygck8ycX`fx`IN4_U%E^yTK9_oC2U4!|_xBo+^?MG8>|bNDoGm}<&yk|t zMn>_L*G2L}W(7BIKYCBy^W)N0m)?36XMI>#dL&ryj>ggQRbJUspIu2<ee~)D^`Mxw zTDn2Af}8nu_;&yMGofm^{5j)Ix7D-jRniqVFa1%WyDnf>aC5Gh{_?fc9{t{`9S3st zTe0=MjrJQZW^OZk5e9NdV(#C2t(`%0*8A`W&kAlnzvkKd*d3l-tFK0RgS^cB@$&nK zm>rwiW%kso${8I?3yHnRd1LLm8_}UjB7bfbt$)ul$2*|w`zN;_b5^J~C6(^b``lwV z$MDQ^0d6CUU-FwO`C?ukpTDRvmTyac#mC7rxGeYdcf|&6Ii}w|FaGG)b?XzJY<Vmi zp^-C7JE+|_J<z&qpVrsyKl@7V-P%-iYVkZR>FhP1>k1yuV3=@t@~Y#y>ux47w)LKJ zV5#t7S)1y1g!{GO#TU_PKVv!50{#nbZrGF1Fu%fBR4;)|pUqy)`Nh#lhi}vT9E$l* zycb@#@lJd>lU2y4M{(*8u6XhNJz{img&eb<q1F85SB!SOewQ=(#kK{Lw4L=gc$U<! z3R={s6JTM<kZWYc{Pk4g#Gvd*NBvt1AG5|7@CYyWI(40UYeqoHU8cqDTi2{UQziPs zQ~tlN%WLJ_IuSMfwA(d4XK&WUi1x1N-;urAWp(0)B|NQ*t1j(rOHlcFC;sS>0GV?x zvjv0?7qAGcdn8%(r6d)0#8m{OC(M5Jb!AXi>6Yq}^apbVE+ht}FQ}dI;L^DR{#?IY zH4h%&aoU>Mox6=^a|IvAg1tdWZjP&-XNRdT)sfP@s@(bNclOPLUY(NJtHd*}1uWH( zQos7%BSd~_>f<Rw#s@>}9XBu2O1<c^^53?Q`eh6jv8R`5t3I<R=KI@|ws+MO*TYX_ zcEz@QODtWU<IVLf;Gm4E#I56+)!MAa5j=8zD-Pz18}R;{*|aanYvIvFpQAZ_exL4f zFAeZI6L4_LT;HQ7((84tBQy;Uvlgc?8Hb#9(@;%oNpNaPWY*rg#!b_(c}e4T&NkkX zQ?G&yn3pu>pS#AE<}Q0wOzVZ$(iDq;7P*NB?kr3#*H(Qda4>|kt;=>n>guUN#TzuP zY0M}{S2=ZUNdjMy{u`b>f~;0CcO_4B1sXQZ54&LO#p~R}->+$*kudAKFYDgbQy$bU zdbqY}VOxCm&Ag>Y-snr^3G)1Wv;9EHQ%A2(ONSp@I*WZ&7tL~;n&=$3{=)hxYL8E? zlzE~2m?!gq=Fio!6;nBd!~GItej4j7OwrKsbdsu@X|&5rv()13**5-_*V=xs%2d_b zQ`8vv!*D6fd`+%+e;@5ucOLi6PTu0PR(ZCbUh!e|RkuWb(Rp!)52!a@aBf|{s!(Lw zlE5G7sXN>?OF!&d`Qfwast@m^+#lv?ZJi#}RJ*9)zh$P_x7!~X-fun|@?e{5->$nV zdEto$@7?|9E0_24?@gG_Ex9#D<J0o8hT5Ob%<V?a!N%X7IyZ5t?VT5}Q(b`N+f$*c z$h9UJ5^HKt@<z^?YPRA;`IMjs4&Q&Ba|q6z)%TYz;OOL^QX<=<p9wGK^zGldsrA^O zBPT6Q7O(D8cb;)@zxx$OJ+XNw#aL!s5Sw#SOeeW*%Y!qgw(6N&?cC*@I9KzP*rMrc z6w@XJKa25mJJWH%xMn+d`uV4`n9LUP7O$+lcI@1N>scr7Pu)~$d}n?c+r0VQJNCck z`Qx*tN9z03WrDU-gx+|5)Rs!va=tw<s_tkgTYjeb(Ly<Cvkx%}>$y4tr@z?gu>5M& zLMQDfN7^4Lb>DdUJm~6?fb7(iy3p;8*?;|4D*NTsOm;1PV-w!9$4994oN?GbM-`vs zRa`q9*Lj_@=hs-X{4Udu$P4~P>9)m6JB}{W<UY4tv;9%nO2dCOSsKUoM2l89XujQi zMkxE*y!F@i^cQS=wz})qqA4$;gZQRz+4#$ITbirxKC`>aHg9me<}4qm`B*nwr^@>* zYmNN^s}P>znH92^zs%eE=}hf~`i41CHmP&B+-}{WvZ~_Hx2CImZnvI2^MK3bP4`1r zOSxC!`BiT{Grw;A`l#mUhBN1l77OfYis}q^nLYhg<(6}E80=^F^ePn}Yb^;_*KU6L z(<e>O(^@}FPe#-UN`5u%ekSC<hbiCt--7POLsQT2Pig<qICcN6*7thL?tW#E`+e%C zY@C7r+3&NDGx6OIjQX(bY1~~&i+s5U&mPn^cC5K?#r*E*u?6f}spfl9cUqS-)~W3( z7k_Z$C&Q*+<&1l}X04s_Vy)PwlPeulbGIxB3egJeoV?ylDak7`bFylcj(>ySo?{I; zOIh}2Z>cNamv#5M&^Aj$DT{kaHm}_lR~>CqZR_M~{3h<wovQwKn@yr?;^loMvGR2r zD*9J`5wbJ9)jzjMerdG6AY+m6%l)T5aWB2{oZWk!lC9<nv*29}yjlg{O8XMZE5#F@ zt2R`Zi7z<6wBh$2J%^V|S5`~hba{DxqmR?xuz%;j@(FWoE_yUWpFc`}@05JQkHt|l zrXO6eai(PPll16koQHPxT%7lPJ7;2v%@-qu*;423oZoS*iRYqD!OW~O<NpiN{_oW} zyW(EKif2z2J`P-eD&_u!bs@U*CLZ6ND>g$TX`ZEj+9{i@k-A6!PvnVMZTv5z=;1}y zm21TX54S1#N6ud#QhipYD0iayYkT$CQ4!HCncrL2-sq9&t6KYS?<Es6`IG`B`$9zr zGkK2L+|ip2^Yxj{XC2cEoOSG#@y#B)b!+T|!|uOp6@4$iD9}=7^Ob@SKiQ`Y>n$G( z)a~k)GUcBAS1<qShJ}xv6XkyO1hK8x3U#yGy2E2$`n;;0FWc`gy`7(S>dWExhjw}z zCH&R<JE77w+j8=SC2P{}O<9)hrz_UoF){qauB3&li`H`g-`Vu3VrN}r_r4!Wm)#yQ zIsQM@!?1>Rox6SE!wIgss(w;dnu?kJyIj(D?Z17L<6Wf7a<+G3Zr6=OTT_E(U%pZG zBxich#xo{~{Xtu&9j=&a@UCWQ;vO#v|0FH0(@XUB8HL_wdA#tz*|yJry{2y7Gw<7^ zh$LmnnVKB>T?_uK?pYx2dck7Zq76Q;n3kQI@#xm;qpPF3W$jCZ!d7i@-7fJ`>#E@A zzK)yg56<!5_xMqSb?ZuvHRUcZLV2rGZA5ra72a5zIcKTueDCUc>dLw2%LKQ~wlv!i z%knjzC*VSv;FHu<JlD!H&wuT9U1K+Y>WL%IdV&(yYvx{@Bkyvm|49n7eaDpMb#3?T z{~bJ9&XgXfJ7@J%@$_z{LhDzZw~bS@942qF-L}zn_J`@+t5;^9>^478U!ME(X6yI$ zY*v5Qm3D3M^JA!vi7_tS!KRV(<V`^9FP~jiM@@UCocy^w{uB3~iLWA9-88$GZnu0? zed5!ce2Fg!61!eXu@(Jvt2eJ(Qg3|u+4n8GWWRKCU)#DT-F@O2nWlMXY`#RzD~nsc zM?P@Y;p(ryC%vrHIUjd{RpXxgf+KS8j_Dn6p0s}zx4^|e^WI(G%`o4n{(Ucp`-K&| z_OHHTlzYNB>ihC*bEjKm&;J+Gv7q4TKZ9R9OYA03`;gIpe}bgX*YlH?#7esxddo*0 zTFSDu%0YEanAchM?zY>Vo>>w)>f3{V{*Cd!bIj~$eDQCMmwfKdO%01RH(xGw5YwHs zRAPJ3DGRqX#dk{k<T^K*@aUH68ERI2P>NZ+sBhQhiTAvJ&6q#oaD<$KeTA%iv@4Th zasP(5U!R$sNw8Zv@01HKpYpp!uPb+Oh<tSCh~GEQ*58`Vp!Iq6{`m9kyNrMSb7EL- zn0|&gCokz^a$b4Dl*PwCmDFYhcq($|8C*)9^6v_-+an#XKYee6?)*5-T5L15tdynl z)XUPP)3#0EE8i$H@qM{+{O1R=kA(kw<n-X!6-H0DjSF(!FD&_b{r=Q-ks57mmQUl$ z7GHeJwR7f=l}3AGX7EManv@x{<J^P_kxwkbIq7#NpSzb{T~m~@JFI2S-YXoDdQR_R zvP|{|x76salqgv4P<>ye&Q|2wT(M*$uJB8L7JiV~qtuXTzVs`@?5r(LE>nNFu1q$r zXUXq)@Y7uKV6@AJEYG70`mOi*aokH{S^m9y;zRa#rn<3ft_TXPJHof}diu=F*X~N2 zYGw-lT-dQEFv#$MbLOdKseaM+Q&wpzt+qLsa3g!#Rjrr1e)pziN9Grn)%|)NDjv&a z&OG;C*o}OzVx}Gbb*-t7&ho^TuQ%9QA3O`RztLv;RQW4+-cNnzazW?g_nWhKra$$+ z5Ib$>BXRLMrOhI?zj;@znlL}T`|44*;8c@Uv##5wv8>uvbNbg`pSrz|9z^$e-aDVt zU_W`KRK)w!SC?DQnDl%9G|hj%#P~d`99ZH#S`)Vy`MB|weA%^=_iL3;(RJhPXC5EE zoBQL*gYD4=r`@^S)+CoRrHcJyq6@?MZ4b8_-MV{h?Y)C%4!zE0t9^TD>h_P@js@6U zZ`=R*3+rj=2tBJ@QMbkU=^0y}_w>2hmi}15Ti=&=@NJ1#O8#3W6ZxFD1%DJS|1A}N zpedI6_advsJI3UR6N1Vfr{<o?=HQk$Nj29@y}3E}iD^q(;(>C}d)E)m_2T8YsiXZa zeM#N)ObNca8wN6Kr`-6>bNKK3hJAkwoVnArRbMokoshaD8u7g&@x$bxX@6(DoOeTX z#{<3twp+D7e2{f$zr2fS|D@ES11j|_MO%LQ2AEjg+i<ENbp46Wgnc!V*%#(A$m_n( zJbY8<*pwME)kmI{8#>p;UpaZRYI)Dx#0@^8b~%#gSbj^je*Jsn>*CN~xk`%<7wwxb z_30s>=e$KHPnL0%d!0)?&6u+J<Lj%lK5t%fFnfA-^ZwX%ymnvoZcVsbd*$&m*Wjs_ z{I;ihUJt*+x}*MyR>O-Wl|NQi|C_M%=e74OD{__n-xgiTI(&8`e|=++-G|QWu1Edf zH{CgTcS`8qtF8aaKbwTi^H2Twec3YOSmx|tvHcNO4}|n+?pybzX^GaMtB&!lvOPcc z>Lj%MefsVSV=hzw{qDe3ob$Y|YxExdd-I9G3pu}Se?AKBliRpMclQI`XCJTiKe3%~ zc2Ds8sgLKiZ@PJ$-}vFt=9@VuW@<libJr2*_CFH($#(Pc8KI&FWp8rFl_mbFl_`1V zZWP{iLH%9wZB2pu9hu(@n$x|1sC8E_x%@tT&c@vPG1gLPYN2}{)qR|jxMAPPMPe_u zgxtRQ*Yol@na64N+~>c3H_mwS_4%b$dt`hs^~k?ZFa9YUJ3sC6CS$n=&ZcipEW5bA zuEBIxMDwZ2=069|%WwX9b8dNOa{k|GXV1O*yWsG%^2Eo<yV&YEW{dJq@;%JpRNxui zl{sxq?wLnf((AW8ZF+K`z2bYKf`5oo#ai9m(qGOC<k@#K^)ma0>`>m#ynd<aCY9e^ z>l`+V+05Ym_=vf}RsMj&(l3w9Hy!Q1U%{cVK%6;pdnv15;EAJNoPRBD|E&mD$X30O z7#n_I^CYP~w?rjOPh@ORzP)#^c7d*7d?{<(Bl$loj^zJQUM*d4;&5Nfji}3_+clTA zJip!5GLwIG%T|~4@^@?|D_Yj(D(2>0`jVZy^z3~hjV}97QxbnS2W_goyW#G4!Bpvr z7O#RB_G^+~a+bR6Pu1D<X5tc8j<w+@mU?m49(RcMciOD}sadF9qWI6WxASiDo~RHh zaNot)ZpW1pdx7^yKxNG$6ZQkVxeSJBo!9;ymiYX~ozd{w=ReKI?wqyHIP<T6qpW!; zb6rIKt&P!|Q=_k3`x{}NVC8sngUFNRH_oWM<N2jM-+b!a0udwogpaK}A6Lr>zyIU7 z=kw{~LWc`?PwA_*$^DU=fBIp#^2{`e>}y@e=L+tOm2lh=w=VE`+|nym+b{lFeEel} z^Bsr9vmQU+_O3H8;fa<*+{x83tR)|IH|DM`SyUZ&bI-~t`PcW(Q@p(TH|MXt9I?xn zp4VJ@VSeug&Zex-CVzc%KdlVPo>}c}zThKg=5c|D`kCiz4vSu$TVAU1OmlnsoQ(61 zo5Q4jJIzY;y|ZhF?Bi?FoNnUVCkwDn-+a$+x7^%ATU~bET^O?abirPq9cgRJuIxVZ z@Kw%z=WjCoYf{6qzJ4}eqN)BqOE>R0N8OLC0|l`vG0i^h4X$q`y7Nqp+73ls31VBn zf~{%WnykR}fA$BxT5+2H;Qc#&if?#7t!2#<vy1dst9hLk__#y8@eO~aU35y@W!<+K zdA5BI{Ml}8ow!2%iSy<zi?>U^sGU8g{dR{bx9!RDMHP`>ua@muUMTGvdh^fEqoJ3U zou6OwX4|T{dnV62%OBPov~&HI$^EXS@ywr(uG0L|9KWWjh<EOn<#`T!o#Jz!=iQdQ z;pq}rzTkY+0_XGZ4w(EaEGSQ~`){$vdc(wepErDej@tXZ;^V30I$^cjI$?jtEkkcj zd%****LR-lxe<77QJF?wj%~1X-*jKst)+e}`?o(nb@hA5p>6v+bMq70W-^<mD;Fn~ z8vZkp{CsP-(Z=VRhNlf8_Irviow2`_%~@zsb#Bf=C#TRmcj{8p)Y@!wmu*aa`;xhM zW>-RK^{St5E_0eb-@aUZTP(Xp)~q?oGbaZW*Hy3jdB)29?9W4Nb3er!ddaLaIUXG- zyQ(K~X~Ew@+1<Uy>66QDZ~A7`E%SwkTjI@UHtFfRH}5^umu|y+Lh3!2Qi@eUg#3CX z3AeDV`VZ0$IM^$j%r4ICG>X0)m$p6db*a>@65FG5@5^R{9C`Kao%C+z>}$;LZtr5g zFEH`VH-{A}iN3;zey`f<J=IE9|Lsw?%)I;QXBU4BR}KBOMk1SIP55o&h~*pJn>XyP z^bd*nZf@V!I`dTJGqvO(cPAF>miwwt<XG%-?RWU9Z8JQoDrkJ8`Q7tLwm()r@k#Mp z#BM)T&gQ#iV3x!h|J&XsI(N)wcvt_kJ3Kw;^}^>F+GS_YBwaSO_PPG1&hPEr|CQ_) zS9Iv#_MSgimzBSaeOu<ibKl;j?`Gyb&-^ZY7xQ`Hi6+JS8AU_qam;V4T6{9TsEp@> z=OKYV5pAjS{?;WMo_kX_uf6X;S@-_GcmK2geHL?HkzwQ6X-6i$)X$LF*;Q}QSM!l6 zefg0WWl!4QSa$k<Gh2P7biMUmhrTes9m`~P>;5}b)X(eoLr*a7ScuGx)Q{WGO*aTV zCNgn-jzBhl>sIeeC+=O6?N~8wfAqV%cN^`^89sgZ(Q&=!$VV^D?I)QweJ^3Zmc6vw z=nnf=hPFK%A|hWCvN!RoOJ+swGdNru#(&JTOz`!`-U_3rDG%S>W!~}7)j=ZXk^G?t zs-pS1W{<2jQrDWF-F*F_e&7U+$Yp7o;a*qfi*2%)8o#tsd&;IalXzyH({6D-^dWSf z*X~ooGX)?2<9ID<zw~5A!pf7{8*G~YR$ul`I+hes?j?28D3iz7cb~SiRrP1@TQ{$r zHi(z7`J9?{H%QGZ<P>A{rKKN5Urqh=cu#j6ThXxxnLj^1|Egcy$0m0w;eXoRL;IdH z^2tqlzP{a?E%-{wTkS<J4I=nGrCPrp-f?+T_T%8M$4`F@VvBveJN$-o&he_;<CB-k zPL8`;Hs`qgk<572E63yH@@DPPJ6!9?zu>srfxR2p1NI7@*tLv(gZJ%k%Y(ih_?vrI zyNV&({F2nmXA;Wt?@iV9k7o5<nEf)1b1J7s+u9`Q?8%15cPI62o}tBjPc%68<P?2@ z-s>|@3cWw|c-Qk8Zwog1+{=5mbmq~j@Ha*eO!E%36>Hjv-*$asxt`JTs$h-QO6z#$ z`33S`EZbL~o%Fl!$u(p17oA5py3g2p-{<s21K)P3(|e~Y9<!LmnzV6-+3%R8TW+Yc znap1B#=V-M)_umU`Dr`i-!k6WDVdg4w0iBg&?<$7bw>TQM|Y(inX)I5Cu-Fcp>HWC zj&6B${i`>_z3VGi^n{CszMu55N>)&2+4?=}-pH7xFWqoC@fZ6}iG`_AQR}8S{Wo%A zH(z;SlUkL_sUIB`durPAIFxS9lg*dDI+yWe{ER*4kDmQLNo}41%ikS0OJ7XZ+jD41 z&A(4#me0@p)}Jo7BUEU)QDB>!_}{vN^}YXfN(wEu-ahn@MW>|ji_Fm}du%peIC@fZ z(@{xZwcbOy$_+C5d6OSmZ@&=A_c+n%LU`NmmjCmkZ>%`<^$gzw$HfPv_gI(;omhF~ zaZsshahTEZV^Sx7e&N05eC@VvgZ-AD6{k(+AKQKP>AjoG7O#9|Gwn5w9TeJpPUtz; ze$Ox=-Q61Pa$cQTB`2kSZ5N)pwfo-E*1uDQN_L;VyHfqbw1#<_3~m_@1-&?TomP#z z@=kZT^ZiBQU*B<>^qcTiZ$E9jMt#E*-35my+BW8Qo{+jPY!UjiV#=iZwR+!Q+BVkS zXS1rPX8N~%;k%OQi{wJQzC3pO)y%~8-DS~-k0KYerdJpGFL-W!rDt;d$A@m4*<;@2 z?`96Z&zK@s^GjCLG^#dlQczdcrX>r{K1t%4y?p83DMDM1X_TDXedX7(c^+cR8m{fS z^SX*@Hp`bH{{>;(&oxz-tLl9>w{5g#d7Ik6<GQ&i`Mo5+r>w&KlMIr-=J8D^Pi>fD ztjYYuw(unTjCF^?JpY_<k-R6)ZkMrIQb9VU;m*+z)(wtqC#ro;GTc<~+kO7`IR?W_ z+pTvM`d0@=)bHq-#?NB?t5)u>vAf%8b)}q_ze}zj5~_Qk(xBgK#$sl;T<C02=_z&@ z`#)zodSfRA|7UzX^J39G>tk$Ry)qB1P1~~Pdt=|U71Jyul1jEbsq+Xk5|9!tT_3c_ z&V>14#G)fxU-z5vZMnOn?2U8jTVI!s?EP!6+6Q`>&6m7>&)Fol`j2~w5Z{`{_flWm zHC1=rthgk~v?$xU)qP3BKJ#^dr5zvLdL6o1zb9M%)5cxjeC1bP&bx9eUt_68>D#mN z-J&Zl2<h&P)ePL-<K&*Z_*zNs@(=e!F62GFrOo1U{anENHw&iBnbnhB?{Oh6<~Pd~ z?TV|vkLj&kSL>^mTz^mf)veFQlE04|YObs8v<cib=cQJRR_A}Cz_!yylE00&OJCn- zFnMFWT(IbY)|owj{S8#t)h@Kz^{Z-k#b<*EwYBTkb{^WZ_{dgqj&0|cmy|v0$d1=M zlx4rvm3zCPb;Ii(GnR8tjwfpsZVr*%!K{&!)Yp4kRee|U*PiV6fzdm*Nkw01n4WgZ zWkX^6u5WrrEh3Vx&Fp-jpQyTS@BSi*B~w<k&J=pw{-=NA#6au!5|3|%r+Qu4_J8@h zy?q>oZ;iL?^4Gi>Gg&k6clDx|x7usn)EBDTE?J>IMX0uTS<5AE7MJT~T-TR2<ei+d zV((;y!nZ<Kb3B*1ytSLZI$Qr&Ozdx-uVVc{T|w95{#){DaecjZsnM%**IkW}FRWXa zWm~s82RoFfcwLEe3)pr2RDVFi-lXuFBrC0}nf7AKYyWcZ`=j9<nIoEh;*Zb?&Xyl+ zxvJZ|@B90uv3~G;Hp^7+&k3EKT;EnYJc?iWIbZDg`=6%rbE=X=D`IC<&D1;W{;27D zZSJ4ixj(9}`E9-yss69dBKXn!*Dd*1{R%%OZt#(6?LE%0>GhiY!v~9R``PWWpJw&g zc;eS(PkJV$p5;HZ<hi+tDc5WF)60_mB-c&bW%o?n%y9dp+jEwFiuh1<QdjQqaosa} zLyO{5^RAt@ZTu-Ok*pVR>fSFU;hXQ`91cr7UNwDtq4-y(e<`Xr7n!JX?{Jm;v^FoW z=KiaSO0S)J*G!2i?cVe#dX>KShQszIoBG4Nu7nwXnkDPky!FgMp~cGi|KGO=o60U- z@zJZZ%076D-P9F1>)L+xnV$W5qi1RB{g+%B+=50vVi{lAmZlUZOG~OX&$euMxyyL! zS>4<tv8N*x?Edy%`{k^eeLvm7o8#28Z#x6C@85FUc1B;FYg^{Qpx_VI+$lD1|DA|< zS-v!-I#*iqf$RNZ8$&LO)^+{7GZv__JyF#7y&=xwe&I2O>DvqEU*z8Lt$KmNfoKP} zfTh>g271ZG&usiHRN0}h{DZybg7v&FzO!VsirFoiGN;a9!9n|g)MF~k3v#($xC>9- z!_@VS>D&FZTgD-pmVc+c+_z4=U~a>WAD&SatAd*TnLLV9^jLJ;C%2|nx-+l---3&| zC;D&wdA;RZuGdM|#k1eLe>C|vf9b*H%Yzo(J2z$D9>;){(YkS;CjZvZ7PT#I&+HT4 zwJ1XWypQ?6jHVNBBj=d>Pg=#a!?t_!vkCJX_BhNrx%1}C4fRY`Q|q#RoC!K*DL8wR zMdAG)!m?A3yY}9CWExo%v&ECELgHfio{!C6Ba2ov#a2le_SAncc+?g9#PNkst6lnn z^8y#=@c%hr&taQr{(G|YD#lf(6}>WNif>Ah+ncccSnJ;{pqN|yGttPa|F?;JKfh4g z?T<?{w<Ygmu$yM~{>Ir>r`ES?P1<E|sD;h_R$OHDBcP}*m9Kou{FK5_<L}~ZWqUNs z>ZWyC*iF#O_^rMA(PAC9rz`g#`f@<}5_4{S@&mc^2V}pz%(<-drvFdxaj_W+7poG@ zwr^i}zoL;T-0M-{wKLXz<>^`*{Wk4Osl0M6<ox}k7bRxQz8YE=<Q07OeA)K*tUjt< zHum4^k151X5!zx9opjih>5;?hb*;a(RbPC{>C9Ts(UJTjLU+!ZDGRiDm+!HE!F%V( zI-7GA=R$98T4CAC8ri$IAu>vP&6KU{&zITX=icG!z%ysIz457iOQ$Sw-=x~{uYW;K z(IFM(M|Hu~7v}d>+y2|Ra&MGo_Wdck??itG-+j%fTfE`Oit4+rZfZh3L4xa3y;UmS z`}eZc{=ei=a8lgu%2cnDZpw}S^<OHSvwXd!q&aBOzH^f-pF1wv6!)6-&(hXuReFwR zBj4p$Gw%M!w5k4?$?9KwE?&F4)BHj{|AmF+`486E8oWN`KlP;F(v(db*Ona2t<I2_ ztNXj4wa=x=Y<BQLbIwcKN&{TErU-qjohB2O()|2F%wn_EZuTiBrM_}RJWE;F#Zfq2 zX>Hw(piMVZZ<ug(X!Tw?<mtuv%h<cm|DJ$=?0X~KJ#Lzg`=$IMr%ja-+`%+kg7>`R zW~a|(v+9ZtIVM>gE0}5HZ+B<Ygu@(@ET+d^n8v*8-jnl&&E{>aP4`a4e4hFF^lhUl z?<OanjIOQTBstaHFqHAl$+VEZ)QB|QkeWS(H!5@Qihp5vcW%R(!nfCWPRrQw{Wz6j z8KNn@B70$NOin`4sSoali~YFWwS{%7b@sN_uzW0w4m_56GT(jg-LNT%r%c!?qSp!C zdAq5H-7j3scxup}>fEEu_sb)XF~8o*`>IjaShs_{@9dTycDaR9-L+MPzaC(nIjwJB zX4DH06%X4FnN19m=7FtqmrvQif9uqaOtFY0wyoJV_p<KIiCcf+e4W9v601Et_dV<7 zE*vYlvOQ_`?wP9?_KDukN!5BNdFE;J7QRR^uGxLh@2;|XlUJR3+ovZnOwQgftke4m z<6F^4v7($9t<L)qcOsO$KcBw!@#5c|H^sPqPx~Y~xqyZH*2UC)=eA7<wiM}J>bGKT z>zr3JYT2fFywuLs70I)1oy=D*Y<}wS<G!~?I0JUP4VhWI&Esn1Tb&QeJ1e{=nHx(U zx*fFGGwZ~Q%-_8mJr)K@ecrvzXin@~%Q=z>UH-;;w{n=ybbPzDck`|6?`4;7{nk_! ze|v9xE4O=bbJ0b;-1*L1Rbz54PkMjbYsZR{7YhpY6&Iz=HL{D>Wc`zL<&Og6E)Q<~ zK(7nyb9tND&hB>9JYAQaJ!f;^=Xar}Hre}ByqV!GZE)s`?cEy>HkBD!_xXyLED^tR zh-qeneOTFiE!EqtZ&R4g-EFr!zq53@*uSMy7OcK~^3E%Dk7Z}oL<?iBJ8ya~ZP?m< z-oVQ?H!Eivli$>@Q6FNgXUeVRn{qsD&-b-2-}6rix~Fz|$wSTy+oOK$4Qh%rR;Xt( zS#h&KE6nS{<hMOr-LvnhEY*p=e9q^qv}yk8plQ0f>cM}5jHa)>R(19NyRxF3k5TNW zWz4?6KJo9yCD{Wrm%aRa`=m-unEPoN!S(MlBxU7(-+S?WO3<l`o<FjS3|Cy=`h|C? zOKj4ts+f7nCd)q@7P+vLZHo<~l}qwzfu&EjES=l4q+D2G`G*T47oyvO{q`yAEo&{F zGAVfP!xA5+FY>y3A~YSvSN)VWdC#^~XSc@JZGFeXA9Gf|{itTgc4(pIybRS@qWiwO zCtIp}9g~<>=J904-27#|xo<6Ub<(xY-H&)U<&$2pVzr;-cd6Sf^P&?Js}u4QrhL05 z{`QXW44n-R>^7PU=qDWi#`aF{LY{W$!{wSw-`zgzaX;$7x#zzY#oesUVmfA*$1Ab; zPLHNX4AbgQC*&Nq1>BzU@XGv4(c&GCSAM%Aar91_P{i$dYa<Vbux{998~v;I_M@AR z0wS_mZ%46(b7}<M3)cwcKK1Uk<;orT3-`RpoqfK1Rh`Yl%nMrEHGJ3pniOKYGoVC* z>9uOH_To_Mr!1=iC2f|NOueX<Sd!UvaoJu2u3L(0uIyT`Sy~ao@L#lgjpv3R`PF`@ z_2u#FPAUJHHRZgySMZNojX(Q5Km5M%@cA8`)m}D*a}Ii^9d26`x%1Ah+b>TxKY7|? zRPylAntb)k{X5?r<Mjz)*!kvYyjA22k7VWP-<@wPKAiCM+4?fZ*4O7tmR+4U=K*`^ zwh6(laeu1a(z#nz(+m4M>|El{_Nb*5BsMT>lsTt=+<WNJOQWOwDM#Z^ED>UkOivI` zukpW?`eojtDYy8iM5b;_sC&nG=E5eUrAK%s?R@Na&|up6Yn!Z=9+6S1xu@uMDCKd9 z$3KS7Nqcu?P5DxG_2IUN*Y{RTIqbXmNonlO1#4E_J0KfXwLHj6>czbK(mxI)J`q_P zz#+LeyVLA<sjyrAcZQf-sW-h&u9*Jjpw_OJMc>0yA2^)Mm}{xE|D-|E?P~4jzf&d! z=5ubF%{l4um*%Z&JTwh!Q`xrevaT(hF->;mlo`w}ubp>CKMVKP;+VGK@|t5d0n;?i zORulkJhS1+D&vP6oMqc{L!LeVlVo+z%i_((FEXp!3vWCuxv_i33WhfS?8%+qt1S4e zZH^{BJs$OP&*4Pg_)Tr+qHDH2-t+iH`R^|x&t=c=cmKL2V8^_taXw3wkIgWAC39k- zzoc4l>+Y&e-2pdFykYQ){Ag>m(na(2lW#>l-&SvX>c7+^Vx>gRtb`YPWb4h|>I8V5 zIr(VMXV$}fcfwEq(pG&Y@^JGkiNbq(B#%`t@BIAls`uRTnEcXvoKfFhmX?T?-m~0$ zQ=#zQ<*i?MmQD%LI`@0a;S-v(777>zJum$#=vH!X`PMIyOH)kZ=9CA?m)>*VdZKaC zM636eZGyM|ty^Rl;N>QKq*7kVW6pPB-QP}{hQ~WU=ZW+DtXZGBdy0^8WX_G9>#g1| z*#|m4wAa#g&i6h0UhUx*obYbXr!7Z5-ktesbN=7FUnUQCKhr#!Q+4XwKCv^0ZS5!j z{l4`F=gBOqu$KDS&(%|B9G(+;at=dQ=l%kF?VmkM``(pZ_;<&G^{tkT#{54P$@Rug z%ZgiK4!W=!%vF9e^Y2_iBiqy#A9Z07vvUh3U!StrQSaK{9s64k{hBE>@BH!y&3qH~ zEj=yvCu`RANKN0$#vO0!IVSZ!574#t(KIxV-1M=gMWJ#_;2T4`>dC6Nf1c;N@U~_< z^Ho>BOSjUsmUh1=tNYZrh`;u&p>FKjDVx%|*Z)19xW%bkMniJtdfOz4k5e+&F1n_z zx_HHlKlV*2TVvN->2FWjdiSk@-l-}kV}7rms<m@}&NdX@c_(+#Z&S{Ia@{-rnvVQZ z7rL1q8Mn+3Gt*Fgq2@hL{ZG||so!i8XF9zL^Lmlmc7H+hO3Ckx(OW+_FJ&=}5Hd)U zS;P4FRkLYAPS}NNuS_Fe3F#9T?*z!KT4(2~85wqx^RGUWmyYk8$71PgaxQay{$~(& zY2zW)PwGX&c?tHLYyP>s`#bmWls@GeCG#um-Ys9Q*F42_W6-M&%FceVac`C{*YlOz z%z7z!`u$%ox9wT6KkxMI?58zLbJpdZe*8DnA@B6}%TDe}j=Nm<w=39|N-o}T(re{~ z=aP>+-gE0Diax(`bfZ`EwH>01E5E&rP+iV*|HR+jeavSb+|yLsHEShT!txmz%)v3q zGc%g!CM}I;|L|Z5&xw_55Bp2n)L5n{_`B^XDzH0$^r3yaLNdoQ???4IdEZW6*zXc| zP**l(;f9&>Up{&FENExn=RXSEZ=ddXs^z&@;E&bvIa^~MCmnfF%i*q(KV{<rp4F|t zJ=<SIo{sW7mu%Fse91B4Am>A0cV~6TdSxEUH&S_5?>c49)-_*d|M-~OCiCo@)+ImN zl^)h#z1RM24*E8|?A2vgo^NkLq9(B3ns|Tnfi;O<T0H;X8>PruRBt%P%-j4@U{0vo zpUgeqE0%^7Zx8(ZlO<!7*fcHG7teVvpSx_Dzbk0k-${wfO#7dm4LY^yzEMitZQo}% zuPksZ-E$-+Lo(n@+QtQ%dlT%qRciEu%X+;|elwbW<b5v7Nkgx=115V9-Vw7Z>bU$U z_@9xkowH`PeNsxh@PZ9uZc~N6tYEx5kD+!&>wFE>7h%&4Pp8lNJ~e2X>8>+g%Uwcu z-?+n7mEGaCZlSYj(ckp`z>h&o-^BFBd<*!sq~vaC)UFUs*E7aecJ5Oe<{UaEa-oL# zmGK!v&&^{0mQ0xwHru-MvXH{^57R|1L><noV={4S|10Qq@}>S`ztzGElD8Jj32OSb z!rJ%Rp2<@-t+{tK>1@)wC0-{a4P|<{Y&8#aTK?JU)+TdPvCj64i_A?$Gahrp$g+;} z{N>YxcSgq*om8^k>72u1(fwv#534=@bk}Wf1lVOxb(m=Hbheo-*?T78i;?w_Hs_mx z8ZJwZDBe`GtJgSReU`7#{Pfc9y3oJ>L%iOe<@>lo@QvcSh)=qgw>d36GD9{g!azp< zclJ#O5a-d`BPW!sgE&u`TOZMPTk%{}cG*1hu-Eezm>m6V)4tJh(+N9QZ~ss)&$RZ6 z?Vv($oq*9L*Kg)+g5q}l*)J!1ojmbIpuP8s=#|>2Ck`h)#O<CcyDD$n>lv7@rK-Hy zdCx?J$dvudQ*TcZN-pb|&EjkqpLNsRtJBchMP^<1o5Xfwhp+EA*Muj}l1=*gP%0*0 zMZwZ}+YQA&iN2YyR@55%E|21DpDO!k+2P*9r}zrL2_8#KZLfGP*ms!EzwpN1Hv)ns zbHd9y-peVT)3!b`M_E_IY(q?W$8iJY^<CZR_hwF$ee^~-Gg8ED##-wm*8L*Sr^-IE z))8;EU71@MrOBIC*0Hy*XwLWjQQwvYC7t2h=we{<XL;1Otsu_Fq@*L2+e0Ovn_3?U z?+beVwqlD_e)RN>>GOhib+w%}bl>dwXwTiPTiS!XjI58GmT~jDxQ|Owx%A%IHo>{y z?W3wT1{oPyA32nKrE)d2MmUn}qP(qk<)XYmFSoRIi|Go5_pG<%w1RD8JUHR};;3&e zV9w;^E0x^+rE|7hr1WH#bqM!|KA-FDu<Ns0d53bFdd;_AImxeE-p?|f{YF6E!YsG_ zhw$XowDyW8D~`Ndf98#VzR$B4e1)H0uq?auMqq!s-zmP24TfngC-^>^sLhdmbja`= z$IN*~P9^OU51;Qi+$sBLm!ZuIZtsd|vX8zeFY%Vh-~Qr4O|+(OW?9Ga{-x2yo4+<s z`@3d}#9hUC{uAB^2pXnclYMkJxwL&p@|s;9b<)Wv-g&)L+U5Ml$nVR-^+&gE3DZoS zDf{T-<0%()bc+_GEZJAKFVSV``OuKP;4qoWa*}VOOX)S)M}396oMkNL`7U2>yK-xM zfS2AbXB&0KUk=>m8mb=U9o?))WFIYzT=w(w6rm;7N5qdUe=l%8(8ED<;V$Ptkv|IC zcldDUhk8wTC&2D=p`q{_3$Fw(*Dg;@-(`%86Ps?#o4%v&(xtvvpHec*I?i)zY!pvg z;xDT+u|lLbYNf^TEt`*4+{`E}+*sXYoG@?dmy5zreV&$Do|tjGrFg}s_>)zpGer-G zt=#lv#}voUZAWbbAF90TtXNkR$#z*-eP-ZhuQ=~Hr$bmbc*RB5Bup~S3-gSXv*2r9 z+Ii?0$MW`BMK!9Q>}MX?JoTng(LKSBt;f4}T9ho?YN~4+s(JS7x~I=VmuM|I!n-)i z!d=sG_nDVo%8o{5U5nG+yfIp?oiDdOS^YOl>CdB4)hmO(&F`D5>?hD_QC61ua=n*k z_F~oJ+M#^Q*Kav<aMEPHd2WY0o1gQ$M!xkCKAAcB;xFDsDPOLvzE*war`NX>SzC3# zGPm=BQMG%5HtjTU?)#+Y)1bA*V9}HXr+Ho&G8TT>v#d2<Q#E6{W&F>YqF*n5Mk-3a zoxCgG{JHks<pIWh^PIO9ED8Gb`_!EEJ~J0BUS8MLH}4;p)Ve8VmoI+Suyk@eucW)z zT{CiD%1Or4=}lfd^S3Zh6Fe;J{Os(Pg_CU(UY0G2l%B~v?WE63lO^mrU)5hRo~}H# zC{A<g1?FkT{jM-i%e}aTd78f8mAw_`SMCzG57w@pvT5%wasAm|+(r6wSHTsi{{B7% z+k~A_iKnLoDcUAfx+ZY0ULw1pN1`t`N_k%TFGInAFAY!6uS}aVn|azVp=71v1D`a4 zW1h}D8O0xAr@t{ELdM%R;bq~Z)<c0;HQ9B(d5Yz4pTRuMJmOA-^5gq58+zs)V;1{q zP;Ie@U5DR4yYhh1=5vwLW-(8@6T}x3mzL-#dF^E9sf|mk4t!dpve>8X!yK6nI@6_$ z*uS1OWs_JpMePdnwDQhL%+nt2RBL&`=zZ?wt(C{;t(jZ3<C@&!DSwyFt-60QMtfth z^fRgJ7yACpV13rGH1g+b#?ze3Ul_e=3VtClM{9lXG4H8CzP1UK7L2<>x!(tPO(;H4 z)c@@6`YEaB7*8LbwcSng^p}QI_L-+Gy*}<d##VLU($^5P?p3arxRZ1y2<!{KX{xOn zZJTh@XM)UzHCJv`9k}%0WckteZ<DQ_IWJwa_m+&voVg5tSG4Y)D)eOuqp6BRx0Qrn z_f(-LG8<x+S9(v~bjC8_VCAx-RR=!($&}jlYu>trudnpAeYEtt`C4k1m;ZJPkIea6 zx^ve~QTo!5sv5{t#Pxhh!<UBC`NlGn_bh3Zo;vBWRq+8MEyg0Qcz;IQY#$--hHn=W z=dYhK=S#!Wbu243i<O0gytQfmGub6mQva765bAahTz_M2>#>|`o9aIC%uBAd(%-bV zysh<H&9!^1`n%^C+D-MI&6j@a+UAz}`DgZ@E)bIEiLF}38C;|_xprD-P?1*gk;s^? zD~vn;99p2~@@3=S6`v(0z1gMZA3L+xF-6MC`<mC?lV6%QOKf{0{PWq8XB%GL*<2)h zty_Bm_p;9~HBM_^oIPuw_1w9!5k-wHo19PNzqsi))j8tnr_GW#BH}ug!fqCB3t9Pm z`DbmziIMK}l_P!6d`gm9?RxIvy+!j@tL3foUtG4DCr7oI`B}K)Ka1mnWqjFlt(w`r z|HRa(rcL;KO7e-$?Q83|@|S6)@_(7cKHL6$!1}VTx&V&7QQOyEPK(m0n0<faMgN!A z<2h0{?qsM)P_v!7di%tm%ewo6ybNnoK2Ce9*!K9)^3=qoM`ToL`UH6N1#On4_Jfw* z2bOobUoGvrrmf<7H_bmNR&b}I;d%|zH!FT|ruIes7LmAWtqD2?|D8{QTK3J7rJ$ql z<qlq8xf&I=e9DZ2NlnIk`_@EneUrEJh)ixs=_WZZ{YPFU^4l*O#A{|x-SJcCr_y@8 z%-E<W4w)jc9qX2tC@-GBh)q*<@#H5r5B0O0>^vx-E4^~cj3D!PMf(%aO_KLa^9}Mk zlbO_KTw9fN!DEBvyP)Zoch8ESxc}j0$n~>o=KG9NwWoIk70uS;msG#Ki(}ucgqbx3 z6AKR%yW9zT=Iy_K!PNM0FEzV^Z=%n2cAvfy81+4;@>6)=x`%%k7JXgw*Tj!Ez)Lx+ z;=Z3+nv3kRrOH!-E?GWW^!M+n6Pi|TiYZH!wI1a^T=cbTXN=~}UV)?YKNO3ohn3t> zJH@$er_mvX-M+8SyiJo)F+H$ut3)EVmdT-O26``;f3T;%H{Iyu^u~Eo#1R+Cuv2!q zwXK|+rq)cd(@JQ{QJuf!IFnS0c5qXUYO(<HyMl<R&sKT<bzRB$y-2XI+(9W%HaTi} z<Q>j9orj-o+RmTQQC~FIJ<orG%;ys<&x1ez@w&BWLt`Xkj3SS=_FSv*6VE=kdg*yQ z_g?BMmi?8>YwcIH_j)H+8&+0MKVkXjaM0&_3jF3Xl@rUSMm)4;&Pgj{@b5n1yjo_W zzxUDAt8_%p?Fn&NC;TlwEO5z@O~O_)<7TdzVp~1W!mH3j_NeBpWfMf)ciK!T5&8J% z>vXB}_WpAoZx(3hf9$vT+TLKzqce<`2PP&-RGDYqwD#(pnO|0D$Y}UY?!wI%)eC}} z)@^Wkcs4QghNgCyPuHQp%AT#RFBW!L#;p95{AI3qp~>v^t=&_EN~T|YbC~4?t8PuO zrsH>s3ny+qaj|}L=}`Psp^~MR4m;c&OkC#cE$e01R?V2X?d(bEJBxZ0D<(eE4LeoE zKl5;dczQO^zKGK@I=PDte}ro#tSRbW^e)`XPg|GsQ1hZ3?)!~Z5!~V0sxJh+7k%Ji z+^8$P<%8%_mbFG<JJnVt{;*x7%JbOhv7LW}P<wH*RQvLy8dC~?)Sp@&srhrQ>2kr( zozHUndtJJ%`-1BHr90nQ+zeP-7~9*qTuXKKbKVy|O)4VSv)?X1qj@m5u=i;Ek*&sI z8E@UET$&-(T^*v{cEdPoSDa?5ZEnoAHBpw9srp)Nb4(=eN8de^`q^Z{w*ap?@1A#O zl}vS|)cM~^^t|4BZdcs0zbg;^s2A$-U*RdUjrBt-%M{f!mukP7owO|SV+v_IuPPL4 z;@<otS}4h+YrpP?#;ro3<s1g5S}Z@WJ~CZs;Wk5Wzt4G-FWZ#Q?R>lbROUUFWm*m4 zYcq3=0>jf6CikqI^5WmB4UP|go!+6fR`a;c&IunKWR-s~bw0S?p>}&l@ScFAhx&_F zRO?xvdc({AGH;EMi>B`Hxv73%-LmhoEY;b4Dn@#ygILk3>w7Nzcpv(#JGA)utCjbd zi%Wm}+*0PE`8(48Yn_Vt35R<tmaf-cx+XVGLB4KXQPlcWzG-tx6xh$FPJR-S-@WKw zpqJnL8Nqv_J0}J24V*5$_J8`Zi_*_uH7)yHd9J{6LA&9ZgrzCPlPx#wR${dB<~}`D zsAM*yt#iP)#G0f2sj*WP&6n0$=>JvGU%p)MN~C7xpQM`K2R_eL4VwIE#&pH(CC2Bj z7w&vfBkS9r@pk#tOJ-Jj*C%&d?tQ&5IiV%!)UN|`?)_C+ai#Fd=H{|HcUT@-M6ECN zyLJ7=Y^%O`Q`a}k)-cxIlW|)+^|ak*y$gb$D%hUa$||m2lCyZh$DSRz2kRqBvrHZw zuR6Kon|+$i9c}S$qgQ8>p5No?Yj=KKy0tjJji=*~&A%)D4Eh}R9rkV(t8jF?X8l!+ zYoo`X`sNjePn<0O+|oI^RlLakM3TOp_{HzB9`Qzbl|lKJZv;=dl#p$l(mTI$;;yGI zy2Tqz_U?L`C_ZuOj;AlXtfDn7ZByAU>nu{KFptT+^GUM!_#-jR2Qm}Nr)pN1Ig35` zzt`jM*4IbB2(*2l*;R3HN4zPw%DevynKu8Pu`J+28go^bLg(+^sNb=V<C&kYtk<2p z{GX{`wtwg;w^j9f&H8&q%x+IPD=x|U=iB7U)z4S_Je54{+S;(J3Xy_`2Wxe<Gacn! zHut==?V-=1{rxg>+`ndp>4arfoOq=AU+9&h_p<0MU&5B=eCC$il(K5S%htkE)3n>> zl>GbYXQ9g893Ovn&cW#t7Gi0yBu?BppRqW(G5uzJ=izz9D+_PzKawbJyp)-_zH@rs zj*#=4-iL>2PYt@t9a|Ln;kx%%9qUU8d^%q@Jy4wfa^v#nMz-H#R!kMwPL2L@<MX@I z5*I7pRPGkD65_n1eBnFirOj`ii){1M6rJ^a(&;8yu?vSfB_@_l^WFSprDYbsm$A2> zq}Z+<dnGm>;CaQW_b$QAAe2#Of`0tpLn70Es?{Yl$_VgBWh^YHZMHlU_vTUV!I@{W z_Cy`q{L|-7SF6#y{OO;cuABb(>ACC_rajJi+;NBIJj?zXde-`B*@Jhd=N^9ZTebJl zqt|mjYzb1CU&4C(l>Vj-3wV4F)!q}Wn(?<yWqyKDi}})H;X%&F?!5dh$^2u=r8e%f zjEU=AWj59r3tyLx-+xDSJFB-?=K6EdOZG}#xD~kcjltWiX%7#@&8^(~k@xLM=5<SM zZQ7I*@-KYG`wY{VmD;DDa|)Wqha6k4wRF~X3Da_QhxOSHrM)<Jg{?ojcXg$_cIr)` z>6ROAEUdh*k$Us%vu|<#S7$K0t<7EaSoT@Mmp3ks_oaIZw<MTyr&wQ1uTQ@9cj~0K zMa9t<?mBFXS$_V?Eq;kgMKO=={nw**PucWswcEG1oB`(;-CWq0vgDd9*e<kyHEM^4 zrlbGL$aUBDNKe`HCUdXuj-b$NlkKdx^{#QG>tEYZ@~P47SIN>hrM+9sb<&=DIcse- zSu;f`&)Djnl9z*DVlLN<GUi!jriYn!KS<TRvjnsxL->q;!<<9QL@r3TU4F%~qM7eE z)6yp;lOKL5WxC?ZU9F*-G4ob#{aNV+Q&P>B-xKS(?wS)nH8xaq-NwN6E0X!m*fvl5 z`rNQ=-k&8$jefqpbnkk^iO)wL9WaX2n}4K4=T+&Y&+9ifn1z2@>y~<H!Ih|I`&OHO zcw#DcN4uKY`seXk_Z;qL{}g%<XKyfn+1$e!(M^e6Wv^X!PhMZTC0hMf?5Qmo>6fzC zZ=K-!R4!0%y|(J|be+HtyR$<N{+$*f!0I3Cq+V#bUUQk2=tq~wjQ$1-gWLaAZ@j~H zG54X6`*z+%w=es2=c)a*z5J(>KW9ShvBv9>7uJW)?lE5XcvqMGlc$O7dvs#NV$&6m zJDaKfUE}##d%ZsQ?ASG?UG^J8<-Y#-*p>2a|2$ox^tm_AXT&U;GOgmO&;QHoukS5o ze%MqNuzdLfbEz+%`F^D@np`|<*4fvbY)4Oiy5dr<;aj}P|40aPUV+coPLoeL%Wk^) z>Q6Jd-QmB)RyuD1x8-{eFZQE9KF_{au!K)L^g=kZk9X*TKNei;CT%^u-aO(2=R@u8 z{QSOM6N|HAS$+g<i9BVZ+o!+w_5PxVrpsKVC!dSVTKJfC5AUa?SNt<~X9T5&S2hQl zto|{nF*9q%!LL1kvYszlD)#Azfm?m_*F8%w>D1bqO`Uo8$*#SI)t)of`WRGuPJ23E zD(x3HtJ})QHXA2x=-DG7HTCLuud6<8^S`&aPM@vwq_yGuk&NT_Rc>h>u47*HquJ!| z&HIfB0d|+|rr8^^@EGyFTX!Yu*!_JQicY<HRL0XE(OkZ>fYI`JL%Z(3b7v0woL$LL zp?&Pi(cEXBo|GK-729O?g^g=!p!(93?;TJ7Ei%7QI<s}n^}7zzx~~_QuphX__wM}N z15Z**{w--%GkNZP`j4i{=WO@dt<QAZCBDjTO;(gMI#LpFO@H$Q;m7$ZtWI{j#q>K? zMbDJpntb)3h269{-1*NeOxY^W-ug6&`@QjU9Rc%8apKo+ei!Sjd{Ak4_)heZja`Yh z`Zhr&>pr<?A7^>Ak@Mk;jQRRqZlRwZEfPER=o3%Wm&RzFE&S8Z8Xa0U;h%FHON2)K zzYG=L#;pd)S-X7{e*eDb@V8gscHF#&WnUTjc3pL9x2tV1&f9uMzvk}pp!oq_%Kx+K z9=`61&V2HQv9>`iwb-?-I!XS)%xK*m%fB(+GKqRo5G9|GvN*NwZ%Xs0N>@$mvVK*2 zk>Hyib&;EYG2~~wobA;aHRa*HRs0_c7PmIN{>|{BAYA^zb2sjruYWTvo;GWm&N+=6 z=Yqb6*ETS2UunO0eUDwhskEHe3VBaba=kTg-D;e@dddGO>`wburf$y3m*LI&x6=9Y zuEw^1UOctma>YI^D*Gwnf2Qr3X~w-PpNiC9v!+cIOrBY_-$418NcHC@w{@SWRs}0+ z=WpL~d&|c7*?Fh;I`4ja!+Oi@c>%idVW+-jZFhYyVEj3}+U~-oT(8V$`9@2OrwOYr zc+Nh%EicIH!cslPw~88>OSx_fFFbxqLt1vLv)q&wQ}+eE>e;}>Z?@}4a7Fr^%x^X4 zch&tp)vEojq^;TWeQ#x@h1GH4uL~n1_Zggd@q7c{RMtm=H43}CW6O)4AJm`!enQFq zr*5~xCYFf>E53N%HeFZzrgOr~OZ5|MO|8^oWIt6dD$NLb`MGU*=0V+C{z^+cTmPtV zJ-Pps!*J=G(6&QHt(rooS2a&fwz-&bpl_PX+slg@cl5G}79Mz*xu{6%L#$e_`9HmM zuUcQbmYW~t+@#js_NnSwlImqDY5Kk3`gV~Moe$6YRNduzHsub>lMn7!l`7OfxB18Z z_#nrvk>in8uW_^T`=yCovuDU3_^wp^X0MHBtQ*_L3f;OhIs1>bx-p;G9r<nge(n#v zOyNfE4fR|bGFX*!uS9q6S9u%h$SJfU?Osyb_t`UrR;-I%DEI#o*Vi9wv*ynA3by%Y zez;9;f85PCCq&rop4jEp{m}N`arMK0z00;2dC%-QZ?ykY$=TXzKc_C=_PzM+{EOli z{{xc$cTXvDsG0rp-92%OAP4p@tkbd|?)BpQrFhwPnZCfT`%Yn3c<(Gr<(oDm+xYJE z-Hh*<FMVR>ZV<ZqX1UMzB}@;#u<MFU`6TAtzL~q>w0sKpx>9Cs(eK{NelwbHc<0C+ zx%!U7X0MxTrv!!VVhH?vQE-{AzeegqdAGAK`B%>3&z#w;>UPy8Gu7$rzTRUE)jhL> z<+eRoHmj6B+U@dh`7)EW;V+Knx?Jv;5A%&}mrT8-xm4lem3Rh|%xBNNB7gX$wf|yz z@W=gzDT}P_r2>sWuM6#LTP7_?S##?&gLZG#^0uZ@jcXsb{-4-3Q#eAaAX&O^v%h@d zv71RhWlzkPD?K*TQ~r;{WutRG$0GY9HFEMq-p6Z&u1oS`u4fI|QyVGGH`!iZIQf$F z?|XSYmC4c{k3BkmReEp#YV&=+ckKF`6#9*CY0k!f&mzugeG;Dj*TK77L-kdg*`rOz z1yuKaXcv3LaXGIkFH2d^x_aubL;78X8;-2dkNLuKwDa_})xXTQYc4n~<-Q|rtJR_I z)w1q4mhHIRqx@%Alx*UnECW}~r56Oh-KtGy`l#j4a`aa8>wcqK)_cRAc7`UUO5c;O z_~OZJS$rr^UT#X<i`~awo?usrJ++0!XYxLmOP409CG#4eD9Ea;Jk#gAd4FnIq=H=X zv00{iZ?;b}pZ{(GUwuf#`ZPb|VwF2<C-do7oN{`2%388s@^jpTGavmg_AI--EOWMB zu$Q$>(yq1BHt6UzKaQ%H7t|z|_4wZn-aFG7?yhb9uBrN>kongPg_qm*JU#aM+V+Va z!5>PZmPr0L+1)Fsxo8J3TlnuSd|!XhyC(Oq<3dV$Vff+FgEL+wJeBY_k=n30qW;Q@ z|Hp4sA9oL}`G5HR-QAuZVonLdA|X6`Ior~%`?GMb$Pf{+&M`BeJvC+XyF86+8aDzI z#2f@v7ezRzdI&CIotVZe781~*5q4m~f)x$tU)Mf+lXm^nU)!I`>Cey1y!^~(Z}Ia} zBHADJKY1l&Znoq@R<qb@%L@0yB^KX0lfA=_U(2-){vo=X*XQ)E+~qrdmM>e%=DX|p ztcqY0j`vc#YSvvjQ>SS(b;h0NNy^vW2XWf3?c{Z-_t;gdtL-}L(#CY@R{sDG|9>g3 zo_Ga$&gHp$<{_77$$=fe>x4R`SI;+o_wVwKk9(s^7bmaXwefzGSMU1D$CtJ5y)!X+ z!mG68oOJQJi<)Aeg*Jy49b8qfJAH4mfp^gQHKhv5D<VIg`!Xe@Ec5t&M|;NLC(7&0 z_Z<A!rT3BT_>1std9}PJpZDbVUtaw@Sn_$kP^5DTgMLTD{d)^edF-|jKfrx#->MM* zLcI&MbD8HQ=oKi}_dJ%^d9HC<`9z_WlZzYgU!Ak1CYf)qnex@oF?t_fT9tj2Ik)cG znb#tdGU8UHH?tS)_k8&O-TFq=ZTTY8Lmut$D!q}-+@n$&BX*xvuzk*FHCZL!`jrx8 z>Vl3LSr=#Xne<O{-@DY1rzkFPp4`)u#b!ZYqE}5VUbJX_;0wNlxhdbH{=bj<?UkEv z5&1Ss_qF^F{;l^#w`yNIezh)qhQ6v2hwtAF`vP+(_s^+4RlMR;3HyhMBDuR2J=gyb zx)mNLcxC<m)-7lFxvuu+DIRPw$=iBEdWDcdoSpsds^&BGpC3r*?>sScLF#kO683`i zH4Nqd+1QKJw95LN%4bH3Jl<#W?S**mlD?Zi|L_=8_Z>Xwy=UTzHyS=7YTNTm6rP*v z?g>tubF%r+k~HP>eT6eBJTAo>`sU40c^Nia<H`I&(<^;^(&C#gF34ezn-!w{Zo&rx zc`dm;oo6=)OrBjZdvW8+i1XVFdwpO2*nZ>Y!-Z--B1VB7o=$f@=i05{6l*nbHJZIQ z`O-nB5Kc!!!Q>A!RQL=9Wtvw`lKL`_`JGVa#>-1Sq%<EWIr;9l-R6yoy|oAW-&;>G z6`P^n_q&pNwXalzW67_E=B4IYc3an+$nKa@yQMPoO}~2W1BY`Hy?f-n+9h7-X&#wp z^6f>o{R5{(leUT;$P0Yfu;5iE*CV@~&hIzzdrm#AZ|=QzkCyy{-O(GAJHN6Xe_-sW z_w&M+%QvPu?oX{%`Id8bfo*xs6NhuhxO@4o^BM)T{paBGc=@Y8a--41Q}fe*t>Voz zdiZKrsCvxx+^d}7ll^5qvY#=oni`}YnO>)5Gh?#p{SOwi($wyS&&^MXTRg$`>UQ5H z%egj9;aGRgDcacO!{zy_9`xIV9$anL{k7(=zQG^AV2`@Vms{hV=e#ICYqMyA%W9*R z6^FM;EI0Y;)#fC$*3^Yj@ln+y&$BgAik)>QCP@C)5t`|G-1}JCS&bX(jCXV$bh{oc zvdJL5Aal;f2dTjs{%vQ1{C?bNoOWkxv!qROY3RDrW1H%wE-gB5$-c1c=c&hX+cUoW zc&@dhnd{A}39YUM+@Y%CyIyh^Ep{uNWq43mYVQZv#cndiR&gn7Uap+rbA9>jC_C@M zpIkG)H+_8i{E0r#vaDx3ttwLa9kbqdT=nWr(+l%h<h-oT`;m*TzS*iRK^}`{wq4dP zT$DM>(pj-{+fwQG^H!}bUf}fX?tHy8`5O-#a^}z5IN9o=VfWOmnNC6WcU`hy+wVTY z%`Vn*`me?XzK^$0_nzDM(2>p0kE8Iy*>@XqmcP8X<U;nRS<YJ*rSwalX8HcmZ*%44 z2Wg-E);@Qu+HpsJew}-Bfz@1(cX!p4PIu(I*~#<tvdgLOKZHE()u#EJoM7wqYkG}z z<9(n0w!Mu();-m;ZoSl-^LHt;)frjAlivjd5A5}|e75(ji-5n`($eMc9!=wjWGS4V zFzsE^e8qozHyqgU%&7It`)ps|dmKkawNmbFTE-c-e9_@krRDV;>>Jp=nzTu6Gwf#% z)SfiE{%J$C&NZ{QKNq$##;;^=H1*9~^Z0ee{QYhxe%I<QE|s^qW-|Bd-d2{I54#pz zEAqKEA$wA)nCl|v#rFzC;<-LgT3;E_*}Y_a>vx@HJHy2f<~fGEpC<SrdZFFxXm+7T zDd}pqk^gdI9#$=ka&lRdB=TLhaFdFG$?<w|^Hp(L%O6-9u9BDesw951bXk$s?7$N( z?;|!x=y1NSX5Zm*ye+LHz;bd;-S<f^E<a^w-;|yGW1++!ojvRRHad%oo%)qDX~PP& ziyldC$*U6fDGF{{-Ko4;eD>PA84s7pM{coei%~iu*mS0P>itDu-4>TwbZl=qu5530 z;QbQmJGYyncecA96EE!Qb8h;XroWQw<L)JXw~lW(y-u;!Mq`bj_B%zZ-HkUGrg3J? zT=40~(^ADd8aL!wrIpMYzO!Earha(Rj*B;xcbx8=9QR(Q_t1aM6o&s-LXIusEL>!C zc2A^YC!eV)&%2O26|HA}pEk(oFut*N=6y|-i|>3U7I`uMHvIOWwteOU8&}27Z<31~ z=45oFiD{@@oRW4ZGi**qPujgj6I}Ww7JYy0qMz~1o6GZyi*fRm-CegAO{nyjX0!aA z{7bHU>Ba9ZttzwfJJ^nPuDf(5TV&D;Q|FS^0=nl|k7Yi4%jNl{&iMSZm<LlWE{2?~ ziBasdGjYkS>wCE++*n#<(hI@IhZPGKHHJ$b)erDkB-yqisAEO&{D*BXL|RpT9qEV` zQQzfcygtNZ(c88cN8(ppX5Z-$Vw@lDv50l%y!_%u?Us(I`$LT5!#x&-_xi1V>~cT* znOv(%)m?$N{lZ6$>b(@AGCQo~I$oAMy?ShywCJSEY>O`!C@%8z&v=&8s&XsGVr{qZ z)$C{CT%KEw3jCE)?@Fzk?C@ow;;XU=XN~tM=zVs3TW}y+YKN+wQ}+D7(+}OK5Ih(^ zvqrAquj98J7Y*F~AN2c_O*qfd_t^U1iInv_zAh+PQTyPBGne95f%216pSrYO`CG6| zeY5O@kJ_=Wiz1#SbGo=2pZ&1ir!ZS|l4EM|%oTHd*?P-P8pJ&__FuH#^j!Rs3x=z$ z&u`yRyJJ<-?AF=!qOqSJ?3m>FBxY?%vgj`69gWUEV!9@*|1Yk?cp^Ea$;FN*tZ{49 zhO?z^8@SGVVssVxs401L^@8m3;5D=DoZZ)nN!Qf|6_&GX-^g@lzokRi_6b*?`nWjR zJ(%{3S4{2Cx9#npA2Al`ZQU#Q`_84Ho{)9!qG}rEmmQ~_t}9k}u6OpY*Fx(Cb(xYw z{rf+LE>gX-;D`?USIhqb%CGLf;cTr8I<{|tjZH~UZhM1tkK>Mu4x(@SetcY2v!>>a zV)8AUz!Y9d^_?gA+z#Knl(+YY*3GS*8>ONol5aY{GJE7cKYn`TkDmhW*<Thfa;__H zC^nm48&`S3ZT-si(GvgI+nsxsW(xn@t&}8QxLKjeTJq-Vj^wLC>izlh6WTxV)=T*A zzBl=uQ@ee#;FYOG6JocsObBXzT5{m&laj0Rdp-!?X1sg#&t#FH$M5D9-(uwFIJ=<i zz&XKV^S&wbs_^nl{$Fja)zr%;xw0?fg)ysaFJGlo&7M#G8!P5rdFEd)WxUKX!~OT+ zIpU0$m&C2N`SU%ZYv=rb0`IS{nsEB9N@CAB-9sN4pYCwASeK$DvQ4?HVhe9h;RZIT z4w=WjH&PeA*dej$vEavycncoEO`E3~J^Ay)p=ZZ0j=3+h*|+EzE$R>SaL+BSc$dL$ z<FTmzy9B>d@{+8*`)_RM*v(bnxhuP`WBI$O?myP{OZorREQ>7)`Et7XvA<$#$Qzeb zi%7*%%Qq8d?ftBAeQHf#@CK1dh5LiUzOR?NdiC$S#k+Rx|E6EtSG??1X{Xb-vRy|F zUg~eNtQ4#7dNyz21gH1r{BN>bwd9N@77A`IYq~EnCp2k6vY2^{Y@W4@8(*}Ow8cX{ z#pOqhxC1Soe(^VJu3#0OSU7QEV7|zSa~ooAurIgUcuuXd>9F!+rEs>J^By(z?bnQ4 zusx$hAxZhbv|INcx?Iaxz`c`Y#sl9N5v$V=UCMZ0+rQ$S@`<D;d8X-Z}XbJ<H0i z{;O36wBFbI@GJMpsx!+)R&5k9jq97~TOh@J-1~6d*_SS5@3Q9@-mbf&y+eMk-;TEl zg72oMM8AH2sG^4Bs@4PRR?a3lQOD`gZWk6GN@WTz47Yo=K!5tg;-p&Mk8Kwg{K;}x zwbpNW-uhOq`&R4Winv){I`tkOPgniSwXEsDW~I+^%N`lc7oB-J_FRkA?wyC$ZRlop zoGIAy*vPoa@TTp}y`NG}3tYP#XQac&<b3aDlA?JlTiZ{O91iVFiOWYD6GS8qtv&F2 zre1nh^V378MASFAcP;lmUAtId&g^$i%oDG=un8n+lzA-OEIrrgn042$pA(mA*)F`0 z81ne1w#|bXpZi)@2dNr;ey}6{5@$?J;%#ZunpInC(kdr)_6i*qJ^0AsLt2Wa<9rVx z#p%we+s;b|$=lC-m2&^R?&gm@A&#Z9gl~Q|S-C?%-zp>Zvl`E))P~#ghrAcvIqW-U zPvCS5Igi8qPCwK*Uw804Fn#&+&P%_P=lboZI_@-GUz(-wFK(~+^-|6QyU@~!PGPs0 z+?)P7zkR{_(d%4z^SRxoCpYj&YtPxeZwtfrvw!bQE!y{CYKi)tr-5sVH}Tz-^xN_D z$fdNGs@_I(PG}sH(+xjURPAdSB<B8c_vgDj;<t9aRB>}#di2DMGh1BFJr+}b?!I04 z>}l?NrfC-Os~^iQ={1yee)?xs)qN?`s@IHD&(E=0aA~{d-$;LV))hycuWj5a*2Oye zx7Gc`13ZFz`&L}(eB01`ie0o#e($&T%f|a<KWKMe65Gz~wvX@RiY+$pw=#Flww$<g ztL%oYGle~VuaXT|En=*5{$iO!)iU9kE8jL2{<*lx_CwIJxK~zTtan#!;5{H-b}yUr z&6$!}{Q5E1=6s#C>#an>#z||#J^rRe&6DqEe5W>5V5@ZQl=n*~xNPRR7_E}k^LpLg zZsz3Fd3VnGOb=YZ_~B7*TYIvY|5?jBiw%2v_ohx0jy&q{Z>FC3x|3a|>uR2<e)#(P zhk=mksVC=dC!e{s`E|w=`E7e${}t`$pXz1!pijlCoFn_G>)G~4i?U?b=Wo2>6ZT>C z-U$7ZZzFq71V-pz?mQ#5rk(F?<1A4I*LCF;zqYfV%YEqb?B?4>t$#Zf*ly@s();U2 zZP|tBh^)m9UoD=UOR257@#x0vhlkedh`(OyueXU?`_EpbvM+}kS<7Y=t31g%c$7=_ z*q3LFayr6uCx*rewjNeFmpAhUbNSnM-+6WT-3~upy0mNt^V+*>!&P4Ky0iCvo_cFV z=(6%VbJxs$vmj2AcM4nH$4}XA;?t_V1Cw*tZ!akJR=*>9(c$IKhXs`q>$yJNoaPtl zW|L=Hxch^rw|Y@nnAEg*&64&FH+St{$t@|zmU!k#eYj!H>4><MS+)lEJ_OyqTNm%K zck}He)p>6V55-DJ<UV%qexBs)GyTTB2`~0u|MiKP+q<*-$DV@^pFRwH-p_k;--_5J zmF>cx*KIhxr!hg{#qktzZoU&{o0|iYkLjFTal*{4Ue|5^gunkw_Q>iO<*g5@R=Ybt zC)`Bl!|%5rM88<tm0Y}gTk~%GJKenoF?MQENt^CXS;J@Pw`1?V4A-J(RVVj28!1n8 zP^pS@ox#(5Lm^8)g-<)+wb<;X2d=xUW?GhYU;K~Z+McPOW7pj3jyf9ks^+AtS=cAh zwSsjuiXFG3Eg#C+9qQJ1y|LVfPb$z%No1S*<6!Y@_3skucbeTQKJHZU_9>Fs=DDfu z<Rrnk9PzsL88Rk^`ehHTKfbYNpJ3dZDR-p%OO+NUwa9;+#Bxb5pa02vlishs;b}{3 zKYZBu|9!*e=B14uQx+z+2QIx@^ykQvS0CF8>bcaJ>jS$t6*iwg?5x?d-)?Phf1Rj& zZ|+-{GSj<4yzFl{)Rq>!Gn{|pPw1VUx`$FUw=Ld!rbpg0adXDSwy0(IuFCEB!>IgS zs`X8U+VYBJTiMTLKW?dE+mR=FfJyYz!3T!9b51-7Z+QNZeLvHkR~FBfoz3Havd-+? zjA@S|FUd*N%n_cMeT#Yf$K%hw9bj7ccYm~szeaqZ;1s{NhZ<k4h$+2rqrK<Q$8VB{ z*dMKkJuZ3NX6m5_nWcM#BbA+$8<rn=a`3pf!PmCOnhV{^o@O10O_}bt_r{b%$I5?y zeA8&3#eZd$sQ$8wy2SRHJ;IsoFK3z_+tqt^;;h?@Ggeuh4fB|^eHL?OvlYWnuRTVr zD<@ks_{y1dnFo73;t#SpU&^p=*%P<rEvLM_^*O3*-|+5TdhFll<7|sogxvn^Z?q=K zj$>NC<K7zuXE@Kz2#n`?q@?6@L)UM|+|G{JC>x!Cw8zqlD=ZylCbJ(G*NnNQ_Tu69 zj}Z<@_7m4P8O!^HIovjq^G#j+yDRBN|AX@)%k=YKyG)xj!;f|U(hY}yu39U6b80q2 z`ONa1Neg}l&%FQ2<y>o4tAaoXlaIJ!v;UKjEz`QQ-(BS4WcfHHC1j((CU>Lmzn@ea zFWzC`blDL2>H6FiwQnjLJ*LQCI=n0-?Em^B?R)C1jY@iCyOO#C%1@Ub(iKX25;Mp3 zL*ta4cGo$VT-#8lF5Pbue&SZG&DAHdi+4=qnz>!g^yJ0EdmCS*I{usxKke5y+t#R) zF+8=GTDZ???u-e$WTPo?u*`A4;;uDk+0I>>B(A+=&-+;wWvR^U#dAIGPVTL^C+c;0 zI?J<?k10<XVsCG>JY7?>;@Q2G6Xxv8pR{CWrC!)_mT>#LI}5zLQ|?W5{2q7x9A|lC z<-}h7Oa3<!61$c-SLpt2^cCB-Et^O1!PPI4dg7OETTkrWnznJH&fK{VS>H+uD0gxv z`dj_pRJdf7;<6k4dta7Z@Lu8+^>U-b;i$_=@!sdx*oavin6qVhKkKJ^29nyBZN2+g zca<dE&c7<fk$LP{iGN)7gIj!lDf6yL&G75G;w#%QvtL3wKG<XVp85BR+}R5P6!_N| zpV=-Umw&WkNomTC3GI&q4=-Np^y!A|^N-H#3QKIBrEX!l&)}L><^JH>ai5)<T#@gV z@+>KP#Zj|<$Cj0CZI$m+6}}$ob2u%b$Hus4ZsV2LR!q}1^SYd#-JRTcWw$j`u;}(+ z#n1b^%|HDMiFuvb`^nD3UF&Z3=aS~x%O*UXCLKQCQQf4htWJJ$oSB(>)`u;1heZ5% z7Ol-=3ygGM{&UIZZ>-DAD_3e-cfab(5qtfkvNU?x+!+_9$lhw7qVBgp{-^!Y30|^i zFLZgQ9{)e{*`HMtM859~zIlEB`V|tKn{Qn2e%(Je)5OkI(RhAl)}89fkDh6!+$-Cz zx!tqyzDCNuzwhcR(r3u(akHE~q7^>(as9cD9!t+(8bW+Lp$S38Rj+sWz1eNSJpEr? zWw27MOVNQH-Wgdlbw0PbvUS_(yil7v_km1`Z?M^Jre$tF+!Lm%EH{o6JJhu-XP5Pi zt{ZlDbXEP|ABqe%F!*-qlc9wEil9Xr&3{(2n9kO?ZxO%J_l|PY?*@xVUIBC80?pXD zCm!u{3Tk~Ey7J@YT~-tC9*~+YdH;7%Qb@Q|Y(!ws_E~q|6(%xYp3{^RY<#ZwU&_Ki z<~#Yi7x;C3dG+i`ag)`_`VF&%PrS50Uh#c(I!_6^@{f>?{l-V;bA9}I_hzV9_1c=t zTYfI}-MZK7fAH!XqP|Q2&vdTpoN@ZO)Ul^rk)Nw)ZMC^<Qfjtv!ZVxY6GZGyC*OPZ z_?=(Mx-|W3&9bM2WgFwCteV=!y7Ie<+=dUo*?!!-v}C_oaTkY^*WKThi+kCE&8~gc zwAC`cdH9#hiA8-4&L?MSEt$YM)AM0gi^RVZ;k)*Jo_xc2Lt2{AhGkzLteG-@eXZGN z&wlRsFCAxZrFFdM_J6cYa4IKD?LotP4kG8x(#7kKdm5b&ykkD|NUp*<!#n#=rR+%m zrjnQwYP8m}uyNj-H<mU}@(iYat63IuUMFoy-b)UdptJu&JiO<h|MKP~$DaF_InN*L zJ8*OFi$9BH8xMO;{nWH^@)iA*s$1nuPAWo?ic`O~PW;Ma>=G8@eRtV}rTb5a2u8Pd z*ap9FJzO=(Noen#n5tz-u17s)sM-o2|0y}k(p}LwJ~z+mWo6gz5XH}8rqemR?IV@n zteKp=Z&m2ua8vR4sm9J<{)cwUhkBT;p4I)YxTQnym$&iV&pom#QFmivz8H4?vr|~$ znEdQl>#~Nnv}qw9IyBTb+|asuQ{{Z;n>D>c8`)<YC!JSObLqKn&h~iougaJi)75>a zUjFf`ciA=dl*+!B3^fO3Dl1C=FezuZIBES%3Y(PYY<csDN9e0XuFD*JEN9+dpw=xs zXU)CN?vnlQxvZ1ix3aqBiey}T**!U8$KIOj22J*x&Hkn)CgiIZy$d?!%5}5mTl&VI zdwc|M?wrlA-Mm3L@@)N<fcvv|Uv`;VQE2jdiM(#buL~s+6AK;X%UfUW5lp---{JDM zz_GIO<$<8v(rY*tMwR|_O+2$EDIuu)?)=Cd4RaoKn_FI$)za7RW$^A1Rh}lbLiMLb zaai{Wcd=t%6Su!f4_`OKxpvNpl^2V0x6ZL{cpklB_PdMMJKsdj3T&y=ot3|_AUS^9 zlS>W-Q<Uz#%09#OTKjHe#?J414rKI%#690LZH+g>>6Wj8MqDB{?R2JpnN#PNQh4A% zwr<6=H_6M~KU@6C*4<H)dGmg!&ZNht3->SBzh=g-Ly0ehA1&UYQ}yyfv9fcUQhe+F zojQ@78!P;0+`BaY+6}uJ4V(C7JQouuzX&*AV!)Im^h(%IaANHPgPWX6yUY69a`RtY z`lPfwdVN>GMDr|uzP@j5%=Z#Znyf_sfB7^+s&>cBmwXTI+%}8Y@kd1cPO8Q9=<EIS z4{2IVsQ<B{b*@{2oXpQT&VQ%dth>@)ah&bcj@0E!)Ar9(=?YhBJ-O-W$~18^pM%fm zYq5Q8bN)2p)?(oe&XzT6C#-U_nO??pZ0@U;=d1h<oL;`kTB-0}8plBi#TEU6Df5r{ z&1A7$b-a-4WbPb+_=;IYyG{M>cz1phne-z}u};-(Pxq1DgY%z!54fS{w07Fx4A)7_ zcNBffE-+dymuA1OzH+6HDD!vA7yWaLll~d(Jf^r`>-b@=l~@1r1!jMc%sTuw{fLcF zP`^!@MplwY{A8z?qK#Q*E{Z?T8eBO(XXPI~yUA;{?yXSSp~o@B?XAJ9tr5>or7-Ul zw`W^#k$FNk-AZx&R7QR4^>-5<3O9HB@=BV~v-!w1yMjGBt`mfl=dA0w%cwKq(DOM{ zm-zhI+v~D+)0PV|t$e&6h2CdKXSbX^n{GK#lrik|>lf#xepVK&G5d7ct%ND-YW3?m z^KM%+&Y$zt<(ci=hMvuHPt+_C4k*}m*=EN+C)U`d7kCp|E7`vv-MsSs{XOOuS?!XF zivt&{28F9yPMB}@=U(K@w}+mmcV~ZkVDOS9EOz&F-el+Z$JWNCXtrC69_!INrnph_ zxs3XzLr-hce$Fng+QxldIPh5U)*rq#Mn@Hv#POuvwN%=}FSEbX=)cyUHA<&0t`2%S zZ<E?dq4Lh01rOr7ZBOMtw|Ln1sIdED{ki`Z3<*tJ>vp$R|9kFIy!c*4_p61K3=;Vl z*(W^T^my&tn|g2l%oon|FJ&saZ?v|yDK#s5$+Gubmu$2785N!S=isfVDeJd>J({)n z;dCzHtk<(^u1%A1vsm-ZVdV`YIYV*gC-YCU9TQj?xG=cq!p!HZE-?RbT$s)iu+Us{ z_JTt!3sadjwivody*3r-x$#ir)77Qx_a%z-hvsT@?F?HjBW}j`K$s(r<-?kavI`E8 z`}SydF7-Lld^UXvU!H~ks|Teg*I4PxiEcjn)?VmfbwI<-Aj91A&*z=Kov_zdJ$0SM zd|9pg=JLzC?yj3)S9R=1M%|nj#%J#=o#3L)bJ0)eWLwdT+~C>Tx;F#A#VoKsCH{fE zd`fz}!1tY#>~d@VF>2n>3D&=oR(Z1Z)#uCCr0VA`H8}XZKgMHzx8T{UtB-3fse3Q= z*Nh`{&-3gxGd9GA+1+}^(H*60_Ssk2N85e&t+K+b&5q0F-`D$hTK>q*m)1tsca>+n zP>?GBA(8OJ`WSzG#JoGnjiSwSPQ0no`7k-a;K{0~kGQ?pyfcVc%f}P8+IqFpT~Vpk z|M$Kv+TylVh%ah>N~3kx@tB<D+-%2_p9Eh2k|SOfdqj0d=JkpYJ(~}4Dz>iq7ILMY zlmF(g=Y6_+E~{4dPN%Q4Ixn{#DthHAw0wOR-)xObCH$s~4$pU)VX=1NY1yjSHQ&ND zPFss?vJ;<mZpVkDYrpniSuXo5RCQ~VQmOMK<&bw#6RqDSEw8C4TRUUtOrHO(@jK?! zK2j|!6p1|bM!MC|`}jlMjc-mIjrowYS>@dBBb?jAi;o!uc5GKo7ZtYijc|Tsx+!pr zl+TffgvA%`nW+@cohYz%(N$5#dQTDFRp+FoC+C#*AFX>BXzX$&Yr>IypC2a6e=lA1 z`1~Z{q(uS3-uk_(xB6eP@;PFWF#p25n#e^_Y17|#efpHqXc^sU6{?zD?6Y*=oSA8l zW9_DWbla=QQ}IWe+wRjzy^JG#YGqOzi+m5|JuQw<+{ts|NhsIs)@Nt^9A^8vxv94< zk$mxUn{YuyM#bGjD`%Cjbh^YNW~VN`fn8;O&{^rl6HLT8=Q}O5yueuz^I1$w<?L2Y z-X#}>KiTEn`Imjq%*v`q{?0!ErI^RQx(|fyX30Bz`4+`Jzk9`<a|dcl?+UewlrDdM z<($Lk)03U%SLa`v<F;x-`8{v3V~me&@~?Csf3oIW==9ewXD~XqC#_mwxARVCkM*I- zy<Tq>wppz_VSnjR8?RkcX3&dQ+EdP7SaIE4tLbG^?c|7gUez&^DxK$^`1Jc2Lnxo# z75N0K10v?7VSG>J<j<NL2EI-BYR>aiE%vSTu`cfWrU9PMxIEhvRdz;fjte@m*ypp1 z&8d>B2I@uY0>AjQ=EWOy{VdX3+4gke-0$-$|9#jcQ}!!MLftShe~VI6>7w}6+LAIn z3--Q>o}P8|)`~I@7T!MLvsced<?=MSE^t@PWzF^YklFV|CuPia)+%G{l={6O;wayQ z=8V#))l=U~*B^0ODZra_X`S}XQ;VMoH8JzeD?h)pOZt_C#?R(;kDM&{e>hI^H<s*C zw@(W4=AQI)Hcx-(J^rA|bVFIqhjZPkY-2Na$Vu%A*t*L{ajjLtyGPY!KlZn2`>V&T zSSY+XvoLm3Zq1aw>N)TBirLA&Yx$k#Q{;E5aN*0VektLZPkqZasXmQAyDDogbImHP zHvt}@@5*PfC)<WP)VH3gKJ)Tn^TwGe6Uy?^7re9eIL5R5_&c7O%B{jH51wmS+v+9q zY2~fYu|n>TBYJ111+6Z*Uo#;m^hkgB9G?GkvLy->^9`C<&xN|{+$r!q^YE(QwrRaS zs}HYU8u_n~S6$|Pv$>+~R964>**jHLW(d5R<7%yQMrqZt1zj7j&dlEV;q_J?{+d@$ z?(BVF71lY^`0Tx;E!A#{v7c62uC$Ij@hExG{ql7(%!bEHrLU~IZg2K9`J)7Hb#0j- zpViK-8~$5Gtw>KTxbgETyO>*y{%qyePv5Fp>bXA2DslgHuD$o*z=l|njz@KtIiJNp zPRy{hUdGOH`8nG!4VFI|U+uoJ*=eqO9Q;h+o3;Fnl*E6$JgjyS)en>x-{xuElH1yP z#odZIUpsHU)3d9Q9<g^MGuE5UT|c3+cuP#@Be{?hduDcSpP{yMrFquWcQ2N^O`kFU zQT4sau|2J_<{jZB=YNZL@9;CXdTRS2@Dsz@ORn#y3aQ^-^65tU{vRpI6W^r%-y~u7 z*L^~GPV}8QhFXe!?rQT&3WdLR3cfljD;9me+UMA7PV1J{$xANVSXloRUi>SREAvxG zcvc?!gA~`J9y?Z^di(Oo3dwh}N6T$|KDacT=h?o&slBiB(v9akZ`}AD{7HRo<GlHj zw~9rAKG}pndw9+vK_%Hj(Sm!=!$3DSt*JG4&4TYNRQjX1rtspuNafVN+3d%K#2<@f zO2#%!EM4|+eQD*VT@3GoyKQeCXgtp|dokC|5A`o}_O@L<9ibekW9*tbDPz9kD|z** z0ORFaDi`IPL!6W@dzW6jGg<V~tL-tmH@y$q?Aq^BoEPfRWfeZ@y1vHRjww7Jva?qO zAM&<0UuJPddCh0W$BW8X?t50)K75+ywdUZf$d$}-b~UNepW8RM%iLHxp=@*bmt1q1 z(;`7r<zqc;7jp+J+#$&|bNlhBm9gRvqKpLACwG7RbyxGzSI^d4;rZ8`<h7Qpzw&yk zj!s;EYTZ1CC2{{%{Ysjo?z$>kuDovjTf((B(dDx8obwADI`98Jbwm8S#B}C}IYp7$ z;_E(UYR^(T-ZyWein{gXpc{PKf6Y0ecjJ(z{;Qx_`5Pw$9#Q5qb#eVz%*8MHfBgy0 z^2&$4H>O`&*313f@a6YHyB7PWS_{8-c0MTJ`W{x4^K0(sj+d+MPv&}gTRkX6uF!eT zi^{V#k&2zGcmt;jh?X;2y)zMuTRXw!{!)d~D)EG6k5-8qz27c!{*>tJ|H^UR(TA z{JK}~K%lDS#(Nu-Up@&re^0Mrx5cur!SS=sh>J{mQMovvZqAESv27VGPC{o5T_#!t z2CtnS#^q^pTENzQ(Gx4(XBWR4K24l=YV~EI^KZ?Pl1!uHDuP+KgKKkReyo{s`=$=_ zo+-E9SctRzx@oZF-N&F;H*;eoe0#2Z^Io~;ZDrlNbu|adO?EKF&ObG=tbP9BJd>WY zlioxeD)4@><s5f4v*VQ&YWHjBuMzCmDfW0G94_OT`oBK7Q#eolJ%`Yf*i4r&mNU$M zomalO<91g>`QY8mo5y!=_@~yU`S_Fg#VzkOqQYN&b#I6dT5TSoA$QcX<wf7lqP3^a z1l~FRV=MQC4+}Hr7hkqnyNhXmPm$8*-0%g(FZbUp(v-T=@%X!nwDWuK<tgG1*#m#i z3R?Vap2@1c>rIwD4bS|N{@<v|=ALM+s;k$jC6C`Rw|gpEe-X6pb6)gy&88XGD&?iu z@=LDepSJQ^Y_n(7<o%TwmBX*rR^)HG)f#c3#k4XuK<%>Wq!}_-PJXHJ+;>n#{jyr+ z1kbrX%%7jy&CYvj`1+ao!cubs*-kIJ#V;QgsTZ9KmCXy6y~DUii|Ly2cdN5&JD#3W zW$k`ibK|Pwxej}_JLZX%!g>$VgoE9EIJ8?&zCZFLzx8Ea7`NX4G`|Z@_Y}g+6aNb( zTGh>7y|D6K+OD4Zj|Z0CK6Tb~^VL;nkN%$gSL{f-(nI4So(JzrtUewx=zrdMZ@$UH zrxLR}J01iJ?$hvE&lJ5R;eOYQ<J&rpcWGD5yRafY%l+f#fU|27`n&wEtUB)MGx?%l z%JpLf=Q-b<xoGG;-+TE|$7PSFNzGdBemSU8k#GL2A3v9LwqMVwGr5(y?xv6QW0UJS z^9-+bUP+UCU|f1YpG!EdLt9$=PuVsWH?95tvn&2(aE2JWf79XqF1cS+JH`H6s_@P) zpPdz7T@>9BX{;?W>BViedEC31oR1qm(SOf%WkpB)tcs@C#_Hpi4<+x4R@BZBo;fwP zaozR_Q@)>0sZCq6TR&EB>CSgz>Ons@l`lD{VH~EIJJCDykX*tNu~{?p%<J`3x3^5t z_$YQ$<ATPG<pIC1t#L}27~*_x?VXQ5d{$>~e86`1RZDbJ!N!oYDa#&*CTG~~y4pEs z=c%vRtwzsdC!PCt<(b*8U4gRoH{_NJ-db>_|4&*^@Z3A8LhaI*Do=iyaC=Tsx8a7c zkX_xGFOQ3EoWT*-wD9Ho8DeuchE1{i_bp<_I?-0H8GX_Vm#W=4w|!m4UbChWv!>MT z#%<Z#K6={ob39mHVs|sYn*BK6`ulP*CSvxTQPb}qZ2YoJxUtV(I=M<K#U;n%`-ev_ zIm;~`&a6|~@P=>s&g!c3vVKcGy?ZI~H8ra1>+cPhH@|gxaKw7<hYwD#w0|_02i>n; ze)&$J++w{8L3i5Lo&R#>GdI_ghkva%?n&e{xou>-=T71Ixk08LbFYYg$g1mfdbQW` zuJL;9f+W?$pH=t+cHV7yuKx1UkG8O^$Gf)&NzZ?-ms^uO^{h*)$&1?M%bVGEJkaa% zjlLqfBD`+?vTD0`Ts_Htx4y?V-Z|g9;O)zK%kDPJopex0@$8Q~Q!M`Htvcowwpy}0 z-9veH{dTiWbIY@pvn}T>*?G5Nk3P@mUnS>f-fj4N-1?#94PFWJxa`NLnV0dEYcBaz zZ?VKIPR%fTaorwa-AL&<zb-efo$`aFwdBF~V~sb3C1e}(9Nf>oSvA3>`jYMUQf9V^ z8f#Zc3k3bG^ZIUcpLa)Ylkhy&yA7;=HZGFhklj@E(<HTcq7&Nz?Uj$7&Z&Np`tszj ztamH9G`}n_d3lm;qF2?Xo3noQI0^lorDuCHSH&yi;J(jVr<|t0)L5Lm{e0r%O%c+4 zEO{G0?uePR?Sb4kr5Q60-m`rir{>1$q_~^ovhj{%*3aYAH+k)CEz|tqz-F&oW^6d8 z>M`R(1OH1$k8b?ZSH08rC+qz!2XYo>RLX0Y*GDVlx39d@DJl2bWx*S}<vaWTz5IP8 zJ%8n~+oG4!t2X|0f09%aR&wG-@UjW-R-6);Z@P2-yk7pDb@JuYo4!fBz53~@aK2VZ zzeIId=eG2_=OsmKmvj_4{@8PHA?Jzo9hS!yXomYGwq8libqnhK&~kZ$L&2OJM%RGl zQ@r~nv_snG{Oy{*xT2gRKfU1g!^yub+uk$WvD-8GV72Tmy8~U1SeE!{olCR2mwT;K zwqN-8&)3s<%D(2?I~+HuYTKN0GjDmb(u&Z9GwW3~r^dQ(6g26WvG|GN^!jJ-O+<ed zx5fOsS-t3T%)iJZ@_YUiUv)`;^U43^oK@1?1!)%?c4^x<w6kw5-u2Xxx3RlliZ?Id z#J9C`FQ4YW#Fl9$p7G9=>*crkz8qJ$Lv2`|c!V`Ajm&!3D{<hR;<JBm)>Pe;{}Ze8 z)#k{Ar>4uNem!={zIUm(&5~(-kNKm19dtf9?V7pRf~A_1w@BONPKvThofP$}CF|3s zLoD9+XNYVsnf2^kh==!olWB4yt9C4{i*LN1&i}R2{kG$N*<&7CH%u1Y{6)mhzo`A~ z3Uh%i-A}&m(KugRI`@oZTI>C3Z#PDMD(zl*<m1$-+7+jyCcgbDW~Z(fHfQ-vd4WsM zf`2TT^nK~6Pp=9c`4o(DertQ}O?0$Ze9^_S#Uonng{TWRx1*l;6Fa3!gX-31?rmkE zeouG`C6eXBUM#zHsD9lPNw-BpXJr-#bexIS(&xT<I`m8at?;`IP5O+#o;DttzUpUI z)net(R~ofNt$LJ$`OBH#1;<Qv+Vtz%!;^3Lu5?eR`0BDHscqUPrm0a&*OyCHPg%bE zi1f~&zBLb5>|uFbIYWEJC&L*!(fh>h&bXf!d{AC^@=1GY>UHV9`>j$dkC(9Lh0WWp zvBWRb&;R?o1Gm~OZ^h2yy|Z@adJUC}$({Tf+<y&wAA8kZQ;EE}L6*1Q`n=xPzTG7n zVckvaD;Dt2c>Cn{*1K{Kxn6tQy?Q?JnR!b6?_cf`dV!WdcKC7G`uN<?dwSH&HA4IL zTWPt@Oe@o)9(NZ^n02mv)^>||KE{>nwNmbdoPP8DXXtHrQKR?QUAS+ue|yzib@qSI z!sM{}ZIh49dVMRlZ2OLt3-mv>X)R*CXdsoOYSbeZb?Iz!_iS0=zQTv+-7RK)=nhEV zUU=~Tir{@WH^t3UJ6mHCIJ2JX<837s@0r_6{s*7X6Z|B1)9r_*D%Z<jGmf~($vnI4 z#X0w%eQHH_QoP;C&~N-^zGB8=?lQvtr4M%<?@?`cJ)yR2&z}NbzHY;Mp@Z8$7v4B1 zyKD0DqD$vy$+vg<8GZ0gZ7r&Q6D<0*)oIsn!{zO_lP-H)dGYg*!v$$M^DE!lYE=&( z+SaRe^<Bc|6kYGiD-T@N&6)4ddevgB!~c_a(NUA-F}2p=S1kHhI$VrMSZ5<6b-2V} z+N|?aY$VFgh~`<dCb}<T*6Qk9tQxz_dZMe$bqT)1%W8i8kDY0gx#+S{iqu_p%^p`? z^-yh>7ug~LjMJ<m7up*<P3_vvsBWWo^|o~1Q>WPv);@AD5YX)WVDqiRNJQiJOD@^X zpC%pNbwPj0iZCYa(=V2`<Q)B3Z5*@XPvP>+fXK7F2P3&ozGu43cRu{eRIZt&8&7Hl zg@h<>)-zqtXt!*Ix`@&1BIWFLi?(T`Tr=g|*7fSCqjcn|ujl0!&&kTa_s=kS`t;=M zEyr(8EJ~F)Xe+eIy5pg>jq=XPWhZ>qeHU`JwpJ?S2J$jaoBjnR)ETIey7!*6wEB zxm!W2)oA@YK9jjGUD8%qf3W{ovBFy5S-<4n#+}BNmyWPE{;2e|mRQMg``KQv6zQwq zwR>hiI4)GV<ljplb(hOhmCx!P35S?I;r;biVH(#_QU4j&eokusb^mjVu;q5m?aM`X zC97WZ>P+?jvpeZggu?L^UoxVZleJpka_pP5@R_^T!Pkr1rt)vvt5~CaW8dZ%sf)Td z+^an1#T|NtBRK2##uN9ncT9N8UE%F)@#M#=3h`g|nr@tY`>ngmB5wFh;otp~YvWY^ zmHgW}_@mB?70K@_`LNAoy^5vdebv(4RogxuuYT13c-77K$MRQK`G@S?67_1Uxr|!x zn~A&6@SRTB`ReqS?DFu>HWiBbX11>$EIU3aYhS}>(I<z`inBEBh-TaR-Xop8`^#;? z$4l2fvpu_2Aail5qR)iqXZY>T)^`6{Z>hG(>%_JRYx-ssR)loK6h~M(lpV~oTO#^V z-$L`>70^0>riws;#m_!OzBAu+Z<hL-G~r<XGZA}KX4vys-(=3bc<M@qcWX|ByX+=* zqX&|AnO9c0eRqhfIL7(;@7nycOV9CJr#9~GpAwU>y*g~WwO>$~t!UBHu=$$1Weh{! zx9EK4To<zLZ1RiCCbPNzKAmuu_y1-Yqj`zdm(Ey=OnPCr{Q25<2YiH1KCjo>Z`!-* zlxFt9a@FSRTdrmui&}o?LF>+x--+c37YvU{PS;SmEk0-7{awuF9D?s3Xk9!L)T&Z- z@#V`q%qwQSb~zolXE}G~_3sRy_8Cj9DUiAycU9@M$fg%H%NO!$zJ2ZW`|n1#>G2|3 zYjw)~?9V-!yLLk5W8IBKb6;34WH0DTySF5uQ|>+EAFJz}{-yj2ZWr^csVEOg5fA;b z$k{UKh4J~U+z<QbW~dyKs%yz_;Q#Px=||S0)5rIof9V#TGQ<4M_Qeq@=lAmq$Zk2l z!T&+^vx-o@yK&!aZYQ6RHaYM6aZSQ|wQ2XKZaKTPDXnYWOz(OAGJ&;ApSHG^&9LR3 zkX>ROxbW2p<_2k<xWv!4KfUrBc$HrK5TA3RQ#5<^(L0PelkPN$PnvUS>+bt|u9z%X zv?F?bfa?;Lolz;#*2*^xSIZv{Do?C5iHd78wlwIecdT0CaADsOzDF{PrU|9aoAT%V zqQ|ioUtKqc*Wb#t)U!G5!eY^D`%PW{Q|8C=n5Ie0Y!`UG+o=0|6kro7JZJMw_QyQ_ z{EB^=ErBlgZO(Vjv0HV^t94CF<2`}4po!@xH8c7pwa&C}zR>?9bsy(0_qEn5CT#09 zip-vRyQ=k!l*V<buQUJGTlj8q-YXV$!|rDGu_HJ6RwbU#-?A~vp#QjG#VyD6dwpBh zE6Hf`zdjn{-WhoR{M3K@zI#8ana5Ri?qIN^tG;BofBA*$>y1PimYv?4wL8A+>z~W5 z*Jj)b+nTd}!m_a0mm}83`)vxn##<Y(x+PoGDsAqW1sOT9JSuv=yFEFtXvV(hGc4!W zTkVtRS}5ORw{t1?^gVA}u1P4I(w*(DVR|n_dS<KEq7&hA)60CGg`Q#NDH9YsDX{%t z>b%y6St%Qv-|%bxma?2__H62nZ?(NY3hrrl^6GisW2n?#<j^|z!q5Ay?}Qgx$8~c4 z^=z59?ZAyMYdP*76iMIp<67Y<cd@7|787NYT-Xa;vYx-5rIK#`N|3jI_k}*C@TJSk zJ+C>vne%4JTw5`ziIbl=+<4U*bhom=XVOK3M6sJE_Gk!jPIb>o(Y)@=wKXVGb9L+O zf2}b$0ulvxxUrPge6=sp`!elRtJI6EDSv$vnQq4(`nD|Gvgbd8#J2k!x7Gijo7UgV zeN+4A4+HB6xht#9c1ylHEOKmzI8%RAiHEGDYD<uj{Na=(VsUE>8c*^hCh2<h@b8y3 zeVR43uAF~y%d}$gN0ORlrxwll{oF(9=2R2ors+E0YWC|qs(Ix;bN?RAyB}wE|5TVX zV}b25f!9l~{5bk$gXHti-x+eOUh7IS#!p_eqjVux=o&{+sgj^cNncJKKlGVh%tt3Y zCNQdtNwwZ_;jP0-)=O@1dJFv(;O+l>ds#~FG>eVtlN`zw_f2hL^-h}i!|f7hW5gp~ zu|i?n@b0|e6^HJ&?)|uV%FOL8!a0AEJ{Ercr@Cx%_JlQk-mSIy7Cu&uwQqji+n`&W z+A4Zf@XG3rQ*oZR%&c0H-(L7+RJ~)u@e^(H=eV5nPLO10jN9I(sb^;*As2pR$;4kt z{11$$eqQQy?SZo162tXD*<Uiln=Zxfo<8-)y@2g;`-<{c%6_~lv2xS=_tKXeFZ=9E zHdP4-3CVh_SXrF(C@3$zjqS$Kr-{<REZc8CIH%ipEAfoTl?~VDe44l>YW3syVqylO zj9IE$<$I4w+&SEMtzc!5VMMg<V}?1~r}N9UUKVcJH!q{odg-)C2Dze>(GkJZUUCP% z{_%LCpTn=B<ufnEt}u&PGhykMxjQ#cGrpGkXuGfOy@eA(LZ7Af39LMmJhiqX^~1(f zn@@k;cuIZB(dBQgx0W<J6<s{8CAmI$+KafQIdX=(=KtOG%5I+hir;B|p+E0kUR&Gq z|9yx@-KyV-hx6;B7sUOvO|*Munb5i_^x#dyt6JxbbB<}KNQb^|6)oKQsm|z{ZRV9* zer7fIHH(+-`)QoBbXQ4W{^9qgtxuL`-Q8|<ZoSt}+vFd6{mh<h@3+rL+aG%BebUq7 znTJ^F{)IkKKbNt1XRhcZulw68omM=u^{M$Ne?MaF#Z&t4(oM=U9FBi?+U~Jr-~O)6 z(s9vE<t!JowqA}&&Ysj9UR=hTGbv+F?$$5Nl?6FMNlR+)Fs}&Te7~@g?<<4YnldF} zb;jL47T@%AxNe&D%`fzocB@Io%9mN|qn$TP>vG-R_o+be`B#pWob!Sw`Dz}t6Tf}^ ziRl(b`_8WH>lPDDcd^`f{Yd45!sLY!tFm?7TiEWoH~rQ7R($>Xrpud;KUmORy!-kL z9sQ@zlQpcGPKhk~ziMewVLp3POmmFbw8x)Lgl?S|B=Na~W&UYN$Lh5&y|$lDXO@rG z)_cQyysVgY21|)(Dbt6_t}UClU(a%ok6rCwQl;@ZSaebZ^RDmGA%4?Vem(W9TSRhS zmx}s2Gu>TlW~^Eqb#sfI>CL5rXFOB$P5$!cWi$AnHr%=WUdPKnTb@YGE&e|Hnz4Fl ziQT8RS97-emCjr56c;>W!RGwfnCBBV&77@e<v)4Oj&mR9%`#4YvTB$4{FzJ2rq$H- zFWgWmD{lQn;=r?zun&o!yt8YCE;yJpTn~!ov*L6(o%rd$+dp%Wt@hI0(==P#Mb!7d zbWsX?r{9*bQ|Rwx-=#r&=D8%gT*<!IRe$odeS*dMKhgJm)fq!)eGkie)ib%_<Z@|t zo8=RJv&bq$p4ZOmbxQlVXm<TBlbqjPdmD{HR+-z|-ZtoE-7vj9Uddv{soREJ_n+j2 za@a5Z<nzC7*$%%g-5;{G+@D>(He2z%^2&DW8IyDaUxYJ?uPayD-518veOkN8wZg}b z<J;W>p1&OJ8qMBI{?QW*_bIWx82Da8x}Kxx7#myf&IhXh!ym7nAM~_o$~EbqAydtN zhGZSvoLRK}l&bXCys7S<AMg7~L<9-Sv{rpRaP+xawbG;GN<Rhew)MWzv$&~wXmygq zGqt2o8v=s7%_Q~D_$Qmxou5)A`1$gSV~@=@C%#lvIy2oVTu{7csaoE&W7ov_CU?JK zn{}l9Wtm{ul8@JVuFn1`R`lUiNAGpk!r#$zrdMn<=~bV)u70+4C8Lh#V^vje)uX$f zsBuhN4%#R4dwb=(*XA>&*H6~hlKbB$d~<4hhvH-HX04BBxA2|T?Mci#_`YaC;pTz} zS083W!98=2`7LgoamxP2?n{R_?umZZs|sJ$TmQeuF+VeNXMexQmB;%&PqIDl`(^&6 zXM2(#PAp$K-Ck>vUG?=JmGgh>ykA{Y;yLqx-NcvbZGY#kzarf!aC+?>^$y*q^Mnk0 zZhgPsZCO44gKL@WSMmJBDq*Y3Rq^GEe|Q|L`S)SU_noJY>l?&aA6W5wiPJZIeY3`x zFZC{k^_nU7YJF|~v^Y2LoLM;E-dDyyU#Y!rUQImz-zy*4`Ts_g-kLqn>OzOqk_m6V zTsW^;`elQU<gbi(np~cycV*&vIIh-*Fgm^ZvHF~OQqo)pme)@VUd%2%_BeZ%!6tsg zrE>Ag?Do|;4_y?W^j6mR3Rl&zY<%_6)c3_l_fF1RWfyhJcF1(y+2Oisp}b7C%Z!bx z{-H0IPuR9+YK3A_O~C{WsYkqF72bwHD}Tp*D0ki`a<4w9Q7B=#gwccMV;62}wuJ~B zp8Qnh<c64vkIaGV_+_kH5AOe}Bxs!#XLLGz<yn27X>pH^#tZqyPpIWAh&WRIV?r_W zJpbc~6YE3;Uqv*}^zdo<nUlQQ{>eW3X0;A4%jO@(hCkbH<`qs)u&Pygc%bqF?<&6# z#n_51ouJXNSs_keHm21roZ#~Ka_b94p}*m0E<Ex+Rr_YzrYm=h^}NiiyH38W$^6^g zzvSb&NsDK$l%E|iYs$HRs3TLNY&^gGZ<7dSo_r~iId|K!tb|Dh4(HBm<$qC7J}-Nu z=H#vt?b*+y)ftz11+AM9=Ihyhry$U|oN>m~zAf)9O)q>gemU>TyaQX-`lY0OWBHa} zy69%%9@$v6T|eD@lJ6#}?UJ>>*!gbmpM#z6e)*Ry`aOkf?&1lDN)}bW5Wd#e`Jp=W zZzq>$SbE<J-oI1Ye%xX#owd_fvD40PdjE7AO_vz+ziVdh*HXD?-FA7qidXeg-hidQ z_D_Bnd7JIUUrE;s;ai(~rLSwIyv%(0KkVZt-zha~pBn2K9!;usEod-LN}e8)bTQp; zhkJ4nn|bH++O({i<yU@)`(*aM7kRWMH|XHrhc{-P^JBVM8gf-z`t5zLla;qEmh~Rn z{&LPW{X56L>-84}&-Q=D$93}h?H4yg?lr})l(Jhhf$go=^ym+}^UDu?K5ck__jab; zO8?zAZWu-=u2fIsC=KnYl3n?Ksp<vA=VGE8brs_OK9o)RarBSS!O2D6USEHEjJH4C z;KTWUbF@VteB_A|N%HEs_<8dAx_2&7)8`%Vn8o>EC69ld|AWit=YEK}BW&@J`_+G! z>;;Zb<pMlj-F&8ST~y_l_|{bRw&Q=CR=w`$3QaEy<aRkL8NVT!U95xiy}?r1Urd(A zJ7qho!#?WGWZL4M7jW%++^i>JT|XjRzT8f9snJpTGig%(@tQdYpZz~l@4bNY7Hg>H z_sM$y+o#AXJ*|Dn{YF6Z++4qu%G!My4e|38r!|&)$(**8e<Se3*V-~XNq0u&!FfFA zxaZw^*%Fg@rt+X1=Vx7OOYWzU_x9}f@!zQK+*TUCm+$rRPweeqXTK_q$S;~1xV_iu z+FZWZ{@1*1Hn-`X^$qq|WxK5HwTG%peb%zo2R5i&G;cfcRW<T&@tgi!aUR~OY>Q3= zU%zW{#^6Fk!D$B5P`mJ|ThlL1^e-wlsPY%uRA~@dwEOL)v&@tC%sZ(NC-g{jl7`Ij z>C*9Huh#DNDXuti_SuAgQ-#kiKH!|kCvjohhY11mj_$FxV6j)(vqd~y-M?t|yPb}g zC*R$~FZj!A_w(&Po9}LZp1nL$UHpLJy^DH3o7TUa`~R@5RFd*lefhYlZ|47)=e0KD z_m}I()|n<<U%K1!ut8aJufUzH*4OUNG}*LzMZioOuE^)leB->!Ha8c4h)%hoAosIx zMply24UIU9f6~`lchpb*P}{{3_bp*k^uCAE1%7q6dvyQSYCicDmecy>xAv6<rCgGR z(sz#SX4T<HSX{N;>+<ZLa{s?!dw(0$U)VjrW_9y@^;5aKor(he(`(c({kIli7mv@n z?OHamYEPVP?adD={hMFk(7mVot1p)QIKvw;d7uA%{DCX7CGPLAzmf6q?fW?=UM}Tf z(=2g6-F@ogS^=5Vi8iMh%YSBAzxjImhvSFZed4LX`(Lr`NP3ziaKOBCI(zxsIJLQf z3PMk(f2rsc<eF0P`|8PCr&nLCSotzIK4QcE<&10O_gtCM`>N2(w<JLMwnKp4+Ew9Y z?CXA-Z+ks^(p&4^oa@}T_y3E}VXvrN+>p(CI_$dS3fqRPKb;%i{dSId?B7!Qk-uJ= zQOfk$tGV}u&xF<`i>iJ8>-giG%Kc9now997_x>L2oHH*<C0_A-Pj|%eewqI}j^Fp( zT&0on^v{hen|HEb(DxJ3u6Ja*=;$2cw8(9Kb$8bn7D274Kdwkm`twjultb*{%{19* z(G`!}_Zh^*$ZT9(u}m`EdUwY}t=c`3*;{VQZ7vPE+Y_y>xNK3y#+%{CcYnAgm&eX< zd3GURLCZ>xV4KBLwue`B+7<ZZAKS=zQ}Nh~h%?jQEZQrRQ?W5m_aeip*(c*pl%$<l zdu)4b{+74}6I`-qo!oaKx_a)ju*YJ*U%0-TlM|>l{g&6O*0x`JYQ6p{tdzD*)qCA# zsU6Q<SF>1f_0%_E&ucW!MegmNEB3f{vW5Cn^~ZCp3eTzfxNZ!c^ZAAUF-z&Amh%l& z>yEn>)?99zQE%4eJb_pIw^fbS$v<r~>OXa|1*HEmo-CPE*r#RXq1d_4L~Q@<1!C#% z`+ufS39?-};mUofSKQyVzorD4|2iHWa^;8Tsw&;`edaf91!eM%X**tfXa4M;;xn_K zGxb@S>rEcJUp|-OWmns3f28-R%Zcq9Ke%rW)Yh?aW?8TBXR+k|onKF$e>CS`!JmZ( zgFW>>tnFntu4Fmzm)qaHVBf!nnz>)OmYsH*G2^7d-sjV2e0~+lbHJr|@+a#v0?%X3 zFU|Pa;v{4{N%P>>>-w+yxcM$r?x}sNy7ZTe;>z@tQ@%Nm`79=$oP8t9^X>~9;h5fk z+5!7z10}fEbF4CcxqnOZvHH!%H>d4kpDS?kyw=a(T$+{ZCjPnMICal^h3a1w%cVCi zURY3BxZsN3nh(8?roZCqUF$7k))hDB=0g^7w!c{}`?VG=Hwfj}Gb^&Ti97$#tQ*!v zQ8L;3TTiXcocM5E%uVJsCsX)8XiK&>m>$}8JO532>WXOP(6Yn%eksqF8a(U%*!JVW z!}~u(HU<?a<_JB#r{Whg@wIW2UYJMtp4^y^xAR|hy=zYj{nivzyJEtX*SueO+o$a6 zy3*UneJlBg*CmE|nP-Al=Y5f0m^IhBC4Bbx8;OZ`74sr*-BYr;^ykVpy_7%a*6(e7 z>%los(B<I!ITjxm{}D_GD*m=c|DRsqUFkm0L(Dg~nwYk@tN#4?ev6&?)r019=81%S zw(nSFwBk8ysc2xP>!wxamk+qlu73BL)8=Qp@J#<NEc+hyKd)k1`!B^<A#>>@yG#%E z|0|bl|H$qse#CS0EQxjJr7y)UQMf+muph_E1&0gQdHq_YxOb|E%HIzA6Q*xHf)d!? zZVW8_u=CCZTl<iA+6O(p7Tlhs;{W_i_@A`ayq(93+AY5K>^GKE=4r1u9xM4|pIy<^ zNH2xXmDV}#T3(B9sK=b@^Qe7taAWwTlM4+Fn9S38`eCBe@imt#W}Muk{iA0Ne^gD& zT*0`q4F^-?o=)w1>~uip_(fi^bE#Gu@1LEYm~3-#vC>w4nc^i5O;^_4_3eIpZM9BI zm1xC3Uqj)Zgq>%Sd*^UeH}2tX6g`x&J8=&CajxUvb7LJ|&6?(5tUGV<1fOd&E=SL- zR}J-F{N<1U*OZ#Ahi2SVs-5g%|6TvxKgRs7FE8Sg!sKM~t?ukJeEB`=<4G>f+Q}3D z)ol9WQ8L9)ZmU=I5}lhLgmOg7^M9W{soWLcZN9#lb?4TeefN2|pT%~UAN?*X6PBa; zZJo$oFM*4J2X+^o$Wpqz>8}^ha?RN?Vzcfa+<T|IRkf%tsMM;*=+zEqyBeiCeAYh} z?rT%y%i0iq!oMua;nt&h4xzi8*Ze*9uyVWlhla~e&yMHJ^*bGM=KHDq^-jCeRu=kd zpMLZ`@x(H}n{Ui>oThE6JRM{fy3}p$aS^o*mP$ENzs-L?^T<4q&g>Zc#HKZByN#OF zy_1{M566maI_4N0s#oYKZ*lB&XWkQ&>rKl+=XK1V+^>DVD6*hGwd?O)LGiO4{objp z4?jH;oPH~L@w4J$)~Kq<PN9$Av+6xKX?sO8@PE<b-~V0LtX^NEnk(w1_2}Ef(47Xl z`bVA0!rHFfQ&NAN&SS`WWJ>FX8z<eisJ5Cdsk<p$5%+WN(h2jP1y0^CX>sI8@H(%* z@1E`V{_nG7caUzKmWmbEv#ulFGtxdKKaaK6wK<leXeYYY%s5c&kXfLn_Ss3(m)aE- zEi;&)X}qpZB~E^Bn(CFEg;w%E7do2Gl>N8ct=eUAWu}-$>Uxix{`*=F@Go*auJ&=- zmu3&idn$pa0&d^YJ|V?7<yLsbVzX7DKL0&7?J(%G*#GCiq2?4xHB~<E4>_Jmn(C=< zFP0f})ja*M%W3Uzo%<H?E6-lMs$?I&t5s*;5?-H4&I!wxsjt%bqjYamfad%7aKGk7 z^_P2J`G}V-KB1?UIBS8}GH>S>yvI$tYg>0N++lUt<je81yhr1gM?afgemo^&f^?U& zB+tT%DK2{!=LQ~=2w$Kjv(h8XwXG-1@X1UbZXqfEXdd4F=v{lnb=ux2sQpnqGi~<u zWjl0_Os(^pQrE2Uc-r!o+m1@drm$3;{uM0Nz9P@}<q8h_p9v+0G8Ug!=eqhZukd_T zVzu*S7SC+fP}eCFw}h#z&CZe(zq-2HOj7*swUF47GUL*V-DfAoT-o|N;NGm#R+&XF zuXzit2u}^`H9WO4C^9i&^;W&>t><TZetmLq<JBbnwmH+hs#ZIdGtBQuJ8P_)_r6}~ zxE6cLKi?k$(NVn2mY&T~smAqh>`iu@zPfrYx9Chm-giZkymi-<7<C`<J;<}%`MK%h zgFJETQ*Td7nVfR(o%XsQdy>cY^;f>N8D2kXa3&}3SM$wv^Kb7gU=L<|#}%*LuaVAu zHv0(M!x_4V>Qh$Lh)hl?NSfCACT4p{%lVxWq0XHv)t2eBJdb_DVSj4T^i7**aorSB z^v+IHtX45hRn!sP#I@*E$ESy;g5R9D#aznrjM?wh?Ek>)n6I8FTG$$)eur)QmI=K+ z?Ilk6T-&!tc}2dgJKk%oH)H99yYDw0NuK!ZjOeE;p~vs0y)gA#@klJOYROVoH{ZEe zBIg}v`n}WY;=$(|C&YTnGW~G+GUr`q?~Brz%NEwZn<V&Gx1aB*yX`c|i}OQI>T4`% zvs(21oS5^Yxd#}|1#R8#vM%e_*|(lj#c#i~YwzF7UAB$&+CBM27d0)#mVEm+;nr@= z<4)(Eo=TeHRIbR^?dbospl{OEn5?(!M8e)pli|J@dW7Y}gc_ET3~larhXmgFJv{UF zY1oy}G<nv@V^7+Hjy(AlmR0o7FzeIKRiA!x3H!{e;<;%u%VvpER)6ozI}5&ezyH&I z(tp{7C&_)LYWA93b;m`Rzj<1gmepi)TfTi~;Cepfh2D{zjq4Yf`mQ@AG<m-0l^@q+ zUPT))d|XuElbP%@Pg|`&!#VcolU-uRo{0CJ?B5bNd2@g8<meTrEV+bz_I<F}lxb2K z;d$em7%$K5dn;r&?+NP`4U_ts{4i36!+lZ2#6y3(&mNyC_P5F_i(Q=0ShVGFY2cp! zAESP+@788I9)05GGMoC46A|&6bLQF1+jk;MbJ}`Qw$kI#C-fAS>9m-)oU&4ke9wLQ z@Ke!?4hHK=Jf^Odoxf&_eT!~U<iiKTJ;zEHeLU>L`f!DC<9oxSr&bI1&drsqoARFj z>#^rSf2M2`JMo6wll4~6OSVZZAGa37+_>iCrqYuCR7A<h$*v~7E-k!LCTN@EsehRk zUyu1ulBrPA_5S>Y>C-0PqjeJ>y}Zv|@ydm}=xgHX-95f*MK{@PUv}bZd$g2yc=k)S z;9FByFFk%I*X#Z|gN0u@Vov4tu<`x*w}U%>W&+o-SN0vdgDqR@Y^Trr!dQNC<;J}} zZcZCh@~pWd_pJY>w{uRu#N@=ZB8ENtWsiT{Q1|#m&#b9hY5#2N#lLUpi1y^G5oF_E z`YGpH-Lkz)m--avyto?Iw#BZ%M&-+ibEgge)rFX7<m78TGrSn4mA2~V%!JA*+kec; zEbM)C@$tWu+CPdqVr{{<FV{V|8geerKK<00ym--VXRRZ49jP{*D&5X(9dY7j?fS%P z-|d(s<yN=EG^K6*`Ln!r$IMontB=>Q`&^7D{oz~@{zdZgL7}>69$~hJ?9bGHKi}?p z`fA9jjGx<{ynR0*LO5yP{dTRXg`bbqpZMjJQu=B}gpL4@OZ8XRr9sQ>CA3Se%oU^_ zzv8+bZE{5KF)xo`isse7T(WIet9c?)W3L@-y`&=fX8x%+n>?;a_x5NwPo4J5IdRRF zC(P2Vhu^61i56+P_ppDMaPiZllWbb-<x9?-))&2PHm~gC#%t`dx-+VM&pnm2I=ZZ+ zD>3zGl86866vgzmPqC{n$R0>GlInYP<ebux1wAb_6>by!1!oqYRat!4to0p3+_X2k zXQl<`{lC2Cv&f~b`YL`}HF5Er`vs+X7dZG<`7fL`EqK|>P_Da*d$g}B?Y4?Ov2JOa z-Ja*GZlpcDa_(eo)1IkeYDNLekLu4(+7k9HPk!HoP3EQBPW&oP{F|2Uz0Yi8?3<sx zIgfecXH<E6^WNv+n(}Zn*VID4oy+7yU+_I}PrSaDduz{U?$DOM+g?PZJX+w!JtunV z63zFnH}qHCyK~gZulVfQ@E3d!Hf*Rjn^}AFLZRTXcMlfuvwF?`RbceILy>XWk`Eq7 z)nj$ewHvs$HT0~Lxf5;q;Mw#W%eddoS?8&CBxO$e?+Huy+z(vwE@MiduF<=fSt%jh z`%|X`3QYdk^x&Jy=@++ZeI7P+CE3|MJ-o##wf?EHVQ|omoU^&NCGO?TY~6SF%$3ip zgv%l|-c7slt##_npAsv1^eRm{1H%GUxb{3cQE~SWk5N}kt<U6-)^~N5Jp0acY3mt7 zu9b(}+E2b-zJrl#=60=@>*QxCTYYhB6tXok_2evHVbip7Q<Zyp<dUkxfqz{NY;|c_ z^pK-&^4@KpKA$<yC4Y*$Kl`rkjP>jOKYEZFx4(4zgyKb;_WLNFeyqQ7Wi|h{&st%Q z8dAqhZno}N$iDiYMElN`El~xbwktT~FNRwG{8J=*`J?p#p0n$ZOg)*(c>ZK6<6Xa` zJtu4#cJ@mO-_~3bKd-oI*&L3T`bn((@15opO-g;|RP$Kn^d07a`X1+ZD=$?u@J*WZ zouTAy=FDt<|M0SOjVGTwew_)qS0>>+ck+a-z1O{*-!XP9JbyVy>&u?YhrRjMzs_VV zx_isxtWJ=}qDsG=i}N=Le0{++CF7Y!tIDkRUp_oKzg4A4l*8?9!G-85BYPeB{|qX^ z&Tl_tSld`gbS#R>PH%TQzQ$PM*8lLAr=7|KzIxT)DS4cz`<zcy(Pr&tZuY9E6Iyyq zd1(UkZ+fT6?R~go=FAK|^^Ck{GB5v!lq6`zho23VyesEFX{x9elU&J!#0}G1Lw1WB z_<4$JEHMn0op-yM(f|9V36=T!4;IJu^jA#3vDG@(XhO2Hd8J01&D75moVNDXKH@q4 zJT@ky$mqGL>j9pLb<a-by!-$5o%Rypl|gxL(^MiKZabV<J1amg`S+F$a}TFT+RyD< zo?8Fs@#Lf3wGRw3mxO+0D(Wxom{}|{@8=t}Pe*qBzm{fUzkK#`uRAuM=byULv0?M4 zE5}$Dow@5Hl`7`(Z_=I_MryfJR)(Zz^%&g`mb|zr&Uo7Fp2yYy?YHa+(vM#k!s>q3 zE6n80aT|61$MM&0H&y%SnC{!2WqbVOj*8XWTd&-TJ8;&2#-o0%l$bRIRolKY^#zD3 z&RRC}w8*54$VbYT7s#frDOhC6r+78v$K<xHZk(pk>a*rvKc0~m#Q*JK%Jx59T?!xC zHouMIUfr1}vR84}yYx#HKX{+Nj@Bu8mF;Nv=KpLjuVBwBuXC5DN1GM+#&dsa{on4f zM_syb5szxx{l)P=*1z>$zI^RjwolQs7gV0#U@4G%dFlMrb?5E<y0?eUIPmONUCQMf z4Og@`@xRj6*XDLH4Dvo3F7cgrUr~{&(aQOHwPl^lvwV$M-b||f5dZ6FQug!-|Jx0& zX#9Sht{&cKFLKCH-TkuOU)>M7Rv{<9J-G5uN{;==)7djj^z0S%{!iwp%eM;PlM%S7 zK3{3qm)65eCdS^Jl^l{O$ah-esdejYubj@(S6A9~GCME(ZF~@Uhx>+4SN)IGPRcgn zQ{$HzJkyr?xWiSsZ_c79|Lqc2nEkgd05LQccXCXbz2Qj7&GYlhvwYsZ+%&uA%^$N> z-+~`?$u5sN@!)mad2geO$31NqMZUVas_1*TdbL$Z%YE0Cm;D~g8GV?0l<(8I%Pv)N zGWR|8Hfd|_J)?K{d{O1e)yoU5d}A2)cQEblJXlzh5ZA&S^y<cC)eBE9K9AJ;QO|Xg zjW1%}_b4Xu`FRI7Z&fX5zi`~Ttk+v_Z@|){HU7uH*k9%;-YB|FXnJuDx8_B~RzBx9 z+uR>7G2gvo!-o>t+f@ZQx6g{qF60k8w=q4!YS-6<tA94%YP57~tvfFz@%Y>Z?d}IL zV!NO4bn6SnoVc(5Y5PkHz2f&<3+~JmyR&J#{K22%<)sJhCI_FtRCHhCLC&^ytm$2R zdmmcNW}PtCe>+=%L)q?gPp0t-3jGKd3NM(}`iAY1lk1Mgv>yA@JLb*zJymr~<Cd4A zZMwQdSd8G-<8EG+50m%Znqqlfr0|SYcV3yh@`>n6S6i2+N1iY;e^vUaI_5`X=D9By zJGW1mTVVG5rQiZ#d8XL9ZLM3F@4U6V$N1^xTg!hb59V!N8MWt;i`Du~Yd93WkDd#c z5SHJz*rK;hZ#jeV|BzGn4{y<zVD(%2NBI0h2RUxe{d4wy{CP^dDe#KM>DKeA(Z}9S zYm(o+%ixO)_d5f<<ullSSZCDi{v@-q$Hrob*+f~Zd8?&kjtW=X2PQ1m-E-Jnh->=q zfYnLwDitGTbSrN-O8)(Sd|vPB$(nuY_L~c&FV{S)=(oAB{^$JGXQ_$_P0hO}c)YDK zDu~!wR(G#pY4B<pUHvDztRA1AGnl@5)h@g2$XTzSJDjFf9BI7r+Mn&2X5Lh%XTH8M zB`(KY_axS{gl4fklis*7OH<Ej?u}2TrOVa#7k_#4?2zYAp}(tD&!2ADp7bUor!3~; z+1BHqB%KoX^GB$%NQ)ZI>ezWV=7;iqr*jL$d)HikF8P8lY4#f1s=3<U@7F$B%6|Uo zoZWv?9tdA8Y<H5{eC^@R=P3!_cZ%7Bsxz-;pD>Hva9{8$TQ1MNHx>6@R8`4Z6ZEU$ z)bX7B&yy~yKWk>-J5{o(h~cczDdtT_ZrM3JT4pZ8-okK`SIFXC&>yw76n>v9_Bv~; z6^Hc25AZ!bxh6)`<k8cVM#qqo0p$-JxYsnctC+U0OmLOde(3o8z+bUV=QcmkI~sD* zuD|o*47JsV4WD<WRTlV6VKg{+>r=;<ZOWm~yt%I4a9jW5?F-R8JWJkyHl#_ZZWdEn z9Tn3O?(%2V=QF|c#OK_(Rx&qV@p-nc@e|SDW3oqVF21|-dGehgHLg_6=dVToIWO#x z?Pd8RvGV6l)3()@b}GmHdAR1!sY8FC&)z%h{!`80Udy_g54?ZWG5_5530BRqQ#Q?9 zGvQ(3jm)Ts7c7@&-c6lZ(!1<<_AbZE-!rV%uU)ube`B`Mt5dCOPlU!k*YLUUGqKyM ze8+(oF{<<Vr0X1o78g!j$hiNyIPVnpz{N7R^n5nib<aDf9aN=MS$M4>e2sm1WW-UE z=T5Wk%|BxMgqN+RNVhcqiB|CS)rQahPhNOX-YNUsW9!%xo_+ioCmp|LEjy)Dx8UiL zC~p3^48`1|CmmL-W!8EA@ycADHTw-#u9H6c)p1&v<l+k#HP&$-m0iT3xA($CrYat< zFJ1fhG|b|krY)pB)p@<EXV>SJvk7_$-E1?N%_hzGH@{|`%RHkS3j;!x7Aw{W{>n`? zX^H9oC%t%~&8K3${T1QsZPfJtwFfyz?m3^Zc#6uKga4Ca=3Jk;B1rAI&QJEu4?}X= zjHLa}z5iowJm0*f=IKWN#HNYYH(KZ!b$Kp&@A`k`jPugFgm_OqS-JFoKn{!OXYI{` zPi#Kh&*XL3YP93a)_Q|Yk>6}nRXC3y{lD~TgLdEonLaI_4f+mKf5qp#;hvCtvcBk* z_STM6x4GdWY6jZrKO;~12)+M*|I9j<-lCm_J0H$EpzCyXjdxF$;3m7Lll~iR(y#5G zcuM8-*Lnl#!=KDGjXiCC-ao^p;BC1kY{r6Xu|}J61H;swN`0E&#p|;66UVwA?P2^} z>o2!SNc(1qR4%PKT<6SPv*Lo`>OuppP2F!doZ0ot^!tfZD&K1SzO3xoSx|lWpMc%u zBX1PNjLsbGQ=ETJ?}6T-=X`Y+-ZEziao%CtqkVbG6mMOV=Mf**H6MR5vFuCA0=M0p z^JV4y<qB>s{T1`eF7$z8>zhy8tW)a@Uj==u4BF-BU7zn|FRJ}_)3m4+1`EH4Y5vvU zHe0<XB9&*q^TKPQ)-SyxSMJu^JRy|jkE+?cs>q~R*S8)aHIkfnlH3DU+I(AUXp_9> z)P_?#7tQ82k4;ld-zby|I`Oub=du69(z1J<e2eZ)cvyNmRczDij)l?YT<>l$apx&t zX)kS(3RK{;vg7A?I_qN6+{>5M`77EjGt-|lZk@I9;!DYS>=k;?h3YOAGTfReBt3WD z_CDryd+#*ung07(R<g_9Lw*c>Q?D1PZ_H_Ox4i%2DnD=5*N&)QgS5X9H7{${o^#Ue zJ~~~>vARd1aiW)8o$}5Z_AP8H8<?kGPIyynbWCr5)9uH0e^lD;SGTIFr!?+0%8sgQ zJ#)G0@W${J6J@iGKP~03x8CW!|2bEbul?24SM4S3V=bn9j!D;J2vv4fRDPMhe7Ub) z0e7dA?gQqCWT&N<>^?nXc&BwlxBtfmhp=Dz{7-poT3p4pu8_}C%39~zy{SD?U~19r z*<BF{jwUyk#_SLE@D+<(bh7nu#KXe)W2O^dGOP;imF3#1^sjZFMMsrt)^0n`?`}zk zhB@xqha8`1U6hob)DaoJ|Kz;Wx`!=}@+Eb3>;6+-)>Yu~=1u8R)&BYX-R>9eNPm0I zxPMlN*6z00Q-8HvO@25|FA@G8zw+*p<+Hx;bh?ybzVWq}m8-?yWjotNCS^@}SaL1& z)X9ZrOEgq^i)NLHTAJ=^xc;V<`QVBj=1n!ag};`m=znPZC9PLJx3z6`#fCL|b*k<c z{k?LwmGAJ+AIonoxL3IK<vS<Sz)m9}7LCXMX8%`wkSthm=xXQQ3HxJ2ocB#SU!lKJ zBKvvv&w$K>S9zT8%q@za+j@Ncwh5`s@jGu=+~0Z2sm%5(_s<P3asta@4lffsbV)=m zRU>5;hj6gOX8qX1Wk;;EUnDer`zf+=`IqdgyKmn!vw9OS<H6lW*^~Fa-oDuANXY72 zpGC87n8|bJOrC6UC-oKYakGnh2TT7I&6_Ko+a9>sm0v4F?8|{T%Ye=AqCOtjClYpf z{q-WoQx|#fbDYn+esouVe$We%12WpZ(^^g+XLv6?`CQnFGe3`A$k&_`7+)Wow04Hm zPp>_33&j?>m7iYNx$^o(Ze~92ZeLHGD{Bf@T)rf`O+qv&MoIC^Bw^{k*O}A#{k^g- zeBE{3CfMapRqdj<qxV*9zoIdH`I|JYkZm^gn_MJ3n`5);f}UP)xv%~INjlSpsGl(( z95>B!eY$Uhc~BGoQ=fCwug_N2EN#<YE>&gKs$?f1xHj)WTmBJw#(Vy$vAp{fFS1p> zk4pLUO=;@3_lHwf?%Df7Z|B|@+oYGoeSWaQ=W$-5(;JbQ+l&|S@J88u@ida2rxvAq z^xEA6e;KQ{#CBcHyffj{-iu8gk;+MJ_g3V^tDLN!`Q%expw#v9(=(q0YD~UUz4*ly z@jll>GB-nIe;7p`kpD96X2hgp9a-j0Z`T;`uee^eO@%jeYNbP*`{j3G%PW^XXPhV~ zW^?`t<N4kV6L#A0|LC#5_LR}rebdgP$Gbc{D_t`K9bK2CWE{}f>xsVe^Rmk6rwgvN zsKr=`^<SC#ie;yII@{!>i5Z{nPBaWwGZFm0Y~N&dlfb;Z);EmHOg#&17hA`aI95i4 z%{vji>$iP(=0Se9lYiI5?qq-Tp-6oD;xm0!MRs+3f~77j7rQ%-@7=QHV#v$Qsgrkj zRW8f*e)Lw4J5k{~SNW!tJFY?N4(;ijx>WTLm#mxiG?_z_=5h-^zGSZ^({XD>$fK>d zCm&@E^s!y9X>y!({o-tK(IRc>9bIP6e(5avH-$YSYE!e*u0<D;zp~|hxOgHZ_UFcG zRhws@RVLOe^Gs6;=lsKUb-{i?v1!w%D=ksEEvl7yEkof<)jZG8Nv4{5y5HRb*R$r` zpSh&x_A!^pO?zHHetESk>CXETuiqV-pv0Y0b^2Qsce!Zcn>SAycC%dNOA-^0JaKc= z;SH<8U+}#Uew5$+qW_K5G>!+V5APp)7Wn2|j$cKjfpbpKU*E`h%lPFI-Cm)aBlk`e z+<cjR&%=xx`3om4oy@zIgJtoFOH60?`DkhU-X$%|dN(#AFFK@jvc|^qW@UL3@<X?3 z`}N3lT0UI4XAxKOsU9}Fk`IyxH`GtlUMT2gpLyNB^rutF&h-%`Hx+lS(J|YVBOY7y zM{L5SE#?7BkKPY_G2`jW8`2UkO)2~?iyk^$=(kec^KZ4vP8ref);HSkzS=(F)@Jhy zL7JaLjF#1xRh)attMe`TL(B!~AALpt^g5y)BvvlnRX0~<c_oX*i-g;bT3^ev%eFaj zSw0bv?$b}?QISp*udX%wG^Jwop&93MANU`CXvIB!W5%A8PTOrO7U`Jmv566J+&k^% z$J|MAMX3tTHM@$7%EjO5Kb&!0n&0C7%Xdw4_U?%fGg$3q!Obn^c$wE{`p3mlJMPcC zceu5Wab9_v4!ePKSB$TZsNv$hODh5kx|z24{<?d3cdt^t<`Q->6}wCNpV^vD1edD+ zNmwDf`Nxji5BYADP2AUFb^4&&Klg^YqKDqB_S<*T$*oWz-?FU!*v}l<sUH$oyIgrO zTSp=N(f79d$y~<A9%;M(meboO@ZvRZN@-!kq*XGR+rP58WfgrdoO!v5d3F9Kh5j$o zS5#$-Y&!Aku}!4qfx1(kcP|M>ET5ULsd91FV;gpBCRz83^3R-I#aC)w*HXD@X17JN z|42WF=&hqq6Mz5N*r>7UiZZ`;$a#~n{W|5p7e{^GANYfR*P~Ax&VT#u_2$w9S-0j% zrni%2mONScC++rHd#O90xmG?D%#x_r3ztjsNXfkU`MXrr(}M?JNUFOpez-UyQqe}6 z`+29&$1};UEX8wcZf(z+(yC*;NtAi>+}-6-847-Go@b^l=8*OJ`QqR=w^Pk7y*Dxv zEdm4On(gXM3Tq~OPmoEEn>9;!wnVm<Zn1mD)@yH!{dS5!o*tyPq1c+)`D#bD$fX-Q z{VX@!+u7r?hwpLd*)^cEkmnTbKWLgdZKus+#US%A?r_F?iwZRYp05d7;Wz2#nWB%U zXBo}&UTEs}`RSsc=TpSL@3>xG?5dE%zg9!*`{J<3*ggN{>+b8+y_b3N#kysC%JhXj zD>=4VE6YdTkehNcP)=s+B(r-f!{6ta-8-rC+@}9Fe`T!i?~2nomUB+?eDwJ1@3w9E zL5Hd*-j63{?5s**T`8T*F<bw=q%>E^cYppFpI(Xi?W`AH|3=&Vy}z7b_pR0*SNEff zCQXQ3QlqSPhAZ;Kx_7S{DwqG&>n_`Op6QvcKy$@|H13-_@5<Epna0IMpXQ#duEw!f z|BTqIW7;8EyCi4#Tbw<1JS?<yx6`buD?gH@ygTjB{W^LhaNPu#{zxIk*@s{Cui;2t z@<B6y@2tK43zNf67g~1cYNpSQ?Qpv;TGf4O$N5gSrSD5GbOdidx$W8JYo?br9&J_& zTgCrrip>gxjW@Xe2kGR9PrtQqMF&sj%vP?gIh$sDGUzY;?e_C?!0M8A(SP^9Y&xL9 zT4(xg&e9L}xqdE6UVI|FNvQR|!k1~&e(iO2<<LB+y<hU2m&V(??xPQr6I||F&0Mx* zv&g1*b{m#(OX!A*eP5v;a`2s)>8UN(-+P|9TUKDQZ==Q83d2K|@#UP`=RfJWkbfjZ zNcaHb!8yWD7xE`LS!C?}x2yG*#&?Skt2bDzYkJsZVkaYi^YD?BX4Uft_19jg?ftvz zgktMkhIzZD8(ochwm`Eue2vdN#l>G%awVt!C|MtOT4vLekN1)S74zzjgeQr2GJm@A z;rf4*Jy&+;<vnuWaNy|E7u!X5$<+FkRaf<Hn=rS0QpFNC4lTFO3`aGt?6BCh-(VK= zw=R30!zHP2_!6hixp@EFn=6)k8>2(M#d1Z)`tNx1!R4wa9}mj~VUOsW(krY_?s#iC zefc``36{s4r1}$6zLqG+AK0up@3cSLIaz1_<$14pm#qGCMuNrQeCrmzw~bBn+M;_; zU*2ykAe*fC`OUWjR-n^QKdk=Hx%>3-^~<OHeDcL>?JeVH8#emOsvWo3cXdxQmu7MH zqio?+n=9dRBIm=kns>TSmN>cVKX+lt_KkPea4^o_ZNU+5f6eLEy?YK@SN-37`tb{9 zA4%`Uk59FwZdnz$PLciYiM7dE$vgZf>aI3FX5_LsuI~~5(gG2G`Shw+T9v=wt|{F0 zx?ui<{&b_ng5Cbx&P@|K&F$0h`-$l``D2;UcUE%!Suo*|yw}8SH@=DWdA(Wq`s$=r zB1?AuX85_+=ja(9!?}f5<ByrY7MXN%jmXO${r#@ikB_(B=B#b-(*5hvwLD(_L2l5T zyX+QK-LI}VC2?8!Dc-!g(`EVR{HG#~pF5_T6t_AF<r=yqdrrA9ySV<3m~~WAzb5~5 zv7@zjPtAPtdCu~!d}Z1t>>C{RY<K%{H2d81UXk|Gr`=*stZ&ag`$TK~r7J4)-g&g1 zPj^@P;j-u6Gqbg;*9L_<&(P7=l{(8;x%x`3YM^7a4^!61T(KkhrLVTX@4dfockkJS z`~`R3zS_QRn&7=)#n?r4+IwuDZNEC}=Q<~$mG_rTI&tEZ?aeD6#NDnv-@bayv+@;d z)HL0$8FHO;ON}jc_qoPB>*p*dp_OacJ*EV>+vTf7o%0tyZX*@sA2%h9C6oEwqu0&6 zkM`}d{-L*W*Luf0>32^)E_r3wZ1vS|X2aLk#g4BOBA3O#UhlAU&g^+AXV01y`ySBK zJ=7DjZl8M~L+A{J-&1bS>{)4`ICZV@W+O+|W~qQoMeCAHIkC2y?-l#hmi5ipSP^Lu zAHJzPgU9IDG<nul=iGh&d#^q3vUc*jO9!gruS98k%70%ITzUUS<8BM_)55I#L<(cy zKb!sNh|31=FLL$fq7#;VUE~$JV8YdH+M&1Z2L*YWq<u9L{8YMX%cJ-2uW?w`?Q!#H zz5SwQxl_?aj*q?lt{&5*$`&~ZWw!Vz2R6B<924&Q6}Wy&w^1)cFHbp3@l$KY_@3}| z8|~j4WYtzUtvt(98{9g@)ZF*Xt}LeAR%L$5SJ#MNI<kgcZAGMZa!gz1OKH(b-Lq2f z3LC#xKWnpUf=kvlO%*Q#Z6RHLUhR$T-q%A`tNolM9eQ=knj1wqb91=w*Y&qv@s(|O zKjqH~r>;ulwNLx_K1mqeOFUUt8RO<rnVzb8(&OzF!5NDyw{o5Quy@O}^s+zJhu!A3 zvoBJ0eruFJCqDPI%D#6_`GWKE4q4v1R9(`vDO^kKZydMf=8*30=ovxp1p1bI)XY4% zS8P*u)oHbRfh(_9em8R3{Q1|1iF#^gidV&tq_*>9ty{3EB2Oo`Kz_lpe$I=XzDD^k zn0Zp%UM=c7AiL@jGgs!bo+Eo-s`MY#?TIYQ>bn@4-JboXAjGa<|7K3>&Wqm*7haZr z?K(fyXKL$)J)G(6&hOLXcH9wn%oRR&EA8HS*#(;%N+iOUJU>0vFydN^@s{%U)7Pyw zmpR>{U-T_a`lQ^|1F=#MvcLO2x$v3!&g4vkbrt?D{8bIJ-D}Uz6^VBFw|0rq>vga8 z9kV?>`-IS6(PvB8ECg0Z2idww-Tho8yxC&*q8-OYjNboM`xNraJF#Gk@`eE8>6$7R zuc^)ZHn-tTXHMoE7n2n~-p`uh=_0@NY}g4Wd$Wn2M_PqTg$!pd4L<&zF-_~LR_l^~ zMjN?)h80+J)qK5T&6QkwY`Js&sl&Zng)Oz8dC%DwXra_+Z*bA6Z>{Pw?I)5v(&eXf zpU29jXdIKCG4ZN&sn@Se?Z-P@5;tGk$+qjAqu;6DmJjs!7OBnsol*R+H#}1A)zp(K zkE<AZPsl3XuNA*}b+r1#ou@x!S~0(S%)V2-#oy)6NB+VSA<-K{-&OB_qi7eg&}(79 z4Y}U?mrqykDERLD=JdQ*%sv5(-!+yjulMqQy2Y-o%5}5F(fEmrmam?;YX$!Xhs|Qz zDKFc;wESDY!=`56_3$78bA|6M`z3`AP2!2#^hB{texYE*tb@zyXKWK;Z#*jbxq8P0 z^OH{JX6E|bT;WvCvDN9#>?23dS-9p0z2JKodvc!I&32K!KP(O(l|A%)(%+vd+&6hk z0$Jiy3Z~en8Zj&E-n9RV>wfOg28)^c*T0$TW_z}6Srd82<LS3^=c7FLYc4ULmge!g zGQMNS&#S*Hl=pckcD^g~b}sO{*k(|{wYt6b=4P+04vH(Kjf7-m)^YHC6}!#)v@zjJ zQ^K9S@&C@ARkV4tEIMELc|}UKxA*DV)Qo^fkvrYCMkGvc{jhI#>E;Bk*T?LcyzlUQ zP7D=0^Lk@<>q}uD@7SG^pWcUh9>2!kcQi=qX5{jMxmo=?TmIgZa<r1)HlesST6sg< z!r8??jjnJV-O_$@@61Wbiz}Qb+?7i_n_)XA=~d#&+a-qQ=Va{24fKeAYcg-|Kf$kW zL^S2g8O)EI4p>`Oo|P}5a>IVD=G*$mf?NMzUAD#kZy>kLj)MHle5WG=rGGQ**WgdL zKHPk0e%`B_7uhXd2MQH3zP)(HjLY*&QgEctbbG02pZT-4%!oU6Xu`5v!QNkkPF(st z%PY8dVOw@sQLyip@*Z0=sc+L1{c~fN8l-LJm!7qWv*!Pb);UgR_xLK>@6*{?*<Wa) zWpTB~_I=mnV*={?W~_R0UVF)+_mc5vlmi#rJ$3vYRDI@WNG3<t)S3|Y$A@Nkr7G6w z3GJ)snH$Ry@1a{V=TxiF@((MfNcXw>UR8b;x_s7w8yBzG<VRdMXb`h*!Y>n(sn2=+ zeN*p#s6707*3K}+&Tr;lKU5xmXED(`^mLHNqTRDNFM3`+(YdgoC#@{ZW6{U6Dk5$Z zR$TnEsGxEMkK)qmO_KwS)5=vI=zn%|ORO{A$N%|`$t>wZmy=$bd3Ju}X1SQRD|OM6 z?5EqJ6_@V6;<HGlFUav^pptA=@!3;hCobum8~dm1(p<OS>6xy{G5*<d#&rwQ_`*FF zEj{+*cgu_2b`9ai+@g~*B8|_-*EW35V0WJ%agW){IaU0_(hn7npC<EmriEyq?VUT> zR)XW#p^EUl%rd)kt<ej%)J!Rt`7rH)UuBHds(S@{RP9{KWR+(f|9^k_>IKaG(I?K? zo^9+Y+~jrVQqN!M|Bt*_-WsM#tSp-UYLd==otE||m!7juIVbn&pihxSqT3qgT7$`Z ziw^SGiP@i3Oix*svZ;TjL9^V`lI(3p^Vd%}H*1RK<+ayaIxgOJR{b96vFMc#=NWm= zDQgPyL$vR{j-70B?vdN|n!um4X3kj{ygY+NKi$f|=;6YdS332d%3XO>ud!rD>w zvRXTKf6_c<?XK7<ma4WQ>Dj}w$Q4(lx1OyHSrPiE_3k8*#oG4;_two^d49nrKl8PJ zWQ%LRN%{v^_HB^Uty<@Fs#ZVP?Zzhc5}62@<@-EW&I|E)#n1C~yWy+2%@(hYyq+^( z%P%C-d*5|i&!=xDE}tE^p2xo9*}X`yP1(FfIU>JVEJGufr+s7XyZT98V~N^tBip&Z znPz*cx`byx<K^=F;=C;K$DQRn+(nGuUq3T#_P^BH@HQ(|tCveu)~3GtGri@(!$`49 zpMEh}9Ip7a@Y%dS`wkpX*S)OB^ER<7ZuNvu%gU!Lkp8P;s}?*%a@OxPPC>qz^90yZ zCk7};JSl9px%#xG^_H+%Rh-f?9@bg)&iacRE*W}=nLKa!`)Kk;HnZK9(l_dsJx(&% zZ7H7caJkNtm}{3e%vdnN_<Q*5%@ggui(EGn|H}I5>Oa=A#_Qj5*L<6j`YLJKs*hWo zqP~^5uiQ|!`Dop?`)a2wqZL<PGoSv=x+i4QjI}|6#j{Q)m5CWkoz;7|JN4*M#h#Gn z{8zbK!VaHJc_VB1_3urwOLkfOS2RzrJ!`vv3;Ud_Vwd=~vlpE&Szy}$ISt*zvQnYi z#3cO0p6#>#*9tpZ-CktW5>m9f&Qr?chR?aA^H=xJa&J64?b{libE-Zv7Qbc)`HEiR z_fMH=yE0j%yvga=*;A9w&c3}Wl6O;O@iNZCHzPMyZe9>&o?o~2n(hM0s9&tDPZrHK zPq#mNuhIJS^6#cuJV72xclXS6Sj4j3d&^Ot^P$cA!&j^mKm5G&VZME?+l%R&B%0i3 zN(o9esz!b{FMasRa#!xxMHlQW+>=*C-0ThVD2+QcNg~R&-O9M--K8_0T%KD@yqz-a zJEfG5zJJ^oEGaeRlSh4WZp`fq9D8)RN^9JnZ&~fSFz~#JT*Rj1xAt|d;GL7yb$hv# zMEYa-#wyM4A~&bpY1$ik=iQCJJyAP@jrwb+<-cO}ep7JZ&4Pq<vFLk8&#Q51^RaXv z5<R$Jdb~3K$|alG)x2dM_ww|X3ioeSnQT3O>w|C8rnR-P?Oo{MSt-IEwZ--%>-88Z zF{#Gi(HB0R_q=4!RK7K#r0!=-O?z4@H(UDk=e?);yiV@#JLxi2cB-yM?&HrgeCJJ^ zzxXKb{lO}?(`!TCvVeOZnZy@+pEvzgE}5Vpf7D+0sF;zx^>-b+#OGBjma%>>FN)tX zL00LK{2?dBJ)c~^Dc&@7Vf&EBJx?#jW7Db4vIVyfb{Xxt{^;oBm>;uF>?!WallQuL z?Q?|K&8=N)#owqNNqb!1_Nn867OS{YpI`MR)w$aOCq(`<l)azjDSP|;a{i7l?H?Sy zcWo}Qd%EOiZDU)_Wt;oijaM{l8*`&3?-nu2n7MtA{)g$0)?Dr>pYc7Bdr9pLrW5w& z$J9UH7IXMobNWu?AI17V_n0hRs($0R|BZFkqb2ji{x%3sdibPTj+v+bcl3!@zXeY| zSeCdiEoA$Yy0X9CzpRcex!kK1EE=>h-pcD?n*QY@A!RG8P0b(kIQy<*)s5a%ZCd*> z+)F^Y=j&3X-Cn8sCYwH=46k}sb@s+Zr>a*cPH&u7l~CkgduFCvj&-ZyyG?7af76+K zGg7fM`nu4{Js%VL9>oQm*i#-QwbqT#WL?$yjM5jWUr)Gu{od&`EwF#i7cSdLWn%Z& zU%w>3<;)&Cc8QN&tyfOUHe5bucI%0D%1hQ*&<z1{Q!g$0-2Pl*)myICRjm&<{I6Gg zU*39Vb-tpE*@R|^Y3tUmS?MHnb&J6&(-m3V(H&X)kB6KNyY*Of)0rl{&cAgl_G~Gs zsH_nAv{m?3$-IlI*Z#JC*lRLbTRbA8j=lf?n`wHSH!GhXopr`8)9AZ?g1p=dW}8KO z(zKS`*c)X(Un6g})3dkPGcT-duY4R-^Ka7fS4-y2Un5q%^Zt^^bukGCWpn04$mX3~ z_ij1sw8}%$R_Cw$Wbtq6armHiTl}l{{3%Q4$nR5#bQ0*VyurJ5ed2P>B{N<;d}<$l zbwmDwum1#=RopPQ2{`QA#CFtI_)ho{&#QA6DV+=Qm@WUM;@2~VyW*P~jSD`XGw<>< zl{EKon_cJNVJ!E(qUt;IDzPY!(=V8=X#AVnH*b&L0d?-LCmyCuH40T+`u4RB_q1*6 zH+Ah;Rk(8NOhb+b?9M62J2#nbUh5?E*3d<NB8Nxn;R;{Y+z^jVnR4eh$UK-HuIu4Z z7Pn-rs@oSorotkRQ;`$9f~2o%eVf@mIa^Ii&isz`DZK-;<@mx=yZ@P)trh%Iw&<_o znrWwY)ET;a{pYaJKKahu#HOI}<FDA8$5#HF_3x^(-`>hjtFJ#D-P&8ZG8g~KzBy?| zaPrL4LLFB=*B|Y)yM88LWYT1-uT4we<VdAk*l1_+S@wNc=(cx5{6ez}&uZGNwP!nW z*#5Q9-hI@Fd-61|=C{np*W~PanP1~Rg>kyX>@YsV086jiRc;pRVo%+8ZXTj?^NDHR zhWLbUf8tL)TX@<yKKakQoBS)n&!0VgZqE|=g6r90LF?bKvxIu>bh`DsqU>~69nXAS zS;6xw0+`Mne{LOJWZtDR^>0JrtkQ0$PctUo{p9)ZU_oDMPdL-388329CU5&B9)JGV zY1KV!(_6g)SC`4hPe`e6^Lr7VwprOx>}|Z_H~nS4b0X>&tA5v5VyC@1mG6J<9mSpE zvo2H}wpeo3sMO=Rckd28u1JfMiW__8c{wEidp6<TtgG&dl`57h(+ZafO>l2=zI0<_ zPYCP%Gt>G~PZ+(8SS7k%)>~C{`DAy^^<1qYt8x=AZi<lZNWC}BVM*?T*%lXN&enJ; zT4qXToXt(M-F<OE*P3(FLNBPEE)^|#UKGo^_R=S5(MkR5n#?Bjhg`clU9$eS=p>JD z$NiHv7A9tt^k_J*J9ea-Yiq8D!5-a%6aMdN-6H;r@n^G-?f%(wBX9F}>^bK)N1$Ng zhTQ3`i<D0VEI#A6|4zn~6D7+HYWsZdzhn>5x~#w1c>hcGns4RHYLc!=|2*{~we^(2 z*K{+7qqeIjq-_=xHc_5zb4A1P<_49uOQe6eZpqr^w7YS>s%1%Q>zuve60fc~^Ok&` za~yn%X;KoOyxjfWO4m%UtTOC>;&FXLP3yLne^K8=T0;z1sva>q7sTZD@qU!kzO=ck zC)iAia?*LMq^i*0GVQ{P{*Ll3y%Vew7dm}iVUb+PSAEmAgzbJ?^t8j<ANs|d6h5k$ z@u_QS=jpv0a@iM`Ss3Xlu_t9ueYtRT&v~Jl?}eXzU-x8^Zi{+!Vdf{j%HS(;f~}!i zr&NBg+oa(AtWBGDx4p<E*3Ho;C*9nyr71Ca%fW(R9sh+{mtRb9c_lcpaN?#<33Dpm z{a^1?C!g=;+$(f`-z|?2&qr6Tu6=Q3=4uO@cDICH!#T?e3pYo5|64F&+1gCiY|-Pd z{+pbQSrNAO5?jn~|CRC`SL#;(H0tJ`zdgzK6!)C?8S^dwt}|?X7c@hV^XoCa$uIj_ zem&6sS$q4xbEn|0xvfm0kAz!aHC_4g??7``wX0&U%^fX8qnm!oh9T=+!tW-Cgt$FA zt$F;ybndXv5)PSx9GCkOMgH!Jnr>;k&e-*nNYJ@2$wy}1y}sf9z75J7zEwGIaaHV` zCinUMDUoXBz@8A-b-OQ$_=K#86WNu>JO661;v13Pd6CJ5x)-JU<{m$BMdf*J>LtIK zJ5IYN?thZIG>y~H(oCS3b!k7x?O#pKf^Jzi^+naRK3&-O#m6l->G2=y)d3Chuk$Wk zdf)qFw&g;>8MQipD=XJ#%x6D7`FPj2O^=Qy7;~Mm3k$pbIs59%>l*W=MK8_Ywaq8; zG{?X2+n)lKe>q?Mf93I@i;uT#%`yHb7@=|O?#?jlmr|a25!sH<Qdw8sc$B>K^WWOF zW&8HJOC75f3EH^iocXlESwb5g|A~HHJuPkS+6gw3tnNn~WA$>7<D9#`Vx5<0Oqxyk zC26rUf0p<ehskJvo-COE;*ZK}rN>!w=i7X0J9qK)mC$67AnCdD*VH$EJo`|8TBSSF z#B=_9M?2NBo+Wdg^n9*vd(CsH>9wet+pqLXsmcUr%#rHeyTJBX|MMv0A9*py?^(b4 z+WSaW`@o%RcU<S}_G?;cE>qm9)mV5z?(pN#6(RH5MYP`Y<lm4#^m?u3_366XMGrl= zT)NCZ`sOa#<<XIQ@>x&2PW$b9IwpDZ9#j3TKbEh!*Kq#D{K%vQryg}G?$P!+S2=n4 z4(I1>e}6c-oKbOl^T$Wz$E3`*r)-{a+vWvINj3UMZ~o|$Y$SB(d(gMFU-$GHbF2~X zjmqh`pS)q8>HRE={*DFaZ`4`unoi^BTWZ#Oyh`w6kn*JFr&Wou`y0<o>OWe!`^5^Q ze2)5Ua(6GczUiGNw{pcrjjH8aEiVSGTjnmh>70G8?%v0(Ix58{GiO<PD|YV7(iQ$6 z?V<8s$VB7$?^_C6lj|4MPAp90Z`JwYAbtM9KZf^Zr}iweFGyJ2x<&dIbEUX-fnCE= zUz_qT?7MO{J=nS4zTmAI_ssq;>}t7545tome%0;kT(Pm&QuNclTo&0t56929Ph36_ zB^F<+^Wn7GwwB1hjrZ!D@5U>x?BDiH=N*5^Js-)BGnLZap0m}KsYJ>3DR?J8J{7pE zM#s%*AIG(Ah2M)F&N?f0XW2BVZrxntpU&KqXNn$qof|PbeecoSw-%4DItBWrOgM8d z<M7|<e)+|f=fCVSTV9j0u;*pPLV<gE!Jihk^1c7S7oES7?bso+oTBi(!r3w0tsEN; zi5|>YaOU09|NkYbKmS<P^{)O;?d;iN9Zsrixpz!)bqUEi-KW9I;wrdmhfTlql1wS3 zT%pNZSmmd$YIx9elvO-nmBJ1u#}KoHc{)uIu8s#;T>}L-c5%JifBpBFor;cjb@l(B zJUKJR^7fp_cXwv)OucxD#ceg`>r<C~JJo%Z?_O%_&ETpuZuyueee46*qZ+N6@Fg|- z9p}5LX>PX`y|i%lEsuhditf1QZ_j?6^>de#Q0=v2zbp9)ZbsjlXj%C>I780<<mu%% ztxufL`IUS9*8f$#Yc{M&>g#wBm$yc8$poc+hDvoME*6!~mtI)WA#A?sQ|o2cS=CFO zihf-y_;ubb^xDbK%P(jww{E%IXu&m&m6_8->tV-+z{{QU6GXOePu;rq&Wk-4|3v<& z{c_vS^q&r&yWc&7&1?R*KX|_Xt;P5J)VrGwZCJKX_|~>%#b>`ss4JVdKKM9!PAOC5 zv$e8mQdi}3kF33XBF0F{^s)Zrk5<MhmHO+><OO)F{C#W2p4ldE&01GhmS^7j%Qe>~ zGWhbAuUs?BtD`Sw+`qkR%D1i0YL`zidFh>ba8pLAj)cR;UB5ayK6dZA!}2vbSMrLu z*{%tbYa2hlmRZ@(+51KNy4v!rpX{PRm)>kk`<majOgG@f9!t02omFm3nXPH-!aWvM z=BmCbH_cM8tbD)hLWY9ucRwSQ``7R2i%hy4+!p-N`qasjzs*|REbnT~;cHRZA83`d zB=5wD;O{y?EAl2?eB)ervitoGh39*-^$Y?(t+agqw^!L}h3ooIkFQ_$eBb!~(%hJj ztFD}REjlS<s^xpzCKt_LGnL<X@=9+xa`EDpE)m{*>uJ5ur<KQ^sM^eaVS&`W%AC`4 zZ5zZ}3O9M1pYHP9S9$8aWb4Xl{=)m>t!p;7OP^jYy>EGb(p8n8(|&mIzV@(DjE{BR zyXns2fJk`_A(Q8KW|{o&$-eB%{rF<CWyF-6NpTIA^A1jY9d;^M<dpZ`$m2${-uqwA zx^m*<N0$Xmu3nO%Klo$ac;(XV_84~D&nr0b>Yx9o#EBJ3Ydf58{iqkSOb;*;-e?lc zzr*E6pG=kCM_1*xIk6{SYqF^*i7M8ge|OU8=l}GZ-sj~km1f%h`mfa8-gGfyiH@hi z9xGpQzQ!4)cV5QkuDSc9NnP;sPiECS5q6I)?7sXtdBosV-(!Kf|L5IXwfR#--*oPd zV~Yd66ffG7a{P(h&wX~w*G$=A)vvKVX|2t)XwJNv`Iomn6tnr4km3?4DJRa^eed~} zUhB2nvVW|#KIgOTliQ4foRFF=kKSv=E&tK>I4EkWl)a6RoqAK!)<4dzQX-bO-6L#1 z{aveZA*(oQ#&RPKxt6z4U-mz~G)E)be7~&we4aaNq|H8e_3o=*`cl~Ah`U(w7P0>! z3$v7RmdW{>O`W||P~^a@Dx1}eMZs=sFWp}~;jic0k1M~i3H{4ZeQg~gH(~wpyzoo< z$Cqd7$ez6O_~t~Loi|(G7+z=WmplLLyRGbmoM&@)yZBH0t$bk#&#(Xby@6)?IX?dS znA81s`;G~+TC8#6ZqsuL6~AA4&GR*wYv=866>XQM=w{Z5SuSm?P!f~uR}w9J*SoCX zl5OAvZo#chd)9|<ifWw`QKPI@>;KGhaksALZSBmr_77(7W?R;3@RH?~KvK}Pep`l^ z46WC<AMb7d&hpB>K=Jj8c@7(w?Z0yI_Hy+NkC|mFUWGil%oQnk`z-fn<;sg4HjVoh z%mf{dT<mNz#l>J#cIYuV<=?;fL(3K~Tm6Pre$|`)DR=!^Q&w-XSC5|fw>j-yxQEiz zxx1&%GuL18{BDBHpEXXC-rB~+dCd1Vs;r$*JF)QGzsFBM{;7}t`f*Rh<*y(ATwS~B zLHVhi`A$YxkAHr4+rs4CIY*<<>qV4mZS?(wWEV4Da8$H>`S>TZ%B7R@qBrm5^8EJu z<GB=l$<s9_`dFVV+!LXxttRo?^w5&fHI|KQA5G3pE9C8;b0F;EbLD+CeId#3IAcET zo4I21{^b^Z^ZgT;BmM|}e;ni^Geh&KRn40%k7qhH#hhR3_T)8}ZQ{G{2OkOVIRE@o zzvPlq@sm4`Pd)T-cfV!4^vPi9z%@c@-1dpQ&s<am=X>1ZoWChWc(T)vD6hCLn*Kg< zXD0n{>yYwjnd7#s^+R{STh-8us!m(|SG47;S4U2({jRq7&2O%kH@B)glnI!{B^`S7 zUO0vQ;a?rq&GWeP&06)sPdL@jfAF+=-&Ut1Z{z)z*|)Ax^S`#KUVY-8!jt>1blfOn zGGhPAaxZXxYWCKNpC7ANtbfPJ^Q*^8{dJT$_u>w%mu{_5m($#0W*^U5opdqf<f{N3 z0oTQo=G&aSvFNCZ!?S0G4)cDUsjR4U+EMdmCil+iJ|<7rZkeGT(v|*M!f>r!`jg9_ z^t>*|D)vU?=<E;auqb}BcIID^NiSM$K0Xu?lD!cA-ltP3K9z5NPKifo<<1p*9u>zf z$`t60wN^3voTw{&C*)^JYVmX@ue=l9f)ZT6cQ#~w6Ic|n)OLNq(zI~K{O22=ez`Sq zmzcJ=+!VH&r5P8Pl;3%Uo1aKK+PiuCmSp+bvwU@%78}j!f8Tmn>FCGR3x0R9EuL|) zue9itxZV?y_^gxcmGvhc+azBS*Q+@8V--`C!sFvMe9OJ}CuFZwP2~`FxsW&Q?zt%m zBEqeAE#r$gd4g|!{QKc?ywQQ8F8$3V|8Dke{A}l`wP?oOzC*L#K6%r6|8B;fGcNDe zSDtv({p8Jmi5f5KNHq&*j-PqkB8=s7)#twcGWXWbYgxO>*4e+ga&GI7vd8OAi*Bmg zQY`yT)VXKw>d7y?6)&ADjhpns`|O`MMa#_Rvp$;Uny%h<J9J{?+myY(wbDF)pZ~qy zFtf}$^Z(W}6Bz1!CHJkI&@(?v-S@Yt;-kh%W#tmqpF}5R<j<R)-d(47v5}#Fws_D{ zDfPw6XEt5)5!-09w6W-Z#U8!Vl27J)-d_^;KcOSGep~YC>S>)l5qs1`Yv1Udv-yA8 z)_32I8f~8H)(utDzkRgl{B=f8yhwh9>7}~h7b`#X8qdFYQ^#fI{i5UzcLFK{7u+%D zi@s&JZ%0X?rD}oklYM1(GHyJNZi|_+lJm~he~P!=j&8SEa$IEL>RkU_-#yqjPtUm! zqWrg}b<2<EWhbmYNi2B5_4wcJTh*WY8E3sMTbTMjnc;t)8)Nu_Uw3l7_`^<Ix_s;O z<{w|~O8NQStJF04#j>}r=ggyH{j+{=b}G7c|6EREnN9JGYuumr<xLN&-{^42+-$;{ z?cwiCqb$FQADjI4=7s+^&K@)l;ysgnKI`;<zpCX-5ua~u{;RnBA6NJ1<E&2a{-0}= zi8+}Xzej~dzkd1N=-TRSXBL0k@UgFd^$*pzk^0x)B_^bw&EmfjoB8MZg?qo9suRx1 ziASx=;{S8h{`D>9^m_kWPj(3Op1fTfx9-*owK=u%yEHdC$k=zydG+4)XHA4XN8cQ^ zRj0Nd-Mi@S<rKXtk@(avH`2fS_)&dTdG|@yi^&1Ov*b3dJ$j^k<Ei(S+Ohmqg<<Sg z8&{od6*E8fY4@jUk;$81q&I(_Ja6*mX@%Pz%Q6)na!+OVYIL{0;Hf=3=ETbVUUUBD zuuuEB&@=XT4*RZa-<fOcx3c;(aa(ODdiT3=ug){>O?@7&BIipJ=Kr|PulJ<R`ff(V zzXgpl;^JLxtoE0zp2&TjaPntvTVu)wKZ&0I6X#kjZS~^*5XR1@HM{OfRAHw19(|u% z??kr<yyu8=owBbk_)4s&iNw)gd@{;)3TbTX70f(>j?a(D*|>FzAkV|(jX!72zhN;` zL`(O`^g|VA{gsw8%;&uID!sVuz3{^#$&FnacA{(Z)zglIB<xn%`^Wg`iCVkgTb}Xl zzaMeh?XwH}=T{5g2u>CYJ+UX>>cobNE_{*6)l3%y)w1@zd@%Qp@QV-Jk?P5zNhuq0 z?Dnh3bu9E?elpGKaMbO%G6#=_`AhEU|2^6|r!DZ4!JqaWm0UN|d|z{>^qYP9_v%6H zE#Zuf=Udl=S2Ha(tqpa*sZ_m$%fd~u^!{~DkC%KwCEBiQFa2F{A+V?W-S+a7(y8wz zPYYpq{jHDZoQU7SPad9YjB0eR&-yrH<C{Cjc|+Xh8C)w*IbgHle8^SyLng)hjmx9& z7Jf=J(^H6hD9ye`?A5HlN?Qc3Wi52~JDgEDP3M4_XT+ZQ%(e0FHhh&lUUOmNQ&Dxs z__x<hZ>NVYY~^~{8GSbG;kx~sHZ3XHIPG4V*KZZ|$lgzjX06xny1I&AWL0UJ(C?)) z3huAp<@qFTX=Q{W>-$$4i{H;G`SwNDT&MSV+0wIf=RUJN{NMlK|J&;yO=60upH+3@ z@23S$^9<))yIZC7yZLh6<Dg0UA$^=p{c7hrW%)aOZ6<2VeE;XBuadje$i&n?)FRDq zmexGO2!%OelY^PQHvRcEJGkH2KgD+5uibi<XKf~KH11l_apa7!q|NF2=lk5|v-&UD z6JnG$-{Nts_`d&hlb@ZpZ7dS4pX*eWf3EXhauuWOzk-9e7m63$lwb19CVO_!+W?PO zuIi_L&%W*Xh5z%TrxUZDtjr8Q<7@sgD#!Zcx)^Eg{{;twA9?>czTn}J?p?QToNh6Q z6P|7T|K*K6UOD@kEN-9H+E}S|(eQwlV%^k;LkH`A2EW>1y(aV#-;<o>`c4trM`Hgy z;!$1iV)c(-<)xNd=gOP@5~=+`9>Mk|%dV+)p1Xb~sa56I34y)SRCc)->xX+R>gT<v zuQKVMT2#`ktLf@q=9d?&C^P1b`eNFeQW#)<xFYGeLDbwkIrA>suYLJOh=1On?VVED z(<?rBEn4ZGuqO7=+FSc83$C4iH!<+f-Oipl{QsUEobjAb=#gY|?W6s|3B?oc=$~+P zX$t(Kn51@yP32kM)l1*CmYCYlm=Vn+y;ib#S6uP_Nj6I+EU}-b@!GV0a(vYR*5rLc zDXSkYo@dj-ocH=jn(>iM6LXpPwwLZ&x4QS^$tlG*R}@C8Z+rE8hLk@`%~SEvws!Vo zs^=2<q_3q<JKJk_H&N2-iOb6shBK5{i;Z)(cswX`+;Od{{g1WzVYhQ-LS63J))yD| zr`~Yzt6S;v&}rfCStWtXST=fe+}f4HrxlsA>FZLpka;gu&X(-Zez~b6zvGd_+B}JW zA+xM)IM)b8e&3y=bwlBVyGv8xo{OD#J+*JAon1G1P2;w;-(A;;$cG8_i#c6X@9a9l zye>*}-LDg3;ib)6gLW`Io6K8P{7yqpUbOv?Mi}d3F4KbOlNWpLsuxDJty#C1fu-l~ z{kd0)9P|}#=PrJ_A?|>JdF>bfXVY62FEq%S^7b#+)Y@yQdmECT?)biB+S9!atC)NI zzMp*ES+5bjJSHx9#hs|mO}~SutPQ=kUn+He(UiY0?x#x3m7e;0I#+1di#@TD|8q<7 zrvCJQ{CA&0|LujI-Tn1ex-6TV4;(r7<@Eudm5Z1ngsqKtO`IIA_BuJ(dh^Z@xu)_= zmx${cr!Bcc4>LF3*y(*nT(38zr(-HxlKO08gB9VguFvxf*AUWXo%eRn;}B{8v|A_4 z-k<-FlWuk_ccxj<{)>~ix2WhdOt}*lnY5oR^!kGRBE4Rp=Q>^0z0JO6x{-OxM(KhL zzjO+n6m=%gzBJ2A`BUUCwbU=yHoweLZ|Ju=|0?Tow~qAA)>+k4o%}iCOP;YjSdnH{ z^gH8~C6{fd)0-)W!p#@6S}uP1Q()gjrOPv>?ObI#A>dab*G<89uXN<^|7vhqDc65Y zDV16KwBNf^&o2muHbzLgKXlW*`P|a|w<3d%{}IIsAH7-MP0amPZvOSA@S#%DGO0CI z-B%4JYIX*=HgLXQSD2Xj`AW5=wfqD*uHVUxT@Dr#Ll%0t`iEplif;055O=v#o^o!v z<sJp|m(RCum>BHdud=nqvnB3M(>brOa*Kf2oF2EepY|0w?wYAsqcVNL{cDHXmb1in z>^UEG<AhwWmOMMNRO^Dx?R+bAR`-4QRN=d)sgpC_;GN2zClfPXvaJrZP)xVJx`w0m zW8a34a?_S2cE$hM_aSv-fKox=f%6UWYrA&6x-6>K`LO!+4pTiI%O0ul#hx2J9yzr1 zhJMgI)v&kR>K|4=m@mZt(A+Uf-p{+icG1Ixq{O-&@oJUoI}ekb7}wYq_1hR7|H`y3 zv{Z;oQssWM+WMf&$Fx)8Cbyo6+}j{+lH26;?CsV)`rn!6+0Ie6@0@eS`k&{Ql>%$u zwWd7#Vxr`6FUNQG`Uyebmft&E$6+5IBWt*>PdU2w&uk}NhhJ4*Y_)fHE&cfQZ4<AW znBGy_qQ5^Zk{-SO&0619;j!QTx2N~x*C!=&%${%Eq_|J-fjiTUqxT*x5wX(bj_&-; zo#=aRf?xQZ`+lacS4?2@J84j<o^|UaXgH&-@PFp4Yq5%--<=ZpUVrmc;r_{$$Lu@% z&Z!CBoqxth+34MVpUYk%L8ok2#_M?$?*Dm#OKjGPZ+jCKJTBaM{cm>R*`GJfY?l6c z_U^l6dhPo|LXT9h-cTt0a9PmI<|=26(iZitYGGY-n_~TRTJ}o5iF+M2TWZC0sUN)& zhs1UKjx_x0*>7L`&_rLml{@dNWQ_JFLHS^<pHr&s3p3@vO5W-JzU{i3U)+TJH&6FH z5|w=Of7bJYw$uOeUD<Z;ud_5OV%ImjX1grFOmfYc9h(ledhq>joOAJs@FBa4)n=70 ze{ZOF<*T{+*>YB7pN&r{`yAtUn(69~=nKZhxeBju$2t6y@V>K8<#2UHzJiuy&W^3K zHpVShT{mxi*oj@Y7F;Zvz05cC#2$a2Eoao6IgjoalU%%Mp7{iq`c#F_C+;<bU$)Xr zxp!akUgO@zvpdc9JpalTC6l@MtLk3M{IfE5r9E#oO))andw=BKftl{NKHR%2z2lol zmG;f`%UdSzw-Mr3Se5u?pA)ZopF;W08=sy{IJods`0rzET8o=2y+yZWzkDR#o2nTj zC!!bKw`JmGlVdjKj}ygrw~4uD_MQ>=vS#s(gclXli!6N<Yd>x{ePhnzOm&Tvdp^mZ zx4N%9>p$VLV(By1E1s&er!Ch!ZTFh>;uB^qG3{qZ8?;pp7H@fZ<etbIour4Sx;EcD zbnUe1|LaDcQ4j7nnG5o(*EsrYyczk`rcKwV<z-W>zmDJ`<|bVWx7jl-UKJ;OI$L*T z_qmA2PI=POH!satTO}TSH9+meiOj3J*ZscNy3~im<y6P!`_`M+_Re`EAaA_#px4EW z(&)_82-O?wRWcPkId~^s`X6!3lW(2pwsUUc(dlm@G{XfQ7I&{L=KOf6f@!wv%>4eP zI$~T8oyDtrj})e;e6?_V{lctu&1zA-%X{q>WcF*N-1C}Vvi|c4M>liz&mZfBa#aJx zc3;1h?eqKT&8h9x%<g+rR(-W-eX{4))7qux1wTIWY<zCb)NE3`#7XGyqoyst-=6y5 zJ6&*s`8AG+_fBfoJ+HT((dCN#raQev&Q+-)_p{;IIqN65#Lu?qm0w?IW$yWRSJ2+& z6Ry}xyyBOAb=YsgCz&tL^-aa}f;?VnZu_yWe$t7PF~9s5%@DX)?)Pk#iPB}|sXE3% zd0`%!{WmWu+ZHx`^N|0*U#;RVmu-8~SH<by#*Y~aV*EdpA6`oFwtkv=_|mM(zB5yj zEoMDF7FlUj@cgh<mqt<6u}^0m7@wG)Gp_78P;+8i=b17yn}0PYqzmUOuufR|Mf9x; zv+bWLHT!s4RzErszEgdz&*XeLz3Q5ed)Fwt@zqEj7w0}Uas3hXwN@?*jkiot&NEoA zdN%x&x5%oQH|yRBxYU=i+z&pzWVXw>jHPwn3r#1>dvExmxvnmzBJch^w)nR1)sIr7 zr*HAEHREt=ab7&l=9j2@@WTn0J<Qt*_;&gvI{r*?4&13aKiT4XbhXukmkG}E+L?Hl z73_4{^ny7h_XY12mF_ohc<)?2=yrP9y#w-pK1b(2c+>aj_mj;@EphUpl6<|+^Inyl zUEQ`f>N)4)8Cjv_TP9wP(DOE(Zj`7RnfkF<q*B!FJh$ulMZ%%yPfuOwzi_d7ch5DY z>7EmJ1V0H@y}L4?TV@4&-DQiNxkjsI>DAi$K4!kMiJ6gimTg9^)Xe8rd(`_&i~YAe zTzR16^%*wtZ9<$6<yKE>*S5O(fyE%yPQrG=3DX_dZ*9BVy2@|mR_U)tA2nWb@J!us zO1yh<1nb&}`DzBs*ExlW*`3(2`e5sF>zgMuxuQSx@b(-RpY-jir<qNvV%&>=3sqza zH=S*NvDT<`mfw<7;c=1yMZ3Fu?;JDloggn#b?Aoblj|R%<19N0N*sgPR==63XI8<r z-ZSlPuS!GR8KtCE8#JBm(jHnJZL2w>bj05I7PGOxC-0ogM{lfCW_}izant$Mf@^)1 zr!}4&@n@<(`R3!>;GC4{?5mib9+;mL`);LK(fS(+C*Fs=;C$>S`MhoZEUk^&&*v^( zsO2*C?`<ww!;KCy{ioYQ^6ajf96lcNX0e!XvZIaK&UnFoR;`ZDm*aE&C+n@1)|uQN z<9<k4>tL{uSHiXzCXY-O2QTQ6Kk{;xggoz*_Cv{!GeU0tzGryNBI+pfKNk(5zQ?gM z8SWHGIqM#pZSbh#c<B*6@mafWeK^9znN#%D_U^F_mtE)9MDzwRt<8IqoV7SbX?f$- z$iS}eG5qfe5{vEFXC-7S6fd55+f7mWob|eCrfVCUnoQ;<H&!g!qh)X>Tu>q~tj#L4 zqTeah#(Gu<FK@iUEGGHgeG!kGG8=o}ctlDTx?N^wU6iG$Gx>bls=h!)@&5j<32Lcz z*LJ&kyL<HTv6ONA{_6S7y5(=Y=QlO^nfqlqw}gq!6}@ypKjxltKNA;sZbiR67l(-4 zsYl(+kA=4E@bLBvt(ahRzr%=M)M{Iu@~Iq+k{r{Y4ca1RyHELtFFx(u*^s>B@!hDY zA3L4g!)BGwc2U}8DKy(9X?tFu%h~_soqemfuU@ilHs8xv@h)Kony<wtek}-^cG7&^ zxd1VD?Io+fONQsaS+;K5uH`##g-2CQ<YcU}is#m=*Y_3A<Jb{u_w(}N-Mqh;emH-b zbvHIgui<O^i&mfA{2$~~9|wEb{(JIqjopFCv$nY?e$MlMJB#_TP-DSM*G&T5Y@tt1 zewS^nD7$*9kI{a&|LrG-(zHa>j%hlqmYZYbu3NF{<L)JA-YTwV{a04}@6Jy3@E0>= zoqB7wE8UrVuVHrn+t;CP$ItfZR963w@HaG+zn1bdib>ixb;exj>)orhwS*fB)ysPe z%^5#!eZkGOcINXUqiM3M*=~i-&wIRg-nN}yyEL;JE9X9Od%q?wMA`C0`MaWq^_IsQ z*`EIRBj#>7&1}wjrRV$h<~(^4pM6l+x!&wsSDaaqe(0y&qE)L3`M%d)>2%n+=Znf& zsbv#t+D~U5^>vzZMN96%)4RzR7qo=RTdd+)I#JGk7u&sZ#m(D$-|V<A&VQuwa@CXD z5@$tzsUIpWeXvFLr<vTVTxE5yT4js9@43Xy3>VJh{8#gYd%4{guUSm5dZk2bAGT*| zsT}>b><0I*f*)N!WH;{BEDMsCw!CRLQ-n9+go(kz8Ju-IORkvJ{#Tr8&hS%NRCFPW z+v+2>T)L+7c3=Ioqk~89MfU91S$YquSI*ieWY@Yu?*X$)QT~NV>ovX{X_h$Yf6rFG zDfq7J2lXaF6U~S3?=O{n-O2hVRQcTTvPk~7Wo<K0`cGT>;=kbM-;We)lvXdlFVxR| zxW@F?KG($UUnjFR6?STDi%=K2Q8Am7eNQR#+^bK0q-zde%eXix_sE}p7Is_D>1;6k zrDLb}Ahz3V{>Awn`f&|~)5UN6n7ZOh8RN0@`Wp(fChC??HqiKY{FLNbmbhxQkR9_L z$t6bFbd<Xs&OLH0X)?!blZK~f|Hi-f{1&t<M*3)4w{q+`2R)`KQ?0q5himoqFa7bU zvBvRn_jBgLS9PBi%I@~KE&CIbkZc%yNNQ^#+p+7-OCIeyC^Y48Re40=$|G~C*nBw5 zyUY7+Z@ck567#=(tgzc-jos|PDaNx_D&A2n@i*38eon<!#Z%rpDA4KAM?=q71~V>~ zEsJSBuBvJ><J?i1k6%_dw-)*?6{!s4xW%`SDKv)hP_dZw<ophinfk8J1b4E1b?Pq8 zd2(e^nU0v}sR_j=1T9yHO`GreNJ;IPiBYE?=fi_MpWc@0#dGyPKDJLGE!AMsI?L%t zi?{VpKf<(T@)h?Pvw}<J7J4bPxM|)$@np^H+svi^Hy@~boT%MhZjkqXek%{d)FRf! z*&6cAeGFBf_}3@Y)MzYCnR}J}#Qu9zY7TvhyT@KJ-*S@R)t;qli(gmH$+n%u-1Fzk zmO0uYL3wjeN6%-zQ#OzH{Un(O-Jf$(K0Xco`&EpO-QeEtou&m>eooPjS!HJ&lNaKl zr!T4ZeD3;~XLHy02k~9h^{Q!j9QJTVX$s$kC0y6jD}Fy?Xy@O2@zWcb1sM|J0iK4x z*pgp=Zc};}a7L7?UVee2rrp^O_inQPm>_cbH-mai!s4fE>>M6287XJ}?QOf6-d3Wj z>5;`Bekty7=9|XKAd{fF2buLL3!J{cWv=c~chlOECRV_5;@i}s#$E9jvLCZ;@~Yo! zYZS2X_Cv!cZoPmR=Moqsukdf5Xxp}#;aoiXhMR8_gu<ClC+*|v)4S9tZ`!oE{l||F zjpFy&L-sZ-HhvZAv-y4Ry_b*L0`B}&wuopsrF1~x)UJsYtJWyK5f<xQ^Tg&;!hefr zsjKIl7F~AQdj6-fz6}#k`m2a)oegOH^2Ff1q-<ky*HW`NC)uutAOC#Y@l)!8i(dQO z7Ah<8^(3s83CmHAHd}OwBZ1}W{!W?6JdaOu={hXlRQcz)34f)~&lOLMZ+<@dre09} zb(#35pXx%M3J*267`9~?aM>U4U=_c0!9Zi-%=yCRdAbXui}fG<F%)~qX|W*XnUr{& zQKy&H)+ndY{2eFUt_9aN+?uWP(eu#mMf@8+D6xJ1AfvGU*pll}_pEQ$%$%4uJIsyo z)zxL3_J0p=b2W;RGY#sAR(b5z(-9G#esRxsH#OZ^sTWmZ=19%heCEaJEg{lrp6XJ2 zZn&L$A;m41YdOa>sgq-Fp`q@=R^BYnxvFY;DaRPS|LptF*yXhL)`v+>%WwIft_~L2 zqBpUzTV7J&>4fV2LDoxcLT@N=Yu?rO<lcBLR^!zo50%2A>)G1OQyEP%PTv2%{CD4F z*%}=_?zp7G!oClLXS$ihBq>MVY1e(Uzi_(R-|enfs@pgV(~M`>sirZdbZ5NY+oQiJ z@0pUSo#>=uy~WEdJW}o_z526|M^g2b-sX#w79Uaj_fVtoRsBEzYA@zvN`JC+cK+mN zzS=y`;#KMcsju?0gpW7zoa?gt>wjs^Pf4Ek&i}r%jP08rZfN05op`E1bIs2~iRQsS zUY(nzzy95>_;c-(qK_;JZ+-OZ^nZWT<cTf%f)ZjH-V4G^YaXmG@dX{o8@KmP+wu0l zO(we!wWaS-I@~$sLHNm?GdtoZ9t~G{`!n**&o0i#4f9*Kot^r|d#;?isZ39tcxzL# z(2C&JyhAr@;-{4)iWonMK67~emWPt<Gn?+XA6b7wO3BVf$#TD-X5f=8t0#5YK3TNb z-8j+a!-Na>szpV;Uq3lK(Pc%Bjr|AXj{BLey;+wpdtKJDu9Mxr<ka!~rtJxjlxKG_ zbZxovmCIBo>ZIIC{hV)&n!S_mzwT#kD!igm`97`h!sir~FU)JzL~i|ft0g@%`!~bs zsRg}GlTxeg-!soC+uD03|2G4-$!SGf`2&IX&g?tzVzs3H%(cIm&KmbDh-rON-17a& zz5{#t=G#y0clNS#=bnGH%|9{zfsyX}a1Xn+dk_C&+HX~J?z&F<l$t(4yXAdCwR$hP zZ5Fz4i%#mlF8z|bM`~%A?UG17&7WHY?Y>LcJ^sa1J@o~D>yyck_b%7}(02H__Sqtj zlgrnBxS9Xs%nR;0PmTUrx-0&CQWgJ#dyY}mSIsM6vqkN~`^9#LePdmwaq%zL$>$#L z*=rj!`Q}TToK{qw|G4G+>$dahwT)}NwgfBwlzIAhul|Pf4>t#HmI?Y~8TX^)W2fCW zNr&{?H$oRosOkGASI$;2)&H$k7+Bu%#}z5Nx#t)jd6i6d`eb?R_J5uSrtSU#Ajy-$ zcKaplp3h_4v(BZL>*Vs@?N4|fJQ2E@-kD~(<jG~fA2E?iWxck|*WD#{_l0}d<?a<e z&+xA7hspIdF|AK7$Nkuo^~1-OSz_(Xr(7qWN4%e$&R}m{qjwGDDJzco=jtw|Ka@P+ zq#CtsLQUW2b@t3V4y`Y<T?h)W5)r##-ud6pG5iZqDqps-zozf|J4@z@qYp1HQQ_14 zIY*<W&Zy?wT*f(zpLUB*I?s7e`4^-5)Kzxr8PlA-?B=$df4Vd&e0JRdTdyxGoIaiW zT=t&*&eIPw!I4oSXvf=je&*kXw_aPK6@PB|9CwfZ#_JE2{vbbFhW;qw>ahD)=Wt!; z@pNO+N&eOEzy59b&vs?qw^>goOgf)==(*qF^d$QSVyd&2O{lS|kbld*rszjVCdlI0 z*7N;s=l9edNK^e4r1-Psi`f@uKb_#(d*F!l6Sw=Xxj2^nS<c+uN1Pq&lVTn{S$8o) z;Z-+lSM9?I@~&21J7!5g)-{eQC_l@<7f?Q>P)X{jgVOtHg=d*gJhv$Fx!|_CLWAQ; z@$-hr_*2h&sv|DAiB->Pi`KbVwcv}MsiWPWP0#WJ76yx4+Qb<2`TS-Lcey)Rou<pS zm2c88y8e|pEPLj5kxg$5-iQBfNIrJsL*0FT2{X65o1HT61$cZf5&wS2r0w0wM|%}r zw@azED;L+>KQLbUH%jp*|981cwGADA`t5~(6!q{1ZgQLYM$M+5Z{l;t>BpTN?R2KG zC!Gj;KX3lqib{#&DgKd`+g-|Hzx8oHZ_hcA`SDxH!<eLJw&6`XceH8GDA_hATGq)# zM6a9SZJGEj3I91;xwkyNb-DOR<uT_z)%vpD-ZPWWu^2^3eAH_VSv3913BAw{?5$gV zrOaf?xi%%2>*ZlR2BY@5ohr)KQx{$@SDRpc&Fo$7j;l`f4ChUmHyxYDnb4%#^jmU^ z$Hnf6rRQfl^fp&LpU*kR`kLEm@$)SI%%=&zyKCvtEcD~al`}QVx^rFSl5aD#ZdvP; zQyipsVGi@Q(u-3ri&|}yv{jd~)t(SKnO{V6)(5)@{55vh++N@O$q;3K{QflW&yDAv znnmrK#vc=S&g1#Ucbg9PgmJcB$y$_Zl6Pr~yok~ID3h|FZ`tP<d-q=zS-xg*VcOxD z9+UpBa%oePUNpJsaIaNL1(*Hxi<~>0F4u5HX3Z&doO{cLWoG<5&XVj@ukvt@Q^lL2 z=CJ0>&$x9V(_q=$wIa=;lRm{5>dstbdF2R8RK0KEDK+2ZCv|IP&eu>m?04vb=g*+x z7R4UtIa}t=O82^`=y$4o*5RP#1y-`1oA0_gUu5Xoy3sE*<Lr~?--F87S`ICAxjt{j z)jyeB{GP|RMK5RhzSXQQ<j|W89fz<39P8YDbe~^-rV{yMPm}FyZ6ROQbVl7i1J-KI zC3bc17i?~56g7*QS1<M<idFj5(sah&$vTQ0724+?NlGpAx8&B?b#_Vr*K>B<JB)J{ zKQ&HgY<KrKz_-zjbDi(|(_h}C&(+$0JG-Q?XF=nRaJh%wN@kl@<Tn@1%I%!=u|vz! zT$6ipuTgQ(cZK83osS}p$afrP7mYtu`%>}SLSL;9GwzfeOkT%acKTV@T0!YY%NNNw z7p-;rweqCUe;K*bGde*R?IQ&0uWI}|?YL@&_JbhPlfk^-v_ixyoH*~yxwvt6FJs`_ z)A{EU(&HqbemYa?;CpOSc}#^-mh$J;%?++mbu*n-S!y2s{W0=@*{YdlQ#?E#c_c^d zbegJTo4)u}eR<oL8M^DAW=yFm=xcBK^CZ(m!ZC#V|J7p#!D{m=6bvVAzmT->%^Qh= zwUR&QPxsvxdZg)NfWaGW^N?<%b^k83&Yjd}nUryRhOz33$#<nL7_QQ5Zk}R)?51;) zOu+Pmj;|Ue?pOP6e9)=>p@^;OMuhz1$aNQIeVeu9uk2zu&bSwvvr4)*7vHTEE4UYU ztU-|L<^HLX_r(4-8VRL7{lflg`3#QesTYe9>K`n$NZw$-!BOMiW%-PtE?G(4>|f0F z-fZ2^?&o#w%kC0W+mfN+$a_QAcCB8Go1$rjk@GKA^~{NB8`~{s+V*Uoa&Y#c6t1>y z$9iu28C+P|ukfuqT;Au#iCv5B1ww1<&VD%eioeFGcHVpbk~Lcje|Yh2n)&9!+Q+=} zbz0B-usnTxwQxbCYO~Vowf_#3rJ5Z~{jkTnXQQcJO6@&)iM2nN|L_IZFYx==9&_SW zrPYSm!n*uve?Gl4dAeL{|HZD)#m_uvdpkEOZuB_n!Kr*@)xqUYBwMc*T%Ky9`8!a4 z;h~d{4C6KAG(Jw9W#F=Jn)DUDwR?`wEX^$_ymTw#rO(_j?$e7`Nwgiv5>MawJoKj3 zwUztgckc;4$C9_E=vU|4SqC>?@bvv-7+=HF6<Xl5P^zFoN1!kK7?ZN|LGFOXCZ%sL zPqB57?vvO)<J!{+r7tJA<<)FH@@&V34lOm)uJ)$G%AJCV!8Y;Ty1^@E&3wcg^yhSQ zcYE#AXI=*tC*FuK$vFAhO3ta#y!G(Kt1*nlkA6Dr70I4>lk0Lz{-o0NpI7^;=4^`O zG+$=e)!vkPb?HHlS6geg1kd7{x9Qa-#l$y4Zr!VP-u7K~@&2thv9^zYG)a{2zWF)M z;@ryLa~FMNd6af<&*giu8IM)fJmzn?oHqZ<TZ_mQ@7S`JpFJosbIzu7wih)vI4I8k zDN^qBKSomTVRcqtW8bFV{6SW79uIiGE6eXHI8@=j^7`F1$0t6{O{wf|*t6S5IO?sN z+WvpLog&`^cx=oLIvQ4RD`Alu-<*^wZx#mZty6FcTH!lEMDuCvTwhOJMSsQUqxF-I zdEf8O&HHvPKQOSYXL0Do^LH*SkGh_D{Kj9aGX0Ys9)cB&`ya-vE|RmfjE#%f_u<c8 z3-t=w?}zWC79LNUoHKo<<Fd%V8Gk|^&zHZWyIzmU%*(A(Fkp?O{jR;`VvN`R->|>j z{Cvfd4NgWsEw46DeJG;(ct!B8%e&{SJ$*ta@#(+H04w)3_D9w4YI?U#j0#N(TE?TC zJfrY{__EVor+>^^e`mQy$salYgjzLO+sE}i0uRof@vgp6@w?tEWzT2rzY?uia-Em; zluKS;UTG)Cb&UC8&=swxh0CqJ#V{Orw7budXRq9K?;YX?q*N}>yKE6^rp255Oybj- zlly0HPu}>;&8Uz~_+i%(e{-?GkQ?E4UDoy!mM5LF*Y%wfxp80P(TTy%b&6L`_!KJd ze7f#xQng5x)2~gZb~TFrtZ{#kdbY?T^H}Y*g(4R-vo@}paM9FP)Zf&s^T|8&6U%Ps zHnHRz{Ht@_(4N0R(aAnQ&RQhsMHN@+u034$Z#e9+dBU#{eYC=_{0Q&z#kQi2TqO@Q zzKFT92s!-TcslmOy%XWP8x1bZ%NJ`G`1*TMS6unxD_-UiZ*QtAE}v+pyjm~C^uJrx z(|<|{y<T@0PB8L!efHV)fcUJGl}=|~%@XQA7_lTOG`wqj)soe6d?CS014BM+md>p> z#hmymz*9Eu?vb-H`!b9kZjjoy_)zhtuc=}aFL|VTRyOFkO?KTqduQ$qOYPYSJ8aJ{ zDOg}N^&{u@8v^#ci|4OX7t_>dZL{5Qx|P-Cq~PHbpLR#f*n8@2nwQW0<a$)no8Eio zx0&ANZJoIDt42am<Ho#QhlCkr-v0fj@!(PP%@U)X=aYQ)DeekWoV)GBB<Dlh?`Aod z#pkH(^jH<_DXcSbf`&<JexQa>!<q7~hTA5&tDKDXoi)nYv|O@vxun^|ytcEvTvK~J zdbD0PPm?Hr!eW}|Qy#p`YvB~Z$8CNK3N`NvSS$2NWn6h>w{*E?_Sq-LS=Y>YdwI$G z+K78%@#h~|R(|)mq&#U$gXc{Cxm-EHeAS|x{_vcBVj8@zl56JojI7IouBVg_Iz7=o z`I9SDus`LT;LQ2{8z#!KrA7Dbz0(==mUTm#_q&77T$Lvl$$w{E6cH(R+4`(oarG^x z*BAR^Ey|VLEv`i8=M+E8Q+mANmen6&@hIOSvlPb9Yx%rmB`i(~+Qe&2FuqlhHuXiQ z<w-X`+u&mh9XaOBae1G9&VnuD;^`F^f)r&hB~*&bGv_FWKI?ZqAUJF0;t4M6BjV08 zEclz7^F>AL+L?)5o?DIy#5yf%)|!1!qnnlKM6kNe-QyXLepvQ>Kl<b3_L~o~uYY&> zy1nycnaKm&jdsbKde`_zGy8szQ2J7P`ge5pg6ApHGux{h6SWSkzrg&U(I=l>UtpGx zdrIKni{EEml9!&E?&Y`1ed>k}zyBmNZFGoSu}9|Xs<&(Qv1iKe(rbu*+W6t^$v1PH zKC<MUOgOr8*^He}--kU76JMvctnH6po6qb+n#cBv#dG*i63X`}ob!8i*KcRVxz*8a zR}Rz(J)AIW8hg!0hF2j+pQbNaZN>M!drEL#`ij8!FBg3;-Y#vsJT>6_{En6LE*nYY zsozah{w|SPw$SN(g^kLcbvq7U{ONJF$YbSaXSe6aJxes^y8k@QyiY=E%ZtT-FNHkY z{m63BRrB%!>HD>JjvZgyS>a`3{mm~=KH-&xjqa@<GcO&O^06W;C~n{6(7Z>xnm4^) zGC|62^2J$&fBUaxN9D~8+IPX;*U&%7M?=n~*+%?!`OQ0Kn_R_&tL~=m>JXKjlXj<I z`sJYTlsC_xOB5CCcYU<IWye1~J!28$lQX_9oUyf;=lH|mi~lFBlzF%z_Ra^Xi3N(= zxV}Grm-dlOEL~l+?^hG!AEoE1UGLLmA1~)AQ$Ih!@79~e=~BjW!5-{2*{d@0CuOWl zvs*gB<-T>?sj62Z3iZ1(t@o!^T%XHSvMQBhL%C%2w1@J6Cl&?Ati8GWKu^7V);Yb_ zB{wEKyjv~uA%2skhR2fQ83E7#+g>Oruj)w<*&I`|XV>PitOb7G?ygr7I+pzDLhTuo zb1Q_B8{K2qG$dZ$v9+qosBhX1iHE|=JNv_T-eCA9$SIz*(qP92xnDavRUcKHb}hFn z;NPxio1l2~wfyPMS^ge5yQc4A@9Dm_%O`zn?8ogfm)z$X9+*+o=ychgai*{zV_%?k zx5y>;&$0K|{TcoCTyNX{PUOL|YT5b!!&iEVO!}2O{mYAPkCFq6_g%`?sw`ZQbE%uT zxrc8#kJ*L7Y*!7Lqe+#k{(g;%&g1KS#Cp(w?|C+TJ>MT67OC{?>i_rk_o2WCm6b(% z+ci}~UCg&{{m2#=|I+kX;`d7Hdxwv$_t92%Js2nMw|jo?4@b#c;ary$f<H_+`r9^c zd!*xigQfE{1NUf}BrhwTCw??)_faG9xY>Ei<=bv;c3P+|wf2bE>~J=j?+qnPC#r69 zi`eI^dR8i3WLfL<a<%BC#@D&;&($oL_0*`CjbVPqGr3lksN8C9HU@4_mEXy)r+kiz zewGz)@HpZ7=`gz|tIw~OpY`sy$4S$|KRLf|->`V)$fqv2fBhUy<NbvT`!tKbd`dsK zUo58f_tG6^{}rWgy0Prrz@MMJc#7t}hzS<yANg%mVuKXd=$|>|bnaXGQ<eL|MSLlL zoo$NGB|b4cXl;8Ym1qAPmilvNtIhwPo?`KA_f4@h+cP_l@vPi)H0Z(U_Lr~D>|<^? zq<Cycx5%aR%XjWgWNuiTe&fS0HipA#H!>{C7z%{{hww3ooqf<He){=&6Vb1WG(Rs5 zel}xfRGP&94QG6fZ%UcXWBFon{KTFR#Z<lG>iyQ75iX0GS3AdrUCYqjvoavMY0u7@ zP%(vlb2O`OoAkt&8u#?q9Wg8OyOLBa67($F?RjGV4FTS4xrJ?8vi1e74fS}no%ic! zzOUg+>>{pSa)?wAb6-3y+v0r0tW|GzFUpzi9z3-+e9GPjf$wtXXC4gh(tQ{9en-LQ z#eHu3HIBzT_2@N|?iI6N^{amF61%{azqD1pvbXJetFu(j@btsjB_Y$bRlc^j{Ss^c zbyVf6s8{I930I<dznb%XEiC1GueEelsK=|^wp}~?OlLa0YPOYJuhYx&*pvU?vZuke zJH2gw2F0#YFYi<@zgnpEi6dV8fwj4LmfZgti!UBOl{w!jYs>MBl84EwF1iHCUs$0d zqSwoQyrto@Z*5<|idz*bpTjewz2!Ea{nqw((Otp*&7Yq>*mnQy!MAUY&t0}R{=~%B zA7ob9RJJ@Zzxeam@yev>VJ;tc2k6(#;r-r_bSE&wji)qxqfuqajKD7qO-3_oOeXWY z#@n2WJ1y@2BXG84#r@WQn?s*_&XifDF0{7i^QC*9K?$6~bNqNF8{OPgc3#YR*Fhtb z6Dt=k`>3!i_0q%2Vhas(1KprFD}zZ6b3g3L?wfOGW!kCaNtYC+)I3RkslN0}+%nk> zm*qSAroXg%b!CI8@+DrL$t>@=4*w9o6S+$EVD!xRuNyYb@l3j;?R4o&OVpkaop-j= zjSo3&wczw_P0_jhB0;TyGwE84^h|SJhCbQ66#3;71i$1i``*ZSK<&~7_QFqXOE1(s zotCFo99N}!Y{&0y0=-!m<62LyI;<P{=TQ9o%4aiQKU<Ufen)_v|DAY-(+@PyEt0J% zVejglw|1S8!AZle{!J1bo1cBWGSz4D^7M_*`0FPXcY5dq1Vy$jjhuYH^r4WN>nZP} zrr*sbC;8tx!5f!2qpo^squlZv%0X}Lsz?5H?Jv6V$^F!+4^uqORCNV2)!zsgJP=$Y zx3NXj@8-^+Z>yK*C~VJIk{Gk}g7BB{#mgeg*Y_sRPfMP6i&0{U8eiavRTT!Ot+z89 zICYp6tUtQ6?Xo79=NF%8m5kTE8BH!<<n*j|>eNSPf6S2JkLh;ae0AdUxLhtvKHgWy z@5SdGWABQd_w3cwsyDeGZ*co(?^v7RW?ui-#JcL2o6{%dg_*y3dLMc3oTs&HzSFBO zMaGqy<}n=^AwI_1qLVUWH;Wv#)Rp(#>h4zi(4s5!`FppeFXvUSURHjkr1sR$os!{a z^Xl5#cdl4rWBmV8&uNAE28Q|5O4j|JRoGVeX!D$n#;$!Y-cCPKJ#VUk`#XWBd;Auv zx7?mJ`FFd{wG&B&K6!p_w(}p?wOMhuT@>-0^Lb9<l$tqwuTDSbt_d{Jyc~Ue$;_vo zKavhQD7`xV<r&Y-{P~YJi_KsDoV)IIO{eorBil=Jc(c|odGP+3yH4T3{SOX^y#4oV z&LZFRuKC@(2mYS@|1L54E|c`yCs9nxHZ(hI^J886d-nN1$6D<p-JUhgGT1Tiw2SKX zBQp>Dd>s3=#%JMPp@fA&I)bTjE0^!;-1z6o3-7b5{WfnbQp|3eQuos?^`pWX5$|1k zi^_{W$tTxt*cF-9KV@fgq~+H$oR6Q0eL3u>cCy{NUOrc5+Ee2RA3rA+zkeim!0`O- z5Bn}Luc*4`rndYsU!b;X9^Zp*pZDQ$3C}-I(f3{yZ1P>NHKo#<duE#a<{1ai^0&<k zPkIu&YJ$stshx+#R^O@Y4qfM5vQ=pLiLLPhJ9D^-O}}flrrf+>vs80Kwc!09fvXEQ zxhyn$$b9f=f6L!}E;fSPt(JcSHc2M;Ub}W?-KH>kcgFWuUVLRrf23iNJDFSihkb|@ zpS$t4|8*0Sb^0Fu->CobV(2^5Z0DMX-e#L_9)7ff&o=js@+;=PM@J1~r+Ms;<9MyX z<=`j0TEAtRPQ)%3Kea8X=a{{39K2?u=N;VY^K*t~BsW___xzXx?&0s-7#*$#N$cL1 zX0X{iMbF!8afml};Bqm!uz6`KFK*`l`ln)LZ<yVJ^QE@ADL&cPUb(Hh*}f>aX6~u2 z(wnB<_T{=--}ZXulUaMu6xe=#7_u(?ANTclD+2TEwR|31HN>!MUS~WVw3F|L_&VDL zuH;IeM5*fspF}N<VbAw{YV|{5-KSMcQfibYbj&bt>MhdYmF7HkQ`nWWdiL^ZmLCi3 zme#mvsvh{+qm^=8*f8RGu-odVE8ky8-j{XQE>O@l#8O_;b8^C;7}s^p(>|LD1sru) zr6klI>blKeShF$IwQ~NFlL3qqmw28CW~|pJ@zFf!)BQAheL>F5#K1S_k32rW)4j-Q z{~MR@JPZ9gXPX3WJXOHZAr+k#lf6J$t7mzt?*1pKexElSnDu2U_t|i(qUVwOziugf zs3kqc+W(1P#M2XV)vuh;oTVGit26Ozf_C^)Q=W~}v{bY=_=Q%O%v<M`naX<Yq^X_# znxuZ&U3vi>+g*42@y-fpFjrO7;k=>2byh8?srOOq;rPOmcvZXEo_E;S2*uv7J9@Ep zs)*}5v#ZO>&tyFAOx%0p;-AoOoTbOMxOu)hzJxi~PUPA;-qNg7+MI`ve9YsV<+Ad` z>N(3_zg-p^S8Vd<nAY!`t*bl28SkHnJP?&s7;(R&ZvFnU6B@3Ixpr+aicJvNe#+@} z-NQqQQrl1bdZD@ATQq8Wib2UbwJ@ii#Ip0R**O!dCs!TLc=|sybeGIN?mf|Bm&5m; zJ1D<Z>6`f{)1>8HHyHCTghd3q20Y@uy~qFYHs^1D%hON%-lBg0!uQPn)iYSR{C)Q6 z-1)|~*vsu$-mIJ6ijsFHD=H_SzPiM#?37`=sq*<5mzF%y@9{Qo%@2|n4c3d)U3Nw& zc-fj|hmSP#cWd_gXf%E;V4K~M-8)NYZgN|@yRp&FU7wAf#;pp_X$buDI!pN{duzxa z!^IWrO*6aL=bl^Vc+*ERzsssIv`=)=mEP<d1{V~z?wakvDRtz!Xm)Ojd*f5dn8UZW zhCSNHaP1Qhn?sbybhcv)!&rUx==lmn|8>(WykjM&)Rr`Top4?22D2`?6F2SLuN}E3 zx}{8Z`j%<)`9y3J?`+mQe7nMGL-t(W_w37=_ult0zA?R{C1CZY_&@Qp_~sQTbi7Z^ zPI==iJ@1r3-MI(OcZ81|+7Uf%(f_K+Us>M><*tv^|0R0B=z8YCp7pz1xQnvp3#6W1 z-F)+P?vuQ*o3$a^+NaMcQZ4&jB^cQtC7x<_-(fF*i$u8bp{Y-wW?X2x9zTCw&7E*D z2{DexsTVihXOo`i5h-b|sylJY@|lPF|L9CT`p4f)!dR|&<1M$nPiK6bA)x%-T~s*8 za2|_P%$keU_a5c)99tLO`lEOIrO>B#hCg5A%`uL?qR}qOwRYy7;>OA5#q0ssyBu6y zmuNMxsh-$(aH9{$W?{hxTzor!-=D-;x5VjVuvrl!!;YrOPXeWL59q3wZT)kUYwgUm z`mXusD<=f+`JQ(rc+cve?aM3tE3CKXzq*s^(R2K-|2r!i1HHzJr(RCy>`R+-IqK#= z0fR4d=Ev-Kk$bU+|HQQmuj@+^m4q#tTTQ3<OM2gbW_&58a>KFKE7z}{h<<#i^F~FC z@1LwkiA5TVXTMjCYFqv8-$m9v$;!(cTb&<%3c430wZyv3z_MOWStn-R1L+5bcdKvC z_{C?wugAHA-(&XuiMvj&RF&KwnLO*u_p|q(UYYm#!$EVY+2=w%PV2cnGiPqNo^KS{ z%QdrfYvir}U&SVWZFfq$JN4Hudjq{!+|&8E+<KH7JC_~UzFjgyQoJg~)3)#Cwb%AL z1uCacZjKNzUNf&Y=ShCj^+)V-)2_d7^!1xyr*lPf?P8~O3f=987P8C=aeDHt#M7%; z-l(kp@uB9~KQi3bhfH~Noaf%;Z!gvOj@<OWv~Y&X!n-eR_0~>FniR9-+x_n^&ZMl* zm)K;tifLoO%?g*$M_H{|$?s~r_Aj__*eFm(U|IP;>&r|gSu1ZZTY6jg>-6@GXG(va z*UMQn>&R}=q@AxH*_j#Lf9|qC=b`pRw_DF^_m-J)?a^pDegFCA{TsiTZY&cEel;^F zOk?7Xu&6(~pM-`rT-Dnb+LiyT?u^qK!8PZ_*4?yAJy^_pPe@IA`vuPvnn{ZaFG}Ps z{d?l-YiaQ{{4-t`eGBJZ$@9D2CFy8$!0KyfcblI*l@s<~uQKt*XXfR<%6BdaeR3tB z<+94Y>3O<e7*~g0I{r|7r`(%TwN(4ukGofiGX7CAd;7Z~tmPE*4fTqX?Kvj5dg{tH z%I2m7MRNR(UH)ZT;ioXIyr%l*SHHG7vHi~r_p&H^=XBWb-6`&S0qey??@v#gxm>45 z^P6RL?2aOBYyN~qzMJ3O(@J}Gcvi+{WrufssUNmGX_dD7E{$6nG*g|&#c2IZu7zH~ zx$h;J8|QDom0_8oaG_G#*W=ed9T7d#ugQllUd*4g>B5VtQWb7-ym1?SL$n*d_MByF zj#+-@Ym}Sv@5qz$e)(6XPEEAWn)+kK%a?qHS$nin<8P|*pUrlC=kIdrl-GuX>s};3 zUeX^CzVz{jX*oal{!xCb8YlRO-@a%{jqAOpS(+EOYkjV6{c@fy`dhW^x58P`i~ecJ z>z_Xp{Py0wiR)6Qu9xZGd2{=>|1&OI*uP4dTHlp0L)H99?ynmmFXt~hw0M3IYiIbW zKbe~!h&?*FRqu~xRrR*w%^i`ee_ehS6<WXSi15Y<_Kr$?${*h*#qdY82^V!q-gs=_ zS~6q%UAK2Gp*548{5iItw!6Ldc4mg(TFpRxRlff{iv`4XMStBI=yF8<kkZYqGXHy= zk9=r!2(h%B^Ky@7UVesxL3oEnpT#4)z$78t2j4!Wz7G>RnJRUA{x+55iP3F|@1I-o z@IRF2JG|Mhyru4c!^hwGTqoDx<EWkPqS+WDX_kGtH!Aev%w;Qt52hYa&-)fT)A~p) z>jt|*@7t%O>;+ELAJh1{^0sxU!iD<wef$2o-u%02f>cd{j@0(%b!VQ$?m8P9Vcxpr z*A-py*LrsxKUXowe>$JPw=sEN!cJ!208@FP?vM@Z6M8I8ZLd{1%h5mIn=Afg_oKx4 z883dt^z520u{(R(vFAdwr1uFpb0^m3@l4g4wNA@!$%IvPi9Cm<f3bcWxo&2>mdeHJ z$L86^ud+4$<6JGaIbxUX(}e{K7%Er&<?mk>d2rPY?Wp>%s>^?#tJ44GW%BIplO-X_ zb?avf)*DV<vUuCKF3rg^9Ta^Mva{~2{A%iw$`|T!w%+X7++S0Z@42qJ@RiH+%XCBi z4^wA-*ZF^8&s&{u{+}BnkFClUUB+@tcP5X?`|Fw4?kc@s)@FEdMv=GL;q`5t$EHLZ zWuAJpK3IKWPhZ6rlLNkrrdlsgTPa7XRvmk2ruow}a_UD@`J?my`Od2Nye^+dcx&^_ zDJS{To|y}&elnZzDA=d>l-BN-oU=oo+4e6zxok(ZON_FmdX4H+#(6*0mp|KmNo0rn z8~e$fs}9&MI<R%OOXIr@?<OXls7g=>Sp2rIYFYU|hX<XpW_$GcSnO^t{XXYwu<N<7 z6IOeygXPXd*Pmu9TW~7qjfTVAOZ=68<lAgi+IaqjT@iDZ6Y9+~(fk#~#NVmdJ!1t( z;!R4I@DBcy-N(&#AKfOmfk!<#y7|_(j*YoL^0vO+b~o#G-qnxlt(x0(@6XMXe%zd_ z)^&AW-{#A2KDijGf9_D#dvi1QSGSenr>^%oDeVoreC*2n{`y_fX$e)|lD40Bo@wl( zIX=zen*XMJ{IvRh;N%o@G5-(AkG1~XwS4In5;l_~Zpz8y>yy9V*t7emtD~Dh=P%!X zrzdTx;b$*Sa8wiTS@XX0XJ?V|rAQace@TvN`l)?_`rJn!aW31iea7i21q-aWP8Zxb z)p8<Y(V6_4Da%>hbslK1{dCmnA<MSa*P7>hT;Ip_+GYKJlfu8rJ}Yz<a`qc3t~p`t zdqp@xJF5I=<8?09nxz}Ac_rEluh{;G|4)MQ@@J7Z+qT+G-n!u*d!feqSFfx#*$8wj ziV&S?b3Smz^gV|;+&;$d>;3bZeIM(wr|a{}9`3jQWNE<{b4I&)+rJFu*IOGM4sRBV zIPv~(l0w0cxU$AQN8hyVY<p_cx`b2l^Yq3B|D|_VOo%)v($A{A(IZt!gfB+q!_+?a zwUZ+KrTV4?PFjDyVRl?rN#cPxISJkv?mI`??}&u$yA(7_+IgGUzo3PORSs$^W!x*R zzN%o8&NC~a)Af9(Ye@A{vAfGAWchp6O1-_~nsSsq?ALKNA$9du{_Y>g*}N_;c^X%3 zb+^xjv!K89r<nM*_o?R{dZa4rC%FYPyq4Q(uX9v~t$2l!fb-%{RX=8$%$panKq*L6 z<EWQr<vIVh_f8LgS4?{EbkcOHP&nUKuFSjI|I=bK7sQkVyneOi&V}cfOgUdlegAF$ zaqaZ}_Q@${cfUP<WhG05?y}!3s&1FxD?huDuvX0Ux>0ZMnFTlZFEKN^s3O(<BXG?p z`St#no%ihVclrBV{N3Ejb4}_pE04)<@~b_re{$}`dapzIDy!53Q*_i$JKa9|`-T<s z^+$%0@v**BPIHt>_8jE<y>#WBS&o073u+!-)5C9Hp!hiaUcAkGX}`>WCXvB=UWIRS z^$4E1`@o7_af-3~6%NbDoeDJW3q7$%+-Og>HPhykPD-D%&hGJ3?0mMQj=$^O&SjgF zx~i7$NL(nm@@xa|KhvAMdw+&=?yAvSyNTW5U3%1#rD7jjE-0wUe3uS4lFE$WSnnOA zIhn8IW?uyFM5(72(gJsV=nD9ev`4kC`k8svMi%+>4YoJ-Tc27Jo~owNeU{5safa&c zxc#3+elT@=cg$|NYP!F>oxewZ;U<^VDd9fHCM=hl^RZ@^+SO#HM4ySff64!x`;pV9 z<fnZ>BKt-G!+pxu-}o=C7P#i}vy88(OVe^yJh#Tb=1G@Xrn{{=>{c?d%jQ$7bH_U2 zi`)Mtx||od_`0LDK~l-;vA_El9?@9;i*+;lnZEp){C@K8#6E%bs$sqAt=YTx@8}3R z-&}duAb!%k|J_}OdN^`;Dpy@$X}xwPsgM2U#|HT@?Qg%;|L87mcrd3q)@6^9P+!Ks zCHsSCKRwZ+pJsQuAu81}e`fOcl__sK+q??1*&=m+&wkszdZ+XGW4}Mu9^~1f_}t_E zwCY*sm7@fYs&$*!`YVh1_sB*>wtd&B(|W_aY)Z(qk9z~>?=!QRCY=`g&Gq%~$&zaq zXVx2Z@weP>ohEHr>T@a9N<M0iolVA0#wT`LuVhs1$a=$>*yQpvdYbgSqIk~*GQugf zcFKo&Q>M!Ers!{R*liZ6o88Bzm(q5})$XtB;hecnLb=<X7=7ZD%-a%EX|f@1#b+zV zdDD4H*Et2%XPh*fzu%)oVTYTV(#7s%yLyJNtDeQ{&)zhxu)y!5(>nI#vSUvU=kSzW zOj=ej`QV(MK=&IG&ll~q^!4dY+IzwF@Rl{|yP1D>_qc90iZUx<x0}dwUO{1%WU=uc zbv~xP4R=qRk!LwFP5S3lu9d%+>%ITk+^{*<OK6q3N%>l*AlvDT5AQ6P^E_RlIF~)) z0oUQeDNdL6&Aa$(GM~*i#XI}1%vmowDJeRO{lv_cLg6r}G}(CbfV(M&o@7_vY?<@s zxn=Guox}2v7G}Mg8~jG)+O8Mdmd~8AJM5<H$F4;6*WMLJj&?phzD;`GriceoHg6ua zN6kB3qFnx0%lSu1bK^XLS4%eLo%gXy?=g~HJ>l7(nTmQ}(snkU5w>Rf8~P}e%k#^m z)n6rLUrt@)&Bplk{Onb$OFJhU#hVJv)=p`()q8wk@>~;krXLgMOQ-k7t?jI9J+tTh zl@|hm?c!RxSI@Aus_eR}x?Q_hT*;3s{^FVUB9mV9T`~3Dd42j+(GJD<>=mb_-SXFJ zn%)1Z*XMga&GPK;(1R0C*4A5ZxpAFKvswP(qDg+Y_%$n~W7cjiez}>s*K5mzyN1p` z_9@3deIN4T#laspR2FWqJ>B~zL!{#RQMYT`XWnKhnjChZT`yBf^z4>Sp8&sdttDNz zT&|fUi^wcF^<b5QMWfo%e<h{6>~A#xQ|!L*cGm8L|331I|33a-aPqwm{NmMzf1bU2 zf-i(||LK)8&vzU<-nPi+!HQ{@5Aph~dhGMS{PDTmiB-p9=P1p3QLr!e>yGN!U3PzZ zuHRg^$>_>W&^FH?Zgc)!zmqy2dB~hUasBl+<F$v(^HlD8*sFLRwtW-A{7_@w=IOe{ z59=P4d}RJMwKliL#pKM(YmbYTJXv-4&IguNEeE+04SpNUGS?IQ%quhhf$Xizs+Go* zwHF;IneCTZXR%r<#A{CTxtT|fdd|qZ?0wy+F>aP#(L9B$Jt;qGPq4)I#LddP?A^Y- zM0t6}#-q~N;@wA|Z%L6(J)ODv*p6owt!rMe=VYF^uG;CA^=v7Zr^#UfTXh$69w(#A z)16E%e>*3^l9T_kt^AyV!gA4e#kz{^X&W<tp1*akw7H=;YUjhJ;hyi*jyfJxm3C~O z*BiC=SZ}`6+_?t)pQiB5zP);Fi9wul#^)S!n@@_jOCP<cyP4OqIJ|rDd-YSl4rk3y z{v7q_*u>SXcAq&i_ufrdE4E&C&2@(VTc<9JNJvPHj8tfIyZY+b*UVdi3%2^Qe6w}R zzIIE^G}<{P3q<e!#$jG$8?|q`lfQ`k?VK$)*S@srd)WJGPk)Z&VRpmE3iD%A&SkC2 zGd=aXy!F-29L~-9t}|C%%QNP$h|9DKIC1LVv1yeDg4+d5mh3UIW4n>i^Y4i+b7;eI zlOVZ|{YSrV%K9IeZEiF1Re?gAZIGkv-n<R0t{=CXEIhp@?{4&uxwfx3q&IhT_ucR8 ztF#PKY~A3q@8#i7aRv*&OxCTvanv%;I4NeW)lZR20&frJolcZj<9$$fwl+X<<+s~v z`SX_9uAWd?KYK^K8=uhk^O?_-T2)pRZcp36Ec7}sv|v(nQZ0YCTu7{P>S?uYtACrB zE!%AvC&!UIb<f@xGqY>jj^=%{I^A(<+e~ql-#yB`+=~yGm{)fj2p?&`oHFx=;iJN5 zMK8bpRX)1-Zh~*|X6+{_TdW1eT>TDn*Ko#kxvOlx8kncRt{?T`h|)wZla3?aGqu*; z*>f@D??R`lq>a(PCTV&uT72KkC0BIP3svVWP8~O<Tg;RV>X^1;ss7J@8gIRC$)A08 zVBeA-uEGqbd+PNk@@!gpxyN)_#@~xkCG47fs~Q<EYUm4PhMfQZr7tj;dFEM>8w&bc z&siPgeJ6ig!SCmN!$$|5BGk-V^PlS(De<n+vEI3Q-TH^Ihs!oOrM~ZUdvrtRU5vxK zOWJ$&MenTXo%n5^hxKCLR}IUVmelW=aeAwId2g6n!0I#k)#o{iTY4V;ettXUrP(F7 zwmlnn=x=_+Bb~1$_4V;VpKq=1TS^ZLe?2NRL-T%?)U9j0db!No)4sh=e_Zt_uzrrk zOYtqD`XUE*g--i5Ve{NXA+4h#2lr>6zHqYoWXt6c&(qe|c%SUsc2eW#Mkjv`{>~E* zHijJJ-+b+lLFZ;WDVCnPlUKeq&%Ae^oiX3=u|urfA=OmHIDy6cUi{uC`22@UPK^`G zGnwZ%EZlQ!+IN7cJ$*ZV2oxXb?Cuv{%$;~vuz5nTJ9A}1*1R2GUq8Nc;-HXJ?{XKn z>yLZyFRrsuwW&G2SzYLG*K~=)^NiZ=s#~5+|Kcnm#uws!b+JcpRmeiAbgeh6hG}O% z=IxAp#2WZ``(xM7OcDAWi!}MZOr8EZY^v2$vmebR(_e;7eLC~bs!Ly4%x(S&gr&^; zJegbilf@xJooSt?qqY}6(Gkvia^;eAYQ)TwcO8{)ZRFfPo7-gNTWzDg_n$Ed-`aWc z)Ag7KqCtOF3s=b6bcG((o4;hWR`cuGGiAIt-P--|Vcz4?nWpKtGA<un`DW{*`H%SW zp14duW!bVZV*bzLl4g0SQU8p5Z!65JnwaV9;~nC1)5rW&)b|g4pA?Sn4ayJ+{$H$= zJ!|vv+($*LCx5#=KW)?fclS>A&S`rpW|LYGKF4sm@NAEZT(#?ddz|=YFwy<?XLiQ< z`KH%uS5An1?mqL<{%zKs^Hc)=atXW6Puup4S@(><l_e{08}FTy7pwCm$JbR~`jeY* z$$D=#`FYu<k5~IhhPIbo3;f#F-zzj(G>B6+^!>{-5q1I5mwtO1@J`&T`!mXVp+7G- zZ?JH{jrl*{&NSOrYL?fP6t8xC0jK)qjfsEM^11Gd=!D1}I9v2@)v9jkwGrGuT(u<A zmi%_T7rE8`Xm42RnWQzTKBx0alh;VEiFfJaD~Wp3aPHO_whvKLC*PD%s&fgwuX+3O z*S@4gF?kj}+tz;718;P$N181Ycr2?|l$OIfb;m^A+_Gze-Z5_^;?sCfZ+WqDjqv$R ziStjLv@qZ77w))O^wRd?O?&q2Sw3Ch<F!w7XZ`GT60)7ndh+(t%=?-t_x|YQKfHM$ z!sy5TH#)tpi&lhwt_xXl_3+s{`kkr$^G-eQnCkg;de^V_6E=F($8qmlG2znm)TJC# z8#MY{|7?22R+ILpa<P+Wg~LgaUmhZ+USWTBYA$<Xb6@;ubY%7Y(t~zqZQ}AyJeqvv z=kkr_T}-bxS=8@)Zn8a+X?0{~Ql0ZOpZWJKdjI`jt^8q{*N*^2-WxNX=GH51i0_cI zmdaT9=(ncIMe9f-d%LBp*G)dUYm=(V@4StV=Qt{_W^P<zcz0vsTBE`oNm1F>_b#{9 zPdvIHvuK}z)YgOq_g%&(9)u@;a11}k@^gXZCMyA7hTp0R{uXz8&-B<l2+@sPFrn<v ztf#q?*<(t6{a^X-=EMSqKwYCR-Su4iw@TL9UYxio&Qn@6=+xS?E~na@Jv({2SZg{N zx~6`$j9=FIz}r_YGW*!^z5D&s|Nd(H{gfvuvF_EK6F+Pgy$jR3|IX*J8u#{ouJxO0 z?5y0}6T^(zE;;{vwUm9!lfzsq|0l1qe<~Z}Ix#6eM`u>Zx&QmTCa2qRneO0<xYx7Z z`v19d=lK@XPft90sL6Rof#;0-4lcc)cD;LTGB4=y`p!$Kta-&%ze5dQDlU3f`7QL} zzQ#Lu&sH;-o_Z|XdhJa84a1_Enh!eym~KyfvNWGhNLr@V--VCMa>}vB@>zv{_eMWd z%#pCPvkTa@hb=XDxAxrFC3{S|*7r)bE)%T3+SB{PvM62opA*yT<xaUrcyD#=&r<9C zu_Vt=*)GOXe(?m;Z@$KvN2YTNN`EXkyC+Vuv&?+5TTQrm?veKse<|$e;qURDW2~|A z?}z-$Yb-iu$X8vn7VVn);nw>(OwCX3Hl0~|VeP`Ty=&e@Rk!xMtvgZ?HOZ&p(4v24 zN<OQZxGX1}d3SE-Q>~r(3#(U`$J{v7Vx=~v^<wg2Ydx2$4U*|a;kT~t?v0u6<fZR) zE#AiPLIS7Njn`+{&At>k`_;Y)K6PL8Q_<brXCIEV+MYD;4%fPx@vwN0&Ec()Mw8}- zO}M?Qw(cWy)|F3BBW)g@;1>^MKOOwG+r9RW!{ORbd|NB^^)~%{#Q9D}w#sbY_I)vr z4~2O0hOnM8?8{DK{#}r&t`#lzZqoFv-72iztSeui_FVZ!F(%`z%_X<xb#q)3`a(Bc zZ`Ba2Pl{o>e$o49`Xmbp$s<#K>)M@NlQwDPtd(M~pMCmQBerlyfJMj4MK9<4yY%}B zvue%K{p}exuNJ)Rx|0!l&OgR$UFLMvH8;JxCiTYc+h*2UaYcXg457UD6*D<S_Z1ra zv=^`y;8g8t5oVv!en`meP<`i&*@=ONjD>^QXGE6U=}c^x)5gX<&m&c*!!YFGR>nD5 zpPueqzQ(5Q{88QgJO#?>UtZU6%4qu?`LH<0Okd`S+qtr3)7-jVGWbjgKYN`wiRVdA z-C?Kh6A?DLoeq8<v$*A6Rxhs0nRflcdj3V9|5W&I_<X)hd3hc0{LT{L|L#TqmLE^p z_dPQEQmXj><C1skZ2DhbEcJQb8@lFia$A7e_ptplmEM@$l(o(2khZLp_E`7k{S@Ad z?+x}kUT*yxyJ>gj1<N^?_qhHl+;Q9Wo4&!yM$bFG9lukOOI{aCI?k!Qr~kwE`6|Af z(z<s8ZQYH^)H&x1{Mqk(_ks5hjd^89f8CC-z0!Lp)_&%*A5YG+RXJ6-UHM<TV#C>$ z>fxOi%~k%|KR2{pttOw5Ve+<gPR;y>eV^}S%>7l{cKuW1eNWxD`)+>Ma!|Z|M_6pr zJ4@*kb;k{EC06cyzxegfyo#S{e~*6tsdMm}ZItG`lON)W%s!m`nYSg)+Wqy(LuTEv z-iw8Di%zOt&u-sna{r6y{_G$BXFUA-A?LyQ6t}f$Zww@Vif?$WwmPlom*fYHCEMzk zzx%+!Cd8a{aN!?0kLGX6+s*x7`)~5y?Alyg5iPAB`+)8HbJG+59>*Rz)adZCA>sp* z97D#0)RTRO?>@MCf^%9@{|9-sA4!gSyCQivY5(-|UG>3r=8^8@Qf<2@UjDI;)!$Y0 z+O6Q<w|`{rke(NzP`GlvO-NU%+4iVXxr6Mpv~s39nfE8y?n;`P_|C>)vdFg&%}o;> zCl)ld=VV=7P&?1$u+6>?KPEob_ELJf@HNxYAAuq9KF|IoEU5^PxqsWd{*}}3B;mXt z2cKP>c)Dxp<VojJ`|qTbE?=@ib+h(ixrdv(I)(CXD1UpG>NG>*{_Z&?isI*PD!&!{ z)5X2C`6c7&2PaP6KN7y9?#<Lo<?%1v-YwVd`M35eU%!04=SyAjOYH1(6i)p}y%ip1 zBxiAE%IyUgE;TB>Iv#RU_VyzsS&jEoytDN7oXAb8-5_QWuDE!)S*hM6y%h|-UKU%I zPJH1pDb3FLn!9?;kx*v7q(FN)fsfT1`*?Q5e|x`8UXxGlRN#ZIi7T0wDy$aYs+F|f zNmR9+E6w}l?vomFt&7$R>GoT`(0BPcclOPs;_2P|nrec{A={0v?O9?FdWA`_^y^WX z#vQu@E@ZlBo?4JEuyh5h(yQyv-C7RKpZ@RII&pcCP2!r#t!FOl1)kRt)GS;il67IH zi{_OD(VamrgamJW3fdOE^No!69hu8)ai_itxk<KX#aCM9ud2FQdo@gam0oK9VPDRQ z^It6Tccm&HGCpIWagMF!Z)1g$(C!(fTA`a`zuuoQIn7;reh^dquKwEdtl4Sr>i4uA zS-Pa><oYAK{Pm|kTv#HrPw4UQ*k@ldW2byGY3(^BADr#K=#zZb$uI4HV-`=#uG=zk zZizAf$3KdWzrLg@?XhX#+~igCr2d8NgYwP`Qb~dKfo?A@{ogS$_>Ua>o(+~R-<SXH zP}QqB{>937I^!pO^W*p5{VzQ%EY-gM>Dz<<|INNvW~ra(o3grWx2W3IBek1;nf~9O zx240~P<OJ`0@o|+XD@sz{rr1cQP`%(`+2-8??!94_U(yL@~~PT73nWiwX?*gbpwy9 z*IPE(&{-j^?fss`GhD9o2$o3ylyWq7xnQLBLL{e+<5n_DX>+PZ5X;fyF00Ne1TPn= z$-Sgf*;Enpq-5WrD~FTQ_8S_u{YaivDSvTOfX=)LqDBu4Z_eBuHc9*NoZpW&`uNrT zPncBivtm!li<^E?|E50bklWz1vH9z2p5M+r^H!`Xd%E$Z)~uahHgEC^t5Q|J%(FaI z$c{fI^GcoD6}w+rNt#u5%2jb1Cs#c;Ui92WP4%luruTXw+uetaj-C-o+r8~_x^XJs z=ewcoXA^()uH3Ww?lb9N<@IH=E^(PYD{am3>NZNfX4vUs^!h~g{V$CAX{XjCiy9m4 z{9&+YZQ((SR-M0Y%gXQHJaBLI-HgBAZ^mRyb-uEA>T&6rMIBa#U9vu#4?6s9>=9;X zwl{w4z&`nosEyi#$KNJgeD&p>y2MGp#VfwG3Z+hzs5|%MbJ5idJrVVq&Qliqrg2rw z)I7LiS*_lCu|syUU+gBoh<sD*ylaoz)fb<AUVhs5De&q>+r~d<kMY~5EX$Z7GeN1- zFlU?Vs+)afFQsOE*x{@9IxG0mG`Fhum`$Nd5_#e&uAI+V851Tg(6e9uVYaT~{_sgv zzgF@uUwb3z%T8VIS9`A2cUb*Du)g%2X6oF{-ttq^O}I5u*4ifR`&bk<>4j71e|s*^ zlKF~N6I|F=X}oMGW`6wStm9;{o3{?0D15cTrs?OVxNpfTrRNzc9{t63!(H_llYjc# z`rEDQowM&T_@@`1`Y9RgRkQTS&FfF6EiL=D@P~(`P+i~VMw#28ANu+-xjg(gy4P52 z@rin{!$EU{dUx@u*Qb|+iXJlBG4uZu&2~Pnm#y-fOcq`JZEilfW8Lx~_B~3@7ZxNu zIk4cy5}Qv}OEZtMOTNiCH}ff9*3&OLe=PVZ7#(Y2^}_9)%r+i|_RPS3`RJ#cmVa)p zxqm%;bAITHkB_-e?wfv-{iTVLj7RY4z~`sVz0CUgF}c_;B%D3<2t%E~wDA7KnxcEZ znp6Ch&&gW-SXg;nNjkqr(7voW_0w6;ZPlS4O}Snkzdvhx&MV$OR>ycP1xuqk3eOfN zmA<+;OUx-yGN^00N8tgPs;mHmNplV!H;Br;vFbvnP0*utV&6q>W^nCH{IYj5>({ph zzF9hjN-6UsE&kqLmm@ZB&O51D*2|9;GUvop@rqm3JbhXbvg3Z8@28#5AByo@ojy}x z@!P|?J9sWlQCn9$J+SlR#RDf}EBC3(xHNNhl^3#XV@#-g^y1W9*4Sf3p#m1UZ;Hg9 z^@_SbKWx=od16kb%7<Q~AF+zv<zMwIg>G0~<IO)j@xa5UbMkh~IlgoW*VM%NC5x1V z+<Lj*7kSMRy2-hKomG6QbyIcBk8bVK89tx7`gq-HI}J~Si?J^M*L-!YSmn{ld-A64 zW}dLQwwu*oRW0I)?etyG;+DtE3p<fN*Jq>C?${p_ep>#NFL*Zfz}#yWdG%z%4%Ye2 zS@<R3WyvuY?>!gyJM9%z{>qqtgz2M4WoQGtn){Tg3q8EW=FMBS-~HXKSfy@D|Bw@( zGi6rm`u!A0%l16d)}}w9nz`ZVq&n8iPeq<4y?&dj=fm4A%$=ya?T&V=gWr^}kSR$k zkA6PCzHR4{!%HF*`kpGidTx-d_x<GM9;5V9(+k4Sl;6z`T2tI|>~?L#^^mW=T$z8Q z#f(1xVsO`JFXNiIU9HsD;cmglA1ex*XVph9oS2ar(IvgDD{mr)_zdrjzE>jLd%9+` zuIIbhJa<#%oFdK3M>S`!&7Z#0K=qYH>Sh=AqFFB(H@;U+{8Kb#b<R)us2Jgn$J~jR zMM{;+>-SyEjcROMcIA4|s_R=zV*GTwRX^<guy11Kqm_@hri8bCImY+(N``N@R?5BU zE9ZH&*<6>DFxvWRaj0#NT;{%Cx0B{?_z?AY^(>xiThx~^mds!Cbgrmz?jQY&MNEbt zFT`KlQmeN|M3`Unz%OqfcR%G`A^y8MZ}#<GbiNsV)j2pt@xzZD(mVg|mhQC+$nd(W zx|FH#W5AT7PBT=c@6AtLD0bIpp;PO;iUadMOr3TtK>byn)N>#02mS?#&ka4Z<|^nH z<~n$XYAyM#mOeva&hkus&6Im@SMJ_!S^I9*2iD5ZKFw8|EBzPuRZTsuxqkPJIyUtq zhCesNP0w9>B1I<WV$yu=B|Tg9-d{L)z#?mA<;8>t;b;H&Dt2Dmx#!@)1IC=OJIf{B zcrA=PHTP1=1Cc+rs=<;qj|>X9{>^Z5W@FzaXE@#dM3}O>Mo6;l!y=QeLidnaz3S3O zzHIJ1nwUFb>%~`I+c)lQp7w6lgfvsB+w)hZ=sRBhoskybs#10T#y?KUkB80t?nP>v zv<KKe<X#lvxlN<Q#D7Zi?2CK4&bvPS5wc<P>K@tXqkUOPg%Qz5`<Ac1(r5KFbo~Ua zx~w-pmYmvn_+-osr!O-%KJLg`>#Uxi$#quoO-CQESn-=%>r%3-m$uH)N$A(mJ}f8x z`{U--JO6K5!?XLyqQa}k7R^n%cq&U>qrA)a#L9GL?<tR?7yer~%UF(0Yg=T}4ZY-u z<Ar^Rc2naPO}JK{&$Bycj`Y0~2@k#%W-W9!F>9?@>7BQzVx~;+qTb7WMfZ}mzuz*+ zYBWq=dfQ9u=G?EdSHEYF_I>>0nxfc>L-UKyp8omx=ERd0;>YFJDVOcsP`F2Wr~X&7 z94l>WzU+F>&bsS6CkAgh%QUxObB;r8hiC7kmgpQmF0+{9tcN}Y*feUE{bZ2-`|r7% z-#>Sqr|WwjmM#4CxBgAq1mUS)xO}$H|9`A?-SyoQtM5y?{o7&8yJSPVOT^W$F`p(0 zRYcs#N%H!3T+F5}euqiODe<SqD$j38yD&_U%;EJ3o1Lbe;`--dR^(-=8yYPqB}M0o z$=BpAT`v<@dSJG=gzKDd2GedozjiXL__dq4&D79}<Ih^2M;#Q(5XsTp=pv&1<~;ii zi%)9CMmpke-2@&-=O4^d6ys+(bfD<>bw;zeJ)TbMrPn;&B-K0T;+8=5G|rr9+zDlO zj~raoXt|H2?8ftVWe+z=tt$(E$bB$x{X=HAgO+ape~VQ8;jBr$zwvhK)Ft1-A7&q{ zQz+%L+xTE*SBTn&?vVSP=9}&KAAA?k_kIxld##w6+x<gRw`si*dlQ$`86e>sRKk04 zl}gpqwKet*-xU8Oe14hodhHg4|H0=NHu_IGH~T=<=}xaGruv)i<~ugzFipR+>cjSg z`@Rp}cySnbiizL$Em2<HsTnFd<BeVIg5FHwm1V4X!f%`pI(2>wyz@G3%C^~0+Ln6> zy_?)}LFJU|yEBT%BGs+Wt@21{``x7@Z5+8|5tlJ*_3SS@+vjJmsO7S~$da}*e0R={ zyyWcFPID7%^OIdILca2q+BDvL_mDX^#`#r+=rfLQ94m|6^0%jKvN?bD<R@#dk4qB1 zrReEQP-tO&)O2t1%KB@M$_{*cyUaRk(_ZP@jQ)OQi=RF#JFx9!UP_@)M_n4nv{~D) z>n`7Mmi-)?kLRZq;X+R*&RAqKZ_Xl{P4@neH=ccX@m1Nwjf-Ui)~M{iac}=EM&|tT z#i?o43%cr~wzJRM6}x+%FuRm_S=fnZKW7ELTYNio=Ns!uJ+m)K^6xsFc*g$F55Ckt z_ZSXWbRP;iR<7|ltbEc&o8mcUXC};FJmJag+{$MX70d04Lng(nSRcB|T4YjH_rkhM z3;%UoVXvBgslh%-sw1?GIpa#)(-ld|muFQf&KKJ$yjNbHGy3jRw{H;(<fTvTbV=Iq z>tpGguP!_6Z%x{Bw|uD`Gy5S=&dO6izj0qyb!jxTc`Y{gm0KB)g!3|g->G`b1+GbO zx#V4M_NsQ;(Wb6zKhLXN_4nyjmnu>+ET%m0HB~--eCIK%3ZG1#ty(3|Rr@}4XUIvP zxS>34N5PT1Z!DCiKD^|e>Lm5h=-f>o9a(ud6Qc{q-X2L|n4M87my*o>F=2<1>dGb8 z-qvJVRs2?vmhKF;X<ApDlXu(w-R@PM?tU|W=$W=;|6W(H@VH5tU8(hw&pTtcdG^eF z=H~ZSyr#I_I(3`<e3uiFf0wfQ?{LxMJYZv4`0KLCzGFK5E?Q3<E(cG#@m=oI8vmtn z3X<RN9bP%PzDHlgZSRsbYMHy_+glDToz9l@F+in9?d$E2)0h5UZxYZp_1XeOv%0<N znZJX&kBQ%X?N%15z^1cx*Zgedy7j?Jy`O%HNZIspe$mRr8=;D;pZb1Ydbsj?k=tR@ zITx*UPgY!=^t<cZo!4zT&%W&N7cX}D{#Ti+t9E0>0iEYZCN9s~U$Jvy+RRty(`p!c z7rj68yJuh0`nZDM-)i67C@XRhkAEu`zw_Pl=<fYV?H2ozR@@Qa<zMvZd$HH2t*pWt z^&XFcCdogEj(@sC%VdSw<@FxdyEez)nRxK{?z`WEHRE?S&o`Ls%+1>I>U+wR`MJqY zzL>mreh9iUW6=$9o&|oE=`l0)6koBYbv2y4yflm};jBrtOVNG%6+Q0zw9LF$OkeK3 zYQ+cFr&ZILQdYNka;=>?^U?vUqfDH04&)kFFDuqNuzc?x<!L)EJZ3bvNqlngl;I!w zURR+L$&cqdUfB~XQnUEMQnrn9S3Ha4X4ijb53Ziwb?O6SZ&v8!?;=)Gom|U<S`{K& z3$;QkEP4ZNM85nKUg#0A_Vzj(w%DgX3|}{tGFKcw9sT60rW{w2!-Z_+=ZaC{D~=tw zZ(sgUCTZfQpyt@`0jti%sLBX`=-;a&=EcJHD`{hZ!m^<5&{?%xmAAHf^dA57!gJ|- z<@=W^m~3A@n9p}|`fI(Vo3{J!Ow-<O)H<!`X->*49g#U-4CWtBuJf5(+IYJ2)5erp zUmVK?r}lnk%dfCFJI8RJ!Tuks4=vJ4S?HAg>|s)q{Q8JL7H96&i}bPn360uuP}Ahp z#`~IoI=fq~&w5Oak<wVn^Xcs79l?<)*%x<v$%@5Il)oz7DUtVo?!jG;ew*$r`){~N zXj`mX&Eux@WzzHf0_}HQI4*wn%2}TOp~u3tRBi_cOH~HVKAm*ZENHctiRP9bqnmS; zk{h$1=@ma*I5Y3bzC}0hYkXlzGMw_cZ33_HWvQyxnneaL!lPxkiL=W@_lrkcA2r;0 z)BE|YjNcr-6Iac?({pW#L8EluI_@J%GcNTs-}^gBtn+tmqHpAHk5#i5%LqQ|bg)po z6#6Y=>d#qDrzgrDl|Osm{`#@^DcXzvPg(lEv44}f20!cJSpg?oe*E}xqD8;ae)jf) zn-!lfh8$E{=TyeK>VlhSSj;ntJ4Yqn98djyZtF(fwKb7C0v_7$M1KEX@ThLeN8^UK z={qO>JRaz<_gQ|hhhkv<y^9VR)1-B+<$151_gXz;V&jns0XL4%b?}R3o8G|iB|PJ- zjc*C>gZ7^D_Qi?vmMY5)|0e`=DCzEqd&tPvzx%`j@42(nLwSlsCmQU&cRaN^O828t zoWj=~-RGs(3-+sg*wM|;zW>V7UzTznbBtG52z+Kg#CiOp*5<OF$1lDus!_LVuT9zb z-}<NFu`rD_8DBPrOmi$=;IPv&M(WZ|*$sA6_ZWCyGcB1=5NG}=tGj!TS)7%}E!KTE zBJx_ynRbgQ#GkfXdBKP+rN66>>D~7N_doIfPceUu+VtP_WfaTe9k)OKU=!z(^WSda z+wLTixpP|b<<sR|tdDGN_I0*zWS01D5w5;(qUQZ2hgV$J`gHc!Vq1Z~$C&=w{oF96 zPHl$X3h7Ou2cB|W4dLHw`qp6Ig>!TM`upnh9no@1j6XHOJnHoMfEOPGb1MaTuW@d- z`)|KPbdlfAE7O<HoPRs^<4&%p);{vG*Y0L7p3}3rtd!x^)eri7*}OYvE18D8c;3AA z{j@2cr+x~3`#+v_ce$>^WU-U$J%X<uIkoC=r26^bttrW-p#>ZG?oQ@8^s*<2n~x{x z?`frt8&CErt-2zw8FIE#phzb!>u1OHW9M$($!6GdV^ZVwv_9#ZHjM|QCr1~>6(5wD zd0F&O)kf9bB~MIdc2q4^-MYWBPx_h0Pm8IB;fjm1E4^=~?yu;3x9PvvivO=TbV625 z7nxL5dit78Epy7hJHinwEu}>#JvuvWZU0Nw1Gg87UwGEJrOxPwKf6fMZn1sMrzgHi z^NCLN{_V-L?*XUzlaQn5lg`!p@0eH}ek0^sOzV<;OGWQ)?p4}+tAxF)eC9Xfl~cDb zu)a{VPC8Q8k14A>GJ`Xr&OQI1=YfWuc_%{UO;5cxYh7~l^2yVy?SzV7I^AQxv|whw zmdZsvXA^zTFVoWU0zDSpZOhQ^44TiURkLy9Mh}&nk*mblbxSd?oq6|#u)j?}oY|!0 zlEpb?_BHcvvRulz_^g}tbNJ)rZrx0~i>E3S%KGj#U3ufV{inmtw5R_jI(^DB+0HW6 zrZOOux8u0;$0H1KdyM~TWrZxiE^4)lb@2@K>nqn(OcM6ouYZQ)xXn((SJj_<x5=iR zYV|#}DKN5hhuPgbeji!HgX4?m-#q5_dwIs1{Zi|9XWmowFZ|DXzKm)8&Yj1TKLzJ) zS;ceu`}q&Ea^^Sxd~h(kC^FlNUFiGoRZI^P9P*4qR6m9OQ07`Y)As1|xyODyn(mSs z`aQpU@7GVugQ_o0T5#Cs=atN#Zmp-DH+*HEs^Jsl*KPew`s7u+dw*vflx8rRH!Zw| zGhRbR<EWdb^r<a=oh^HIMNdAcxG`)}(z9uuL2FJvsLAhE*|h$^EFP(XKgKcBbe_KY zp)M&S&E(VJd1(2eUkB4G_9aYb?T_hcvX!j)5b>W!{%rXio+q{0jaGSQJPNZ6CUAtf z2tSs!UtxMyxG!|Mbp5f9le&d3??0g@DLiLIZ%+t|p7u(eZtd<I-Ch0*m+CYH+9Vi1 zVYfehS@8a;S0|e{l~k(-OU*dMtW$B~nfU`V+tVxT6jm2c?=obJ|MFzrzmD}b0^hAe z+r1XQ>U_+zC9TTksl~QkCzhWrzEj%LA1hm5n)s#o4ja=RwaC*QQ!Q?kA4xHvKKW9f z9Y5RKXO9|r{^XzLc{}Ono?f+>E;mQ@^UIs>+p|jedNstC+^gr>P_s{3_vez0?e%>2 zqAxZc=+&vK-W{hIZ*sp*Zgayju70(%nI)Y4lH5~{-{!tE;e46;l{<x8ngKVTT;rbo znvMDA{m4n5H=eexH89w6kN5rJ=Ej?UK3_>lDBcU+DbIWAxp3=~@7~5gnf`C&KB+%h z__bc>v7OU3RIY~W&5Z1iSYiA++Eee+>yTe%%72%(=5<_97F&5?#-^DICcLT3WJ`WH z^Qzl5fz~s3Qe;YRDrHUK+3(!$qWH;F<eqfCg{7h)+iw-FcM(TKE%X$BB|ZMQJLK{D zZl9Dl(@wnjZ{uI%v{AyamuIs5_sZ>tqSZZ%)o(p|vG)Ax#ph$!nElV+c<awxt*_Ia zW-aZTw|_=j=j%nM@+Up~ely8DYV)HWX7@b{&g@#5nyzhfCaV0w=G?6LGrvr*nUu+W zcU|kb<Ru%{W^}(4IsW?7m&(`Nc@@VV^rqz)<qL71K6OdD$l{B?+J=R{PS<|4xR<f5 zb}RQ!{ZomXBMchMpFF(sKPjty_B!_dyR)j7hAd9H`sBy!%i20U&!1fGzkKrUiw|>b zCa+6AByq)jd0YLS6LVV*Y<8;t<(>D$<Wldli+YopRP{3#o4)v~Ul?{^S;V?U+M<^x zpEsTEziGYbqRD>FM#Y&{ffq9myw~6P(DuK{g4aUkd#2B0`!Q{UvqI{(?`jsWW_uUh zapwwqCiO2-`%iA?wdd6;>>Gj=w_bQ)k(+5`ku~MM)8YItLA=|lf42V#(+{mv|8w4A zlS3lc{`l7UH}1I=_lJ9|YCZNXc)F!qPWZy^o(qAeLtfbaabB3O6TC3>l-imJZ?1Iw zO-XP0Wn#WyLP&Sdh2Uwy8oMtoQhU5J_3TpVJyko)nlJxok9|4q=`Hzd+Ov;xo%H*C zSWNrHPUjMFqa4@TbCVM1xyA06?zueYo51l)Vls~BVji|FSL$ljt>`La+GrSYrD@^z zc}o9o%3E&ko_O)o1Y=vBpm+NBXXvT+u*!L5{xz~zjkjrFmE*h9+}Zy0%Fbmy$)_ZA zz65+S)}Os#zJ9BH<;`9lx2&~Rd5!LiK6gx&nw!3UNw#Ecuh+&4%8rq~Tx?G-|2h2Y zPsP$}>CIVx);aA8du?1T8msNNC8juBQ{}2tzsUl}B|-~b?PvUoNofgNdr6_Ht6t;F z;!v$Et-GE_w5BX~iZ)eg-DSFcr&ExwkrVqQua;{oFFfzPaEeXzm&*Tu7u(oGt6DdG z)K;+;z4dA8k_(?r%6B*g+2*(K39L#{2oX81l)6~Y<86ubmI<|!ga7Wm`L$}%52I%P zrq1w)zdwu?zq643d_wP}?#{zAW1OF+Jqqr~d0H~p>GZ=F$Mr1dZ<?y}IPfT6{nX&X zq&Y33g0Dpmo4-?TztypS)~(3Xz81HPuWNkn&$@KA&;6_NqvDequfpG0Cmqy~o4EGt z-T1{PYHSp4ge1*9G-F=nu>k4!`=@CInN424D|`2;*OkS)?yQjKotYzdeBU|tX)BVH zJ6Jm}?ud^2&z^5qX}Z!Q(l30*qwU{YzH+CC^=o}I-Kb!=Det$N*6VJjeV4eIL}NHg zm+db-RXW=#J57G&bFMv)q%Iyj_Q8bhsw%Vg=_mKhL-TfvSw9s!p4S$Cs@WqlRmou8 zEpxMgnKf)dm%fWc8UOBdsjOC7Z;|IW`Q+5w&GEA`E<VmLUBcDPtSG@3a{6QSRU`kG zW&Q;(Dwo~;bmZ?1eSg{P`w!IGG`^i?-Mltzxs`5jxZ<oS$9GP?R9UKZROI@Hg=TuO zZ{50GR32&{e`^%#d~N2>J)5pfn*3$^?g?LOq8Dg%R^QLzzOuf|Ij`ww?V^XXm;Ui* zDcBOjvbi~HX%BzT#1QT7tw+Ay=3#%`F!i+SMCI!zmZ;A=U?l#YcSqL_nGY9R)YM!S zN9cvO`o*6PpJE?XGjp3$SySznYrOuNanmlXy|!`H<h6EE+$R#5EHAxxnZEc`<mAgC zRsmXuYGNy|MQH^sVr%vM8>rl#%odao6gN}<p|-#7JCWT9UbA$z^-Nzn*JgL$)HmTd z`Wq8O^u4WL{!Yu9%AIfM5~>|G@8<UZ&ENSR)_$Jdw*BBWq17Gik8ezRnOU;%YE_v| z;2VL+=|ZnVq&^<6(7yf5$4<|F)4%v9;%ZOlP1pP1V18_dv+i`)q@{iDyQh}5J7ufc zZ_N3argLZB$69&m_%-Fq)iMUIw+>vCzH?%2$Rk!RTg5wyw{y<lpAdFibl(JH+039z zape~K9x8=&<$2z6645;Sl}p!o_TA3)U3#3SZ51nA!W{CJ97xG!SN=1n<@1gB#YeAe zhM286_q0gz_aWYx+6RvQmT$Jl`20}nU3_1hzv$)iOCQ4*8|{92?*HMwW-pONVVdvv zXs>0ty2Cx|*NW{y*`d$Ai}!QJh;>e#o|SMl>!K;w)k(~4$C(-nlmxo_`#=9_o0b*w z>TB?2*3W6vqWe<z*T>Cz5+bHrvgxq<>D^hEe;!$Ucf;osW!^D69_mVN;}WgCyMVQ; z%B*Owi3OLn>kC)u;Ip<{E;yyE-r#tdPps>`%QKhu*X4&wovp4HE^M({d`8@Vh2qgu zuhm;$x%J=C{rovFX7<0^4|o07u*x`bJFntL)tBRoZ~S{#W$pX5L~(Hv<NTc;uC6q@ zlUyRd^1?*-_&en$Kle{Rw&75?Tu7*U_C!^#xr-h;lnVIEAKnz%TVka@d;0ZR{_=;* zPac*IHhm%<mn68nIx8Tq^1IYlnR#<h9jf>_qidmAo|<>Oj>OSZ$$b%z4kbPMc%d{x z^UQuFt(jBqKa;&YbFEFAV!=V{l}*j52OA!jy5ITHe1vWBj^(<NSC@#sy`vfyd+_Cf zld;K~juDHOR^NNBQNQjCd(zbxLAASjP2YbwdNuCewgxA=i(6`@^B;a+!tyQi;(gIg z3FYt4%QkwqJp0+_Af0;KRWY+_Y0sjM4wVPybGU7(>YDP#v-R4Ug;$<AH2W;pciDSM zws!yGzm8uT3-%a^Nl0?VEfD%N<<lkhxk9cJE(b4Mkh6?q$|J$w6ZvCj{M6G|Tk=rR zCaZY!Ex)L#mrtm?W1p!V|KB=I_&d+jzeiH{2MG9kKQd5D`>M_Ks&115<K{Qh!o?ZQ zJ>4n=FD#KP-S+*QQi7ndk@Z}@iXANM3j!3q&u{aM<M-Vir1)9vhCtg-dudPqTW&=m zAJcQ*A9X!2efAvz(Z0I=^JVvx76fX}+ZwFZ@;64|*_Ts`uX?xJKB?$#|D@x0=Kc96 zNB;cz?z6#fdf^Ywq>n3-<OR5el1-}arEh+u`T6C{FV7<1FK64<BeqA(;e2~mZtChg zid=IyS3O&Mpvv+`rLN@X2hVRu<`@*;d!B84&(=NX^zTon)-YV#rE~Ak)TGSNOq*Qe znO!<zf!1<mKF_Y1o(?|xS8$?%-DKZ9U9;lV2L4s~(|pqyAKl5^e00%_(AhutynUm1 z`1hvxGkcHbY^}NfD``dVy=a%sP7&`<&z4JBC&6=P`K5G?C2w@6ecyY~;i{O~9)EUb zZ`04Itt!6`G}YMYJ72X6Rs3vccKh|bTZ?YLp7rDT_InP;`7bRKU09&esHSUCwk-L7 zV2*;OZ$XYmRE*q*qs-HfFyEOj+;Q}&My*Vsu5j%UP3ucjWJSH7^nVJS^6kyMGrJ8v zi#IOp44t(*(nG3ef!pWng*zKX*f0NlB)X_zvCy8yALLsOdKfglopn2V+TvS|N!k)W zH7>o`$@TxB&ePME7C*YWu%({o)zq?uhw8PP*;GGW{~KTBl61%NfqeIhNjv0!*H7%@ za(^N;GiPbe;t%q(j+{|nfAort>aV{an{OC@U*y0vBiHDYs8pV&Zb!>quE{??Uw#p! z)K+4<dvV<7-RBHzc=tEI;Nq%Qj_0%stME8<NX~X+EN9mIAL4zsTwIe`T#Ns=Zcy02 zp?cDym-jWeKkxqT7j)(9Z}E9|KmY#X6Ewy4r~Z_^+`mMWR*Bf|d{DAt!E$Zxs<PL2 zWnH}{{rs}u;BDBA)#>>u+9%Um+rOlrm$TjV^+=iO%-?7B7wEnSn{a=%z*n!}uW$BW z5c{U1^WvVYsh9DSo%IXf2Hx1ap*&wi`|QNe|68{y?5}<=x3@~kzq3rsW=U@A&)O={ zFB&eBh4$`v5N}bg|KjrA=(=(fjf+cu)hoWcySDuOyq|jmSG!Et`y>9SY2MzduUa}U zIxl)%&Ahljp#1f<#qQtV<-~h^?LOo@>&E7A$GZ3TY<DxCm!7v;RBqC)1<~IRHO1}a zs$Lv;a+$u_Q9jSO8=K3&=h^Q1{-V=LRlE9O{E6z4*Ee^E*UfKoa+$j3dG~*(xC!@H zN3Va!y7%U<DSDrm{%@Xl`Q68b4waglrap;i{U2Zx6&WP=>GzJWp4;y$`DfnN{Np|| zTWis#Wz989cQ_<YGLq>0y^2ZW+Lul)-#V}RFS^5jGz*%AU(q^S>HUv?@uNl;fupmm zy%s*qIC%6<pyPh|#iq}tTHBOD(>ztCIfQH$=HiX?GS@zDR`<@=t@qNIpdb8E^;;&% z=XNgk)07hV*L+F5<8&uy`-8pz*%j^8_rzw_1<puWb;e6+>Ep(w$8wv)r7}8#&YDfy zExIVeGcPp5BiJYW(!9HYt5w!(|6yNgk#XPz_k|TA_ci~pr|L{t(bM|*;u4nq8h_Xm z4TL1bz5dj{*;_p$CUmO#p53b_l@!0_2-zjN;e(Wb({f>>#E=)iS%0O4mkXWA`1*2H z@YUlXbAv6Phg|A?G-co7{6F_Dc0T5HcmG|wXMu6nl|mjPuf@toPG=+pFmsr2NV%#g zO<&=#@A>v`R_X79L+?K5x&OQN{%4<0$6xNferx~UYqzrR>#H8=4Vq~BXYJF|FWQf} za(_N5mby#o-<p%^OSb2V=$`p<Fh9hw-DkGd5hmgKgYlgjElIaFMam>UT6+J=#1geH zSLgct)v3>3cp*?eA?wrqX@{NkWdpwJpLUHcaS*#7#n<!ec|%Opv|g*{4S!y2%DH0P zJv%im|7rf(d7Gl|o&WOuuF}u!pDFX_r2IPmBl@(u^%G{F_ZA_o;ylw27s(#aUN>WZ znbGRw@tkX~GDSsCy&kP~=Ck>q*v4|NH|fjzKW(=wUX_q~Mla@Te8Rr-pT9@`Sbl^t zZO_F0+NvicR5zZev48M>^9TRV!)Ge=>%%=R=uVsQQt;opBQ~mihSxd&tvj%{bB*#o zUGFa?|LmfhG%p^SqyOZ6muA9z72~)w_ooV#beBEbHD|we;r9-|XHt*zdCRxWIeqbO zbK$d!)8$JJ@ygFTbRq1l#=)6|UZ0cfi`V@9XEeuk^9;=$i_a~{oN`RI`&ydoq@Dl2 zKUBE7O{4Cj&Li{uql{@czCLa!+?^6~`Etp@O{a?&9g#`>XI4|u{)8t>_L_!k>&p7G z_uKg6Lr<Gpc5f}-IKT4W#Qmmw{7!B;%DC)b%YM_CnN5#p?pza*)VBO*^x+-Xx7GxA zC<k4h{&{;_Z%TIhE3<#s3Z~lr@_muHtiEf%X-(zj?`zjs9QacIG@o~VcEmhQv6(gY z^{+Q49#QWzJiW?#iJj@1c`u{w{=O^Z-n^%0zv&v+)8{PKY2KRo(CgndgLkG}U#yQM z&9RhvAEQ=&dxPu~y{H+FfB#u~u%!0<Dd(k&9=;W7pZQku-?c>l_V8;hJG|58Kgs7c zcbi@DTI<GoUd#CXF<j?QJ^z+=)a3I0Q}?A(&Y$|dE>NfQ@0pM6|E?9Jee%;v5VN1i zbpOD8skHN_P9K~ckuvT5r|r{9?@1q2KF~f>v2y#7b%`m;0>uZmR9mJkxOCSkFmamy z$MVRSlXVR@>rUR6`nG7|U1oLrV?4|6Z0^~!#Z^CQ#-6GBO)F+LCC^?o`K0;B?QOjG zf<9!n=<^w0p8RKRs#)#+2b_(ju8J<^_1QmU{QY(wo0-U7pDnTX%$k|L&wcNoc)G#N zTz}cjuf2cPdL~Vq<K$@Pq@#6a%l!S?hWR`@#loI_<5oO=J?zPm*AtQ^M#??O@8!6{ zV7<*UJ?)5LnpNh?RXHDb9dF~E!I#Tn)nl}O4%?)Rhb&_C(sqBMN@R8z`TNh>`|yO; zMI&vNyQlyBRzB!t$l97vQCwd8L8w{oQNHPqwG8)lQ~W+!JAL?GJM~^>b3^E+<(UUG z_>3RC`^{83^@_TDU+3ELjG*^H2O`?ntD7DUlgPXL_iLg(SHy{Ko4nu++9$N1f0)bj zqx9daHjBU4W<=C3S9`y-ysa%FERJ*ciGpPNwNu46xE^qdV-XX1^XXI7=eUa-4bO`` z=J{3q@0HPfiLUP3jB*vnX6>FAn#LPtqww_Zq5D}c!tZVMnsDdD@vsZpCt{6^rv(bk z?fK#O@70N$)k%+%9r#-QEp&=IcY*O8(_`7^`KEcF{Uef6KCPPHax5Y)Ddy12Z69W9 z@_QxknYrJp<jtHN8jMx~KjlTf6e+bI5M}#gQ@^^go+qJ#y~y(AyXQ*AvAv?~5*vP| z2NlUmT#wS<9sT*)U9O7APSqUl!)HHjm-;5$(7NZu<Bq1aTQ@%6{j_I(O|ntOr%#8c zJ>PG{bIbGeH}g`J^@6JBUf=$6^|Q_Ut{oOn<$R}}e$Sa3vi4N*`Hu$Azev=tF8(q> zd5g=p`5~6qvLv;p-+Z@Ycgv5RI=$yVgtH%$U1rTF^W);m?|Q4fMBgyB-L4Ed6n?nu z?&*2`HZ`of_v|St3-B?%_w~=!!t*=r)z&fRDZGp2+J5U(;|`(Hi5H{l1GRMn{Ns}{ z1z*H*^&Ysymhf8NLEI)$YF_gE{f+fAO;65<f1vMO^K16qvWpw~>w5gw9Nc|c*WZNW zRsXpg+g&?sy|-+RTyFgNRHVATnB+U&{cA*T?zUC4<DJOt{Kutp&m2XStj>#Z-vVl{ zO#6L9;KZWm`?8#`Oe_<7uh&s_?6*w)O%9W|1I?V(jnW>!C0lpT*&_6nVR7ug)lr#^ zRr~>gngS8k|G6%`yYtDaZqByJ8|{wAPy3SMec`lu?f27Xf3{tZ6BMuSbx`=)%e6l$ ztF^8nFkP<CLuSE$u?AJei}Bxrx0!C*^=i4cXMLtZZD-;8hxt>#yk}AQaKG3!=1!l3 z!rxi!PJg6cI)71h`+Gb7`qY0@`8VV?<S8@UR{pSG)GB<Y)jXr*pVeo2-tS0i{CK`r zWfH$<pM1bi#SP3SXUoNeeOeHw^+ZMa+o$Pog_ZWda@=OQsZiw8r=aM4hRVkz-~Ik` zH8FpmzS=*=4UGrvgEm}U#>b*|;V{p&;x*T}7;Imr>u58r{}-9j`ZU$|`KdCu9^-r8 zf3D`X{&0K74c<ErH~O{jJZ+h}qFr+BZ}Y8}n7(oZJmlw?^XKz(g|#(Bg2e)c=N9mP z2p9i(e|<;y>H2kFx36Q0_e<gZCE<MUN5<Ma4gXXYD4H{sYrJ^6_Ra2Pfl{A*!*z_F zrS4yQwC>ND9Ts0#sFgjxctP!S=h_L@l7^?_?<zQaYvfre_+yGwT->Xgwz`x}yXOe2 zvi&uyFa5AK{pitH27Z|*TjFh2-;nx}*cS6YVy!E~-M`%5GFeV>?FxH0S9U#jR(IR| zb35dp>UYa+SwEl6=GK1qbsgQw94uLTeoQ~TD$ss8>$$|gj|F|3=4|^v>9*68FS;xN zQXDejmRGYxSETG%mdo=fa!T2;|1$NF%9kD)A5VUCsNF=~%YMrmv8^ZAB|gUe3sc_D zeqw^u%{yx*OrO51V|rxFVufiEpT7FL^f){1Uu&sX$N%EM7xBNZB3t<ymrH)&`}fL- z`Nj{?-~3GPLl0~<y3Vt=+2+v0I)R01464er@9g|qntJ-%cD40_-a1FFOx_wbuQD}8 zrpcgjT7AHwkns2RjT)DA4we5{eVcVr%pZf>tc^3<otv-UN_~FJcedfIj&m(c(Kh9e zWzsyY`rUhkuk?R+R=9HH&eXy}bEd|HQFXFj;khg9r{*;J++U|3{eJz<cTU^%l^UWN z4m5jhi#p9}+N1t|=YzSbg)=t4{2hBlWJ#Ton0Rh~Mrg&4iK$oP&6*3@_D$Yj^-{T+ z%cy~mqsB8eV72p$u8P%$obLCpd_1*e&&}t76{nwttNo6>%;U6zea_q0_3^9kUtibL zJzx3vf{OX|Igx+=1<&*^UiSXc`gPVu^0vq3^&f~1dA4=e`#YNgE&s_c{$F?Q7fXF) z%Ym!=c{m@u;yqmWQG}^cSMT51P1}C>b1VN-;7;t>A9^UI?(ja|#C!b=f0!EcwG*>) zg?Gdi{PyoLu6d+6Q#ReX>e0RXmmB!L<kXi$+GaOQ=J_H0FN`@|{^=(dJ^6qGr%#{R z&el>9)%7dw->VbV`hDH+nJfi<O18$_<ziK0-ywR;`mbbXeDCd#(bFVm&e+fEyK&Ev zIJWPLq9xwP{<&Hhzt>RDj^mEQz4w}To<5nn;<x16E5chZF@@S3yQH+?<;LeqYo{(R zexlp+L+{_KPybda>wR+Id-B)1b<dhTJ-g?BOj;yA+s1UqeRjP)5;IL~_B9=`whlO` zYpow8@%rqa)W~M7>XVOSyxZCyKl0^ZDXNcq7=C)~1?`?&7X>C-IQ-XSxTwHj`;q0g zbmKzxut{~n3bpEw>-zSGUW#Y-cyONQ_|g4}0v`XD99loo&c*(~3gh0=rS(TA=SVNu zFUNR8uCd&H=cF$ts~X;V_f+%OuU_(BOhNIlbo=8+QyxcMulV^<V87chf!fZ%|7Ky~ zRs0Q%ztjaAzMOc)*BTdXTplNMqpq>gzx(=8`>*9WbHDQ)KdQpi`1b$v_tU1^x#TBa z$^WqZl$kk$z$NCt*Et-jSkC>f?KpRd{p^)r+#6;YWS$XuF+K0x{e+aw;!nFC8Kf6p zTjem(?A9S|u0ma1O`pGV-=kmYT)7a-Iq^wJ`RD1bsvqsFWyF8itrxw^>$T_ABJL+s zR(SV(d-2LaD@uqrP@Yk1ikq1JFXye7W^K8(#n-9ZROr%EeQud6r*i8bO!|GUAm)!P zljBL*u$v*=@smAU5Bld#ni-lZRPS~Ah%3V`h2#D<TbuM6dq1S)O@BU9?;Okbo$DTV ziJg0q`{yao$%K>1hK1}#oF<k}Um8r#@(nwYWbYh*MdqUBnMI#7^A1gzzkE}I`;}8F zCtBo`KP_Q;+w+U>-z%;^MHYH?tX~`&twnzoalN?lTk^9;{pyYW)orToZn5WEjwPOC zF1#MUpmE-Q$5XXEhW|e;=?HzY>!16R_cg56cO#B)O+7#5)SpeQD++F<|5$zc`?2=q zMLa*5?ye91q`Q1Ko37uqq_dy5OZ`%BX#1=<|FVC`>bT_>HW~lSZPV7``C(CC`XJc- z(W2)qVeh|dJb0Rt7E#zWrCh##b)r2psQL3QY=fz5>Y}I<d#5j0@y$5x_evxFV|F)1 zc-{Ms9*No*bs|an7(dq){jGCSFJFBw@T54<U={EDjK1#7jZw0-75y#m1WwD>ay0TA zJb1d?bqP;nKBIw7LrmTJhMP>KUOLZrNE**SXj&RvV)Tan=V>>EihLD4Gn0%WY2K7c zqED|K6qop7QNQ}&efEMAeRKFUKZvF*mtUmIQMhZ%vFkrpEALOrj<I2VvY;#G&W|@q z#_yCK$KF@^xVq4|clFamrj$>0t-JRe`RUW2{Ciz<{ETD5n#&?ma_Vz)<$TqTA1$BY zuJHS^R{zsgUb%dtZg&4GzZTz+NY<SYYbF+zuBxjRt3BU5_Tsr2?;otsTX?_k$kD9_ z_?G-%cPK9I7el4JvfR^r(@&fVhodL>y8p5Cu+2`~`&Z)e&Gai#k6)P<PVCOs`{&rY zd(IJ|tw%EWKZj2jTk)SS#G|_*Vr$cuhfMAlHa@7cTe~V@s%poUr>|d%^k1E3AjiM0 zAm@`*Vb9Tx=huXOJ9BZzjXhq$X6uiv3j5}-71G)IlcT;g+HnQHqs9%c#`oF_v;G!7 zpJsn}g7q$*pYa>7Gj*5ziWID7J-q+e)zz$9TlY)$b}O<lU9Y?RYJ<n>-0$k*n_64F zKTm%v&QZxwl=z2VvA&BTr_&+HS0iPuE;GN@BJ~ePOq%!W3vOg#jJFpP4_|Z9=D8GW z%-UMfH%y01w_I!g%aov~|Ea>^;HEu{w|;SZu^yhZ`1S(k_bDg%KbRYIYfAb3_Vw9f zHu2NjMTyn9>~W9pSL9n*KDM}ZXkYZUT%QStc1^4Iy{R)h>uJ2~-^c{PbGfUpe(LPp zal$<F*l%mih`o7V{B^=69i911Kf2?TNK&nQ(!n1bCMJ{jDu_wPOWR!GXxnb)boc_Z z%qcy&I7!aVtIwCpR7K@R7FEpA68QDY>d^gpUESWZOlP0ddbnEl(|^{B;*n3TJ-@z- z+2y~1OZ#2HAB{qLuf1#hU!8VwM!|<}V;;-%@q0b|-*t4~@SGL8ZH|ao=GM@x25p{- zmi<=`<TDtgxarleZwQ}o^OV1o@#LgV!R2uQ*}bdHj~-p?z<2BFkCW&1j2`<L-+TM# zsw&&NYm+NyG#}7w-Q9GgAV%hRe9{T;^Xo(_&$||W40K>uy1#6}^sPk<cTP53{}eu5 z^1y2U#F>ISzD&6wrhQ`1b(4=LwkwKBe7;kh)f#6jD(;%V?xe5rCbgAs;$(2|Ac*;A znZjXnfr#Q)#S>=9xh-sS&@p(i^ZB)|*qYRsJ)c6(F3x4$H|K^_$s|Sl1M#tW4)r`2 zCP+8RYrS~7cI|=8w>~-spLXi65&icm{HW{a%vm4STj_2&vzc4}<K&**C*ot7A53rH z=N0(D-nxGeTZO#azjHUHKC9`d_S_WqPv7V0(f&sHA7?z5+pqo;_{Go7_E3Cm)q?mk zt7>be-J-uvUCN*Af6d{KD%(7(^<g!CI&P~^a8&pwIQ3xBv-TfSe@qVl>)F5SPlNr^ z)~D_dK25t|we{2cJ3CZuW=@*wt#(|(<nN-6HwRaoV>WXuwV&11y<SP+#m5b&Rz&q% z-Sjd3b5wub?6Z~Gl`Y*l_c|E%a5kP_8|yfKmY?W;M!|0{9rKsAv6Ofu6<1eyEt<RZ zCrf?l>3tc|F?aYqnOe_R#c6nMJ@h}+lCR+3^nEHxvPL#fB&y`58!+Vu6<yJM*yp`v z?xO|Of0fT(I+-N%K!3LChfd}RY<7jex9zA9YCPZ~K4;^a&VMRRtW2@LE_KXhIv7{a zRxQZ#TaM|25{sY4!B@9<E?d6&Ut;v(`H$$OKbIL>HgC`E?_Mp)Ve<dYOzlj$1M&$s zW=_94^}n6Mp$!f8pLO(#S=i2TJ$!vqJ}hgC%&JJ;LbLB5wtLMsS7J{ozx-{-4>qPo zv;Ng@R>j8N4(&+Wc4X$C2Tw~&t?dskb55&oWH`mvxZiWllH1FC*Pj-ZIedRpq)ghA z@OA5FA2w&?Dvc^Xa@6zn7P<FVUmudmx^nS@wdD3Q_qB@OvZXZqFJxK3X!LvIq4mL5 z(R!TS>Jr9b7VYjoqNiRyxi)8YuJxlNrp5&c_pa^QqgMLK^4Jgd^Xs!WzGmd@op4?< z_f0;>m+h@TuUyQ?esI3KXZORVT|dH^g?`B27Zf*F6nOD*#-a6^69x9p4SfGRf9lk0 z_Vd@We3{F!z(%0r`seTo`<AUecP5>y=&e`$%TuDK?yZ@*^rS`DmWZ~6;VvesZ|k-z z>+Ng&!~9k*{AYOf_xY!5=M;baae5j@vHgG6m@<K<`f(qQXXIac)O2O@s>OFyetU{` zPtVDYFs^LxS#skAJ6HFmCD9vXA`&;wf4E-nU;Fy5?!N-|2KUUT{y3kt=fCiwZtm31 z>OX76{&{lnpKn$ZpY!+l4ZCo?6~W!DGQQ`hNWMJYa7b@YTa3}Bw-HMXVsC52%+Z`` z{zYPDWbutnXA6~ACwx0MrT9lHtA6C;YVEc2E;QvC)M+p&R6WdD_D1ueQ`M(g;cAz+ z@U6M7`s*pnpS0OCRcGxh>e*c@F|TJ<v7O-87RHZU>2C90UhXu@`*mIA;Mbh%?TKGv za$@RMJ>0svPxr1#lXw4t$%Y%RJ!JHrdHJ1bO%(IWzW07hUu`^GRQlLZe7*C6=~IH! zF0Pm;cI?1=<7=wtF62M*o#7TXwd?JVTSg0?FgWOLntXMdxUsTdn0LGC$+|boL$?cl z;j@uTYiKM<eq6LGRpdj@i49xb)0SW6{pD*Cb@b2UnxgU-6N-36?uqTt&=t-x-*Ekt zdsWrJPgmwNPU9?%c_o`Q_x$JYhyI@6EP1XeRb2YGcGtm%`POT;P3!LpG~c9GK7a1o zG(E}G^D@3yd;NZvW--_8Sv_};gTC02yfxCd1I^107;^b|#3^gtvHAB%>1NEUvnh|y z?Wp*B^H#%~yQ^l_Tr>N(U)po>s(<z7lU50SdvLY%_QC&oD*ty+yz}AzO}9@H=6&q? z>+XBhardemo0MVLzuIk68Q(Ye-lv~VayR7}t=AH)ySQmv@Nr}RuDMNGp7*wdcJDvo z6>}|a^_fdKF)<Z=*B&iD&&hu5{H;gpO?3X&yG`{Adzk&_s<r-#PjV}oiX0!LEj?Ag zF(#)t-%#d&Na5n8PqMEan{jp1=g`gCe;x$P(6Bl(+w|Lv$!Qxy3~GY+^|&o{J@2Hm zDQkM}8r`+wdlmKS_(hv7Z@51Hm+AHT|B|M;Yi{uVxq4cFOX~S28Og@)TCD%OQ#({s zr_8bAxU-nQM=WyQX{#*W|BGV_3pt8d+x|CCdc8lycV6f!ziG#wt<Tf-DE-KNGm7KP z9)pth=Lxz$o*3~(ne2)6j0?ZOyY2dhTI1;cq^G&Z*ZLQoJu|P$vtWXYpVb$gO=$;j zB_~bXzHEoipJ#?wjcn#0V*6=UUwXJ^pMd!L_Qa+~zqT2j*(`Sb|NgT@GtO?T3tlUJ zSjYdcPKKgjhJV;k@8S!8Q*N>HeBHml{CV#C{eRclhkumT{C6yR-?z!qa{C_sF}SDk z<nrR=+Vz4z0=Ze6UrgLLv8*evqoDswZ_d&e$6meYjn=RWlik0v`O)L6AEV8J|Ezjd zVRMGNFwC7}<;l*47a5h-bPBY&ssC2f*rzFEZ)LK^<E&uN{|^z@Rk}-qbX!@iEuCIU z%HKQK5}d`+cw)JmhHG-)6D!@Gxu$z=avnZsb@W~3{VyF+f!}nciep)|^Mm(XYLl}$ zxYR}KuxhA}pWgGC|N7QOv+=IazTL;SsD88E-uxsL*DteQUk+YrH}l`_t6$c2PINph z{Yv)MHCG06&9+5`*NyF3h2L(u<5Z-tWwiI5^_wTAsiwKit8CWW=7{RfXVB7JxR+^~ zX7%*9Z>E+7KI2+(w=Z}`$!WEvVR<Vj|L$#4e|gH5`PT&9&!K!$0n2pMH060cnwRNB zKV8C@dZ*k|;OSCES1m7>&>f5$k8iY%e;gnuUEuNPhTP?|qI34LES_VYAoX6c>C@c? zgU)!9!}(VPVs{oe$eZ-8c{yqN!cSLc8Jgy&JAL|mNXhFvZ?E5}U(0!Byn8M6LP|<i zMb&Fpeo4-f{FbDt3@<-+Zan$r#f=Pk!71N84!OjK>kEeL^gi^hbD`%<my=qWwbs9u zh?+FI?K*Zjc5!mhk|mSmK0X$9zP&4Jqr)rtTNl+ghx^Txd->|_w0E-7b7kHpC#fvH zm;3Txr=8|3t@N2$1z8L7_hdT=h3;19T%0WU>801HknpNS`ldHc4Lz5w2>DXQ^6ExL z)~40ZPc^K%>KHJwET<{@q}S3#FXzAVTHeOi=Jxxz#@b~bSs4ihS#y$1FAHy;;$&Q% zmGQtd*x4v5)WC(GmtWXLq@rk%x6<k^)@SST!UC>pxs>}a<#4{LapYUSw|17AA1`l= zWXg(H{EL<c2}^T2UzKoK{)=r};Ff1gRw@>pw)6gc>B@xzKLpZO&Z=}N=k@oPf9;g9 zsmX*{dp6Za%yRiW_sRu_^A9$ZtlS#K$j|$wXJhQvU9JYnmqOBAcBltWHu`lY<I9r? zB_B7hT6BDw$9Wf(sw}6n6|a>xT2~!YEzUOCW&btmP)4^){%oGF^>r-<c81sd|LAwP z<jYIFm0a>;v+_shON{3`UHbB;>z>{@@y3<VOY(6ml71imeaU(8<h{<DEKJ)|Pu(!n z?0L3j!59AaOq2boUK89t$1d~fJtcJI&U8)T+90#D%`=Tmv{EncnW4FIWu8&Tvy*p& zSC)joce%bn$JbYW*%x1r(;2@SjIPzXI$ZqHID4@rw}+I>#9dWYOq1HoO)O0=nK!aU zge9&`O}n&hVdqKBWmz+Pcx4?9zmb-gmP-@A8Wiy5#*{U>vvz9Q3HTcGA7x)VIc&E^ z-6Hb^F1H*PeLbmjp&{(>((1P3hvq3?atk_Da{fuduGQhws<*yydGmI1*{ZPV9m>f@ zsXjk*!t1NDN;23*_p;rX@AuXBo43YUk(C?gX<y#UEF8OO?L{L=Z~rfSy?pVm4pEA| zx~nJdxu{X^{BZXDuFOsq*S{Q0E4<9+c8ULT3~kD|lBc8@YdY!APlhESr(91kZdes< z6{)oP*3!#W9AQ`W|C~rac(Q+5_m?cKHdC%G8qq)a>t4Osf2Z*N#q#|&I@4w97CiSl zeeRnjtJh*5sYy+S^Nf5wq)tgnT3!m7#@Sh`7<luT`KQ`DL96D}DBGKTW;0fHU#2B} z<oD)f-Mzj-eyNY7D`Nzg-Qf7u@YpK#{w7t=$h+pBOtPk%FIjx;WAC3G52ai!T?3ze z>#eE(v5j|<M)q&FI*#`b6~nITa?F{Oo$3;JcT@h8!{V+J+`gXKr?_2y^TjnG@^7Up z-v|X8U3LBKw$EBMI{vC-^VKsmi#*m@Wn4PH&4^_oTU3zRne>hYQDXN`l#6otsilf| zvu1i+t$xhVP`b6}>annYH7A$EzI#`cHvOe{aM%_m?iaE1o_lzPJbZBP--O(sToD&P zWItc%zP-I~_Qt;kVZ35I+3U1thyIz~_NUGC`eN0<Z_BIYUT*3wO$rv9{mIAkipMES z)y}%ygPWe(xMyak)MWS1{N^>yciYt~fm2G_)MHj1)=xB#_FwTd{fuwax0(#4wL2_! zEl;SJ_v7dGq*)5C$$G8PWr>@*!qili^OvQqowFw9RIi4ePI7Jq@1c%|CnK+Ro_2mL z*1L1#O!JDz%etAlmTbKDxJP+@RfWq+_8Ip!Rko?0IK}ib^$Y*w*OCf%^UqE+{(fd! zu4aYn>}kta1}Yp670Occ>Yvtq#OPU?Z+O*KEuWks_dmWr)z{{U+_-#^IlRO<$Z1c_ za(%Iktt%fSbVaR73Uv0Hdhb)Wn`YA@p0-C$3k7;~MAsU;e7g5xZ=!BcoW7>4qHFJB z)zrmBv$QT1dG6vpFSGcobX3U1Zx__kl#ktUU~`xC@N(6N`nF-FIoBU%BcqK<(+jfh zefGV*{L)pgfUA9yS^Zyjt^d_M!R_X%vONzZZq_mDZ|R%X?GilI`C^st%U7#ziTCc* z_6>F2x=2H+xR!PE3YCzhQ%q}|H}_6z>dWz+F>i{WDF4y^LjL6iXKdY;<@`ts<J&YP zMA4CN)|6k03)e?{dhY4}^tS8ic_m)WZRXxArqd2AlsH_TqP}=jIaj0&t5i_PzX<jt z>zA;eU2^KMaaYJ&wwSm_fv3cKcV3^h&bcx>_hipg_I(X`A*uGsKUelBa=VDyz2dA1 zvRvb}@p|Fr6|$?i1eZ+eQ{2r}lv3iO8XCmna&`5x-v$R)yqmePU`ww$|EhCKs?W|W zs&iO(e+84@_vkWnE7_-dr=GvexYUu#Kg(_9^-nd2p9w}RX*@a0a&gRugC<Eg!zSL{ zA(VNWt!mDkH@~;;VXrE9)#$TO<XOc1lJ04_4}8Uv0#fIkfAB!?UZnZmIOFWp2OSo_ zRQuf9?pVd|?>={7W3SVR6-8Gh+c&Wqr(FE}rts{Vr^~s1aK`O8oEXaU(`Wkg$a(ge zqP|Br`1jU)p1IL>nf6QtbH<P@jqY}rb5qKm#xBqC_C2>Va=y(I<C4DKCrqy5b2UPm z6eGoF$Fn;;>{uJRz^D0!%jLwG*P4}&xr8iu*t~OP&qnt>-=58wX0U{J+Gn+kua-FL zDhh{O{I#|zf70yzFQ3h@D13B;GxhNt)ATe$3;y<XLQa*F9~Jl(H&_LoTy%vivTBY| zfBO^;i)AilSE?;S_c|N3$qGfhf0z*wWKe6nF)MM?y2P8dKXQG0ZtRU*K0%dPXHW21 zhEFr&3Vd(w3zaDM-LfZ1F)8NRg5Y^~D_>4HvS><zScPF=2=`%;P{EFME?<m}$z47- z`|+~bhBqxv6|H;u_}7m)@1?AorEWd@xir~CwRYS4sDo-fdW^EFZ}gsL{E6L^v-!Bj zMA<Ka0$Ls^57wVbSpT@mC0S>tpLfKTHy>|S>RT~{<Vw!{^47F>%?`~VUX8jF^FH|~ zX-w@jNV<`_*g+&UWzm7t8gX9>%Vuhrn52BYzwSfEix?x7NS(Vfmw)XvS$0(O=Wfk~ z&6C@-_MU6*oO1NCs%p4h^DNiH!Y|uyY+RF-rgML?v{0Ax&FvYNM0ih}cd_Z0d&z0U z>Y3_QEIfC~n?^-`tFIfff4(q2m1q$Cq4`*dwwgoW>dkjey&iQo8<wbKt?{<k6)ZGR z_41hgye>K{_-MeXOP0x-x{Y!)yDx7SS-H`wmC3SLW6v}1m;Y9t+$VeRo7=AX9o~B1 zvy^qyZr(FBk^B%?c`bS8CjCR7#8jhprB~!^(ckN<=bO38Xkq-fzfr2(9L|Sr^e#jx zE=&Bsu={%C)>B8Xd_38?PHtML^g-j&=>IodHb@33KdZRzBCo&owdmx@etd1#e!KjQ zO1I?y*3b@if3!O2cF>;vp({l#ubNw0%BX~`J8~jOD@kgSck9H9+{Isc_;|lBt8V+f zOHCwtZCCK(lz9xxuYC|=7iyh(^<sKaPEAbX3a%4}dcNh(pT#4x>T1T8{NDok?rzJg zB>zao)E_+IeSCe5%k9H2X8H8{@t(fwe>GD{>UP>5=7m+t0&LsAf5@ApmUum-YEkx< za-UsGwxwP))f7JQ)ZVn-XL-=edkaItzi;@W6?**A;+2Y#g<IynFUiudo%%@E)c3-b z3l6)gD|)s+TE!8(xNFHy)k{l$sk!e8x|AMz?aS=gO^Qpn`lbb$8o8ccwpI7$MIGnj z&vFw@0@-A=y+8lXJAD4c&Yn#&@0P~oE~{zh`yHIzpx77neDU`EJD&5uI+XrC{BQcn z(xQz0KIX0W?;a6(J|}ub<rNzapBW4@uY|YrtO{#bxO>jxZM*{O_qfVu8kJs|IJv38 z@#d9}YG;}Z&695GEDD<c?pw0*p5vw!YRfOR9!U^ed`d}W<;=-S%h=cGl$U5N|Kjjr z8_&$Zr%Id?q?}7+kIw%7L3o15`l=OQ4#XW^WgO|lFCu$=mgeo7C6eiDo@Dr*^}fs; z*`qR{Gc1+Or`kMj$HmJl9{KV=IG*!zm56GH^ZG9h;y&tIn<i}(biKc*D=?5b_kNV` zmC%3kS6yP?@4wXX`0E*4KFy{YlkEQ2kr~(Ij(@J`lev|7Opd>I*&M66n?5b>%YXW0 zRs-9-O`pP}Y~-(P2o9?&GMjPOz3|2Ib*pn{Z~C;isL4wtRNhgt;McQ?xBi<QPn}xs z6W{CiCC?!B>GB_Eou=%&=e%Ui9|3v8OVwFc@<xH%YFB4m>TAfEW9r+PEOc1bA#0b= z!>*!@OHwYHsA;{r-C?+#(SE@zPOi$PI*aaW=9ea}TGzpBTa?w6Y3e0e<7IQy+{BGp zb;8sMU3ZMLZwRLy+wyJ3;xI<Vq)<MARNt9pVb6nSY3{pMB=}b5Y}~}Ps~Wq~4-};L z?78_^ge$FW8ux*ftDbVr+0w<F7wRo)UKzY%!>YAi%Bf23OaZ&~uRaM}e*8cV!_5^J z*FWOwk$<+NEV)E&mQ|}wR=(Py!@)Zy_^wsaGMmCZUFhVJZF!4qG~|*3iVKcjkk1Ki zja*r^wD94ly9XG2-4^b<btBMb2lwQgvD;!ad#x5N+_+%7GQ-xNivpj&_32MOZD>;z zm@Id+MU~I|&N^<^pma-<Fq!9*?&^G<xNJ*Plt=KIfPME1*{d@4In7+nEZ>#8fIT>B z^0a9kp0fW7zF+#1d1S_9%Tvo3y|1k@dvNntp!c_!Raweqht9lNsOB6R<`R6;HCo8! z_1XoiCd>UaP_6u|`^&3OB|uDJ1(!Z2qf~}fRN<u=3k5}4el!d5_|KYPYq<X~%jd(P zJ4JgZZpv>n_M8wp&t%f;xAI~V-I~e)UoITbaLulatkg>CSTMs@TmP?|uZ+h;mJJRL z7e2`vKAU#`@~5V|>*BnpHD{?fUFuNmH_n>e(Dd+Grlyt0vT~&x%$wFtyX}1^IODlr zrm#2f<dDC5Qrqm4f8LvR_R+HFq@>~<v1#X~ZmGDs?MwvQjKucsXIk%UOU%Eileb${ z?H-f*nvlNv0c=~|$Ox<II&C>yGbLi>pHvQMkL@d)mjq93S^Bsl)z0Ji@s9h85^@gT zyW;50l)o}$UQq6~)aMraggUpM-P3iQOTqVUCaCSR^YNmRDN6+Jd0jnr^~P=E%~Jy8 z?yd8moc?f2skiRjITBZw=PsJG!hiDeov$zJMJmp<F^#jARlOXlaCVpO&Yf%eojMHy z%<kwcwOnQu=DFyVqsrZ0&8b$EH9n<xOc<8!<?veK<KI$uUGti*gy&Ja!!u&4nxBWM z%9ZP!-&j3ol8kp);6=gow5L6e6Ki<Z73^HJdyex_<rq^j9jW4yFv+Q2mPr?9aGx_v z=5qfpl2Wy2`S$Eh+O~<$+<PY3@Q6$`d!V50S`ji^<O9#mR?q7uqCMBFzWVo`T4Nyj z)O5YzVwGw^-vtx$Z=959mGaZQJfZl|nQ-Q7r)QW<V9!cfw@N=CVhxj|<JW8z6Q6bS z9oL6wP2IWS?t#shocCtlF6?%5w2_e9XVm*XeMxs)s&IQyo8_mzPD8sOZQdE*+LAiM zm^xOuq-RY`>+x8zgwLZddy=<MWM#R-vg&;<!CzZ5*WI6Y&e|o|SK>0y`WYt|_bl1A z$}weE`cv<cU2IM(4b_A1ZkoIK&0UueXJ55v#&^G5YLe*|=zqmK<M?8=iC?^`t992T zDkz)YTJuzy?JB<^vv%W2PpkI|lYU%@5Ds+S`*P3WX#veX%VreY?d5-Te%G>zb4}mm z9GnugdZOCqOOC(g76;teHnAx1uus|v>r)w74JTcc-X49zy=s-9+GIz~!gE<Eky~;u zoLoHBW+_kD9$$HWEn&}W_u3f$uWgmm@v{Rjy>h=lFR<PGX6V+`t|;%Ib!V5<UB44+ z_O>VI{giU!nOf?ci(+PbGW&hF$9!>Wy>acGQX|bfq3WAHz2}&j{^Qi)nN}ATPtp?S z@2oi4oG`Vzean?6WmCf}clhy7))o&>vDvwjVM?>Rr4z@~n~cquAJ1yje;S-88zj2z z)6)qz(ofq3Sr&U7+9dyS%^uf_Mw|B>SYl(Y@~KK;Y3M%bNl))^?Ct(^XM)G$TqUjZ zyq&+a+Ger0rg(Kb8ZHgrJYR#^mw&=;sY|Ys6<s`Hrmt5f&D@^q8kBu9knPMn4#%~c z?vif4newG_c1xB&v)#foB}TUU`pJh(6RZ^0Pd>>vC1&m%?w2zd*e17$x9tt{klPe| zYt>?@5Ci5g(<fZUXNu!WtM+IgIc^#k;@f>LUGs1E>dIfI_k|j4m)?D5Q_0@xA;l-E z{ipm|dUXrq%U31|3VqYB7H#0xlq-GI7%Jnyc;HZ1daW*B=&HozV^b_wdQVa0n#v^o zxJjx(PABH1s!o$qW&B2==o<_%5ob-G&V0Az;oD2I8oQ@G=6v<Lt%^rD?OxH7i&MK_ zUu>7ntlawgz^zrAIi(lBR9kyyUBan|yNv<C{Rc%}uIoL*S+d~4nFX%ui=>VT^?qwS z?pwYkX3oRQdqR1$r_WZOd1cS$RY&_PZgsEkfBAE<eo4{F*szuRb51|Ctk}KH;nj04 zx4Kj3ERHTp?tb?At1HK%i+*fe(>kK`+gld9T|6V?_%(62j&=9y?kSUBnFz}A+!p0J zeromhRr8;`=q(ZwJu0`UcHW#-eG`BFR9bB$@F<gwacyY2gv;riP<M$V#rsyV^Ki`B zsI{o!faPjEeT6AMZY_EB+TrE#%Tsuk{n|O<P{yjs%uA0SlzeNsY-OC^V%G1aq2}yK z(_c#!^(?BgIFhV8iDz+@nalUb>{k=@uP#@tI`Pfd+~)cET_zXYekgRlIw>H1M=jv4 zc+yKDwuh_Zx*uiAd9Yj!H(Yo|oGnD2_0W{Jl9rR7drk=`4P5X&YTe9_?M^d8#Ti~X zoZoS}w;^n<BWJ(nYQLkpx0n6t)RB1hQutJ`qvuT4${l{If4U~;EjrVDN?3i1tIGN} zyZr8bI9o9Daf4H^OWY2t!o^CziYlF@7kzp(ZB@#?n5Pj}lAhc;Hc3o5++>S$-@cgR z7V9cLJZNIM-d|U}r(@9@CcBx9pWKg})Cp;Ao@&-+rO7LBU+|bV&yjqt$EL;NbI$Ng zZCv(c&Cx=0E!GY4^LA;On%uIV_D=fE)aj=})aT31_u=oqy0g)G=DWRFTe4F;<gSFg z4cWE*A6wn~l&THds`~#{rg2?dd9w55y1YrDTZ3J8WT_~uXya;Wyr{|g?Z;(xKbMoY zf2jq9pRE0#to}-%`uCI21Irg3$*mQB`R`$4NqM-}JcbKBQ>0{k{d?IsLpLr7Zcgb? zu)n%yY4GI9TediKWqxz~^Ww&n(3+_s$7j9q<LBuw{X8?m_V>wmU%u^I_v$j^%Y6&K zya>oInBi)gR=r6sPLuE2j*U`(XUad?pVfcxl68J?Jdc$wUuGX`Rn|TYY3Zo{3a%=* zIaQ^9Y@4YU(fpd{%wiK}|0fMop9Gk)@!VxSzVPsal|6w5o@%M41_7_v#LWF?YVz^W zskXiAp3c78_Bv{r_N?Sbxt727-guJz*vU|`b&q3iP4Sw`XM~*ZbBAa0SWMr0dXD(n zjhSp3t}jy0zcI2c=zMnL;Pz8CA5yxfwZD98^xSrqj_L7f_w*|7`5HtNc!+;IEqrz( z^L1~=YjQoEY|EzPdRj+#e_pf4MoMQ^a=X%#15DHIzTdI?OwRc=p(nbY-FW`0tDRMY zC#Z(UJ?VeU^y8n5D&0+v?+chdtGIVjWRXruapvV?{?8SxKiaJHzan))ulKvw<qED4 zljFzoC*=6gTXg&GoX@ezET2X0Xk_-uKAcmy&Tr<luz-LaIalh{7PI{lpPREY;neQ# zMV4l#CI7w>-;jU8BwK2!iNQIum4EL4pW?TSxy2$TNz_OD^Xq3f?);yi+Hsdnwd<DI zW2vT1+m>X>-(B~6PU>@);+%<r@1ibkKKzyM@@JWH>AYNvZxiM=-}O&?w&L5g_KB{J zCdcj0U+bJDwQpyx`$ZkGxwZxe!e14odZoTlpOrjyzDMEt-=#jmJ)OGss$2iv=>C$# zwfC3U%#uG#txVU8WzS0PFMJojH`IN*@6T1sGy0B3p44jdS*bHC`S|N)W?Zd{GWukH zuR8Nrw!P%VqRC6+7v=SJx_TZz@3>OvbmY3{-ts4<_BCq7otnUH^L0UA@~vtck!R*A zCz$(RxU4!b@8#@oauu4~N~;SVBpnIf=Dcp{t{7tr=l2%_R_=eHeEigwGau&)F810N zXuN(i*J3&C`0}?C>@_dRcrP<va(|iZE_<m~r+o>FBxgPoa`?Rd4a+X}?+MH`dP;s5 zkL+4K<-4tA)Bbv=_TzhxIy8jk3Elg%BhR^j$9iV$BFnNFsy`0(m$a?+y?!(8z(wtP z<;@i**OyquG2h;iTC|TvKmI}1zSnJ8(|l#m6y4pV6K!UEx^b6|T<?~dsr9wfnx-zC zA0~EoV{NIWQKh<VzxL5Zme&rkSr*RM%VC=?a(Un6V=5xk<j+h<te4$b<7sblPewS# zad9iVT1+N~|8(=?eVcp36PEn$JG(LYOsB8PQ~sYmnPU4r?#oyon`(4R=c)1C9XUz2 zY7@ghIK&<k+-&jADkD-o<@Br=?XO3C9G|*#-!0j<-16EHA=lzFvn($^4f4FU)sly^ zc~a{3Mcd^kL~h;VlfOYJXNHj3@gsaEcW55s=$|vuZN|F%@-6pYU7Oop*Q{D?uq?d4 z=HN_2t|H5|mec+$TXX!j(oV~5H*-JU?SD3-BDZbN!o{xQve)&Vb;q4_aDDgW*^m0W zvDeq~#O&Jg@|p6U)ymEl?8=pwq_}^r+&;s_R%qd?{kB@OlDU7UY~A8_G1Pcdwa)oD zlb#FAPS($y_|xUIUi!tgNj>v+<SZ_oZ`L*|xjDz?&#kpZy>n92U5azEV;2Q#Jkg1G ztg(H&X{PtSZcXt!jqC#Kd6#&nZO&Po5SzP8dLn1{|GnWa(#}Vg$-Q^(dUhlI=E=o# zH>7N+xhV5{d8(uW<LeI_--miO{5IND^{3_XbqNFez-=#QBp1JtpQ*0Ja?HYY-=k|X z+0V1WOdASgB9cB@M{2!~U#{#LsWBzs+#S|A)eHU3q<byfQ+-+Hx9D^Ew?|&u$xZoV z&iSuAxT?y^-bZ0tvs<C<>GJ!zyEMO9<tcVwwz$x6S}BXOQkKtqNy+67wuSDI^EA}I zpV@J)Su``mX5G<?HnlQ0WF9vMEVBHj-y^nF&&nf4{*c7xb^Oz1mQT=}(H7e#s1<XG z|K7sY;or{4-Px=q6~c4<`q`5keV=ajG&{6g??SJqdF2cC_Kk;L9DMQIYq2}K-odS! zFaGJCd=O<NU^+F!c=NS+izaRlxG1)k$MU%IL@R~$?@J!8+ScAEB>w5S{uS-6JBd28 zB-V%C@VY3+A!9Q!D@nNasgmp>4nCXN7i4CqwY3(POnfeK_~0%c<1WW5sRt6KcW;_% zt8_~yu)lSp-0Fgxp}QDLH25U4wrx1lbMchhTJ3a`ICJF^S3kYGljR%}53PF~qq`_< zv#)%3-Gft4KKO5Z>>_(l=jn>Hji+6*)i0&L=<qCF)7pM&_Vw3Qg=$$=Y7wh5^yW?d z?jwJfJ1R%)`+McQK2E>z$~^v46aIc$^#8)^#))Tl#hpAc<E5=y%)8(<{#Q=FoZ+-n zCEo9i>5~_8f1lHsXa3%ouP<3M>COj3&-GW%ZhLb{x##5?&WmENKBcU+4VxxzJUf~D z{PeBQCVnpUwygH4>gi{DE^*=7(?$2gxgz+kq-xG`JwBJeLhSXY4=>BCK6rn(d!VLU z+TF$$$Z8VkK2z>%c9DnGH-U;nt#7n1DxHgpJU)MF@u%|z7hR&A=S)pMzi(S!*u1IV zH@Y<}Jicek!<rPQpd#Jd$M-&Jnmqk@`>bT&7|&I0ZBptsuj-$!sC>0MR9`4cC81O7 zl~;(#vlnkTUdc%Le7lq3s=aM-uC)$po&S>Q_Zq(P$miFY92c`TR&joObVB2@x=$J& zvuecj1S8id^3B#0dLYx2FM9UGrxQsnv#r<hPD|f0GqQWxSN2z8%}+(wNM0}4Sn~Dg zE}1)TlUz=kKJODeA@jsAIpy$Wr=Q;h`*qILNzC<hx3Jajzy0b++^W-gJ1U?2vYn=N zOF}ZQu0Nwsmj77J#9OBB{ImP|d%ySibv?TgE~)scVUp(5SGRi-Z}XN`@@(IcYJAUQ zu8g$gE6>hnH%d!??uiY{+~aO?{MzRKuC+{0=WY6A(_wSuoM`UHv{PY<yLm4&z7ab1 z?YgPwIn%SNH!9zkiB57{^i^;2@g~i;8hstlZY-avxoW3pciNWcnzNF(8~clNR9xVU zKYq#0)QESx!TPyPhdwOGOYUet#(pvF*#+6Kio!3A<}c(@&drpZcSGOEc~flqhnmY8 zJrA!+JURThZC<yMPMDmm7N7Hpu;rg+EmtM1-)*dR#Yf>(oA<@D8;v6muV6HolDg3A zj6g2e%6W_Ak}jM-Dkm$(*868kqgCLei7uzxLT5Sbo4PPEo?Cn0$_3e}J1V0z9GVX% zzk2cEjJd6S?bm5%UwA~etrBFB*s%1b%<DC$C(J$h-Y|y$>TK?U>=1`L;tRy$*Trt# zWVq;B(OH>yT@T)hDijLt)ogk)({;Xd$wlS#?$^rN^Cp<4KCP7r%kGOk{jKUt(%rau ztkGc=a^KD^%3I6x?&--}g)`<_-O70KEiHIT-LJWqEX}sPzEQ$;e$(ek%Rm30WHVV% z?q*J9a(LIX8`CW(ue#-WT2fQnfA{{g8_TXG`?Ij;9t_dU{t;2W{NxY5XVv*F`q`Bm zW;R8i&k3}6HQk`z;q-yWU-aD@I+7*aKO1WNted8FJV=+@p)2*o*>^#YzwVp5@IJ4z z<NGrLekHw$$4+Fa>!u3Fue?1k^^;%LK8wc}-bfzy3e($V(B-9O`$bdp+ibH}N2eK= z%}h9WkHb2Bi`*&B`8E<=?k-nO{eDy_^x^%s7j;vP2kd&Ixs6MI_P@)bR?%wjeb}Zt zn;egNeJXBK&h0Zv7gu&PpFX?M@12Wz*$b<L`Cd_vyo&ERD;A~JxbxoLkUIVOwpQ7r zO2%~=0n>f;O21t^S5xe>$6?jasfP_0-g5eo^NOP)YTDDAn=BuAUVA!m^<2jDhI%hD z;;wYD>Uft%8f|WSJF{$Ci(kFHc*>URjNElG4H4h2rrw-Te!|jxf#)1MZRdACuY{NE zb)M#Juw_qz>oi@BjZ*{v@vzm+>~l&s{qj>n-{n}U%fXe|FVAytJ(6(ryGM&i&(;kr ze%()I99O)by?M!l^~&5&D|sBP_v?C^|8cm!cY^WTo}0PPWUkLS@k=h}@6ugoC2Wcg zEa5wMGPU>T6&p8Z>sNi12X8koERIu4h|6<W!88B=s!eYnGESJ2nx-#&{{G{L$d8MR zWDRZ#%rU5Bj1hWXdCg?*ricpOmESHoeBaXF`up3qm*&SiCoD*`SlI1yvOKeR8RyJS zmbLs_7IX`7TDhs7J91Ea;)3`CBIyqWrh8m?J<CW<F}c9Pb<N~@>DE0Nd!PK8A<$XV zc3^V+UoEG3@4LR*FR=ICXZ_OWT-3ZB9~50Wrn@fP`y|0fYwJqqHJ$TS?Cv-$+OP0n z(x$bU8A9?YTsj*>4Mm^X>i=m~cyT}UM0a_Nym04I?bN0VHjG=B>Q?&o6io~h_&$m2 z*}F}F0=_#}OmSRv@^$i~m^nY<<aH-<zf`yM+E-oop*_PYdF9@TAFeN)8pqze-kNiR zesvy${7yTybGsR;7A=_=qZ6Y#HPWr6WAYsT{p?D!&b_#^qT6v(O%bc%tHwFsug<7A z*z;J#CE3~e`RyeF&tn}7UtDqBq}tKEC;H`w_@r4&dA%>1bv=vFQx7{D9%`o`++q@8 zv7k`0-PO&V>wp5=?GuZb-dV*9JzCEGFeB}tPFGn@Kqrgof~3_v3DWB(>nxY?Yh1gN zk&Q3LCrp|_ZJM2itg;BhTg|8UZ*DWu&FoD5bUtd`ji{-qGivVh8nSZfD_mGxI(1Wy z<;RTwpX*!u{AQZ#SZS>J!kPGPU*qcw$8?i?lRrOdU()KV+U3@{NjhIxyy*0TmkrL6 zIZj6E(E)lNI{h;J=j=Y9IK?sY^Ffb?ijvdLh!nGW&OC6^$Db#dd9e$hisaAmvofmp zRX=Xqrg}c&;t?)&k5AgN?J^A$A87nouFbVDGPre#Q0~83YLox;8Zk+)=MK9yb$RNB zZ=vz-ih<5AJJ-GzxOAa*j<m{*F24R>3bSrao0nF*(pju2%75yt9q;}|`Ru7!{!22? zX~`Rx*H$Y!>gWHf@=-alzqR7`0WHN9OeV3LIc|P<Rm}Z&WsDNjWwX8APZ!9vhH&a# zQt^)eC*d{y?TtlCPaQ5@@N#{&*b-+QiP(wX9_M;Xo@3q-a+{?m;!u>5^hS%>rAMz# zzsGTG!|aYnHIH8lW=FVQo?n{K$r^9<*F7&}+KwI8|K3dF06EXk@tD&F(UkwGj&~|T zuZu3JJlwnMP{l&ao7)QH7f*QLv?WOLgjw6!mEZSf7Cu|usT{t|^>zFMf8TP4x|eQy zH!5AZutweS_RDbZtLN|S{8_q6uy1|rpQSzd&J&Lwy=iSOWcea^)-^r7EFRuRtUo-b zh5GhBx@+`HwdjUcRFw5j*M)ttRV$w*JX)#}@*((~U+268k8PoO>D~$dT{za1bh_-% z{E>QHME8oY>WibsJQXh5rRtq_v!uJsBxf1COl(+FVb^-}^sA>|^t%lLTAchpd^qpf zU4CCgxHBb4OLVH~q3buz{<_{wRJ-Jqz`WV&FvssRzJ`V0>$tYkfUz-jtgY&RQR> z4BVKp(dW-$^JFE%*l@X%1-Z_*FCD%Uu$=XzzEE@9b$dOllYAT{OWWM4T*D>a-8P#! zYmS8A-9(@8c&{%l3qK0(`FlmWEx0*W+I7C%8-c%x8`2l02e(Ub96tEzUV_ABdpirg zwnGY?#uj?(IFhT5EpMwjIyG^Ig<6&SkphWQ*H!7amq>6P{%flon`FYn=l`YWd&fqD zJGYJP%=C^OF38%DJ-MQnt1m}LI4WbaN2}tgJ>@YzZH6lkuJbl4>(CV4xYj?1+j+`@ z`U01n>4BLWnd?H{3T`?ew|C_+=H@7d`_T_Jt^3rrb8YmI)B9T|YF%EukMq;Nwr#EJ zuY6<?S^JD@ueFK$i(A{A%bEU^P5spLZsNk;S;8g#Jf#_a7uw}F1^HdDzqEAcfru>k zRoT(=pD{hQJ**sjc>T-T-e>H4maMtcQ1{2H(oyT`cF)fZBCS)|PaI8q%EVF5qBlY8 z@z+xfZB@@^Yc$T4)~}3RBKsid#Gz9s(=P;bZE60xGa$@+<JOb<S)o6^6wIkA<al$o zT6))=GdcSMw{U%q*s&mN^8ePyQI&gozRj(gv!+w>^rLE3lc-y3lR}++{^+{1BwyU~ zZIiB8635TKTYVT}u3otj={>7tZOy%s^;_QPSZH09dH8#c%8yxX%lza@+KpOdKADF3 zoEFp1R{82Q?}2V$Rc2mj@Z(3nSEYpPem=$h>jL@X*)OL01c;y2DzTiS!gx_WG&xx^ zIxl@~rj_N-d8>{rD4Skf;iqaadB-*{tI}g4Kh^cuH$7nY)0w{MdHuq77FGw3uXXxs z-7Ir~vD?%)TkB40(2;3pCv2Jge6^wg+Xv^p6BbMk4GE6C`F&Z&7WFbYCv8KGsT}QJ z1*c6j`&jcX&+zxMuLnKUW%*Vpos28eP>XYzy<)bNi%s3C8|ub^O(Lw@UI<;D?&&n6 zI#4F+ZrIKW(Ma`)`QjxH+*CGPHVr=4xvX}3;)hOSe!sAiOD+nHzS-K^Y#W*Mh4vg) zJysavuJVa*-rY|hm0t8+zu$O7?mb7S)COS{p}K>@zoqs$n8-{k`8!9K&+NgUc8`=( zd2u>s9&366&z=7j!DWBtgQCz96+ZJn)BbHbYq?*gui%7cig!{cb6A*6?tx1yJRYQq zt2b}`l*}8UEY4|lxT)i~#UhpaFOD8N`;pCZ&q1Mn^>3!9L~d4Y_;1?&U`E)%IeVkt zJeT0lo}W;ezxYk+iwRq1ioSomA@`kcWM$M0vG>m{Zmn{)d&J!P<0tFH4LLGe`=`CU z!Fc#5)5nKynI$rDN0;r1$oRuM^PJgPo%eCE$2$+%@_c;hX1SC(?U;thkw&-3s15=4 z$WK1^9`>rd5%{b9d`Z&Lq{p|c16zJ9O)FgS(bQeQfUC7B^}SHLbm4&rl@}L`kIH1` z{NyhXdAjUpQ1Z+mXJ6yl;_lL^ifJ)(9!&aXaqY*n>WMC&zMTE}C?ZBnC%NF_d&54* ziSJEy_G|PVPj%y%np^P9CG~1^ON+&kj@s4NE`4HBI$`uoZe#t_E#4CCvc83HwPr=A zW~@HFX`}IE!=s0^BIo?EkSp78<aoH}!zXgvcI1h=J#F&p)+tDsy2bP0EXB?8F<;wM zgZ$gPB@3raF6aptI=;xTvan|A7U6Uqt<|a=)8vC6KRS>#Q?u!@?IQ*D&8+J7dApR8 z3ct1&cGv~Vcy}JXdjH`4Rd+SI`emKerOQIM6wLDYR~dSEg7|Ui+hUXM7@XK7biAG+ zR%60(Z=<(16R#{X>k8A1oz)l7@#JLtL^a>^prgMo=ew@ZxV3cViP9rsH&1NX73Voc zg~fe}QBt4spV)oDpS%_+)xQ<1%M>}fk1g^-+k26<J<p}<ZVLaFp10tVdS+(x=e;tM zk578D^^U^?mXH$eJ4X(f+HmVXi9c@BQTXzwZQ%mN-s|2?Zq79e9e;6XzP@2;lcT&( z!sXPoR=L(cLdTyPbEJLrI%4m>=$izqw)Va=g;%^Pmo`1^u79YJb1>pd@{vc{yqivb zcxIcGePZ|KM+(JNpXKIxH6E@?nmAQK?))8HKGPG^8kD%&p073&y?X47*wKk>k$W$E z(%X3T#Xo^vEsJ?A0$WmF)*L%I>+PaTCzdKJn|0M{Et(?u+j2^|mt>(X`v-28dGB}3 zi^!O>)U5ecoTl=+WWE)%KR!Rg$hTPF!U?&YF9ym>!%ofrd^G8?7?*g#`#Zw!QcLv{ z7M~II?*0Ew*<z0S`o#}5mROux8#7P-_wwq?k0O-6im#gY<S6g`%hOm-bh~)pJ`{P! zR(wu^XWg|&S1YDQ6xBaxuX26->w`UivcQGF(AzV1>|6Ue@0j_W8qF8~dCm1_Z8)zY z^kn7QO@2Gm*sR~Dg{dqRmNMpxjkfA<@{)10|6ky<=fa`2Dr;<1?pO3yyejaSqiOYI zhv$jRN{8PU5`AlhH!fP5yK&~x$=ZredO8=EISSv5P1TxQ^Sj^(+a}Q;Pu3bvoUv|W zqsq4Jy7SH#tDfH#b>W<uslk${IU(K;7}j&ldM<R^KJXOF+rV>TYws^!)>isFt>cWk zw4$nJdd<bPhEkXEUoBwGi?a)K*68{CO@ejfH?t`ZmWHZI1kAp*Y`@N1PQ@pi_Mg&v zQ1v40?2bzIt6ABzE9w@McM3Zx-+OtoTkNK0%7ZU!Q{J>>|5B=)(B{k<zGnK>f@LbV zUg}R>d*)&4_M=x;nD#5`iA}s_GB>Dl>J$r!OL0DaO;Z(oCKbHCYx-H@ci2C_tlpXD zr=3j-z5L46EO<hRv*+QrHKiiu&VkB$h0FBsdA^Q}c746;$!t}dT`v-3r-%h_P1UNE z{j!I<tWA5BW3y3<bkh4@{WCmt<{Zm7ZLoD+^bK9hbNgb~n%y(`E^{qbdFI;u=nC8G zT|vi$oy^>?oZJ$$SSfm6O6W`%gT6oPx*crKGGoopE^}CPKxE6$Eo(HJ`0i?_&)qZQ zhvKRm6RzmXy!F0Sa{JQm8<#&FthoQ_N9%O8$b$w~gmgD8)!q3_?xNQJWmms1`C?c1 zURZZ+guZF%*`~}2qgAnP3&o9UH->&Yrus)}ioTVq)UA?LlbW^ONQXK0oGx&CV^Gg= zdsQZX=Jx+(dqWq-{}-EGCnDVWWX_iz0m+`T^P+!wU#lo@t21hO_kkm8XW8PJnTO`e zO>}V0nRv@F_!d{@)M!P}!6K1`+paK&L<#wyQWKE=Rh+cf<>0lyb27pk?<T$e)1P9W zl3w2JDCv-|UH0HcXISXc1Nna!Ub8#kwcFME_LrRotZuV+tW<B=B3M;$(WPG7_@v5T z`%3{Ybj+?D|L;1BW47a+Gb>6ay<A_vWVZOz*IkYB$6Xc`hb-egtDQMFcW(OT%D<9| zaleySWU)$%SEu_%Z}CXim|3#C@wKa;%KHh%T;}@MPV@08Pg(n+%2#XV>DsM9m3O~5 z$3E&&*7%+Mic@?;$+ptG1j&={O76I;d{Vu5q}X@XloZ$QH4JictB+PBujSdT)+=(_ z!d!Kp`s0rDQ{UD)Ef9Q@XT48zQqig>-Crd$R_OTbVsYC0^LP|v;I3ucUat2reKn2$ zV@yelRQHBs!YZ?jox{p`Dx1t63)@HUvz)y9!j)@_tNr(Vl-kOxH|yMtICa*@_NIkz z^Y8tPQ#-o6FXPmQMGZ0R5%<mhMwZ1|8vR<VCKPAzVtt;Ya^;_Y9zJtqw>6f0nAi8t zbnV+adS4eD{o@uYbjGBdJ(r;+SHEU`?b|P$nciMoX8E$N*7>_sWrOZH@$G@X_`J@q zpJKN5?Vi}8z)x<u0t?TsEle=pxFyo4ZBaJQge8}Dlx5CVs}DN4zA$fB@cIolzw2(t zzF>Mcz4Nft=_Ag|xB2dGea(F9*Oqh<Z`R4uEFpr6Cmi!pm8gFZp5JoEY{~woX-Nh* zwG$>P^2+V<eqI=vxbncsj<522xOJJP?v0VOxK#4owqw%E7mBeu6&=~L7nV%ezm|hL zkjeJ6x8}O+I@`0qid#3CTPNH~`WTY6aO&KM$KUUC7Tw#Cxp|evr>Y0r-*t5|`(__~ zp5hrWaqnJ7ZR3+|yPG?g)?P|GH79~e^taTlN&KE%k--8QXNA6ZsHHSa-2J?JT7N<f zzxNKiIu@f1^6_?4<mPEe{n)su?z&0)#EicyQ?yrP-;6BoYcJ=No;yK3C0o<iH#&Mz zu-}Ij8oPAw3pcM<XsKD2v17MJTFkKvu3PqGcFU%0ak+NOI7qK!|Abh%ypp~L68~SP z&R}@DvMp1R`R&W3DKif8D^5(?r)l)%vd<Q$*4Yb{x&>tyo?g8r&E$?^k&)7lmZ_qZ zHxEr}v)E{Gx-L;v*FHw>fT8xJ%;_^0=b0P~oVUUxW#Oi0tOB8T>cf{TdXPTn#1a2H zy?jr+ZhEXd#QRb=w|lu~Qj>MOUdZ9kI~%sHIh104Z*Bh$V~JNECRm?b9B;aJ!uDfF zF4#)!dN*~enM|m0p|5lB)7neEp-$H#Yr2EJc^0j3cF4_)-W0)nrCjlZU5%Q9$;n4c zk`vVfBQ;8yTK*UEYh8F&aK!hjq+evi<ZpMRh396A*C}pZ?j>@4$$_eZ6Sps!-95G` zAWBho4p)?4`IbA%nzP@x@<jjZiHe>flvkKrllwGN_r6@=w{yO-g44Vn-)glsou;z= zMWXzy{RWZi%8ORL>}d@@u_>ZG@sL#y@7KrS=ea(7tlk<o@%kT^>f1qcW_Qn#-Lf+= zxcl%2$Kcm(uQT)9FWAgjce>a%bs59XRL|3TF@hqw-jXX@y#$5+2l+RdI$zv2VeK=w zKjPDyV%v0QyY6|p<y^t^#Ps8@o9?zh`<<lZxSMl}=W>px&W0Dah;97g&ezmu_~6E- z$S>D?ccpGVFk3-)t7r4Qdxu`Q)^M&Ak5lEgEUEX*&V0?|*ZSjFkAV5(5_aBy+0%4W znzr9tzFk;MXl<NJxs?v@;;i{G&ki_wC1rM>`Ll<6(K?si7M(BWGMY}Pe(zImss8P* zym05KW+C$_(U;cwZqu!qnccN!>xc4*25)u3MJ$tlUikaM=Vg-kt^<EPv(AP_dT+7c zFF*D9q~6d_<(*}}5;dhny;S=uw?5h_{AuBd5ASn&83LoO`TY;@?q=fM!hQeoN7tvz z4Pw`)@PuBGn3Q{&J;L|A$K|gqmw8{crY|Yp;&@YKx9NG0$7j=yo8Db8t-@_rN8PHa z7E|s;i9P=lqJL4zw$=G{Sj8QcjU|;+3#1M&jEGJbUf(?3i1qeyTUN*GTCPg$*E4KC zr*E*|)+&(mUxodx&b4X*Q;y_Lmy4UcB@NFpCa;?!Xq|Gv{CSO`?te4Q1Ge+tvbfh( z2HpuV+@s}}#iIQvXl3mlJG;k;igOYLcT8huY3g9@o3No{r(Vy=F6KYx@;r7DYzKFo zP(0IYTiD@T5_Cqm-I62L#<)3tj+ndDJN45FjK7@xWwI-NpHH;9Vj#JB{YSMf&4W4I zy&o4VOy_#KCv|$ki8l$4tqXT)1>Tx^oKYv`&JV_<Nv>(quE9EnlY5f(C7&_Ru5h(6 z+NbX(EBo5*-)8%7591Z~+k9C(gSD^mL`Bsrhd16w43kri9-JYwB;agJPwemD9R)jr z6hAN9^z)T}<{_~wJqI+SuIpA@dVBA$@m7Yf-$c`L67O;<MBO@a^2F3zEcbcUzF4$P zJJ^<1nlH6v_p5Xj!E3Y4N*yL-FHy)a7ph@0tUvbY`MWKqTNgBJ%-9;fXiD9>D<^Hm zOGVtGHy!x(=HS`q3wSkVl---3TAC5#6=EqLXvSZ7?f;xFn_o1ZzgBvgRa4?yG4t2B zFI}Qnxo;cpP*Yf@%Cf7EA?dSlftXCtI@`r7BRSUf#P6CTzV`LBQ$ov%LT0XbzjKC1 zqsi9LdB@F^(w{5$ef6_g<q|HZ+RUP-F;`fWdDTXr`fuE-m-okS+*9hLe<k4BcHO5D z8j{+slS@TSrMpF@#CP{3dunJ|wplIh3RDt!d2eyXwx@~gPTo3u+@cJoBpv(oeTL-y zLc?RRhn;R5JjQtLNswyJqNyoUJH68WDXC3zUA$#-D%ZJ9+VT^2%eF^_b#I=w&18|X zh=q68Qu{TI?faBim%q98Psq3{c<CDbdy&(Zm)>Qn7QNMXVD-Mu=|5Fx2V`1((22S| z>EMES+PcS<pI$52?mOA%&#m|@XV;t+7mjS$n)_?6jmg}Ne}W49t}IrcFzbSyL%?OT zBbr7I!3(BoJ#H(Q|8uDs^PyYo4X4aqJlWJnAyip&$xEdt^>rN9I_sxw;|>k`a_Zmy ztPJnEzV51Hi_W>$d{?~U=JVO+&mWh=!XkQ-mBIhC3YLBf=dDoZ-hHf6*x$E$(Wd^# zQwl@Ol=&0)T)9~Ha(?a}m%jEVM?1WhUfNLhP&&xQGHq3e)RCLk+w=Bpan{c0aQb}2 zOLd=_<m5W#pVozSYxgD_Z#~d+Ys<FJkFC-sr%7wYesN6t#FksLwnv@6K=`<B{OZ#a zXPQ2d?TBvJP||tEOEKYP(e@d~wSIlE_ly(`T*sZ<H#g$N6wSj$Col8tTABOuw2`RU z^G!|{@}?TCep2-ze8o9O+sTFM_C2$T=KHMK#ACjKVM@fWElb#s&;M!OHS1cJt0v#g z(D<z<r<|(U(=GSGTPIds&}pY>Tk`a+y6ckHUk`7WwZ6fxX;FEx=;iu{i6zh6w$%L< zWO-9GQEy?l^7NC+Dy+vpr=Gc#Y9h6ChMv_-g}zf7siMAZ`|BgjdHp04%L*<jNAUfa zSMoLBOT(`93v*wt)!D9ngxhn;-bJ4O8qYqAIe1e$YKem5jCnsMIzMfB`J`ozu1eZw zy|V`@r6)#h{C1k-(sBKZd~v?@yDQGe`8*c)cIDs<Ojp(a;}Ig2@i$si%45&t>rvGW z9^1~$S~gkyXNh7yN8Zhzcax5*1}J1a^6S#QZ>pG^BGqp;Ej;I<37bSkeBREqPjBW* z-@bi&Wg43{Pxth-bN|hFmhOICLH*s-sOzfhr<r`oapb$QRM*%nz_0J{#MpW1yDW12 z+kK86OkTnFR4aY$;T`*OA6~wsFZ8m&)9%Kk^A=^tOlysItVsw^yK&E9dF#xM=aFS~ zE&6c|MQgXbdQx_D<BST=fI{Evr7@Mc65qKhwmQf~E}7>L9J5qQ^uy)P9$HaR%YSZp zVbpo<-c7}B2eZEPb+LbzZ85z1**G-x!nx;N*UUajM5p+1?0jq@-*dKLq3o~IhSq-T zI=0^bBX;9(UEuLkf7IM=-;v(l5!(ClT*7Q?VY|6<*;ac$*)R2Rd~>XCt<tqimrh<x zEPZ>tc|+2kwMAmruYR1DkZ`+S{nG4z&jPoo{*bN{;F<XA<L4?*tywI2jvZw;kDS=3 zqW?R|#lQOAT<Lo0K)1AA&jR;`I`Tc*=Du-qx!{bgt6f}#a=qgx^-VAEI`(dp<`HHA z-<cgZ{(ZbSqhjTo!h$ZBEunhTS57&#FhV!?a=rFuuO)(-M-JpCFKV1MeNx7u1Mbc2 z?3tf`cib^bePp<$)%3XA1h&WL%{LbM)+)6mYWTJod~ttzruvb{PjgxGLf`#wZXFc8 z6?9Cy(^z%ql!G;KTi6yh>7JWbe|ss9X`iR0%RlG6k5qr$RGjG1J$)X(y3YlEZo&Jf zGv}?$(NI12Z<?F<jKw*k7U!<+*!DT>rT>%1zoNLNE>-6{z;IG~$=b#Do^~GQ&GlZN z?491jba&6Q%^Y78HmXPc?`2sW!1Y>vQSI5s9)e*E=Z@Ft7)36KFj=Cid2jXu2NNa1 zN1G3yHa`}j!xhET**JxpH~VO=kj9nb=V4iS+q)W%Z{2wMW}*qtjV)!FTd&NSlvuLG zSi=6{yU?V>UYF3d+RO4vx@F$ZJaG5p5vQ+=jT-0wrQCKBQxmkfUsk$9e%eB3<{~p+ zzO<S1GBS_-@sHH!`pVzGxMt%mvA*&H3I>g9RIZo(^YZdObzxStZnV-*>si?uT2pg> z{+2Si^!uXl3oSR5fEWCN)23}x)!FGV|I#{}wF^GfY}6?2Iz9EjrDT+@v+F9OqMG^7 z8uwi?p5*wmvtxaW`OgWe|6)HcnH93{&rY8b$%U?Wue-?dEe*STr)BD9*UP)-yIk%R zezN?+d@moiTYr|AJvzX|ct0ra$>x7W;x<V&Z$6j(>os|8HAOuCTbN<(H_yGfx=%7z zJIyJvQ4_j6f8si+yay~-Onue4<(_NU>psc3!?IIKb9$WaXWs6~ow~Uv6WF`1&Cc*p z5n8&*eD=oLGT)^(%U{{9>-JdAZeZZ8vO7i3i*43y-be2qtlWS2P4?szj-vrzu5Ej1 z5-u3kvamH_;t8wj!xxP_Z+x2hIDuPQy!FG~ju6)~J6_EEc;wRVRB5?qTA9b)Z&{sx zCVGQO&TZMu4x3WlS$%e_{2b**(MxX!S#&3Ft4V5C`XIx~U*7A)C~V@t=KrnBiVS7% zY%bpXz>{?8p=6SelC5R;o@xH)gF>>_M}9i$<Qua6VdT6WeUCNM|9D*4u=V(qCCpZw z`GSw9R~HC{%H$l#Yv<VRWEe3$v#EK{LA94HFQupDrm31*T$K)BWV>*2ibV{oq>4;I zPm_g^$D#d}y?>ik`-;!{9Nf_LY0|li87ckQX}a+;k5l7rtz5o0qHvC6uI{=++xa!P zem5k^ANSDfx+U+j^6uYkX?uTH$9<1GnOEm8Rj_HB#<h#fBEF#D>vR7F2RgXz-gF*b zee!q86bm5(5w0m`gpY^GFH#9Hli8l%+jDwKY?mN+wx95edzT&^ka`&^$)nfPWU)id zU8G{dm0u4;r<*lRKWBbo#i^-AT<OQXja~`3f1H=~W5K#5@8qX(&ggnMF*IR=a-dXb zbF1K)6Oo=Tbk7#v?P$@woqGOESF*C_nHeFjQ>LzZU4HA6f8iz5ULm&Z<%`cfn#JSV zv3y0*)Z88JAM&<^U%plF$=`nIcC!|PuC}+6{TGKHH|F@&5qxp`Hs5$1?Ycu-KW(m? zkbCgV&qbbH2iV!8Q!=Jo%FE1k5bRiTr%zw1IAms%WzNa1GS@#ncipXH_hr_+8-JF~ zUYK&jK-2MD<t(es8|LZ@RlMG%8n?_@@8F5&+RYm8es%BvbwYjqLV4pOJU^MwA35^l z*3lO))N@{&9Q&Hvy~DJr=!4g^T)ymHIoA){mh$jCu9<u1v0_qxAXks_`R)^9{+er^ zmRvNJSo)#ON`F<1bo}lgQ*!=gmNfnS(z||l!t-an)AR0KSvPk+=jw$K#Z0qzdz)vh z+#t3&Xi}f>3-$2RBI)aoR6cYzT(fiQn%EkLqRAmsy_N<_u1~nR?4j5H{M^}Qfd`n> z1D^d^(5xl3bduz|*-6}?Qoc7`*((oo7O`&6Nq!nt78kKACwBXh<!%1QwN&|=<>$w! zx-3e#_2_@vd*1_3R;{Z2cy+FqhSQ!hM~m3wEJd?gZn$Jrd0iHKT6RgYRVCNTNiX-! zs&!U(W0$GDn&Wp#A<81Ax$9Aj#dPsNnS+++-pcId>kw}8TDfAyiWj90u0QJcZ~bPM zeqH3i?|Z-Zf3MyCb6-F@fA;#l-)=z&^LZ>R)~fEz&GEf`#>I6LUOl^Pb#bRq*X^~7 zoFg>WSu<{N<!zmtlpx^Nv2)7%mIK9JZmb2RPB(%KXO?ZrdmG~!zl39|dvd20`wF)` zrc2!Us*bFy^Zx1-uC{9(ukrF3K9>!?)Fxk-m?F9Dw8f=k0-KfubK5OjyhGac=v|HY z6U7V4Up27vM}B&E!aYv!f8hJv9h-#tiZ6KO&wjYbXs)GBP{r=pc|n&t-X?nO7u-Mp zMR&xh!-=6kIXAO=|7o5xXV%=)@)z=?Du2EbyEwVg;M%<C9gAEJC!I)Q*WAe}w@5<a z^Sf;wmjbfiM)AJW`Vg|Q^~$3qOvTeLMa!>y^{#Br&+K<+9`0!ScS%lh^{4el51AxY zD+8?;&h3c2to*LFWABt-YR*Rm7f;fjwwQ^lHEMa(%cjx^c~K&Yt8X3Z)YQ3_-G688 zvK>s0Z~h;du4nb+nY-L}<twRMGBvjK&Dh6fS6aWZ%r(To>BnvHnIabpv%(H;de_6{ zd&utz_rE@yFV<1QofDqEnUcuSA@*#t@2NMULfU6yg$$*6BN)1OP7V0>p5cX$SFGT1 z#S61Vb#K&nw{6@L`SXxsR~N6(ZSzBJhi?g+_BuIEOP6w)GH;KB>@5{rzFX_`uO{{% z5n)qWUB~w6&~~5Gs}k0(UH@zEtY^NAJX6mVJ!_q&xG}wutNxIX!SmNk&NAqpd$m<O zDC^s@ipzdUCc%0t8&oE2?ev=>UzsbseCejoM;9iqzxDA|g-6vg@l7u^znOpk@^|*0 zYJ-`xnvXC3bZ5%u#=L6I01m6O9Z$t)FP`l!w)BnZi>}KC=S#}&lzrKm)u45k;e&&^ zN0*mS+T%RY!r4}0^8=b)8Hx`JB_zps`ZX?_&#H7a)#%EzM%CxXtc52}VqH;nGrDRH zZ>>cNPr`A|88`B$Kjdla*}SOiYxAVMpR9Y98hPh%82y-fx^ynX9%jR(hZ1Yw3xAmZ zN-&N?dhXdDd7bMz+)j91v2OK@JG894$ze&%+sK4X$5&okSMzEH1Mk|%uJ@5WuHOCo zm0On=I9%ZEYH?S*qMaOgqV2G;j%Ur$LgCE<o)N}p1kZoX+`ZxP!bvYQv=mOORdp>% zf8q2~@ohH$qAP1=TP=9dDL?UG@tyzI=QMOU{%w?EuDko@=a=ajEpADjVzXB0J-sot zoI7@{=A*{tAEJ&k+UmxbN*~%*`nTVu_p51vZQt$C94&`(nRD#!XWry5R$K96?blzC z3`sXTUtC*I>2yJM^`8)lDO(TcHH$WVmFsHXTClD}GiB;apLzKgFJ*cwhPAQ!99ZmF zRyx)9gDLy7Uwk(9ixz!3^P*S1$vvnt{`O?`t%j2P>yNNcYr4y{QsBT}rtizvZ`YSt zR-pLn!zB*cXi@F^3Lb^`??~Nw;wtuP+gy3i<2ROuOmkSFS)?Bv=B%i(;iIP9nl`_? zSrXgk#blkckl3}cd6DUiA_eAueuockYW`~aaffP&QOxs?>?@@w-KddIzteu;j83!7 z3j5X!opuc`eYU$t)F!!?MAvRJHZ`8-^=zuD)7nx`er}01YD?b9EWKNz*81bH#i!>y zwSQNr@Uy9HcI;E;PE(s(+H6v~mG_K!-}En%F6%|sH1b}#Gif__q2whK9aR?jl-`|5 z4<!Ye`%^NEdATl>o#gyjHEaIKG(G=E0Uf=j$w3M|NlRK2mGA!YGiqPwvD{uv`{z@o zbmn_{L6x(8Pfy9^J^%USOg51#mi<bLc5iZ$n7To_hMTMWmr4I>?_YDa#GI=B9Jg-5 z^C>@8%XM2$T%5Qwa9;9nj$V(1jv%9_N;5d#RcecS+D&!LNt3EJ59bwH_H5b9h})<5 z4jg{#)mZXI<=VT$B5x+-g?298`6qRAo{{hN`6@FM8}%jr9q?H2%Cne9^u2q+;fPqq z`OKTr3Rs)pMHVtYui8<i(R*+Iqw++d54*~qI`C9{oLl7=VJxY(fw5s-ys~?Lj9Vn% z^%L9XPX5-h^{nq@U#&>V-n>X=QyzD3?(8Mf#;@L4ZGN=T^iZbp%C)^bwb>JPX0plf z+7)}3{Fb_UIAq3&#IjY{?~c!0k($;LQ}564R^aaTw4*0qZ2BP<e(g~IOou~-f2Vx9 zW+Qu7iz9jS_s+FiZziYRQreq3bEAVq%;OtVziTIoeUi3TpQQ3(u9N9wU$!?Bo`nca zpL9~LD|YeBV!7_rl)rV(qCw>c_kX{^A={_=&UneYM^Z~YrgoQy%~wp7`+fGqoaF0# z0?VdmX8f(Y<Hzwug7u}Qte}>DZ(#0Z?b)KP!B4-<_*|Pg^EwN=+48T>2f2bC+Xlbu z2<?+>O|-apeEY$;d2<V$q#3)vG}di&sR=&Pb~Lraw(M3xd}FICZ*0XRhv<-U!9xAe z?C#aS`rN1O`}c5y1{2%V(-$MY%BsD~eYlXzf3|P&<>Wff>o;C9IT}yMGuGT-efLsY z`1O^lPcB@!QzCzHXIJU-WxqAG<S)3|oME%zyu;SbnDcVeJr4epvc~3T9YRmECKa7a zp1pI{%%hg_VkKK&KEG3VCt=||i94CjW+6Ll)zi8%_gJ#MEPRrpp>%%b>%Mck<u|r? z`SMR~n=t#!+sVpT(nOcd^ZZzSasLLx(5|w%IcLnLcC0Ggy@>IXr-;03BDdvNAK7A) z8C%`9n$PR`d3k?bgMa=)Zs$37Ki{}<!Z7*Bzl&_ap>J8eEiTmF(6}79SlggcF?NmC z{0)y7OeVKWGEO?@wPw<+*qj)N7wHERLce>yI@Kw)eOHCfN7s`NDuv9YoC1_Bq-(w@ zGk=*Lydf}Pc@iV@OjWBlUhPU%eI8+(m%LXG-kg=m<Czi`ZE;lQfdT{5tLUk1cXI`7 z8N1hp?p)Nzd?RQtv-E7f+m%ZXrOoQ#y)|vy)b@^+r^lyVU!eG`ZqA&@P?-fnn%cn% z({6m>T^$rD-*V{3S{|>jOYK6KZF9Enk@32B_fq$|4=uC3KU`!u^K;tga)pM#Yu?R? z3)?Q<iTbhe0Eb)Jvz5i~WRxa_Z251!{_`6h4>A3ZfpL$Vl2&L<pO+Qnq#9SO)%IW0 z>EZ%Gy9=#dnp&@&cE6po_({hL#xHE#O1-B8cg7x4PGpL|{jcVS@Ta-H5&zbQ-|tCT z`q#BEBv~gvNdD)cE5^3FmdaKcM=o_bxP0;3{>L2(2d_LXbE>hcU1d1CyTjM$`6<>5 zMZ06KUO!Qo#G23g%b<X_@oI=g!bhKb_J%TR6w>}p+vC4~n^Hun*uKOw%gVl8ywDsL zpS;YtsdL|kh+}=v<4u<2)qdpLZKTYc@Uvnc^TCFQ1t0WgF4}V=qT_%PpUv6bOd<ZS zdn7K;S;;oBsd2}4;j9m4FP+~yyjFV3ce_*D<;<?zmsJ<NE!0hx6>pYMN)%f<+p2e= zVKKvzjp8OX&NH+=p5@Azvvav+jzfgd^M^rkC!;=u$xE-U^Q=0yrH;YfpsGNk^KS6@ zl3=?R1@$sK`$N61UsBWwdAGq`XR?r^*n|bOWe(lWX3t!87qhj#oK|6L+GN8}^k!Aw zQc>HALtC2oB~IrCq+}>0xGmm)<=YO9j^Lk@OQv^zUhiA&+xPa5&sPaMgFRa`!WFhk zHZgmJd^=ZTck|%n5ZRbxKNo8*`eL@bQM;9=XMX5C&C4oxOp3)1z1(;E(1fpCWyih> z>8VZlwqvD}ChyuW3rfCj@9$=LbzNFN^3J8_TP4{w5@gGE2Hz6t>S*9vd11@uRquYw zhu?n6{HEHCTl>P~w@r&KZaH-?J)Ap1ed9CX-=<1JlQzXI*|7D9tySvn^V7C`y{i4H z`F^G>^GT~2I-;IGy^4HvQ!Biu{g{})^|jBmv;=0^-|x6gaz1QydO1fl&Hd17<@_J2 zHK|kF=T!)`zBk+BVU-al9yYT+vEbmHE30MYOKnqARrWYY8#(*VG@q5q#B@zW(|RGZ zXx~|zMg0X6rY$HoJ^ESOus&<g)d*&VHNR4qfBTfXcK0kR8^sun?OOG#<4+};Kb)<) z+rQ;S?uLCUU!A(yIIn)z#lH)(OIshM-M<r}CMomZCy@P~gXzv7u8beneyb%t4Ues} zljVu5cXUYocI#?c0jo$-1bc^c1M39)#h*GkFMd>*zvlsuqp|vYg;j?e_dJ&m;F0QU z%6bxPnsKtU{%H%-9XCCZhXMjebOQC<-yE4TYw;V;#nXHbzTb0sVUCX?pUZLgkJdSN zT8du2e9*A)>+9du%!*n+WhOt^*DiKc>pQpmW`@1*6))dZe*Wyroco)&w&Yk`;P`Yp z*DTXj>f82ay^G7k8IQiSls9}j*ZQNVl4Mxyu2T{2O;0ZV47qkxX^}q5N5gf?I~kfX z`bAI9da`z&nEHuuO{IWYTXcMFYDG0f^bAWS76^AnEX}%bVu`@)8KS*Le9M}+{tET1 zj9heB&;4r0vL_PU(ej&uUaVQ}Bd@qD?W!ER4BuhKb8!o06b`Kl?d3>X=#km9e`~=+ zgQ$qE?d!ST2k%x&nL1y|m1)mep{Hfm3;ByJ_D<hX$sFz%#!!E5N0w}A`VWSEIw|+v zsylx<FK$bkT4w!`*;UtWd&=rn+k_5xsB@l5IO3Xe@XMBo60IeZZoM_0xA#dy727Y~ zwefdE4W~bD`%{q~YUx*8KIOQFzj(yHoxLX_?o|2)e4il__-HOC>-*>FEvtX0i_0B+ zb&uuN*0<Xh-#zB;>z6$5`W&?*0URX_ytka5WwU+lk(y<o8Tz(j`C^$22DizNi~X{T zTK4R?d^S=0*+t&;B&MtJtQ?!x+a8zuIeXGgn_IVH%kmHUr>VNS3QNrY{^jqAZmw45 zVpsq8-dii5bSNKFDCls%`)T7{UoqAmi|GYS4!rF@tuNhF5aB=dK7n6A)I^%II)-oB z^ko}2OnP>(cG8iS$z7bvth#4UefQh3#;bGD#3rHktLE=HmwH70O!JcBk`2_faJw__ zOWMS;wO+T)y7b?E+;w|?skg_96*)01x6Pz>?2Mc8<wIrnDcR=Ko|k)1FJ7GXNUvY) zmR*$^+Z^uPlgl#|)i`%QE4uBLxzPK~j@{3SqPdq&nSIa9Ykqj<Z8!Jh^Oot}Em`B; z=kny>#N5Rtt6A)~n(YoQHooola`vn0%QpA+EW5qCuA|NQ8E?h14N{k6%|beSpKfAW zzFEKf&J)-5J+kiu?*BVb#kJtgR?`dh`xaZY?Qds0Up^!C%JgE@xtq<L-2J<H|D0s_ zk;0|Bv7asMj$clg5dYOLZmx+7X3iE=*qA1DnS*~$e%W4;v$k^CrOnmuirP1~Z@W3w zd{yR=bcd3=Q9V+xS6=*H=yLd_N#<Ug=mS%Y{<8E`3lwJMSbm=I`Oc)WBYKKQ<_C3p z8G9*i^0NDy_xM2LQWMeCjn*xbv`!pdD0xXykMC#665msDUY9qT>B;nEH2iD7F7>LZ zJLUU=+21o~&W%`=ah!ABvN)@k>Y7S+nT@k@LTWxf-ni-2RW63gtdEaBObgCm!~NO% zO_q=8i6zReR(y{oQfIZjZN2*MV?}|=n@77mnWvw(w{v=$x^ZH;)R~YxZt+z6$;AtQ z9a^(!zQ_lDzp6a1_1*stp0u$`4doH!$cnp|TW0R@FTlL#vX;=2U0-@Mjn7;!Ii<WY z>u%`tbqy(6Pvqt?Zdj!ycgE|TB7;L{BjZk)-iy1lc3<6^x2Qg&q9Eb#gI^aWyqTYx zBN}F=dEmgQ)C0lwMx8%*m0h<oljr~3>Uz4;!E4Q&2jQ-4zkVu|RId3|%{=kwmacre zqBRSjKCKtG?YQRkNH*OyW2&6yPU%;#7U^GemHza<OpJfizLPBG{q>y}fA@s_XH^Lj z4{AO3@<vmov}taT#ca==U!?f#7VLTPWoqWi+hX2V?#-AMyLyp5&psy(CF3W_6aLOC zZM08P>ld8NF5LdnZ(*4|%T4Q_QZJ%*@UTpc6ym!a`}#nb&@5&%+tY#HY7VL-Op|nT zi=Ho7>wVDJd(L+j2JWDu5>vUG7h+d5N3a}W<^6bKlLzyWN0B98g?Uy^oTcfpq`vI# z!X0l_uDHzO%sCs`zv$5^%emoph7VI#F@Kn;z9CNJL3E4NlD<<7{bB7^-|d)k`PMs% z6h(h3w)FWlTQo^h_iWIbv#u$sx?(o6dle`9YqD<_@MXSYe2&f4biS12dkg7ff66)4 z+l!;TPAq;}vFc9IJXP1Gzm1WHHj4WNc5v05Iy6m7#Ct-7$kPX&UuSUsP<_s@m0`PN z-RdJ=ck~a-E;=G+c%wMao?XLy>o=w9nX#42oYXqRC(S?gLxeG2NS^c4EP-0h`SMbm z&1WWYTsL%^6=5uM;y1^mX7!LST>E_Dl<#VJC-uENJ9*jEUq3fGFt{aMy(NA^LB+f! zN7>e1s8`j;vQqTT-ZckVI97UkeaPQ1$84gO;=&JQ@8ej`W!a1WD*1bLvx0S=wWg~^ z|K9S5Et97D?>?H4KX?AQ*S@75D;bU~uQn)?GK@&Ra<=}6)`nHD_T72a`B!*{;AzLs zRhb9B-|sDO>aVYS-6{BOb%_U4*n`sA?z*V+{aV|vm)*GIo-*}}w3w>-%rb8|*FukW zh1Wl1ysPGw>02CG`gl{<=iMBtMQ09eni$l6fa%?&lw->`R~oflxi}-HT(6teS5|-O zmlzMW)T4X1n%{YDyR+Zaz}(+APwEQOi*3R(lep5DmH3v#KE8M2-XU54BYS0LgdhDC zD10dT&O)ae){Tev_UKlu&gZxtD7u7k!WM?#mkTeL@G%`Ou3LR8!E(yA9iKY`6I<7n zZV2FQspAWJcC*%W)`1JZjwvw&>plLo@mKIFh8;zV@)xZCZo~QUlDSL#+Sahyoqp3! zmtN#JEwjc|kVSP(msKxcrO<odw+A=I?X!0ZTRKm(`OS{GGeV2chKT(<5pwkBwofuC z&U0dWE*2JFIwbt-;_3!TBjZ152fkm(OLF}3b3$lHUc2wF%x)g17nk?`O)y!fsn_D> zyy_h9*S7_}<qUs=Z_T~Q_xxDy^V(I_acM%1l3Z3Hlc&!t@m^Zv*d4ELJoC_3!_rUB zQ`LU%TY2kT%OMNp3I0+mUVpv!<VxHxs||&-5)SVywB8t#sLc0h&BPVkofpegEnKF! z?#nGkXXg_R`g#vTE^R#BAGpD2pUV>mqo2(RDaYp9%sBJt#H{_!D-;{%&njRPyK4Pr zq9|8Z^Dn#WUbXH=KePK5WfWxGS#<ZU4d<bQ2dmh=E|co%HSY}9i0IyI<L{m@rF7#A zpU=$i_ilM}Ql^K0sqysPD%J-#<a53AHC?g7C8}RFefPZUv!b(`sviF}SiNXkP_h<d z?4iuvPgf|PF)*H4_iWB{<Mn@;d{w0KjM`ZfENYf7%2S+ut?=aKyleie&aIgIZ->>( z`7_VHFwZ%ZCnXTS_ui6Sl}FC*V+u=6u5JG-bDwvPT+^ygA}4tlHLmt~!!;-3C{N0} zmb0s!q}w-4e$k$*G<kYLrr(-hx{W6;@g3`CG~RFSW^eXM{Gr^unf5}9e_c<%KKo~~ z;V=Eg|B_BTXXMK?yx_llj<}-s!ZqjKoDh5U=jpbHo!4sDX5V#*fB)daug^|5=be0P z=OLv(C6MXBj7-ZlZ$5U-vynOY^uW^6^suKBC*1cvar*G)B}#e%zg>>k_1`{nWA~dI zK5wnowR4GgYVVA4Vzk$t7f=(lQ%%DoS@YEcN#VY!H$Q!SK66jgubDN|@+8=9nsG!n zORg$zf4i#8@p*T$mtgbc<2EOcI&@B7aOjQUHmx?EWNpI~rxue3%Xy@obWMXL=AZW! z-8A#`-Al(V@$lXj{U+ylq;X2#ltgz|7lyKZ3!d{dd@4RzcvAGsl(X#q+*zynv#)<$ zf7N75U5P}?tO=}D3+8|L7khx`;hFj8JPw4GF8Q{Ww=GCOXw$X{hQDThN!%;_@!2w# z4)=-oa}RV$t}!e98o!fqvR~!)lYG0C&4S+F`eu-4>ia8cm)W7oH;Sg1C)|jQZL$05 zqO;@ty2&pc&HC6+YfqhWdFAcMm8wzYb~P50mI}qn->s_i^)C&qx+ctf?ZQf~178EJ zKQgjL`?MUGW})@qp3f_XgsvlOA@lT)H}i?ux64;@orq%p7VXax+0Mq^UYa7&aiIF& zCXH!7HlN`U%lLl9<w1f(j^Xm%=Z~wbihtI_bl2?V4vnU7%rExH++CG9#Z#O2^r_&O zJ#qyNujl+*;%4NzTHo3}==q#eFHhN;F1!;Q?sp}RL(}Y&*kb=>3(Z_+9KLbEeZGid zw#(1UtU9srtAhG&oMZpGU`F`yyQjAZDm;I-BKhXzg)<c{tSeTmyT;3|tk3Ijzj7Yy zlP`-8ugiLyB3Acp3tPdBK$jH}>_J(z-#x#UJSwqt7mB}kYI5t`skMRG?1|xle93J$ zx}I7!KR(s@wbpccbKF(gXoKXK{i}BORSC`0$O|w166vu)cJ|kef+~0W&fZ$Z7kPa_ zt5F)$v$g(hJ61W){O!JAduZVKD_49|^bU$F5Rbj!Q&7n4rs<Qd)AnQX<T}@yX)7;o zkH2tYsoUFby$77z>T35}9(-4y5%_jhW&K&jdRZ<Brk?FjHEtGLtq_~_+~%q6<$~~= zGqprEiP*NDo96hg=1f<|uB~U@pX|PAu;9Vr13UjSO^|l{UE$58e9F_7jn&y{`kj|+ zW`9zBarfw&UU#>iNe6qff-V$TayozOR+9RwcZTDGBA2pZOU-?jYZvQTkIizFnzma} zC#H|<f~Lvb)tp&3JOVDRS+wx2*mvQl@_ofRBDE<2dQyhZs<M`C5Z_+1Y|={R02Z0s zmD4Bbd^lWm_ybp5TH2Ng&qZeb5|c@^esXbB%%=^T^jlh1vj4p~v7_bTQM;-I_4_Ne zo7d0%`!0Ukg_Tcv4;gP*l48C|QE9;vk?wM<$x8kYm$q-!we<fo_4yVv8P><=7>-Sn zY!Q;Pbo_ex{bfhdzjaT4ikB&^DLn1gx=-%90=Ja$@r)gl=6#STacmX%ck&pA;-0t@ z3nWE1zw(_Q=Mokg8_m1<=8p4APSgkqhF*!kzN_<d6?<FTd1;w9*6;Ty9_GAs)-QkF z`ODJgl0N%BC35M@_g<*w{}>;=*5JXGb~))u-i_}$o(5E1@;~>2d6`Ms9<Pixk>IKC zZiz5H`g^#x`8jW%MgEID`|d6L`nr;h|I6if7o~clr!eu`C`6dLevRk7CF@hoYVkX` z%s8ZZ?jgm4C)jVgT7RtZ@N0UVU^ZE8lJJ=v#hK5<t3`?*SARE&zc)2@{nNA0H%vJ; zf4Ai7Rp#?28!PnBNzZrm$`T8FzWe6Bwfdj>PE0qSf7{k}t^C826}QW5dDB0BDari3 zAo|m@;we824_m&NcIlnT*}gp1d5vtMUmna|>~r(rLf+cH&C+eZezmc)_evkQ`SRmW znY&C{A%|XQAJ_eJMwiVyq)u;1GV7bU_Yc;4J6maeDBQh^<Ho`AYxAct<Vomi)KA`H z-tVyR@p+~{TpoK*zxg@&UXERDg!`h;TW-4S%vPAM%e%dn^Rn@ay;<M+XYYJpTl@EQ zyLrxy3)Ut!H|($Ie-CbZc}!R0*MlYNT{RWde7*)mulZ%Rc)OKo&+fVv^@ks{@xEqf z`~92k*RL6czuPo+iH7yA2#LD6O2nsypKHsuh_Bm&W^rnDo;Q@Ze%3EuN4ZWvA^hCr zON`Ikex81-wR=f(>E+1@vwRf&??^<lUq01#x$oFd>6AW}_?3Isch(u$p0w-HVtR1! zOG?qZ4OYg7#D9xki<Wn`xfE^45yi9X=1bij$y>6lH7>JMpOf9VdVM(0W52XZ7R%J{ zRYvNa4$NI%re1vVN{-t5ODCIlN|%Jh+LfxOZePhP<1d!}@Z{P(6?Z)Q?WfB3ukKj% z$a&}FcRHJ|FJOzCQ~KFXowwD~qEPzU{J4NeorywTHkyCF{1NJ?Wn6y6K)3u~Pp;ZQ zgNgcO-V%Gb?^agXz6i@zTi@eUSpCrC3_H)75Y?pDs!LeAC;fW3D*NfBw+@ODTP}RR zzKYFIqxp_O%E|L$i2=-~YXh8OKOfbN*A`^pkWYHLwzY^OdXv=VPw_2lcNZ9(v3nNw zv}CSGLuk2bA>*Z0e_W^8KQ>`}rS3C-$DcgQR}ls?G+u0sFm(t!zV4Ooqz-k(>E`o| zPo$oG^882eN3oLA7TG2b6|ObyO=EwtqVhhszSCp5Euo?Oo5~)#GKX=>{rjb=Cm((8 zyzA?OKiRKvO8nTf{6Nx<kd7@yN*?a+LK{ytPdXTs%BhuiLG*ra7pLgU<kT5`UDvlA zb(`iD9rM}6S?J_zHXX@x&G&aNRyugtQu)Th%=MpkW;%(uYH#%TkZ~zhcDmw%Mn4OC zk4&MJr&hhYDxm&Pob|vgwSZ6Zv*!QHe6Dx;rtpGq=dC&q+MZoCPvQXQo1N1)H(D%6 z%Q#UhYb3LEO8MH^96CGXo!yi=x}<02y?@ZNfcNa$P1UYFajS2oD+J8$pKx%R@PmcM z%ejhwZc)FuH~*jQqf2rkW?WaVf4Oqz=t&{pcP(cE@>5wi2d5s(eeR-q;!NhsKEDcm zVL#i@=bYAOEzNn`56+x&{P~sp?$KA<I^@zO?uhkVCFUD_vZqd5Y@>qIl62md>sPkO zw}n;dE^-W=^1M#?-|<a{><mB1UMUH2JZ&Ls*WzgP=St|RVpY-dgU`O3NG`q58ZiCe z`-Z;T%F&{Z3~skwM3bTflWVq!vLwB<>6jihv+Y&SC;N*Bm%lr<O2BLT|Dz8a_a~oD z+M(QTKdp2z+xlk*?B)KZ@NWnx(t8j(wbH-ew@}ooxwdud&J*p^KQ2+p+-q85d@lXw z`3P-|S?jzU1$SwEO}XYPCMxqZ{fs8VGMV0=vm--ne`#_qTWz>}%Bz}Q%Z&%FGupn* zIdhFCKS)cvJb7xH*wn*@tJzjf_+&9nWlEsl@lAhLJKcI7p}J^BnN{0F+1H%vS?eFq z6seC}AJbM@eL(Zpdx=-ipZI7M*ql?dt?@ti)VQ7bd-A?2drjqu-<jA`JkPbuotV_H zK#}_ttEK()*`BO=Cw}gf@&ES4N2|bbo~Q4!uHwm7e%=pS-aPwga>qxj!0DOz&$OT= zb0;i+rf#VI;+x)v?GY!{(}O1eOxf2U9JT7phkb4FH&Xw7e4#e=$lfcl`;YoO**GQ8 zdex=BL1}5nbl-aZn#rX5rKA6gPgc;<%Z8zStJ4bEpNAQ@%KzJY*XN+Fp1E(RC%5Z{ zSzPyT^9su7%!%STTbb9{Tl=u9PeOY^P=H%rLObiB1H#qEH|lJkQuyEYL0|0EAijn# zX7P*N17kSTFYll8z;xpM2)(Af4Tl47aL+S$R6Bl_!}v4nwfg9^Zp-sKC+Xee)?EK( zuAAon{ql^**X{9I%bMX+tylbBqicG#fNE2<v+S#2+nEU*!S5%$7Q3nQch~ZT&R*Pn zPcmn`zj5_wmUPB8ah+owYaT4~w0(5YZ)RSU{l$6nXC-<>MW6qCXWEh<^ZOUcH|}i+ zmRx$=o_Eb^%WYw^_gAxD2(8wi6VTp%IWsWroqM|we-f`^#Y<C>hHb%dBHHyUdQX3F zYR(YnEoKe>$J}>eosHu84QgxnP3LI8+|<3%XzLuAexX|(v46L%$QG(<m^-DQXYR5c z)k<zGUjM2dKWbLHu{dYNZ=o}pLP=}p{D@~1ni8?YD9mjAzW;M`P5w4+J~aDcj_XhR zMUfiXH(Wl>OAlQ0OY~qz`|)Sz*s}6<z5h&7)cktA=W|Rn&m3bR?dw(XC2MC(NKBYs z)XtWfAphmT(Mun^jM*Rk@~GA+T>iu`D0YSI7P|wdPInkzvT^48y5yKpynM%nucwnb z_e)(cW4g6L?a<wZKwHmG;m^2FzVY#&!7ViPb`tmMR!5218f(|;e>+(_!<{$hthku; z6o<OCcYQzKdJy9&{zuxwP>;jp)J}I6<t+7*8A^KVzlL2EalJ9^mQUa5O}Zzp_*$e| z@&tdDxzqcRPdM1Pb=Q;>GvmqUJ@>b>&DB1+Q{?j2RQ~_|3oaz8-$?5+IJt*~ecRh4 zBi~&6y&`T|FXt;wn>tJLLejF?ORJWAiTt2F+ve!g#K1E@J9*@a+1KqgGdT1m#_Z0^ z)z1x_gm&#*WG~M#we3xk(cSLQi@HxI-z*M3x>IgnWpSX|`##mniV`j5Qu=D2=Uu*F zcWv^5#$8uueGiLT#Cm>vd&2T(djxhW+~4`BCVf-m)}KWik4$`IQ!LD`%f(bYz52#; zpW@^t#`A+_O!7`nOOs3dB(>_t9;POPH0?LCw*t)ij~hq@Z%=!^CsIvqPO$9(uHSR- z&-rq5=@z@%%ReeMKT@c@Tw?LY^kl-9<9A~=G3pB>7c0IuJ3Hr5Kw0&j6e}6gJ-I?k zda4Wy1d9}NHRfx&?B#y#D0(OAK=0vQoM)vuSG-V|;F)8wBYjfjo##hB%iWnXQ$JD8 zGV0>u$0tuSwTbXb-dPqoW$SeBNgN-4X;{i$JjHCVX4<TR$O1E?`OoE<ej5tU@aYs^ z<K23!q+W*We%$sctCvsf@4RTTG4rMF&yw22YcI=s&3)zEE6)9r$tkRoZev|p>l^%g z_Od)}mCag*cTTFa=G?nssmXu&z~`S>{>cZ5dx`PyxfwF+Z~uzl@`2Ys*RNf%yKG{t zt*fM^;`I)Bi_7}CE+216z89`u*?f3+R3n#L^7+|~UY4Him7mXEe>o*hM2dCtQU{+4 z6U{VN2ruNk=pCYz?QpO=X3@_JUvq1IM#pxne6c8?^u^j=tNo5HoPF!aY~w3=FU009 z5tX)D70fiZO{{5&!`y|*TDmjtm8{MZo7=$DWa)oDtaH)y4bPmmZ@2wg_G6~ER98@_ zXmg*__bqdeJ#2BB+wv}3IMwEY+lxBa1}jsQih|Pt_Afkk+jcV=Ze?G!CH`FJrZ1Ou z-x{%0om|epZS&vLm$GeTLpkd<oVe_?yN7B0yOJ}14sG(={z}B9YDa9Xh@gDZb&Jrm z`Edtd%1v!?-8KDP@cE{PtNY)X@B6iK&kM6RnHK!sCbAP*vhKM2dw=2oL$^JwWvVxd zc-^DlsB37gzmV|Wq43{djg46%-8<GVxqsR4_HLh<+VZK)XQw#6+OT^2Nx%KeIH!b) z?N6)DNDzK8wRHDtNp*wuXIr=z&G_`{>KmVPx~)fl8m}>W{LEQ)&6Gyfl}q`))ypl+ zyZy6BI4&b`_mg#je?H5&Bp*Aw`ty8u@7sH-HPSD{zI)Oxa@h8uPu;S<Q|*)359tQH zJ(g)!dExHsXI(d!yni?M=+)3=7VJ&?e$U)+=}+*r7yl<`l->R^RqZi@$d{>cu41|F zY_=MDmv&5K`1Ny(dnFS?v+l9OcMN1r%mtYvXSDp&EV9#ivm-WBZF<+qk_T6&o@raY zo%fiKf$M>=9~_Zd)4%XpoH9uJ_BO!i{qC1(mNRG8EWBc~`pGgrv(-(P!*6=e_FWPy z6M8W3wnL=dza+ErbxX2~60S_!R`})l|GVdMw`<GD_xs1yO#k5+zUKTr#;!W`e%83Q zrfH|AE7@Cq;+%B(=BL>weGYGW(tc&Bx+j-#=ziaAMiRx}p2UcG|JiZu$@ZrE3)(CF zD)*!t@4H=`s5XC@@FMk|Jx*Na`cEH!(w%!jY-zaMdWkrxn{zZTK3bs@;G+A0bH|HK zuZ}N$Fw?hivNS{C?MhQV3jwpLeI8%TkI1F^>+k%QW4NJ@xs@Yno-F%?)7%CP=DGo& zo_Fir4P}YGr_yWc?#cD-R_Ea$ugV04MO=@MsE3_mt~7gjR_nNkH^+_|*2m|TMOlf~ zt*Ll@^z1V8Lo&Y8mVDUy-|y$#Gv@;SEL572rgrpz?9PqPf2zK!yP0}I<JZ!?t$R01 z_MfxsV#)Gjajf;-s4D2t+<Q~<R4kj(nrV%Ber&N@4wwB?Q`XqKbhq6uj_Ur?&n887 z`PK6z?XxtKIwY*uz2$-64)KF8s|r&JfBraQ8GnV<U8MTd(jK3C_R`muZPuvr`WbR? zvv4%`Kli&kIk^A+Fxt0Pq4CY*xl`8!Fs$0VZ^;Jse3mVITr*DomMJ(G7+UBb8h-az zX;0|2v*w-L8)qeF@hA%|T=lbCzh!;s7y0FCyOX;v-kozbXYKuo-|t>3F?xC_?fi#p z`F4v+O|1@TGap-G-SXh5(d#IlY}pz0Q<QXX9e(jBapV3**ANZy{*IZuS##D+`W*FX z{f^J8tNUO7ZGT(jTe<k~hLyq(oA@Gk7^oE5L@9(aKiPNf#7py=-<>Y}kv$RWb!g(* z<BONow9oy~w<!4Ifin@8=BrNH`TvD{XTH||tf<|`u76%IapRT0(<{<d)i*yrU7Yx0 z^6t8_IodY9w?iLTy*YI|<bTD4(&q=N1gB+wn)YY?GlTX&FKu)m&(}TX;`sGhRHs-> zQ_!MR$IfYD!krtB$vi5o{(P`Kb=57d<cB6gA5UldL>qMN73H@TYO$62cgayl`|R-* zVxOipuVweVAJoO(|L6bhg#}aUe(rI%_L7RbqW3?vVq4mbLxEYXJHPb5aTbg^dUwTj zry0BCe|%JmnpZK|z==B`=0lgWZYl$N{!=Gwe)~5Ot*zU)OQ@T_ezqdqgm2#+*TbI} zE%h2tMJq@h`d6Esvryk~(|0?YO^!Dwx;JE71user^NLy^?ofUC;j}GhRJ(ibls}W7 zHfdLecAfo$bJ<2lo}!`;<6itSQ8KZ8aISiX)slNrDbthuZYb`WFy+~l<sV#mul=#v z)iL#<nC#UVbM5bMntx%w!qmH`<+sh>_2R?fen;*ala{N~w;ySj>^1!L`f##{*uUq6 zT!}R=EFyju$fa%h_qeNFbxPnaQU4cHeNG<8d~r~qF?@?yBiohkbs4(<m)r<rFiBbP ze@y_}ykCFZwVAUMZe8_u@zS)^2$Cr>&{`Aopu0V~DELFG^*tMtgY&+vnWod&ZS3>w z^17OcOYEu9hAwLQ56@Sn3W+|e`T6eod$Ag6hrdDDI+gp?%z5lsZ06=}iZY4+-FvBU zch!E8txw)<-zRf)_vJqO#LIV>^qIXLo|^V0nJ;|S!~M3>X>*NTYBT$0McU+9S8bTB z-F7Ww(+Z{ZEe9^LZ2xl8A*k){PNv<H-Bh!?-l{w|KX0RR@`C3a?R6#VmRRqwf0g8& z5thH}oSoH)!ri}kO?85A{r_g*YG0&1V}G`+d+67yAN7yz*4TZy^t{1#yVp`<%jMe~ z+GF>+7U}x)ZsIy06`l9X(r4Mvnpbz0#GgnFvX%VuW0I5R+l!Noq5|vFw`rtke3>!B zYyNZHE%y#?S@H8~+qRh}U(C$d_9@13|FX!F?>7j`PxX1NFfm}|GG0xyQ;Kgdl=a87 z{IPftcAsI<qlN^#^~Q_JzOG=32$2<NSoP@jX~Bbw*~=c>{rSem>`A}4!yk@Yp%?Tf zTbj==yDai_vnH$JijVVCOLgZ-vbmJ4+flT?^Zx$1TUz{LBlKr1hz@VHy)jegQuMl} zy$jgtRmyLlb2rf{b}2X1%b2!kfr_K&$LE@Q9?y*CJ+FF_P`$2@WlHDj68Z9TKi?&G z-QrHyd9*P6n4zcuAKy-ujUl1@OaGh=&vXmoJaAa*=h823nSWPZzhk+2)uOv)oV;bv zgY{D9ao0~;y+nwA?-KpZ!mCBiYL=XwW<J5LDa}NU=TXyhwhj^Q);F%LAx7T!S??S< z>LvE^Li^08X1lAFHOVdcw9Kymd&o6g{)vqVhGp*_NPj$&?e_Qeyw#r9BW`azoo)Ie z=vjhLnHP_4<h`6tEuq=}D);bRe<ZTy-)gBFi))Ja9bUI4&_MOt8B+$u^($O|Zf{%^ za`V?7sYL~krmgX>uarC2_lq^kW4glr>wYn6Gks>BeV6*@mP~H7T}G3)#FK*Vu#HdT zmgN15y>BH|_5QPuRHj9r?IO<pd2f8vHvM{a;h@SP&iCt;cdYxNe?EO}OXstu)dFuG zMH=miudG{9U-PiSI(2bbyYH+eZ32fcHfSCW3C^#vb2^qY;m7U6HNJL&-WtI=ziZV@ zg8N0eBDMB29PG;KIP>yundtlLhqWf__lR|UcFC`2WbvF<8Ri-E@4<y{r|wi5O#WnS zDVh*jTez<)de>=Nmvj7#9=t5(Wv95mFud6I=F^rl#-Tn-_rGBk6xw_*a?`fPJ?BKj zl{S3sZap+t-Ojv2A~yWR3+_XWoN3JqWj?t)v6a4<=<rZ>UPRrRkR1oK7cvM`ojF(> zR_So#;tBbuo{gC)s`r#_Uqz`Kv0k;5*5SCfWz|9MZku1#dV(*vzG|JpF3y#c$TL@T zyL+AP%WH~BE8V8G?2;`n{w2Wq=-Shk)IFX-oQvLjzObIklI|LHZXHY4yIl+U|5u-t zNj)fff{{aU^&*xVt9%wdpCmL#E>+)Oe_Gz<TLzCOi63GMcp=z&P$#x-V&E>d-<GTR z?ie+7ybYILT6&DTQL|yUrp?K<leWt+3cjE8Dcvlk{C4MdeWvY`LzS#5SmxNZ{@C}b zvWP{!<1mL$nAzmhKO8UIW%H<Cf3SG*`V|S^yaR5%xO_w~?ZnO+wNQ`Uwu?Q_-<^Es zyhB3BJl!{cW~_E@{CMp#XOEl0>9<|Wf0YC}uJ^iaoYlC+toYBF7tdBl9x)I5|7#-0 z+AAkB;}WMI75d%Mq~j?T7@+H$y{_*9SJ`T@t&2RmZ;MThSJ?X^O5Jkyvt`Tg3e|GX zU3I6Yt@GTPldrbTjpfR8QCn2JuXQtvnte8F&0#(kmGl^c^(*V1yz;mp;k8rsRjr_# zUDV|nr(SJaz01(weCox=J+8^;WA5=@5zO=m{X5yj#C)#iizVv|opo+s&^FGV?^}@} zbLq+pQ8~sxuec9pslJ+aPj*q<&&G7iw)*xD)ps8Ie%|MOTa~+<r%~ecRYSkUTTRc} zK6X75F8sT2ORsQim-b_ZtSGNTcRw;jwehZ=*&<w#l@<3dX~KtoBfa!%DZMwYntNL< z_GvP*y4iTFz}&xlt;=55FJa278~FEIFm=A19@P5h;Jn!4ow`ed7P{<ro2~3GT6VZ^ znc42^Wn8Q7t}XsK<(At6T`Ad+V(*nMe2bm4r5Ehqz3k$a*{7aNinRJMi6ipqdd@ow zeCJk|bk6-T^+{yrBJuq!UrsMyxvAy&0Wa~FYF~}tpSz&z9dUKrAE6J`^J`jHWUM&n zy&-}ByH|`!<VJ1pB<{DDisxmBwzOTSE#Uthy}5OXfB#Ol#h*A2Y8)^-(RVx4cVUbW zV{m@5y3Y54Kz@r2Q@pDbqa44k-+x4ATIQA$6KAe-TzxLMb$(OW;XgZKHMzX*yb+1p zviSUqWj~Fz<_FJQBK~G(>3b)K+3Oh-*;MAOxNx+y_l_d3aimOhzKG@VpQ*k3rZ*WS z2bmn$xXfey+#eg9jMpx&vs>%zv8+4mnsM*0N(E=Bs|>r^FUm|>5YhDP*o@ESnX@n6 z$yhM6bot+lk>)Qii#H@Mn%9?DcSo;0lO-~!c#r6&pkliv3;C+oz7z|qIq7X!ptXh7 zf0n`ex2ZP{t(YOCJ$pK1lDmIb=>Lq8hbL=<d-J~=A8GLZ#*pwxMRQltqDa9FFMVYV zjpQZvwS=vheCojWgoibWKNJo>SN<z}YmxGLp%0GdUgQK-OQ^ic_FVd6)2Y*~6^=^_ z#RFMi%Lt#l5^-XkO`i4ZDdM`>w;o?l>QbK9lW{92ds*N5y*+z*vR=EbW4&2AOXka* ze?=PC<Ac5ZbK};}O;A?1jr!hreGiWZh~77SahUM;#_NS_I+sq>J~-^LU_tq%$w|KN z3vTeKH;ON)=M-&Kc`^Uo+YQ>@DFS_u#TRrg((c_W6X>qWq9Xt8mGZ9eYle(kEB0(k zny{|nPq5_wB=e`@UR%D28hm*xaE~j1=d<kYU;HPJyjEHy-(A}=ef<Q!#Hs(Qc3NI4 zTBG{sbEe6&FI>wUlhZmAcNeuU@^o%Eb=%>`ErzMD0s^|12E9t?oFiC}+A)LE?jx5= ztH}!X&lm59Hm}*wvi!B_(!BcCn@eXse)C3K(dgChkl-bU)od@EUAtIulj&Es_{?ol z#eZrpt-i2IUs*U{(=w0t*nPoYeda4oF!;RKp8xuh6`2p_u20eRdd@lb;k>{JjCJ+} zA*ZhVUKiQ3)-m{aA44tc!o%zCEfJXBoY!jV;@6TsU#6t~W9W=cH5bm>J8Nk<%nX+- zK5B7pS=DDD?=NqE0H(aJVVeOlJ(o|{*_MJFjSM$C|GzsA3h=?15o9IyBdTWy6D z-3`KVvCf{gA~NsSXaxOZlF$sQdpvPMP;<wvr><PSlcy<a@=jq1|Mg(?N2VFGlzsT^ ziq+y@2!=h_!ycFWDWibl)2Y`B5^MZdu`tV|@Za_eer0=SWw)C)!<Q+qvowxt9JO9u z`S5_nhJcja4=nQEv2d(vo@%(eYWE^3_6GGka_ZSWJEyK#@#W5pW;2hHH*wQ!uJzU2 zvRz}QthiO=MSOhvC2fnue?PJh6ur`SP(LA;Y2kJB(#^DwmWAte4nHg0wk(+8!Kdpp z&Kh}2{O!GNo^5_6-{y0E&W+@`MG+egh+I$qC)F)*M?UMqh2!TYUN>`gdTu7y%f(d_ zqt|OBDZ*65;HNz4^L<;r>#-M3$n3heM0pF7OxN=Zji<t2?TCHOcbi-AsITdwrj66& zT5^O!s@C2Vm^@?lE@t1*{ejm*X4vPbi`hRqb|}mIirI7a<2U^4Ww+IAzq(yqOk%UJ zfBv-vam7;<uBc!C_nJX#!D_}AQ?6h2Nj-V-`Q^SUogXdN+n>$5BCU{ku%K7^O9I!` zhK<_7T%m$(N{bbF79Y|(+qcA&d&UI2pH|NO66r}C%QlxKSz38~@lZW}?+MS>I+jFd zUD3|)W&L8x`=71p{pnO^7e3>&#>cEeiK`hi*IKXdzcA|-Ps95TYt4%yH<ZKvu=5_$ zyza$uj#<fKW8(Fu&wpgso~*HADcr81>*(;MC`Rga%N3L4h1aJ<e{PdvGtW4Y{6^#M zv6HIZ!j1Q|jv5F)aPyjPC)l3%_tKsB@zRNV!u?saIF0XCXw6(+k?y3s^!0s-q6_!3 z<r~9<m<n^AD`s|AwneLGAAV$a>amDXS;Xsw<`R2?p8vX;I9=XEkxTqq#e`Q6*Q~C* zC|$XYWs2ZQq4z#E&Taw|zHr1<%~`5{M>s*l@#fl@hx4i?=+y{^eVET-%zk<0x(jx@ zFD*-(a!xVs_w5DCt4(Y^t1)m`E#zKlHf=AbTF=2>aSY|^+dgo=<h;${6StDl$furV zQD^l9zO4==Gx%;_{q|Vt*2gr4jJ_8JwH<=8d2KH|bQ0g)S-e)`mbuGRbN3Toe*}29 z9@>)M(YNg;r<LBi`8Is(YMo7frUh)xUm>~e>9&Zc%xtlOM?8xocw^arWtOwLU%UEv zq4foS_uIKvcKj*Y3>W8YVgCC?Uvz=>h5I6Rzuh?WmTlLD3{%(gj0tiqyQa=xxHjyH ztkjalg4Iuc%-Qfrm*Jw!-Gz?7R2ExbnUZ;W;*CS|c}v`*jGX@)-2Ee#^YEQR^4brs z8y5#wJ9^97I&Cd}lYQJ#LZB$0vGMn>*_-k$rL^}Sc9<C-A9kwV%rbsPa;Ep)Z->5% z`u{p2EOIHe&G*f#jLlCD#oj3Xt1!hzPa-&G+uO@aQ_ej-?DIUoNbk;)sr&aG6_|R- zYLQI&jlQr2%Qi+_-t~He%E!ETv3CVK4n?*9J1ioV(;#sA=PKz1dDmv!^e`1xyWF;! za&4U%gZLx4fa9AJ6S$&HwEpc`cCtosziRlG=Raix*f)Mr%ZvQWYP5A@jQfgr=>om; z?JAkA?v%u4teLxmQFi*$OFIu;EIIe%v#a_H+dXk}<WuDI`NK<^R%cB<*HZP=a*d7c z^zsiyp87psO!I3j!Y|$1o+O+3Vb8DslB-|WU(KFgXec{NN@MX%sXwYVsSEG_%DSYY zTW@49WMMmfdByeK8C(Bo<t5Mm`C|W{)%PT3o{tV+cTlqRUFqGVfA24NYS|j`9u}=k z-?Za!x%Zc&(vF9=cP<jx(0i`*%_-(dtodp;o6>5!KHu$`;9L6f`W=pUGj7CN2bBDL zpLOrig^o{rjz3w{?zL4e{>Nl;M(EgQqqDrRrg;r#Z(01!6<iwfDrR0>I{P0c-luCG zbQ^0{c`bF<xX}5oJjwgs&+FS+^Z5FiuKc;}Fn1Q0Q_yoC!y4(ukAH1$HOW1CZf{ke z-0sdgJ64B~eX;q+H?%(d)x`7S=yshh)qPr1BMwF?wkv3T*&uY_mT+Lb`3}>4{JtSk ze(T!T%5N9m&h>Pbg??9&e9YgZdhZw^Yxk@Ve+_;fuXw*=UBzu*!KkOAUUmK&tT*RO zvCs<0$c=s1H(P1TtjkCCI{K}7G?#nvrhg3;Q5*06^_HnKzt6}OBYaHM{9rfRj+EH* z()KLvqPt&lM7&nI{<)*7aO1^CdtOWuO51sWGppvgngPe`_XYOJR?m%(d~`Zle)+@E z>U-7(ugpE(JDtCItZDDJ6MutF9a3^P-@|MaXJK_te#<wDw>fp}`3xr6E2`%9-FlXw zlwtHSB*Sr;=)FDDz0x+@M*p}N6=VK)UV`Y8p7gW_-rFs1#fAF07d)64^|<cR{4X!3 zlsks*aryDnhc_rS?si)EebykMIa+*K>KkQ$Hgz3NfBWeA>nEln+#An?ED3&{In!kA z6zBF_$=de2x6Ca&1FE(>OTK^P$9vDi)xLiDk%})x-KY58WUk>)il`U+FzJ3rO>T?y zwQrmcQr{kVU;C+AWPke8@B2=k-1%qD^JOnTq-t=?pFb-vze3%@Yw6pgr{BApvx;BO zI~wvh&uhQ(meV!Qwk<sO_&%#_F2n9y3my0QO7@4o7pmG+<6v^Ab6?|whnLG6ABl>- ztzSB`nX@R{ea$Di#oxFsCcSVFX!}1s##%`=OiFS^Py6lc`KG+@m4B{#p3ZH|yZNW^ zA!D}VX47n5-W7~$>=3lI=B})tCiyCU={%MAN4wZBovcjh>6sxp`?;6r53P%jUQAlW z)!m>jf25XKJl?M-G)un5VzTt184n^S{ucQy>y^f=?)rU$e_&!;j-sn<_x)z4?88;_ ztIU^K)yIc;a5CxF^KUD#POVtltB^lG)|OG>!Ig<;c1C6H*)ySh<>R#tc|Y4%{=V}) zY2NZlD<efU+zM5eD{MPc=Ge7g-}ULn_Y1n5bTi)deBZ#3@MlMFMf%rBqebVcou%2Y z=NkT8${cfZ<7(BHQ#bWC3VTQ%nIhga^`lw;`=vitA7v8V_UE!y$hT>eD*5B)zViKg zUgF1(?F)XNO)cx_cX+Wu+g&uMJ?-wEd0wX;H5Ib^&6~eWSW;d4@!6l6QK#g);$F;k z_<T>gM_s7ZD^zvMu9O8c6aW3m^Vn>*_d&7!@ky%wZ{_34id)vS+8$cav}vMsn)P?B zIr@+OPJYHb@xrS&4x6?;cs56Q%Cj857gJm2u6wSU9wYV8|El!jg<H+tGk71jwaY60 zNU6RoxPHaS%ZF|)-_;d-M|Stip6G-Jf2ZD*p8EJk$+TCVfx8<%<hDlf1uXqvVXSgP zKt*Eq!>VLuelGJ(f1;YgXI5wb$vf?r^JKZ(M5D6rcNBU0dnAkdgl(MdFN$|;C})1} zqQTJ2Ga-ASZdl!R#wy9uwX<IsKRdNPVE3m98k@DVHyKpUxp887?<*bK-d9c0=YMaw zWZta!^T1x^u$Am0YhE68GCR!^KI3!hi+g+>o!eJ!wD5D>B))9P6vaa6hg0vf_pNU= zo-4Ng=GXV_KOg2uKG?ObWG0_=;YN`Q-#JpI>V9-(`nuto;y;1wH>+|?7F5qx*i>p< zTEk%7wZG%Sn!|~&)1<b!PnwkOyS&hr$zrmp-Fx5VTRpUvY1(~c?3m*7aKY?PCoGS| zYaUw_UDDojJb#jtrhRl!S;gbJlfKVZOiyhsT^X%)pt!Jod+PVFSCfzQY&V@;x^n*h zSG%{B8jIe~kGqrp>GAxt>!vrwAN-x#q9gjg<cj^8dvn&Yi-tt~X6(6sq;9R;8;9vH ztO8C}uKDoz)vr4h=}w-1m&)@j{m0$^oGY4p-{H6m+Y`+WE)JLF|FE=9?p?rJ!J2*N zBd!&NUSREM4Yl=b%XJO#Fs*zSV^_Q*efI13p3g3~-no`j#HO{}$9a(`E5GA3hf=ex zI(^%wZ;kgp_}bAbyItL7n%b46+BVWFl^g1%SPGcZS1ZU0EtHVXocuANu!N~}t#*ZN zhmb;W{@-?knP0E0KKW{^_U|h?H)5|`k}=(CU~a3Ko%Qc;v&8wP>&ALki%h<<nM~ag zxWO^lbZ6h(AG=c;FD{(*TJ56G@%Iltd1WkHcI``hh_`s^C-x;XZ?tdhs$}9m+Y+g~ zZLzJ+A-T8bmX)^u5Mx^5b|)kMMh3^@>|L%sT(MopR?VN9=$<@BYO8pi?%U{y#T{vO zXXYhl>AdWe4~r;%moL$_k1z6rO6mOAT;UC2g;u^g##8!?bTpP3tJd`t{=RR=V>v<p z$?^rSE=!z`KK=P}t7UyT=acGHDyrSC=JV6SZXMS232=LKQ)+3+vLpZI?6zzAdhvi) zddcn1`rsVK^0;rZYTEtxPl;A%<wgDWEMngE>{Gu>#?(cO+YeelVii?s>&`oy5__)d zn7h)URR{Q_wW=66Ld!fZ&+?g5yyk4#KJ}N=RyKap^RRqX9B}N1w>^i~Q?ATw<uV#J z6~8VoPJHu(rFFqUY01`?bM6Q0Tr%%(l6gN_&@xz6E_?Ion!h53UeA_whSi9Cn7mZ7 z>vXH;zU6**Z%mzJI`?zt6U&$-PsF@3#miUb1qLdnbG3dscInKzoicm<RYfQN^yymq z{dXwWYDIzP@&TOfUMC+-3p%-&Uy9S!yw}nG*0LYriMxMgw1hqWKBeOJacgluA-z_& zmF8z<QkJ&PJ2fHXt-M?>hwO#bi((t?>m1sr7pN~Uwo{qwev#WwY@ylf0JRSh+SBe# zDO-B>Nv**8>Nm*?^tLn3@c*G<ao~XXk*!+xLQ^U^n%MldXf~eO@obW3|J0cN`wLR! zo^LCev?xe#^8C*$VxOizw~12OUwCk>$ERBjPeldg-CHm0zoRSaF=wmpl^rwu3|7VX z{9;lS;QLT@+db0KB>v?+nbrTCr<sVIn7wh+$09~=%XK<Otz|{+Sap`Px900_m6)ct zY*JFIQ>WZ7iI6#q99I~e-zZ!^jYs?W*$lz%Xx6ABi<lFC=GXZ2GaX|&ldXCqQhq%L zkEHcQRl}+iFRlwku{uO^FO9j>ZaDq9)!u+x(*pU7x7XV!J>4#Pq)7D6la(tIp2%G@ z%{qNqaC4)=`?>P&`a!KR8=q9&+j;rslK0<UoOkNH_tn$li61xj+?B>sr|;I6ZlC+3 zNn~@$MjyrciPaa*3dR1=Oe=n+TgO$Dkfm46z#p(nRqEgM^NOiflh0l{(fjB2=etU| z@xLmURDb?CW!mlFb<g|7W6gbkS6NP;FW06!OX!2Y0JClV`DKmV(r=CzO#L`-Q?c)a zMSHZ~X|Apo+f=>Bzh3Utlgq8nCG|UJ%SK%_|Mu{D+|sNMMM{pb>-jG|t1W+gFh#99 zeZh*NsXq=x*F9{Q{r$zV)w}GEaHQP*(_`<=y=#`mj0=W;&!+Qik6ZfXO3bGZeS4ly zt0`369Xp*VI&A%`G{a+|Womz~=-e$2-Tv8?=TMX_ORw_PZ=D{e8+q8)TwiCm;#%*T zo&^FDn<A{Qr%axHK6|P4e9xU#6CVd&RLZe>`SUTmk!z3Hk<9`dRO=X-;(w+H@0&As z_PledpJyBG+r{~Lp8w$=O&{DW4A;Icc7FLvY4;zm0QN%xdUpZ^gRjjxG?zOy_snPa zn7cb>d@tPlYny+wc;VBWV`uYqcTT_f^=<v5EqkWySRVVL`9Z_dlxf{}uK#<#zri%f zZN}t2ok=RJom$;3M?<#i{tQv%)sl@ZdBqepWtHQnCa<uo&0mtWWMc|nE2VX@ahV4^ zK0CjO<(<5V>xaL&8&8SzM3&Y|Z#yKd(8m6Y^Vrqyq|SaxR=<Vosva(sN_uifXm35E zwbfoTQ+cJ>Z_CW8pGbGTz2M&e!rG_(<)muK==~aXH`5(vtnBI9cX79r*0QN*t4n^Q zek#7@Y?1pX&z0rwy=AOsGp;JPE=#nYJ*_Y9)64?#s~=Oh+&dI@RmAVeviluRp6b0U zU(M(=Z%v-dq}%adXQu7Ybh{bq!F>2gPr{BDn-$x*ci0?!F#E@WtBDy--M2)0mwR1X z(ey*)-~;nVtGbNr=Kti8SoPkfIoj;_DR)-axJ$`fcJVc@{Ml_}m&Q}J_PwZ;?WKEH zPVW%7_99)`H|mk3#QQa?qL2QsG3I$;JOAqQNv|$FxY_qd;)Q+pqU9<{muC9;d^orL zZ;fEsx1BYsB46HkZnEOn&Lt~DH8Niuu5q5dXt~Af5a#XmHjAPx<?AZ5cTRQZdGWG; zLGG9ICrgd`?%X+SRwQ=!-%hnp)^9h}Z8UxP^UJ#P&L#f?tOC!^NIU3dnH659z4F25 zM_&I=p0<BCJ7}j2YiHrv)h^ekFFyP83QNVy=QI7=+1l7&FSl#HKk2*o=PggU1rPM~ z&NvsCYH|35W1#oyK=rKtKVeoaZ+rJS=s4}U%44)j|0k2O*@f%X^X@#9e)0UbY3dEv zvxjFFS)NKad9L}_QbW8~)#v$&qMfo{CANnyco+yy`BL$Md*K%k+2dYY7HQ5AR`Q-Z z-E;XzhP#z(erUDKlkc5+R{X`fto#_gD_;Mu=_iR-E@xP!zEP|AZTGIXNA?D>lnX4J ztt97ls{Pl=m<tLbAy?0c&)%4<_H^~1bNY!>r9^LhJuCgx>dmT2LDv+Q+L)MRitJw= zx_Ndi$4{YJk+)(0Rqotf6C1nX`nr%MQ%q-ntjUeB2$9mRi2A6t^rPa&geQUA7aeCO ztzcB&cf`j$<h<&dZyOIjWIe95<$|1dO@Io6_oqI#l*6A+=e9e`e*7wT?O&zeC*2&e zxq?64_DsBZGo|x#%Dtjn5k|_Hr%Now3oBIKIXTB4Dw8kv`N}e{Z>G(R4>vY){JFV8 z?CaD-#w`Xy#joNwu6g{WAhoe8QTLC-k0pU$4=(81Hz8opm4Gy_Y5XtLr!8h!yCOS( zOMuo{(Z8}k7um5#$ixP{oECQdifEd7S544NA?rsa)23t>UR!K^o~w4J?zRB$*=#ja zt(M<B`k$+BSIF~MlH1n3@s%xmq}!EQJhP@izPwDY{=niF*#)+T4ms|3cek>Wt9k2k z;=LF9?5EOgyVrHz2se24`ghjTRQEMS>kqC;y|(1bD;{6x*muV_tvSmfF6aKqR6zCZ zD@Tnf8DCZ#h<wgobj4xPtVvUibAGklYM9co?w{6_lar$4rBRa-q-eqy5Ui5&UT z=65M)zg=D{`)P8y=6CD-REY=Hej4R}CkQJvzwi)0!X3FmQTDA+zUjSa{gT{dT|xN` z!n;b=cz@HsENM}8=awp)%p3K*_mBRzJpG)>arg4kqHD9x2L0^W9VtD}_p;zu<4}!r zk7qo%Wy~V8&)hjCR-cpiaHR7*)BlnSLuYNsDm^OL6D=g|`E==_o4f%b3%`UbZ}`Xm z<>buA>IQm#E)t2U6L`+7T7Kk2jD~$<Yo?Q#)fe|G-Yv^3_WXUAb$$EM6=mO*<pRHc zI`l?#%JNNN^Zs$E<*!?K^_u0=Y@zq8w$f+C_B62QUsnkTGzm$2m_392@8QD&H9grs zpG5r3zEnQ#hG@ygz8%ZHoqJXAC}riwQ=!%ui<cYyOv&8Ge$k}tu%5Q^L!MJxmTg_j z{qI$_M6b_1c{RS%v5~49EGr`J3lvUy|LWzW9aTyHLl!o~HY_fSec)hgeslJkqw|(! z$J%l&P^m~eX>OoD{Zx(h=FpXKyFZz{>^a(&z9@K~T;Swo&K0q~0lB*}SYHaqySFFq zw=@s+;{EddO=_wsw~5Tv^HX_m3KT!h$a$D)w819qX<5|mfPyd5r4Jsa&pdga$J@Ak z!AzIQX<6Njvp;#9*?A*FFY0ns?$7d~)r|Mg#LUw)3f`r<>t~pZdan4RR`15hC%=xC zZS`h5&=h-4SJ3w1Yy;M58S^{rd!|XqbF0p(<vhK#B<<MOnxOaD9}|ymc2E~geY#Ee z{t*sGtEuAMC8y4&{_Rm`-*LSw_SOU;H81Ua)3fn&78~477v6Jx!S)`pBFk-)Pgh== zcDPP+<<7+AR?08`ecs*38qY4;&y)S#qW9sE1q*Yp9-5wiROjmIsV)07+>^dY&tx(B zCh;_?N?Z18=k~^X*G-ph*D4C09eeO`%8TZ#;ETTn-U<hczxFoa*7UfxFzDd*b9-FR zSV(9#U9{LExy{8^>ZzF|lU(2P)%_wV!L#~STxMQl62ATIUf!02A7a+?N6jjGp?aUi z^4A#)J?~_0bEzW{T*saICcgZdGwXE9L5Fx2$yKLYR-apQzBI?3-O6X#WmTp56B&~> z`9E2pGII~(hpF3LGnTeZ{t`6v%#PQe_mm`USzk8KL}d5pZ5@rt0lWW3TVzzM3Yq5G zWEymDFaMX|FH=_4MKWq-JeTz6sF|F7inqSIRNUP9tvFZY`#UL_%Q*Hgp4Yo{=3UpA z3&loG>x1{pzI(*Bua~i*b7d#*3e`1nyMKiw>Sfn#3;4ts@7vJLRhj4aQ!BT8v+L8P zF4@tCejnQIFl*Y)o`R!7Z+5%nsa=lTZgS!NVwMA&FRDL(+;oL&T1Tm>Ux!ZYj<9Bq z8E-!2q;(}{?NojBk1wq8b&86UW~$iDyzs9___u75+IS^UdD@)6_Zce>-Y??It6V8G z`@Va`aU+)go2SzE%PtIk;mn`&kooVXNn!t#W^{5~i=4erc%f)!c~;)DJ6{&2WbR5| z{C@g{o3EAz?NKY9)jw%MZ)gAhSvfI3Y!olq<eSX?Vp9>?<8y_fZ1ZJX@!hI79t#Gj z8Qz?rZR(%V9VV5%Y4v~3+>1vpORst>cYjAF`=>d7c`H9WSuT9bf61|HWop5jXWBg1 zGhqsCeEp>7NR6wzN5{DpN|#(xGM`HFu3ar;F`ad%o0NBt*2Ni`95P$3bexG;X>j<g zPT#h0Y3t6MyKXxTt3TJsJ!ZY|>iml%&ng|)SH9D`yJ}U&HJSP6a}R|seP(+6dGYb} z)rD;{mvBC?o&3A4U9Fuh+Tot@&ces@F1|f}dVfaF?_<}tet(lQ)7-%3ic$XazKtKJ z%}h>SeEhUE-=^xap8mKyo8BF0yEm=!akBLHD_eF|CDospJ9E$ZDwjQ*jkGVl`Fx-M z=+EMUsavO7<TD*vm!+NJc3WYu!k^IOpW(IgMOHrH!i~?~H?)2;HG1V{ZS{Xc?A~~n z%}Xb3IkoYv--?PGyLWKhvMBq&v2U-C>bob8&2(3Mb(!_|a=Bt)Z%V04bjtB`qX}WU z7n$$(n;i61G)N2o=_;^lZ}EAXdmrucxPvb&etQ2=fA0m6M{jN|TYty;6RUbukU8gr zmo9r3v20At38-7Vjb*yjG_UAScG{lGpLUu~PEC)wb?&}z)#3~LzV+Q|6wqh*c=y+< zxdH#o%z4@(m)$O#w~CF0@%)cV4Hm{8Z;Z{nx_|d}ws1arWqr8z`Ht6e7k>La7rkV3 zT;=G2RcGSwM7A5<QO^Izn6tBBSBs-ciq5$``_8VjTe88W>6P`;dJeh3!><FsZ!Xln z)wJbH#*^+p2T!GSev2&--;t_jznyy@!)EKR>SAkt%1pgoaXaj?>w>NS*iChsO|DjK zkG-e=Yl-S<sjbHGAFQsGS^a1G;G^jOw*2!e{ns}Z@y^*^c$sgmY~tVhT;ERz-t;qi ze{}w_qhFK0?NF*eRoQG1V|$6~;Q7A|R}ZL7Hn|shQ(x$@pL5ckpVzJ>@`U-%C~!!K zI3hA(a-B%m+~a#zn@-SU7G(=`aaC83N$Rmof45mXKg78&|Ei|dOFbUT9i2yycqphH zi}0DT#lh6-wYw>EhY4%e5jo3XUIpP<VG0)}%zAQB{S&*>{FTc8x+}96sQr<s=lD5O zdGG13J@;Qe+jDy5^yO<+`_G;fS?}(<@Z%r(lWzjuBn#i{jLJA<?4CNOQk*}1mZ(jJ zI{V!qxji!P*J%CxKXvz^#)@OglmAO~Bu}qb&n+t7!grp#N8^LquU*$xzdPj9mKyW! z!k;|mG6Vi3uE$@m3536V?O=bgUvbhj?(dS$av~C2gs-m-ygBjNTa$)KJb}lB1>22h zaI2qQKF{s6>y}ejI!+(Ip|j+c*H4M({O)!xGuBV+*WIjBvzzt7jWw&6e6*T7VgBih zH$NH5J^A*6<;k~K{O9$bUT$wzx0@x9m@1mG`n-hHlFRi?S2v56wy`}dIz2JJ<KOHn zg5N}5X_$$<T2bn~VzHQ>>s-f|i5_zm=QjM~Kke=@SN#>s6Fbj(jaM-T?yLE8vh(m> z60VQ&mi4*WSog~=BRF$O@2Rq!sI@=b(l+zxsBN$bU7)sMSDu1!#Y~Z=kneier*BDR z(oPey(ny<hQ|C;|qICf~eyI0$@bg~MuIoCRQhcPHP4GyLukewjiLF*uig907iF>Je z>R(A%6}RHzl(-caMUJ?tT~)R-@#z1Xsrx9)QTD}h=JIAm|J)ht5<Bx|8*%-Ot%>#Z zIF-C$!}N6;hnzoNEOFod<b+#^nrrd3nIb16(}lW<Lod#}B67`YvQN_HWW~DwH}~W_ zH*a~HE)b^s+pOj0w2EiSuV?$Hznd*3^~IO>j78k7pu>l1gX^m9n3qmw30<0R<mGr@ z>N?-m?F${Ne&5~t*vx8nThCu5t7#TX6EB2(GqN^ZCKe{AYMRuuRBEGuw4~|eEnHqd z(|dFIc`vovvj@)JZfnwUVg3J$OWu0kHBe3r2=-7?S?ivZF+uJ}<k?5({@qz5^}z0w zdZ_mt9mCDHeH7-b>r$_eyDjVTS-i9~d*y`SR<`=anRj;V%#7M`d)=#bhZ=9GTYchQ zu|T!U%cLl-Fl5VbCi^7Omfif*+m<cZ|G)U{@8W5f*Pbi6bLl2uIY+wt)znSX%J=;h z7km}tu)C<?%9cO-;upMUlu7!cmEBT*<+ig>;hwD5E{7F(5AO=Kb$s5k!&#v-B;EDy zDy?k>UmcE~StaqUAuQC_bMuXel?%SAYBWr{yrd`0D}vjL#q5xP{NBW8xtoMVX1sW9 zyhSVa1^4%ciSh!WX{n!$7)qAdhE?wVGS~N`y5KvGZ|03RetNI4dlq{5*^1Cx$$pR5 z9)7q`?dbfI3WtM_FKcahTAO}EOWt(DABp>}Z{FuJeGYDjRMgzFsZz3Gjb7Kq=SxJ& zYb>qq+23JFI==qG$1=^`n<^z8*68$wp1pj0D$hpF11bz^P7S_Y3~~8qc7{r&vJ_6U zG`z6njV@2#Mv<eJ@0~rKTAlOIJ*e&A$IxG2eNWjPd9Wy`B=xe=fp-Nt5A8G$KM-5I zS$bB(?XTGZ`7=dgZ*Ji@aQB|r>UkQQ=RKV3kuEm*-<zE~>YL?lKkPZyd8}-9Nl4I= zY+w1vS1&$Iu-dwXPi&L)=gfVJm*ok|>!cKh*dJ1N+#<#x6}{unb-`Cr*KOXjzwmvO z+w|g9=ikPq_2<r~RGX##Ddc08dcS6ca@YSI=65dZ{L*E4aacC+iqoqNbEU6Z6&TJd znl!aaxMus)SKA9E0;7w+-Q!rh?yx@h{+5b#C)+h;zw(`)oU=Q3&fMnx1T~2>%ipoi zd?l^1gzrKxL-e(>*=ed(Z(qfSuHO(g`PH<YHCov-*31=qmnXuUUmbbg?AMbI-*yVx zCv5y^ejq<d;2z_r9j5Ot{}I?>>2+)Un)63xXHSgyD)%rf&4pp*>n6EWx!XsgTO@ZK z3Of4cj*r^=uQ@tTCq>TxbKi+`Z(LXFlNH*o-<qtL40Z*Fr@h&}r=2f4=y2n^W7exr z&HL!#F6!UOzW&1z>(#I33HJGH6+ZO3@36>O>o-3$i|W~JA6Lk_X>OX^a$!kjdtln* z_d5=6{c?Zae7W=f%*m$5#qNr4`uMp2@g<Q<^Ik4{P;%qye<7PSZ+~XK-OwubMB(Cr zb8Eg`y??c!O>U*{%_of0<2ZVR){AaP+`P3_X7?s{-=<}}(Hu(__lh%eW+nFAyDro1 z^spiNmg%nMU3L8%GkQG(E^HKj%q2ZD$42tz+BTg%ODB|noFnOduKl1+!_TeHZseX@ z%2r){@*nfFqpza`J*O7EX-!|@>!Td^XyJ0-y^C9QxR33)q5NHh@8fda`LieeWd8Hl z;-}o)vm!sMTWj8*_<i}$-k^Pn9D7wJe@lO9;wdrz{PJgAC04(ABw`l2yC~ZH{=Lk3 zljZcN`}e)G|8YMq+~c=+O0vGpkNt};TWml2R_3LtaAEXH1NAA<M_V%exJr`$%STO2 zTCsonxxdQC{(n6#SwE#HNB#SM3(X6?H~(jOb-jI;Sf&3WAlH*!<mCqOcfwYQFU8k| z1b<$Xa__5@>(n%_D|-JXF3s4H^TKDtj;b8(8is#`^;}C|-hXd5&vK8Wn&gLdY*SX< zT4Lhmuy{$b^{)I^MjLmz#7*#B8l#+lEX6ZEdH+-YiD|Ddw$4lvJ7fJNz2KH~OH=QO z7fFS?iw-M`H9mebc~P7j*D}vFQwuKS-nk|dy!7oJ_KvV<rBz<8Z;zb4B)(R)^WT*g zw^heP>+`(k8y?BlzIbkbgH683gx)8CORL_t-WDmHr60cd<eGg_ol~{->Qdg^)G6De z_Cxc={`|)K50z>~PlQG*m+$Cc(A_WoME>m?hqu%JE!E8Zrow;m+i%Ai=A3Wb4lVF# z_pP7s$Njchmj2%TmA5yyZh3w2{DqpWrJsXNZ4}NAeay7fwX=0EYsZT?Rf#L<^X24E zzRkDYF7jse?8oMIuhTYvp7LM&#OJh^b;^|?n#aq&Y5ke?nRmw0rLz_{%=vnKS&P*9 zCojwoEV?DdZDW!Z{_mut)7#mzx7QY)JA1Kle<RD(FqH>Jvfnzw49rvIrha(#D*gZ3 z%<KAr=Gz(_wyH9%3c0iHmEi>GJ4yyo`8#I$gtES}?K$W2|JTC5k0d<y*0b~8N;%*1 zJHU}o#o=b>#p$a3--A=PC4YI(FUB9Xs@eAHRE99a9A({8iSM5tImOF1>0p@XKJEio zRhu}?>W(wo2o=BD-XQ!sI@IDjW5g|<>XU^G{YU5A=VtTamhcsD|FG!LnV#rH>lrSc zNL}=HPG(BZcK3a&mj&om$nTCQT5jCF^__LEg43pus8-AQGC7Y=G(|26-^nJy@Lay* zK-}U!+ll$1AsMPJELPVaCrr29e9~r)@JrAC4VR>jy;^xbK26<DA^5<lhf3VF?T;6% z(KVT3^HW~Xiue6So0l@|f{i<5e>H4v@mnU&l^XYrzmt3M=ej)|)54j;dp2A;Sid1m zSnY_l@K1r3dxyU5-@cWr_}1iejCy^2Lba-QYmE#l8yj~1<~NwMl&f;jER~C0g8NQY z3jF!UA~`{n_h$Fvx7@3=V_ye+j<L5?4S!!f<@BeU{EUaXuQ-_N7YEOt`#^n92m2O_ z=c{*@?+7dD_^v9uNs_tZU)bSzpJhLt_A1{zniBQyb$R}8;dRfNgKb_-%{F_`pV(s` zcl_HG>xE&b&ZcGF_m*qgdFt%)!o=RLHLoAPn)LeOLut$Y*+<V7e|_Wpd7h80#KK>G zMcjqAFJ2V;Y2c_E%M+>}v$^BX+WGU>G@p0aW_u*ZVB`MCv^|##Q+3`mpZv)wx9E9b z_OuAwjrYs>e?R|qa^?U2z2%IP7q1dJ?tk9LnVacu%NHN-15y*;2yB{svRP4(cQQ+o zQp*t)4y&}wrgu$k&z6LnhMHC`Slrt!a82}a{B`@)|F2%#Z*LzH|Ko<gqc~f@0rOpt zR%u&lDXm-G(C^Cn_50l7cWZZjeKAkUC&}gaZ;s&amG3I=7QeUs9^+{IYU%pzs*k!A z!&02hOEWZVl1?WsUzU^jO-xbyh3{#0VF9^WI(yqnT>d=B@No6dd3?<OfS7B+@!+?b z!pz)y*(N#JH5YEi%WuxQx|+x4zRUZN3hBkG>OD6oOuM(p{D%I+TOm@PHb?J#GE<go zot}xIp5Z3_xT+(iDhKpA5`XMn7uL*EZ}m<krG0<fo|msgB^FG$`*V_M>Mo@YU7dFq zE@o<mEZ)fa;Ei5One=08xu_c<8MbFO&2jfnZRm|XAt#|6%FyBe(rH?w>~>z}U+Wes z{rJvTF4V^H!_48(^We<7{j9Y<QtS3=%Z0hUKXk@$L&1|uo`V1CyEv17O!ICiVeI<y zRqVU5WrtbQamiah_C7Oc+<sFmZ}Z`E?@XQ~S}H5I=tickFlc79>sV6~*H>)wV9S)h z@2;-Ue{~_fRGDdJ!>#2nno~I0c2yb7$>^B1>E-<rPM4L86OM=;Ys)@-^tcQ&_twN? zyzj0k-SS_)`hUq$1|#mYe~pF<+gKm$2`i79^7Wg|^hb|Bq<>tgY{#p=c=_WqCk6LT zoqYU9RQwA+<<bfzSBc+M>8*zxAE+4q%6Dx!BK){VA@k)<j?Rhu?me^rR4{p)m{aZo z)4l3(0jo@%?-~Crvf}+I>FeX;cw)lKDT~a@MAPmaVl~uR_Lj-Dhjos@g8uiMzAvhK za@%6Jo>EZqG5g`ovUuHL4lj*++V&HiwmAP=wYMNS>yh>e&tAifrRQwf0*_By#{7M$ zT+I^c<}DZhO2k;$%(>;LniY1k(LMP03+Bp0jq%+&TQ1Lz%|AZJpw20|YLU9^v)^sG zJH^gTa&BF8a?-@(5$lU4A6jd^pg(qx&z}9J4wLhI?C+dP&OG?ohRJ4j!}hN-%-r3p zXI{|oyTP*ehl;7&)slrbYI$^WG-ok-yP7!PU7;NIt1A8bMwKajzSTKD?jK+NvTm2A z`gI|;`r~CEUQTUj6MWkv(zTp5v&X74Q%BBbW5sm0IhPgMPfYzU5f^u>hLPvNj1NWo zB8w8fKF{QmI3D}SG-<QSw%6_bA6c%Iw1?|nJ(fM~9p8E<@rDGZeKi{<Z@m6{@A@+5 z_w{GXoZo+AwY~6rbMj@Q0{0b@#QYjwCI{NM=r`m#e2nZlvf<E|8B9Bk?LQT{UEJLK zSmCd_>ddt_%nhd`zT=o;W8Iv<GW*c?-`C#XcQAg$EIH@Wz4N-QE+M+hMcq;Y>V4QM zJ_t^cdBy$9BjZp(g5}M#w~dAVF5b;zAaQb^$<DhPk!3SKZl4n8?7$>4-N<3@@i`X{ z-wLngQ*;#ePrH;Ds<CUT#+%oy3wgx9{kEP`zHn34;wa}H(X92~crM*l3YBnOwozQZ zYyaoRr!SU?d|p*NN8kDB6Qd<tOan5mwtiYM?dhZ0&-@=QU3bD)B4_E$rN=!NEl6`% z$nk&v)l)$q>zDX=i%<Fa%J%MpKg~{_|2eMDD)9bfedm#MzjwRt$z8#Mzk7Ckt6V*0 zrhxF`bzjeTnD~D>s(zu*!T<I)7lkX^x1CaR58uB0`tg2$=Y6F%;;~m&s+d__o_e`2 z@yvywIdMAO!Hr8CO!=91hFmf5xUaxE(axVc>_}r+;r*FQl;=cm^Ko7rsvh_6+OmUH zYEiNL#yS<-C&$}cro8<wI!j+<kNX3r1q-L0s!r%im|EGwv#H-+*w-X#v2aU)^L@jD zPdyPri;umF4_diPI5=5iWoBgZrcFK3MmEmxE(%|opr0qAJZtfXWln{Luh0LP8XS6< z^%e7{Wm}VzPU%KhpY@$)`m*)a5ru?D0z$SXM~*3;`{?AKb3N8sul9ADkE*|;p<t)B z=<528{K}`Y*AEG-G;r#hzoCM!;QCwz*8r|#4-~9oE9KZUiZ?fImzUoD&0+q8P1~mW zSx(#{7^TNt{NTIJi`Tia2W$TQ7OuToQr>=8%53uU9E;5fZf&^{1x@Cq=OW+E6TbYY zl!q^R)!Tx##|}i^GC5!ETlg`~<qzL<%hyY`JTR}<Z!c?cTlduQQQriqPY&fh4?~+p zF7Wx6`<+~L@z>A1AT_Tu%>f&$cXNFR)|;}tfQ{|I+47QP6ICvsma@wyx^kqpJAE;F zTY0ABp=;_BL1|{a+F8y=nuK^2uN*(CzVs-c#w!29n|rmFuVh>}EA~UotNmGF(_$Y+ zJbR!T{MGPqTTZ+;%T%Z8a5shT;k;UWEAA~_W_&f{fh6C4o%7N>$Ddtr_^>BGC7_$n zl#T0b{H6`hUjAie=nL3$cx$Wfa-N;e*4xuB7i4X4Hd@{h)YiM%`J>J|4@GrTkF6SY zn;FX=GNk1tE`4y>I4EzC!DsC}-Tm{DB@WwOfBaUe(zLSSgmhc%+f_5YkAK;)^`X^; z&<iQA!~G)H1y1WaS>RQ5_{Nsv(?^{&kCr&-*4R&P3l=SX6!LauTk?$4Sz$-#6|q_R zyxC}bT=b|m+ut3h*XY$fELC5wxZbw;C`0W>rky+3?oG@7J7;sIl=JP4&eKzqW9F~g zd0S^STetXwno8B=fUB1hEv|aaJoKi0r`x{9-iC@}28r|Stt@%ZzYCc5(&NFzqibZJ zoMXze<e49o`Mb$d;J|L3>vNlwK5-vRlzs5<QLj_C+(D)j-E*sc9MD{${((ix$awD$ z^P>XiKkb^XX<hK|<cy7u>#y=ix7igK>Sb;3`0nF!rL0ZX?CQGXXL$Eq<+)w;dxP3L zezA*wuWjnsKK(A{jcus=>U3qA`a>0d*^_#w%(>3)Pjq>@{a5t`3w7%WdovXe?VR}T zQF6rdeb%35Y`E*NR)x*3!Hk(>j!x>FE6WoyOc$O#aG=@c!^CIVg6!h&R`1GaI(+1R zH>*`pT*HsK!Iu`4E>N1-thi6THT$i<QMjTGzuuC{?asMtI=Hh8ANxJ|EBSp^{^N{V z-nDuwtT$Skp5%FV)NB@alj+ZMiyjL%O6658TyJgi=6YqV-Q9_jCyMr*xhDPL3{%Gk z-W|`4I8%I+4v4TT|DWRMX7~QW+WR;BKV@3%b@p^Na!zy1wiNCyduCPC8lJgkyK(%5 z<fnXZ{y9sDHC;HUk+&jzzV7+bB|bu7-P?@>B;Gaox2AksU;X@o&A$Z`KYn)oXcniw zPxZ$Iot=NnGb3(Tov7WvRo^$jZaee67k6`O{Pk~N7g^|Z>z0V@EX9M0h9}SO>#nxn zoOHEhvr)#)sj0s|I8ILeGUKG*;dSA<?9;@en4O|?jy0=QTBmE*cD%Nfe$l-C*%SR` z{DqU>CiRq0d^&j<uj+}rp$?3<-<W(@q!B;)RQ^-_eJw2A)`utSE=d$*v;6#aBdg`x z$)|ccFV3-Ekg$B?`L9Qx{9gX(h~i;E)pcc+3xDP_X-n(wPRZGjHSMiO^CKoP*@-if zN<E*(^|ZCu%y1BSZ1U0JVC%Dat8!<S9xmDb&M;?p)U>l-3XB&C`T6y&zApXgZ&PpZ z)>-p4<cvNDRU9bFciOV?@TORk?LQxG-t$8xbS<y0lT~Na5mW28n!$U-)YTett7aB| zIJtAPgpCxBEx*s6cL#+0)>|D3keL2H$8TZHl-7kxXM1gS=4|G@e%C(EG$-P3RMSCM zH<Pco(l)=!GxcWc35jpM?8UpdDCv6ims;^+i(k2WKHu~VPGogsJD0cI&U@Wox7PQ} zdfyy4BJ^`Ne6&@yx8Ln?ZQ^X1X6f9$d2^OlW;@0SCj~2~Z=UdV(b@~$@2f7^FS=+c zy(2;J)q;znj@lnjcTY$c?eFT~UHLRBK2W7e#^i;dTYez-@;Pn2OLmIfGwd`mnj$^( zX^xT0?!x?ApIavIwckErEZ4uL<@GoAjj@F(TmM&|aLG-(T;@A5YM$u30>e1%MaN(J zIbXT*b(Q6gbL%WV=r3RVv09C}X4(9GD-CwXd%P0cxK{CKN%J2up<jnquj195EureJ zzcWZjf8(pRSi>a(?+m1Nt=&CMS2gRk$lT}N{FYkQ2iQM7TBTjT=g5ZRI?G?ZYrh)D z#F`?ZxUk`<-2?th3?JuBZ(mt(XHEWt8R=KG6LY)u{zUQ1yg7N$w?|>oi;p#G2k*QJ z=biZNwEu&YRWfM`t>V3U@msxfCOuTXeQZ}0>$?3*rPG3UUOVe0uRbZA@71fe?8}kO z(=OL75?SydQ~Sz?zh^_%eO>Rx*%S6Q_tHtbuTf{U9u}_6eyz%U{%_d24`$EUyhT@= zBu-INercMWb7sTFIE&K~=4UdTrwVNizW&xDda96}eDd*c@tT&xWmo4cxonkPV{<2R zY0K=8cP$&QZ_0j}k(aYLw75TR^)%^qvg-n_pRPVN?Pb!J)`EG)Vyt&HUsm&M7CP7% zJj>uz>fx)aw|W@Q5akwA?R&Y@^RA9$U-nJD>sOa0E-`36-~7l(+e3fBgrgItmrOc1 zMfBH+h*Lh|lCF^p+c}ob34h73{KGdtiT@X#FWo(@y*xrCIFo0=$L0O$0{S0U{b*&> zYprNq`Y6BAUt-dIIl08ClaAb7^GxIy#{=GZ{+6evZG2Mp?cS~%TE3U`_MLhq9A5e2 zoJiOA&n1(;YkO4ZKGJGTnc(i@lPA9YVpK^N|Ah4sSBs7sJZ)tA<@rFkLLtxL`iHon zZ--y(nzlatv?=qiO+O4m?mf|Obo_VdklW3}ioZGzFvvY;wBrbVUaZ|{xcS|ia+P&w zthh2F=V{IsJ@fePotoyVyI;6VV$RL}v$fZ(YGbe4Rg;U0Zl2WqHl<QE=HIW@47~^U zpG-D!^f)*Drd#u|bFwpHZ+7G<um|hk?2JDba&wi!pMv5pcW+JAnmb~46N^r4U7FJ) zZ6-QPuvMeAEbyK0)bmGn^0NoEy9Zyt-+g=1)Jt)Dz0|I+cYWfS;_lO|9?T=AHe*`0 z!{*mVE3}Q?zbSt;%`=s+<l_d5PA}OhOTQE*&ki-c+pBix##g(Z!!y2L(!0D`Z_AT& z%XKO_zZFehp`UiBbM=F?z;%hys<$0_7Pbr4Eb7*L9<?rR@f1nFk9^xzte2j1VE!v} zUNf!0d?BmfFE4YRCsF+xvt+r~iM5HZZJ4z(#<-%bxv*}DNJ7W_n|EXW$Hu?-=65ju z-*%796~@UIUl_1|j<@5Hc=-5M$hsdY=8nY=*yfxHTeDEItGs-@-_x{v%cty+itmry z=%xE<b_n0Qu&zI9qRN}UYF_`~KZ#Ac?QMwcb%Tq6r?fIJUkKNz^X<@{%IXm2z0kci zO2|lkc{M|$fO4XN`I9a8H5GC?8W}1t2P<A_wRcXscWv*o#B95&zcGiGEVY*v|GBcy zVjrifzeLr|4HpG3nI5WqJniYW)05A>HF#C!vexq0)F;<{BoD8yQMi+pdFi1}5d+85 zn2bw25^Dm@Z-uOoHhe1k_>_2F$CvIx{#9v5538?y|26pW?U>u_2UnQi`8&6}$Ny@U zL`=fFAnUJLYl}5oPHxz1B$}A^^TUL{8-wLPcL`jvj@jF?($rbk^I=M6*Thd^Ns`-y zWj`f9-0ztc5>ot$@9`;)Ul*Eoo!O+$`#Y)j%nHj}FHU*9-MI3j-`{@U-s%MxEhK*5 zGPzS;>hE~CA<d#yF@3Yf$2ze>%ank`2Zn-%+dkNz^%iT9nR)*wgZAN*b0i)lMjYte zq-M09M_9QstS$0mWayEL52kRR-86?iaH3E)*LDxD1Anfr$?X(PWwBdg=9f3S?0LX_ z!Sx5t{!NHso&Nj&3HyL2`D?Oo7<@FFWv&|S@Oawu<X|5&B|DityV$P^ywyu=NZj%9 z)x7L;$9DF3zcpCba_y?^i|U5YJ7gDV-6<(O|9j<z1*&IGJiGAt)xFi#9CHrrVxC!6 zA)2w@_pnngueQsb&4=X*VzoYf+^lc@+1B-W>19T)XPdozo_FmJ*i=|1X?Hz(>6F#g z+g?n~;#?OotL^`C>+4lcn!68f@7hyzTqN!Ob>1JRt%a`^iocNc{kV}SXwS;f`KvnB zH+-rn+u>TSwvattDmCrPtT(Y;4r@Oo916JKdv;yo<;-uV-@R*FH|Lu?S4`~Zkk=Xc z8d7^(uE$@$*|zfcq`tBnGOk|1+j3_VPd(J$z3SQ&;oCFJc$r%Tw^SW6>Qr!gcIWcM zj{;&RKHXmw{Nl0yO{<JAz3!Q(&&O^~J1cd6gT!ntUn#ws=lO-|kH6m#w2QjAEadeT zxgTGXr$61|ukoVx`1}6DKkKhgdHLe;F@?y0Hr}27CC+N*)$Kt>t<yR}dY*1P<y|8E zw=+j?Q+4<I{UwLQWFq&N3dJ5@wC&TDD36CqWd)Oh&;GSq8Q--|w&~XLg1uSq7A74` ze8_j+D`r||>rHdcW5?bt-E`#LlU1wvZa&v_UU+=lg7sbXo39)z<(2<s`c81p;X~bR zI!POJIVM!ebk9G2bfZR?ARn*LalfrWrpMPVwJW#0>UHw&b1luOn@@-ww0L5@W8=O5 zkr$cwUVmV};zQ{4t;?@`>OCEh(0*P~SGnAP@5_@}`%@21=H1D+)<;iie$T5@XaA@L zG~LY)2wxk+_<c?jpT!|@rrfG?Q)kOOy5tosa==@=+wJe$X}|i~^5SAjV}9Ic-<7Ch z{waCs@_Ch?B)k@z?fN9szkTnut(iYu{1^TRb<1f8-XN5+P4Bq!ao3GgIk(KNE;^g9 zy<u)+MO5oIJ8KuupvO8H)~`Kh)#~NFNX;#&5KXMxYQ`HMHE)@@yj*ycOs8b-rfaiS z9$FKlv)YtFtY)!vZ^2$3<u|zn$6l=4bx-2!g1h$(w)OS@&dM-fw!lcBe5&2A<oe7{ z&)5Sy9!+h0|H^vD@;4FIFTSzKef;uuTg&?Q&9iIwetPJA`}I^;k&l<wOk1;QW6mkr zc-s}zz1*1RFJ3QUu*rxwD(~52u1A{+(r!PBZM_ybuWG{NdoH0q6&rTs9!(Bkw4r7F z)y@Sn5|@&r*GcZtDc`j{-m{!-`wL#H+7mh%T@FfnE#7{YyM9;M)pFk<`*kks`G4+d zxN|_KIHYUhA)OA{1mWBnZ2L}ls<T$!zO{Sj9{*kQr>d>u{gcA}>d%Zkzeme=w6x0A z{a9HTx;JK<rip;xMgs@)bxCEbS$Xbfuq&{I?%1<nZcPfq62qSX{Ur@jjJNl%;*Dw# z=Q;Sabt0>~>=lk1n~Ep!zTDA#;?DH!y70u>EmGmXcHccx!PU?AbW1|!yko1Don9~W z_wM>j8w$d1tju6ivYunOtNYKN3lrCC+~KPG;TzBEbN7BAZ;3_O5@xdyy~#E#UkWB1 z*WO%`C$>JO!YuTB$%|_}jrnVIH}`&=6<GA~;S$Gh{HJvq;=;b{tq8lf`*qCuaDzf= ze%{BOJfh}bclhl~Rovh6$X<Y3;o*j?T{Zpe`5&HyE|AM&4_R@q^tqD4!XwEohxz}W zlW<M$m>8Jl!yCmbzBuXoSFzVUX>a-FSIpnT{r%mei#5ky=t;hhwfU-Qzt?=h@2cp> zcQowe^)k~-W=Q-vX1ZyPf%>eOF@`0NXT3a~Iwd^Rl2JZPR%nLv=cf7jYZvVJl&L1( zeQkHOY1g@;Npq)apU{b(dfD#CgUM@)nNBmm3Y@IBn`eE~7yZi{3fwFe4;|HJKJnD% zed*bHi)-Axks|qS6JJ~X|GZYc*-`0v&eBb758N&@g`e0_bLLrEe(-~^@)t~fH*~m~ zODC=}-;&9b_N~+{{=&gsd^5`*O|?+j{rHyZ={v>|#>ejR3b*yGzj5Z>b2<CsX8T<K zdlxcq#TmMk$i=z7z8$6&&f{iq<gGtbx%97RU%!eS-@#sTY4VzqK=!at;xbpbcCMMT z{O9%^m6nacv#V;WuM6#O*<rXYY*TL`Z*J1Y|Iel$J^Pw1Z&L5OF3&k_v#Ys|)(b6T zZDe|qJ;mwBy&}0)9=9dh-#q@ZJclRm&C6=Ls98%ogd4c7|F_}go~=Ez$-3@3bH8op z@}9%b4UQa{$6i&mBe&cB(d*KVR~i>I_8wYO{rGdO`9}R(p2psA&h%qjCg~oS%;UF@ zg+=Izx<Ye7W7W!g(#0QtX111no6>2vYRAQ(`CJ0VQ#>P*8bA7k1P1=NoPAj$-qmP_ zeBA=Rna}qea4tEn_TX&)vc!iccG{?kY+qlxkY&>1Lk7tfvuE=jD~d4L6{F~&7XGLF zS?VGCclqmsHf@bDme`;;Z}QUzu{NyVwyj<LBWIOaZy?XV$&NgmX5YBSR<|y6#VS>| z0~=@UD=0o^IxQ>6`$Edjki~mem3%Yv`NVhZ=PJ$loA>EVZ;Sh~^Ifgpt{%N*Ctvix zfB0nUrSD6!w8Uc{ipZ%}USfT><JZ!(Ymb&_<a|H)c2}ff*`qiOiRX(SA2~fktSEGW zSVZiMxv$(D8~=QJdYIwzbLG$Z@jID*iS<30FaA0&bYH1a%d-jlJpyi9zO5-b!_%;# zM&eghdi>G3hdcBWy{$F376=~~=~~zDA<5hB$G(+X-=7+sin;lr?CGJc?|T}#*{`qO zJL}=Dz{b}tM+(-)FrL@R5Gv*n_dD89@byDHlU~{E&(}hGHci!jkgA+{tujc2xxx6O z+z-iLf?7pCjzk4^Iv@M>KJQ^mYjN_o<q^rE#yyqw{GR%ny&k-K&as@GzhJNS6wPVJ z|Gxk6DU10J)5-I7Jy}jK-@N->y`$)AN#L!-hlevGB1Ej+PgwuBKXcjA>sl4F4oI^~ zpIn!@LS1*qpG!@@qyJrNl+^7Pw~5sMar9Vrm8*reMrTRglDwS@|FcQ^)M?%>*s40C z<DNu@fk~mg@OCS9bEcg;8P*CYHs6k(V6J%jdyXLA%U*}S-s&wMjXEwD*T_3nxZP^w zW<GwmYtP;v=Xurt|KIgxp|XnX^lfc|f(*qEeq31SHM{Lro6F*YRie+>rxyAo=O=s* z(toIR`0LIStEO6uE0|5*eA6M3Mc<rN@Ii?71jW;K`BK%2zmI$`+?aIr)P>{qO5B-W z43dTR$aEI2*`Xlm^;W1e*5S=*KZkd}xYkbO@V6-Qj7!zG)=LpS?LSE_zB*Cq!QH>S z^EYjAd=Wf%7pIKHkIJCyENs1g5<;RS>x@3XJh9;JU)~cwkCZ2y{L@)28=_jfrecHO zg%|HtdI~JqH`FQ5QaV&395wIH?T34}mpa##ar^smrZrCLf5I6fxgqnn<hu1MI)zet zeha2^ZprYO{k~R_>5ZdLETh?s{J&C91t%T2rLnP%W5P6+InT?33OAp3*I?FK7udwJ z(V(+PthPfq(7>^NdR~{&X7_2YLXQ-$aw?9wTh?nNyX%LIb>fTOfJv7Z>}p(SmUN`j zCT-chyBE%8`9#(v+22}~7cP?<vZFui@`ha(_OzJKnDE%~MhsJdfZZ34jbCP$O9e%m zcoj{OGhceez`!Z*69*H|1fHiGlO+~0#0akYWqkah=j_Ef3uh$Uu3fl#*DndlMHO{x zAMPsp7VPxH#yG<|C9XB`lv0G(wI`3dn>MAt;I+EYw$$D+c4ExG0LEh;3ct?8rQQhZ zX!bp=`7$wI&HeLKhr5|CR^)GKDob}s+o-R(brbW;;zPRL{le_O?Dla=nl+{!3gbV_ z@oxVL<(;Bw-_jK0e|(MFDx`emT-}$8Ld9EODP9Q($cTEER+GWH{SF&f!|gSWSKFDV zUGkLmWE4H?EI*IOaUbKYzn`5JZMeh#*FY@Kv*q-prdF%Ushd93L~-=*@6d33_Hl`G zcW&r@6-}2(7qlEU2EV+reZoUwgEdA|t9t(_N!oOYcQ-y{tqJP={YrgG@}nFn#kUoq zUsE`5CQW<v<WfOyc|EhHVMvBumov|q!)z)0{@a?YJFa-TKB2y7(SbOJWacwPi4Wer zYjOIkF8ktszV8v4M2VS^@B0i^y95f|>&X6mv~$76Wih?tu6Ds8z7t)-LSFCT__{_@ z+-M8Wsc_#Dm*PDS%vrs8yL$7@E#HJ14zK1YKYHG+AU&#Du~~7QP@`x@{_k%t6`MaE zTJSc@yCA;(;Exy<o<I(x_ny06D`Zuit*f<u$FjQmE%VNa2`<en(`+MiDryDIb5*YG z6JWfq%_eD6;?-ikzVG^%`|mk!Nbp*GI3ssLyuSN#pwjJqi`IM-F@JTp_wK$;ha%Ul zH>l2QG1cZ3xVLh*^lruOT6HP+OMcVeAGzrHcHLrgg<pnqTD}EmcLmOBP|Dr4ddd{v zJ}=JN8c(*_tF3vq1l{7k8CoGX(}nH%)z62#g*lyPn_YadSn<M5F<zJG%ZUn`Y*K_b z$6uOPKOsk<Z}sjjJ=N>?WL59pX?(e_DaU=;&C-b%1G^_w9^CxlK~de5MXT&ipXZ<P zeZR}o;8nXz-*7E{Qq*Ae<K||Aw6AXWCi@@QAiS$MbFt5jkEZwbo_XKZ`c!mw`?=4r zDx%)MJ1w*^mRVm&$igl&XK~t#zQ8`ix3S`LjsDJb*3Mv8{&L8S^-bl?X*a%}DrUYg zy&~!0v40#4@nw}tCP#FaY-)Y<T=__$!sMFDB~n+DN|)_75wzjG?NI*fRm9l^r3z>J zHed9N(quQ%Id<pwCokQbzmiWr2&!3~>0)=;=SNW3aZ|(9tF{V0D^U16^S{&TQl?Gk z|MI4(GgQRgjr+gJMWc_=B6`MNb*GP<Csf*umz|xv*q>8Meq);R`Xg(KC(idWy<7O_ zYk{-*%PFVVM_+!+HTi~r_v2HIhfbWIDAM?~Ri<K|!iTV3ymNoI?z_2rV&4^Zkq;t# zpZGF5k1F@*$h*8U*j4apmz{|7zdEB`Gq|FY{}(e!%1t%vefM^Kf5P=CMGnnVZ_I7j zcjQc&hQscx>+&b(zEz$4!)cZH+6AtG4-988M_%I$VYm3>F0@y`ZJYnxmIBlNdKZ7( zUM#i#hKuFZCC>#Gy-chy-81FVp1rok37;pK?+DzvZ$86YfdZ~=@~o4qyOteqxPNCZ zM`Q0c_s7Zm8a{rNoMqvb)F=Do;#Y~3kMDex*k;D>FBh52tM<%5;a$VR-o)H&@1(_| z!p0)$N@p6L>+U)F-b6(|MCwBOcTa`<=4tom6l6dDz*yHjkE6IEd6M$Y_1|2#^3IyX zwEBA1lGHzJm9JfA9}Cb{|8ikgq~ZFH_u||BoDuAnO5PYa>9~d;bDPBNA2x@x=3VoV zu+-O_)c--^y{vc3&m}i_1e%|(lVHAYeZKGE36-kfE1fp)o0GAjG=6!G;j0}VN^Ugt z>1}&8;bs-vCl4lVlYKW+-IQiH^)cOaGTas0d5^JX%Pf{T0o~=Q&CP$NoCx-?^*Gv_ z_43-q@P>ySLWi<0t!K8i*i_-HCpaTwb8)+Pulk_`=bM*<(yRQXFQ2(s<H+*OjoD~R z=hX5iomoPE)L!bxda_u}ixsY_RSgXjYu~M@z}gsZW6!iNc(0d5+q2UX_NuIu^Sbri zhqc_&&Gvo_Bk!N*p0*S6JHxLY-Ys49na}zlGvCzd8P=aOY^J1NFSDB>f3wM{UwhiC zhxSwcKM{R#ROXsN{WFW2?<{$%x#wIus(j+?whH4On-#*jA=VWqMQpzq{yCBJrjEO+ zSY0S$>Dv6*i5_~)o9F7!p1WLqmT32XiHX7Wx9^nSDUdo8*B=mHXf~neL&(CNHAWW` zUi-O5ioR7_FIIoXe`WFhs+(FjcNrhFE|s!ckhQ-ffkUz3%*XE!w>d6lj6DBsl3pTj zLi>h28GLL)^M57W{<o!mhI@IUuHdoT52n0hvzUC9?HuQkAI5XHecAiyk=zUUgI;mL zi=OviciwgXphfTp4>AAQehHC$tN%$H+nV*%wo2DXaK^EFJDxCvW&Aj5?h?^DTV&mf z$~@1ug0B(koN7B3F6X*!ea5B5?-FO^0)b)ypA+4(FBeM9H{;dSzUWXEK84vy#cx|c z&##6z>)wc|w|FY_Y>CWOX*!yzqrQoC&bK+ox^^6ydhv+IcIMWb68-az6@3W1uKncG zt;{u3tT>OK>OP{9Y<tDvzn11Ip`QKg84UNGoVQ((Z;ENrrbe|Fft!T|Z*|Fhh}c@R zXQRC0s<4c^0-<vEJ_?($)HL}rKk=Qc!u%(>;g{d0!pogXyQVBO3)9WGd?Whfb0d+% z`wn@$*_qM#>hx2_YqR-z4c~-q`nUg`%AzMbR<6nV&~;NT_U81185?uIGZv}}Mk%qX zaoxZ2VXg5d<F0<!7xsBh%l1k7cW?B5S=~2nAJ6Wa0!F>dq<)`Qd)TRLzi0LxmkR!b zF11-nUldMn_MgmSdS}tLcAZv_ltph6q&~SO1TT#L=(hD#uxax=!#y9_?@q}7+xG3@ zqzK_{kM<|NUn<~YvgD@3^96e++}zC*Ui9#2XwOuk`|td}s~p-8d`b53#Xr5#ULR%( zJr;{BySd@$zh|EkKmDE8Y00p3nnLk80izY+{9EJ`oF+9EaDQ%jYFgddu=`0;vs26A z<@GmtE8n`P-Ct>xf9MAL@wC4AmE6nqrD8X7i~oESRAF}gmsI)%BmU&d(_Kc7-lY}a zX>yHs-nIV8BF;4)@#|{0oJ)?5mAX>*)a~T`Ze9t&S8N|UPuMmVpYPi}yYh`&Tg*bf z1crFOqtc}(zjyp+asK;opG%Eh-^9m%cOEZP*F5U}YDU~NWBZ%$lmq`8u40@i?B~iR zfBE#GRbGc~g_u@;5081uyK0^J5k{fCnY)UP>Xmw*zI)%`!NrioZ&rqpJHnFJys}~_ zNo=~m{!GbUp?rR6)5Y%&98mkU;hV&_inF)4`Il?WP+*_9VE5<viEXZLdKBL^cf62{ zTK6-i%vgc()Cse{LHGGWdU=*?TgRRjwDVDBZd7N;i&|B#dZronKe%{ex2q^@_A=Fc z-f}*-YO3DHOH*%TTFlTAnLT$y_0ui=cbQj4zdY^yzVS-9q2nT@#QFdKc!?ereDUD- z>nej5{W%xe0xwEE_g}56#dzU@z0&fni)Y7w=)J5M;{GduYraA6=I0J9cZE}T>x%P> z&N?q_wc6$UR;GIaY=xEP#m97a?A|0d?_m85xwihr5))p;ni;BuU#fZZ%3)fC^xD*! zmyT;L+vu+Ed$GE`MkDP_ac->5f^|Zxg^$b(XI&!FUFLKuU}5DsMR|q7@PvjrM(Y{N zntrvfciL5Ltmw(H;CF4^w~t4b@v}*M*(%SLczEWO@O{-hRdX77Q+c=hbe|VidVc@H z0XK!u{L%rxySP4EtUGw?GvmXD;lG(SA4<;6_uqWw+5>mf+h)a^vObw?uDKy!duNU2 zA8tb_PG9dQGyhJ#z9cc4Z{AXm+UY6R7PFnOo;@$b<L$@gM{hYS{3?0SM|FY542R-{ zUv@jpa+tQ&W3kG2cM+zBwu2vSwicB1yy{&2aYoZ(Gri{%UeApAxIgmgr9Zcs?>)ZV zEOD)F_d@GwoV(w@Y^y1j{yZVR>5xm}0-nuG_bWtn@7O+6>YWv5s=p=obepjJVWIGo zLK`=pSXFv>?uJtzF736QkpI;<<?qK-$>q|k-PZEF)2>!nfAh|^4eJZ<t=ZMq`%q`k z^3t-xBWhLb{9UYX=Q{27llsj#&oN(op@Gw~k5O|L#_mj**Rbz;VAmXxE!*R|S&tdK zd%^Jkt*^fGqo(q;Z&cSlQ?cTIk}%8Q(B=+PyLS?LIgc!})~~(%d&lgXH<N7lbX;Ct zAo%~_-@|6>eE1i1eA8R5qItWx|KFi(5AWbNn#Yb`^*yrdyN`)kqp(OI*Za!M^&F4R z?-A2ovU#Q#*EQ=fDXjxujbEJ2)47?h{IQ%J{LFOeR@vw3@!d0=N({c;o*q*zoAe}K zNn+;ed$lVMy;<FIsMGZL{VLfa=}pU+*@HHJd1`olucp*muU|W@{yf_HFT{1Dur24p z?>|1wod0}-$;7yTw?(490sH-O)zA1S@0{qG8x`37?{;b6SO1(&>BJqkj`2GBal{lY zS1H<X%$nu-$L+g(mNC5w@#K7Uw9M;<@ALXA!fSgq1>a9@pY$PWr`yFC!$&In7dHD( zdN50}{0iUq)^G0D7CdO#Ue0lAv25`EznhgdN^N3@Yx1ynx+l1W>A&%!4gD+F*Y%$= zsQkwk5xj(D$&AY)hR;|+`z&2WQ~Ux|X9gcybY`Vv<TDeow1=AyN>6z%>*#g-_d$b< zRR-Nt77HeC-^;h$HJa^Z%IUYt`Nz5wPFJwK`Cha%@%!R>CuiRjZMEhZ>-T)hxs&*W ztFNT2a^cqHm;Y4U=Kc3)$I9otKOXw_->&)??KRb?cl+D7>FfE=WEFMT1$?++|NVeR zo?C3#%Houmsn_o=FzYfFYt26WB+WnH*ga_GO=*GCo(t-J@@CYW2p6l|&ii_yr5Lk- zxqV2+r8w&YiYCW79yZFd`OTbec}?tz_+G~8hNsItHw9EE?Fr+2{>PjDod#R->2KA% ztlZDi*=Kr-_wsGc<Ssh<Bre8@&u4GmioTemyJze=wT?whus_&qUCOmfae@|WI&(Lc z3jAzLQdu9vc>Ny-^IDGYBK1o&EG~Q(D~T0sKJr=PqMU0`gDkt@_Oo?8I;*mj^mP|> zr>t7EKXuZogQ>Gt9ZsFK>S$_IME~h83)H5sTyy&G$&6CQ4?oLOUMVL|-)msj(#P`d zyh!`+dlGXmT%GK4&`0>i%eHR@d*deQp1CKPSgxZ~Q#EOWwb=LQn!~dv+)d4wRxA13 zw2D{0&YAy-yIEnl-R<}g)6*Zc&SY0s_NDG_-s9<b@aw~GvkRu~o|7)TP|fyZ@i%ML zy<yw}XT7-%_W6WL#H+3B(Z3vWY<i~F<I`IV9-scA@c8r<jmP~lWh}ijDvnJ*u(Id< zQrW*2dp?~#Q7H6)JNNdM2MfP13jLgXGT*4!eQQ&H+Ts0+0zZGBBmGR{P9N`rCSj(E z1uCr*UqnAu@(PIT5DNLXu*KkWK+=R_4b4+##`=FJZ{~Ge_K8PbCbVPMYuyvlEH_w# zxAiGHKivBMc;^@IHU7UWi@Q^I2^VNS%}L)UU^!j#SW4u5e$z9TkDT^U%HI=ZTo$t4 zsAB(@yYjCZYl5e66pQ8_df?6XgDHn0d*K|0Urm)<hgpq7&T&6mp(Al7u+ngbaN4Pa zyb0FLm1mY|R~(kQo-vzCxnfH3l4oCE-cZnRln8C(eQL8dApXbm6_x^0>xHHmP5J!1 zpY!UroVX9_*QYA}`InmT?`^*G(`?IT>D8vm-Jxa=+WHrE&6&zoRuVCRbKBi6vwMYm z_Hn;H_i>lBK=d!Z4I0ZdZVB3l&h5CrO6^E~=>Lc15w8=Y0<I@=W$y@O%E^`LYMr>% zeZp?B?T_-36;rhQFMbbfTHt-Zue-j}a96hJlxBIur7zbcdDx{1+FUyEEbU*c=F~&S zKel}^aNe_Yv;OrzyC&6JebJwIp0)FOvsuJ8+p7iUvwJnCFMlz;PHej3Yt{d)r}E8W ztB!V@j_Xd1j*EOhvuoq3mA8MhA73?<`)pCD`NKQqi<EhGt$VO_<F}^^41NcjStS(y z4%%71=t9fq?9l6LB^Mq3p4hIuy(oGjAG7O1gU`l#;%|)uKA67m@e^;WVdk@)SbCdt z)=|TqjMKWGX$wi64S8xhYqeLtN%+*K8F>+#7OOTD$(>DK$$!K2LzA+`*N<hsB7ULl zN1psUI^lV@it{UOsn;>rm$93FTDxjWo$34}{)^30m2bHs9i|v$J#Mz<smf#c_j<O4 z|6hGCt9^@3`zA0Jt-aybzy0vR_9_K_@5pz*raIm6zJ7fp?|Sk5z1$_??UyyngAP4- zzOUu)@&^Zh7k<3eA$DU|^4(u&H?+OFzUbzbqZOJ@qb}ajt;$@KC3WEdQ<P6>BV*Rn z!yy{WL<2LDeb*nTPgpoj@@-agX#S11=KfuQ+4~PQMV;x`elx7qYtFCv7Efj@+rU!# z%|_?qs^av--wU&JS4Vv@S*WGpmE~aYr^Ge>XXmPUpMpdGK3Tr1>ZCvKYh`=&743gY zuG#OqxN#SQzD?6B^}6dP*xzS1U9GS3FX5`0#babpdN!tlrBc1_Rn@PBU-!+vkQ02x zbd{RLKkFS=pZJP<@9~_u<zm$y2j=DfMYe10HF~HNdc4&{v{F5L`#;sUajgG#Ppz0Y zBXRMLde5s;d(NzTB>blLwE$1yg&X@*rp#N?B0sM=f9lem6%pyz)_6IrduhEu-O%;o zgdZzkx%~;-s#Lf7m%#qRvji?TSqTI$x|QG3wBx^Ltc`#{==+P`FE-9UzfXVF@hQy; z|6PxA>i#lOy&I-|Yz}87zs@Jit>p~H^QYziVc_a~dZPA+`5(ULU-$PucaZlJJ@1vp zaJz2Tp~-cNPR*=ab!vXys#8nrvSx(;ouhF4*UP|tb1N?jUfJ_;^EUo_mswVe*IsyB z_GPX^?erJ1my;I$;B(~tq`L3<;TO$Ke6uI~6#TpPmyrEq<r$~_^!YNf?Y!nc@jg>u zeNNkbs=lG!W0T|2TMFKu)tK^d(wB8v`=+Med=oEpRpg66PMk0w=ietEo91ahoR)NN zf9tybM^}X3cg$JxFCZ~(r^3C3pFPD|LTV;irpEahcrMugK)IvvNm$wnm#KAr8qsII z2yFgpGuvW@)|q#YLo@eW{&d>DEvMJ$!GUG`7ut_sXAf%OeJNmYe%<%FkgrR*lsjkS zs+fPu*;bGd9`N;C*Od5QhFf=<?XjGsy{+{7r>hTI@}_0C@vi?NA#~LLdmR6=<z3N9 zlU}8}%l$ZcyMR~!P6dnU9?grU-*%pksm;-kjAwL}KF4(?aiLGD68F;;n<F*6=U#G( ze6>gH6qkSA!Z#0i#7{lR%u|iud`$OaZtJtBP8R(w#^-m(_s?xTJ4s-|s}%8bRaTc2 z{^s9X^J3%D9gG_H)`uAio#IqbnX&vsih*<L$%MXB6A#KvRcy9QtmApccGGai@jDU` z?+<lPbnLs3rC7IDy5*xj>(~GHj|VO-Ium0u)!>>#-8YqahXN+EUA(km?ML0J$4-~G zem`s)yJMQ@LD@rGW)bf*d(SoO|9wsQ#EFmp-qlZ>A;14>@Uo0=7lfMmzC4w+o`1Bv z^=3Jz(#2Iydl%lQ*NzH*wMwa4df5iS7Y$)M=Gp~@u>HtC|I2Dsm}u^?e~<ka?oe(k zki4+$g7WsIitBY#Wfh)O^=Ub`P7GyQ-hS?e_>a(@TECgoH{MU{$<CkLk#K37cKPYI z9o-o$t>?DBd#$x4?(WGh{y5t+=M;h~drI=fdxLLzcl<hcckhd`t5?2R%1-_1qjlY8 zZ|~W6(`#Oo`PbM)yWBM8S|zeK?6TY6QzGk2%zlMP9y330vt0e0WRjdO{~3jaEDX(C zWOtw4c44*uo=IOW6jv$OZ=Tt{qF}Xy<bKm0JI)Hlklo9KL*-m%N32=Px8z=l`vk3( z|C>1tLjrgGm@he1DD?8u2a?J=)9eq6N17#0JSJ=5(t5_LIr{y9&>xW-H?0tn);h!U z{X*w+#VPhu`45h9E<fY_Pej4K{J6~w9%pUchuu-HmmfRMo3c4);Sbkii;F%sKYDw8 z-SLa<;u5(xb=G~ne&3S+g_Kvx8eKQr0~2-l<GUDcHJmyB`#I|~nZ0vr!$p!5HR?IJ zIlitbO|e$`#<5oZQF-ovCBy#?Jlxx+T1}n1xNFXlWfIzE%I6(lsZD!l`CIc|FPC^T zZ<ue?*F80Chp%*MG$--Rtd=wRlQ6~U-j$~l#lzj6{N%sb!J-qhR`T`oRi-_!+b@=F z;Z3UgwD_&WgveqWKbPbQe?R?EyX?$+|AK8`?P7u3^=f<XGHh;rw4ZzHiha}Uo^N=T zS9yA`tRwfSw6$4MKiv3&<joInYQ6blOH-@D=e6q3k5)!_=Kg&6v%$2@{cJ@jqwU10 z>PJ}3CV%iRu5GE`6Lz$Dqkm)n9<_N|3G4r@ahKgvlu@YmhUuUDyf1s$4S7Yh_!D0D z@80{u;m`J6YSp)&dnzpRn#{KD<huV%wU=h~{Qu_ja&eaLvE-@F`@R*gXc3U#A;SI4 zw}x@;z8|6y8=eFtwlGD=Tv~mMsq@sK?%*>&U9!0kS$?jxj8co%*!{ix#r)f5dI=lW zHpjL;+S+t6nRz$M+lqgmA|5%2^Sb$|do)B$u=~T>VzI*V$aI764}#AhIGQ*)be8xb z&lB3N_S01DuQaoWsvZ{Z$taa{J>P#<OW1Pb?0s{@H@`eBSvY&&9O3ORPg{Z*m-8-9 zFMe!ZYuRsJ8#;UKPG^rl6D=BF<ZL$VD4ab->G{i+pXPOflGf+;tPHu^xpL#dNulxA zW~|W>X5*67x;4pcX4B!VnH5j$?(o$WCakP_QGHS@EkC(2xJRW$#E9E(M)LZFX9YHt zHGTBG+rC|8+Iy~F$5M);3{0Bdzo~Ccp4t3^KR)b)*p~SmCr%1WKA5<<N@1zR-{i=p zO<zS-rj(ymm~#6U*QzPpJCFVg*yz3NRdO5e^t8aW`?^oJT<vS(U7qD{Dswm{Y_rz4 z5Q9BF%aa&4z6c1o=qKy7a@8tl!_fRvjb&OJ`HmivTI+mqLDlPk38izZbS~KZ=Xka2 zM{*<IhgDtcgE#J<G`l1@BI?kSb2Xw*#pbI^Sa8?<@a0h}(#k&iPqk53cSUUfxza=H zzpVe%DeNz?v)$irI{*7g+6N{cI&(r$<id{`#dB5sclO*`c_W_HWLkTKgJ{+LlKtBi zzUoZztN5$^Yi*T_(Q`}LRm<$x*f(7g%m4L%>Ya=ivUYD@P2T-|wuNljf$wUkXSH<N ztdkS_XrsC8(2H9!wr7vlHeAb|{GR#4%+;ItH~eHw-D}_;FaOt!FR9Pzd>zAg=0&?D zUbTfMupD)Ieb-6oglOaTT^Du=8@-vfAg}uF57}GKC*6)?*~c;MOnr5-wbb^#Ow9Lx zH@Ga{^ix!$@M(GB%S(maIstVn7*?}t@y}&%a#+1d@R;Va;2Rv=4%OGo7YR?;H1(ci zM3eT_4O_QdzVSS7%bQ*AcD2>UEicosDowa5o!32Q&x?pf6@3$o{zoLq7};wmSO-~8 z_<MrMb=n@wuNktJdhZ`y`lqY-1jCWqWS-Bp`C8_mDzDj>_{1-m!Jcw#^)2tal7h44 z(+|G)_i<Lc{%cB*ZEJT?rS<;6*{ec)(+i~k%G*^)X?4Zv=}wv3zGYXODYLhcAIFWd zDNEL@dKn#SAKbJnXc<eXuMcP9U;oa7opUGMX!=z8>y=aS<_X*E@4l0DTy`sMPC<y` zs;{<Bwk(XDYr$A#{5~N}VIQ+a@EZ<g|B$n%_{8rY*!f^n>1}hTZ4PmAJMO>VVRm4x zM3PdxvCoMM#=0AJ)ZXp?!!Y&Tlt|sk)Z~KQd0{<MwI3XtXyWiOmB*ppp!%l)Lt1v6 zS-Zsjv`Et*Z%xlIetFH${%S&@^{Q!=8#f+banN=9SB5jsQtV6jKHOX0v|c?|R{p+f z`R${x)#Q&lEBt)@oV8TR^Gn^|Q>A{IO%=`aZM_~u?&s<`uvqZ!pF1gi?+uPG{C!c5 zVXc3Y?Jn-?J=)<1s^yaAdh9>(A~ySy!9)J}FBvuVwQj%vYcEIn?6tOToPEC~%HFXW zO-{MFz?y9$o1eq{X*Z{zny0C<v+-Te2g@USz6s5AHs(I2D=a9R9eQ0tWMQ+tb!)_T zx!Ml~HeuJLC)kNO3fRB@;}kZx&c?v$WH@iQ{Nk02v-r!I&#arCaDwT@?)MU^;!^gn z*fjQO%;}f9diD5&-j0LUq-MUozWy4owB6s*rQcl_?^f&mdw%__cTr_4gbbLyeb~6) zT+Ei`nsYKucv^(lS(ztwAKTkKBovH)L|l*MfB0pa`+8qVzMQHF`)rtBJG^>oe~5E^ zz2}a*CQG~T&%Ckb{__bQc1zkzSv(GScL$#_GxK^Vp;GmKl0wG%fP-Q$p6w8Ox_6hY z-$Bc3K_z@=rCBny`M+mnaxL08EveMSLsfI(wBr}#y|1!dbU6H4d!C!NTv&!lz!Lp_ zH{tpN`SV?}e!sP<VGb3vUlunhtG#stOI^c;Ig>gzcrJ+hoSc&QG1|mSsO90t>r8f2 zCJ9O^yu355ASQilxE<$OvH#{l=N4bR@cYAV=CwQeeM7wd+?vqtEhp9`FoChF;8gHp z4(4CHr5;TjHFx%k#o1rx^^N!EtjzXik9?c9-%BWH&wF*opgU8nzSmFCx49~osCx5! zZA9R|TLI3~Y<0dUI52;CFlD(LKj$k8-uOQ)T2m@NiU_8BepWDRfBCCq(_~$njb?Y_ z*o!uMCu`p`7Z;8H5WHmej0Jp4j=i`%c|~>b{^Kn3d*=SC5*8J;UzW1`QG4qQmb``; zb0&4nkX`s!a?|D?o<W?+u0OImO7BGdhze)Q+Pcy@zG?0Uj!XWEH`)ypy*8NLUAy?w zBk?7Xv!&Wwb683ma^@K6Z`#WE!?Co(N$BwRp6iGD?tgVr^|^F&zmATc;QFQ3A9L4E zD){XEIBLe_vOUiORn`ie_1(WnzyAVzkhxu(%uCH1F17PKOON!QoV(&)qM{YAf<uX) zr^^?Yg#YbUF^*?ez2sXT#eM&GR(bU=PFIDlbKxrQ|5#o+yZFl9m0$OB1Zds(#lLaO zA4AV3RzB``oJ$zqS*i-YYyQxC`Na|c6XJUL;p>-5EXdV$&}z1b<DTAq>%y@sKW4`( zxwWdKIImuj`~FBwyug&Qco)k@$9IGl@pC6}&R|HgR257Teef>Cae9QQZ0#M1J82iA zYIXP4NnUico4r0}qgdWwukw<$s=50Usy(>Y7+o*Q{1wh+XtsCyKAW`p5`XS9tUq4< zk#&tqeUo0}M$ZU7?oN&)44oFLlATEpYb1N4{;+IarMA#`8dH<U!DF`sLV~()MN6$S z65o2RE@t7i1FB!12EV@j<88NJjd*yV;>YByqvAF?SCj;puRNOKa;2-?_;dD?z>kxc z2%M6WZ|^-ZMWr#IX49J*^|t(^{dV8p`}Y20WBj=6L%`?#haY`9Uco=rLWe_r0Tajb zDJ~rRj5n4rcFjMqWxJ!+_n@B^$+|J+QM(R1g>JdC?VIC0g`@F*s!X?By7?hmgvVcm zuf(>8Q!r`E+kAUb@%;>?x56xSo+xc#e)4F_&k3t_k1V%u<k6~gT3>1vc0|2i-{{-= z*6rU)7o|3-?P>ior{ry&5T|BOGxN#|Zbur|NuK%s(rW%b{Uxg&9XFZh|Mjp_zLa0X zy*ZOQ?tO0D_qyEiR`=RM{j%Rt6}M~WmQ8CpFllWQJKrtsW!KO7o}Dn|A7f*{(l2fI zl|vGzO1??qoGNIq7Oi8@-fG8^&|o)bQit8fgnNtl((@FTG;i8k@bBcy6;IVeKD=1R z*VE8vlKt>tYvv43MutD}&+ggSb!q*Uw!3<Fr!P;^2If~CLUS%MmN+qWbaW-Mr*iJD zUa>^m({cIkx2!_qJ!d+0C<uziNU+`!HEH0^OTJU+95N@+UGc#V>sf`4F_rfBi~UP? zP0o5!Yq!6$j=#R3`2Ej&o9{1Ol^42M{`OtdJKp7D^=IrnGXAcO4(|T8g!j$8^ToQR z@%2eD{$2KGb<g|#Qx6F`6ZG%pk)QJue#f6QHnUegUvk>+>COKwq4CSDy)Ow_FV0Xh zo6_%;a@UQMYjN3&{QGP#Uk19I`*ZK3;4NK+?Y<n6d)$qD|Glh8yYOGTF|pN%X>s&7 zO#{hSwsRNmds2B@#PG}i?`&J{PW`O**f#%|gxB+0!^uzPe~Y#Hx&M(>nEK(;U8(b9 zrmwrZ{^cp}ytH+K@3O<Q>ZVxmOkF>}Lwdzun;GXm&oI7SmHTt;*%!(Cwk(NDsX21> z%9Cv;C!aaV;bUeQE1~wkWWpxL|Jsbnrp8RmqQ7Y-+%(y1dRL><WB2Uc6*o`)Y`PKf ztL<CS{#d2TGjW!Gp6$JH#&d<AV%5q5U88>w&U0M1I~l{ScFtaQckQe1@ti8s69hf( zZt`!uqrUa@P1jHUZ7Hv=JAd71`iJF6z+dKbO^d%VpIds|PET3bS~0C?=7mDZpBzuN zrK+r+(=DO?zvRlMr2pCzlU$9JmPUWm42leWJFS~#TK%~hm)l!EOx?Gzq_Fw)XTfye zQxYd7@78@5^W5F}f&0ZpThmQulApgfC$0QjSLS(FLgRl)!lvf`+7lB)jg>%wYIL)7 zul`*FNsCV_yyXkEjo;n~$dY<iyt7(q;g!eT>ks_<T6$~Zif@NkGsnlYscV;>zduJs z|E#xkQg=`L&RJbgl^#zyC%N&?>J7Os-u$`z@Q(Js{X&6Z7RrlurOsE{6<(^J5`My- zQ)^k^ore2cFFu{Om*vx$vg6Y2K^ZgpXKl_4Fgc%WRrdSr>h+ty`E#eeXIr;4>1XG~ zz20s*n@{bOmz`U0)fc((|5S-hizE%N=>8Ttyk=Ej(&wl1kDTY+A>$(JHQ#=g?Syk> zF8mJ{nmpgQ*QP(`xaHRAg;xKsIXB3$FF9T$b3JI|nwyPPw?%$OADYB7z20ik{;N;- z3q^!kD{t79I-luxw3Pgm{x8$&-H%N^=u!Gh#mZa5<?iavu>DQ%&)oR9GK@n#%=+O2 z=~DjaQqEKT`K-E9o1a}e_$$B8aQTOo>o__iEAuY(MOv)!+WXOC)~@4gCZrxK4c?gN zbz^Gr8W!GTM;z`hPCLV;z9OV}cKR(&nXSt==6-F-Y+vDXUHhfUYuz(H_6Esk?=UFl zwGh%d=eu2R|Jhwy)?)qwPvtpsozrX_=l;mwko|tjeB+w1!~QQWi_J5cKUZ7-y3JRn zIl?Bw&vsTYE||RTadgekSo0>9_@i$>>sVEsc)TLKO{q}Z!*+|h!_kDrPCat3<hCC= zX!UCC&LeA1?JNzs6J|M`ZU1RM_BSz7tF?c`2+iHrcb@b6tJ{t*lO*2#nQU`m@}UbQ z&Hwl(FOafNzVr8gl90z5S#B$~U+oUj3x2*2SuoemPGL^HP-^?P{|+7V+pGLKN{{Lu z={t6p+p6#LmF1TI)Sd49x4U!X&wlwwCdyWBmDSs~X?(uFQe%1P@9?(lFDE>d|Jw_^ z-hGot^4zXRVy{h>eqYf3*}rYGo#X7ZbN?SE>}!r+^mD&_=Gyw>3ij0j(Gz~Y51CNf zZ@zo^@_l{lmo54Es6KnyzP72;FTCoC&e*!|He=a8&yb&AdrX}F@i$j7#RdG1=g?Yx z-*DM=`AcHK|ExuW-xYj6DC>Nx#l-zjzU!8@(CHnox}seo?|wb7?BCpEfrq)mi~QK~ zJiizVPmJ0<X&3+fw1AHd7kP3N7AZ*yYpz+t`|WUca@h&3HGNY=rt)ZLNqSs5I{VuG z73KFOEA*H9U%cX?lD5?1tkeoiDeZ#L)F)?qgHFnd|I~<Bp;51QaeiOMhl`(_T-mP( z{(bpSH*Qg$=%S+^FPZBH$}eraad=Ni$Apv<N6#;sWtbgdVNp6ya&F%1_3c(kx`~Nv z4%ntBZ`RK4l4JfA9Ma&a`TZW_`{iaFpM*5$%B6hG|7>u-BjdZAMCt##WzAAcZ=c$` z+v@FK!%sU4wUf5{uTnbvB1?E9``yN{J(qf-3K?F1<ML@+oc451V8t1p4Fzv=E>1n> zZ75&rcOmpxzo2~Sx<yNm&FSdh#PQ~?`Lq@NQMFxuTMs)HcnK_w7u&(x`1p{c^|#v* zW>!bb^)Bwdd36QX%&V@&F0ERN_GYZP`RJTxh`_eFt6!YIx3|P=W+#8^qWkyG^32{U z_TT&-7qhHdl;rtW&Th&6^V!}?tz4y4_j29qJ+pqTOq=TZN6bZR|18I{x+y<8l#}|y zSASor?R~ud<)z0d59W5C6a6x6`73Mv(si82UdYc=-z+`xlR$*;sz))R>Y35g=FGmz zxc9f(;i(gE-QxKFs&Ky2YCWk=)in=Tv;&1Xi_FwJ&Uw9QW#pGu5ofy1E}wLHZemE2 zhJktZeHWHkmhIE8_)2ORiaxed7y2^k{mjb9pUZ#w`dsUKoa6EO=eqaDxWBD@z9dD- z*OlY$&hP6g?h3bk)$Cfb%PH)+O3_ny`A>_BRc1;EdbSCFS>3NMepg-8Wy^`a{z)qw z`uD1roJ#TG&D*)5@P4u5{(#;7mI}s!ZIcZ*Kjh7=cyqD#>x{Q8E6*mzZ#=xoLL*gw z-2?ZuGr1Ffhu_~>x?lE5@Y<>hGhNGh-(&;kZ=FBy*rH!4##X0%zC~+!@gHAU?O9<g zX8gLn@RsH0F5TcsqFx_dUYMP(sMOdN&ELJDz<q5*mZy%TVe$C`-*u{#H0w1(rc7Va zdnw=zo7>l*Ddy96CA^Ej;~iLMlM)`~@;h1Z&(`USn4cZ@I&mZZXpPI=bM}74wiz4r zJ})Y?`m|^Lo{~F)T`O*XbKG^a@#)dWSDwemSH2WgJ8vB~x!&GodS%(1Uw`$UDS!Un zwIbn~Ui0i<`hRYC@7|HQ)I`?W_Ss_BdWKc5v)Ig!)s(!L*mc5wMf;*d?|J{7tDJtI zN3QFgebB`Hd)gPZz2`l&?x|e<gGF*(c8Px$$#rexNbEJ=u&jMioV(_$PcHnfmp>l= zY%8K`<u&ovCFhHR$Fp+#rwP58Ce;{gD`HzVMJcGdN<&KFh+_~_Nw1*ABLmlXCYL3B zc0Lz7o$Nfl-aO~y@Uig;TyL-S>Aa0k;KRJNAHC(2{x=;KIeTlZuC-0U@5HWY{{)rf z?R+lUw;V6iJh*y!*w5(9U!GnQs)DDTd3aMjF)aC(?$k9qeY}!c^R7t#Ok5;x8<Dto zucdO=$%>23iT<w^9~L<)Qqel)#g|p;6Uuiia@@Nx|KP)}SFIKErZD7wV_}nBcjDbz zkFz#0OC`?u9e%KR#hC*yE9#^ICDs&3SjZ-4N*Mg$y}dH<1N+=1&AM&RRh_o<^46~1 zzGzQDM_JL?%kF}6KG|76jkxkCWzYMAv*IqhXRhDEaXw5l@q^#nsiL(q>n)D_U()z* z>E2IL5(l;U-nN^Z$(IzJ8yuUambD^)$0{phSz?D(Sl|B&x!Swl^Y(Rp+MxW)e6~49 z=tF~xYqq|y-uAg^->p~vJIm_h)kW=6cduNKx!v)@jp=7n(&Kx4m$3g$*zocHwPWSh zw+#Q41-|3ce7G=w>&N8&FcFEW9g9BqPrFm#@O^pX|7V<Y>US*qePH61ONT{sg<tUp zH9ghyf6#bX^ew~b<NV<v1w8SLJI^g%x%Ajg!@!Ld@0c}JO+N{{lvf(=?YBKvcqI1T z<_+zSGLv^4IPS^mdaa`JmPLL16Mx?a(wbMa?*1<;O8fKZOQZPBvipWz3r_ikJ)L21 zx#~@d*2;3e@RE)%wozUyJ~f&@c)4=LAO9|<-(N$veDUYtvaxUo5MC-`V)H}h!iNWW z3pCj;2W&oI=AF5QV^95zMaf+<zILCJt}33o*_0U`V7=5NY=ir$;1~LP?za|Z{*}IX zad%{vsF}UzOtINvWhX9)B*&gN6I^oWUR}1&(p&Ghdus}Pn#B35ZSmijiF^mH>*SYv z-MfBI`9rTz{q}iXUa1T<?|FS*tbFmJZqg;uLsupVt&4ladxSr{#Lxc8i~OC5Nt?p9 zJ@h@a=(oYz^)EWF{FrFEd)Iv73G#bwipzgH?5fdCjZ<>8uiiMhUhI%%_kDp|)<I5( z=a-1ppI;zpE1E05=&-<o_&trMtF&H4^BC7D&qzHNqq?hJZRrh$c>OPlDRX1j)b2gd zUU)s?O~jT1?F$!7w$+$>e!9@9n0Jp;>X$`KsukbzDCPg=1$ongE_i&iQ|+?2d0y)# zyL82@98tY`*?EF3dn{tlN|{a5IJG%*B|qbh{rk<*45fo_-8W1#bgno4ve+q1cddwH zeBTLyjuq>ZwtW=29lGy!0dMGrPwYOIICu4~U-|CE`D(4q-IL8Py)TG<*e_MipFhc( zpCNDBmbG7N<KHiyyfb&{7So@4w&&dqw-i3J(O<P!OVwugt>cUTma*x+UHPI`u}(|X zVf$~U*Hv0o)~v6zj>)R2I+SMy+;f<>wMt7$?FeTOQ^|TZUf;%ZqK{gGm`die&7IA( zR_IZbCS%lc$L)+Rmi^yCu4rA6RF*x@zU_O+m;OdSiTTeJf~WUaYu$PuanoB)<Aw7Q zmiOXYg8i(@;y39;T1(|`xuBEyVb7Fv0iG%^c<(1Z|E*EA=G?lobJ(`ay<V|3a`jQg zD22KDlZ9^WS+@13b@t@XrT(tbEmj#Jr!F~ji9Y2kiuf11`OT%(0T(-)k6c>6^g4e~ z(|3E5_a_u0W)=G^Tom+hXQ)@QYeLk*MOQWN-ER3F+1hols3M%>)-<6#+q3V@?K`|| z@veZt)BRPKKQ`)bSQ2oN^SI`#qU?o>3<UO@DnHC~=q~u5vL)I4iA2H2^qPgcUs=3; z)PLh>bl~PryQJQ~%{Q%8Q)-qRm$i=JT>W<`%i;d5kJNd}MD+i8YX93AW@8f(D1PTo zY^#03!D|OM)m-76{dp<NZdS`ZH9z{pk3=t4Jbw75%?&s1oUSPi_3MOpPYZl^*(9&X zXVs#xm!%J8@RZ9W2**xu?snZ7v`4S~x#YATbH5la;aAZ+|I_z<|LWehHBs6<@&_l* z%gSjB@hLEWmLM2Wxo6QIm5aSP-_Aak-F|;YvFyCM)Qy^3@9=jYfBVY7F4IWY>8H{X zS1-vBfih|C+w~n{WzyW*vX3}+=iYC5dHapPG@*^l7q2kYd1Z5U&x{_^>eRgLKf8`) z%E#J7PG3|v&v*WlgoBaREaLyZB=Al95vcy5^#A^%4ePEI9^EPYC6ljA&wlO#je4nz zR$<G(xw!r`o^$Jz@Xb&EQr28PC2I1wCA<9Ak*00)PV9+Ka5VN03c2uSvt#Avm#%8@ zjnj1ZCseL{n)>;vOia|j5}~S>)53SA9tm(|Jz6dC;*H?8CkZR}Cut<lJ+}6a#n+T2 zR)Gh_N@n`b-?+uyKP=>eliZ!p37PQ*?=_d6X^h_YWSX?MsOXbwi5CX{_>J$a-01hX z{7%JtgK5VeS9Mg>&6slH^H<9~*UrlHiE>5Xmvq{)gylsg?=Bey`)#kZZROPV%sIUK z)BdF#Yn>`g+qWD2m(+`%sqEfh|LtwPg=>55lqnDPTefdETHZTh?U_>|RZR<?dChFQ z_i90P&yhJ_92PE0S|9d$>zo7CtzBx(2b}tCLb+viRd4K>c=+}*>7WI(TYl`A!tgh? z*^K$g{|y0w%^f%92*0@GJu!XCB8TsBU2MHgjSf08>r?NlsNTpqmpFlU!v1i5*RzM7 zU7mB`NnBT0&!nA2=`-K`Svcjz9*_L3)qQR%H~+TU<vtg`^>?*n;vpTynw59|i*dYZ z_^Xz+&)u7IUwr2J=Y4|f{pFa21r{?lS$Ur_`J&4AD|Erl*V?WbtH13^UvpS3f09^L z&y<AEy=5;nd8*jOk2>8^QFXYV{Z(H|`{w4xix=MbI@W40U9eH`A4f*aS6L&zpA7+9 zy3*obCit>6CYQABFjrE&QL{983&R4P&xIHIBByo+)ZQ-f={4$}>VI+Dshl>=mqo2J z{~s)@^18Iu|CnX)rKer#b#le?IVZ;kIn7<##h%t5{J@vTr~QSXXXXM=hSZBYkIl*M z<&JvT5}Vn!!Q+xnqQhmY6*Y?wt@`mic+06>-z>7GZoQb9RyyZ}TUhmV&75NlMkTfL zTm1Ri*G8<k#}~d?s_%#FQ^~9+A0O|o7G1ag_q<i=->q*<J{>UIF{oN_>y)VS4N?2& zyjrpJbx6RR=FUlR0p@WJ7Ek&(!zWWXyy>y1Xb$7eW#J-X^Iji+{AgiBWx>bKR_3L% z8Vs+m{g|`%QJCgup)Y-hK82V!TJAeNwVF{c!{I+m?WzrX@2-0}zwqgS&KW!Zp802g zrtXW&hUYK(CMQhs?0j)=b?^hl1rkpL{Qa5NUR>bM_$gWW&V%cd4{M+5-;z1)m3@uN zKjSLRMSipXt>V&ov-HIV&n}4@8gKc3pQv}%ca2{1Z^ru5%i_Ak{3mI?n*8#XWV{Eb zZ~4y(@#{97Zx6Pf3w7EX=(RxZ^6nk^5=VDUd6xE~C}ifPO|zH8rs!)6-(){~VH5vU zp%~Y1-Km;4k9VC33}RB<RG&6Qe)g|Jf4983zIFbhpu6vs@69mx{L6g*-|0e;h1}n^ z{8igLVSAP4s@W?;cLsQIR0gqr&{>qUX_4M54%gER-<f?Yqi$@L`Z%fiN9m@D{XPul zuWHNwYYH5Rm2OpvUA>Isv-C2L>$*%6)~zoHZ(Y5=-2bvw-ee&wyG1{^3ixk4Sd@Pt z#K&Mp^z}LGF0S|D{4%|3`IT29FJu+}X{1WMSSGUKC(qf;_9+att1b6VWBME25qs{+ z1Ct5)QfVL7xoezyzvl0^MlK#Jr;86VyLR!|I$fNT>Gl5Z{b`CnJEuJO)N^k0?1QZ{ zd?VFnUzatryxe+L{L<fa&C54r>}408Fxq`8P~u<bMmyJ-fYm-3+-aGIpKS5i=ep&T z#@-9=3t!s!ica3xCBI(y>4TNtiy!1qyc8jLKzVv}&<k<f86ut!f1WO7+U4kFbMoM3 ziSONuB`ww;_Fogu^>0%b&(lxOE`&Y%_pGEg;<+!s+}6K&ZHr&duGt)Ox-PC_kD_Wy z{?`fhcLckntgCnYTc<8y>2&doWLL;t!LB+XotaU3zvj5^^6&mq>HmG_wU@=~6J{@& zVmGB(J+n9Iz|N_&7H(8(aL-zw-RpfK;%O`Ek@MHPzF&{JyLkTkx8}k>Tc2n1Iz=p= zzrJ^d=K1T-9`--4*4UN4?2hJ-xF-`&G#h=Yd0V^T@$>AtEi=?r9X{3Q{@=29$wV8m zr~Ss$1De0od3jj|v}WJPi&*iZ;igJ`YG=9C{(oCse|+J#T6bu&8S{2VKBoPG%Qa8U z_uXYAUbQPd(CRv4h|ZmPlY+LeTwi?PtK7p=Q@?-jeeG~d>CU<>RxfUe$LyZBuJq}t z6PK^<t9THRC6Z^o)aUY6iI~?d+Tri+ny+hK5xRqS?W+Z^84B*|#q2D4Ao+XA>%tJN zJJY;oE_<y|AY0q^jUoKi_ukhDQJQz6mij!dve~gtbX`p5P4jifm+$zQWn?qK{5sFq z<$)Ci@davS9%(CD+!j@-t+IG*E>->bYRu~1OBomH+;sg8-`jh^-S}M4l;iD;ZjUye zNln*uN}B3)l|Nvn@2*RKb6j8DQx*vg$rfF;#HFjBXHQMbqTq93A{C!GT*Dvt*UK$@ z5m|Cb*_&NvqMyY2+h3kud-(jsTFuuot<N7#C|r6VZ;FtSo8jx%jcl*O&rMw0)x0x! z!=Yb)4vWf$^7TFOz2d%hkx~uko)cdc=YP)W-+ub%qoioBWY&51l0O5Ln9be&^uwpb zJEwfP^<t^^>B@WW{Mo{TH?1lD?iduJk~3-3-X&=sR^dnLE~~xVwfEl1N2(s@*z*L> z)o&<0<8p}c^G3B(TGLbcCb4ek*H1fo>A#&O?_1%s?qO^0ml<a-oBmEMvE<1co})KT zM(q4=p?&sb`k7z<pFc6NJbI{p@svdsUgtt5FpE3C2+l1!ax}A3_<8(t4I6oTzPzKi z<hQTXIO6zT_)cf(F3IIjxz6w3@WCldEVpm&<%_?%pZ$Jeo$+)@M85Irum2}oP3_X- z_nP@m^F_(Dl^wRNrzV-r-LkwW_W0EuA7YGC*gMOE-%WTp(WB#Kn{{oB#laN+uulog zsyAQqW-~l6UDRNKJ#*0}CN|!bh9dR{8;mdiz4<cgxZh32MJah@Eg3uG%UV{<oGvTq zdnDrWxpxyTF6?-D;%2?RfZ&y*vQ4{hDX#W&V1FYTaw)!A?Y^ujkNTsC$LroT2%4tN zc*(x&vb*}>sXqKWE+21wnw`j_Rlx3Ru-NC_Ge14y<z?mS{!c#_OE9vhGQMb*bO zI&sYv%d!sZB&+(*!FIb(t*Lv?=M&O;=GDuhAJh4Ie{AZtX6sw!edNqaPUB-Ibetzl z+>tMr5;Co@ZMtk>P;o`s#6oSE5{1Z=P!}OnK9$oQ$79z{todCaqZVV=6ZPU9kHhgE zjc(Ufl2_-Xb}{8NNzOmKVBWhmi#jFbFC5vXdD@`;x&PL~2WOTU1Rg%1W8BA_GSfnN zzN=b6@W*Glf2Vl%oK8|JSe&K3X2F3?H#z6jg)%rvZ}K_ez{|~+EHy>dkKv@F$g`=c znzs^yv)`;@u~t3J!oK3geW$rG;j@*jbJT@6Le_2n@WHF@<+JDQdsB8CZa#VIR@JGm zmo7WD?X#BOA7p3oHdOB4&rcSm{WYlpn{~R+x>Q$pyYH$fjWoG9Yx*lol_xsiZk(0+ z`Qxv`BE^cDuRU>o-X|nJh04D%oBMC?ZzE+}SBveoUac2>H0NsbbY~nn(OvUp^RqCY zV;ly{Ma+M+r+Kaq(|o#&voy)`Bai;2i7(?s7{vDkpZjWNyRf!>_D#0A<p!yH*j(>c zZ;{e;)UEz5bFW%S{@?rM@vUCB8{N(<n6u;9Y~R?|Cn_qsr(2!xy_8t-ex>ocV!q>3 zebeh!KmPtFJ$A}JhE-`?;g75hYrC8domKjm;&XlHj?~4LWxZz<?_DVA3u<Q6TX{}+ z66fl5{uh6EJe64y<o)I9Q44Lp*6u3nCodE0lFt~(I6U8A+An8Xu*~SF*B6hrwYxHm zVsaaoA7!)BI&1uRv5D@AubKDF`@SEv`nI|A$8(ddVTsxE{xPopvVBHGytT}hSI6A7 z&I)8GR(87b%`WG2eQD+2_VJ>JipeD_^GwyXcAwOQt=Kx-biM>$&rlLm|EE`YU5b0* zt*sZmV}Hul{|o-|a_&j?_s&a;AFlh8DR_74|0(No^y_+aU3xRy-CKoTNc0L_b^I5z z=xDtkEAz**Y@%g*fBVhUWSo0RY|{;!MbhHGRuuQR6&?67*MjAGkJ6{K33D7j7pf%d zJdX@%KF|Mkb-)zyX6{w>nIA6R7VY|!-MPvw=FQ?_EzzgvH@@mzHDOQqVy%_OdG!}F z{tR!GEmc@?;{O{J*XVEGKU~c(eQ?>c>l}~Pt51(rT)jWEulKol+3`-I^%9@JI`Q5; z3K!P<Tr78VZG3!6<m)yOR<|jdQAwJwjO1c<N@fNwTj8_o%(YEYOQq5x?=${?tm0a` zN@U}j&8sdLMlM_7I^pxK81{1+=f8;UXY*M5XxY<~KIO8h!InZ_UuwBlx@YR^7+u&D zzqRb960_axZmHing8e^s2VO78{JB8Nor&*5z&zLK(j5mAO)GX>Suk5_=gKv1fsToG z&C`lcM>TA@sQr2CZ9QWqYc<WRm4Qc|vFEtP{xkNx81aYEeonvrgU`QnelYUoOgtAZ zIV&<T{A^C=L7TD#?7PZl>*~o^OUH&!)$HQ>lBN8iWagsJ>DpEwRO>Pp-O~>)N%Gm9 zGiyqO@ifUs`O_kMZKDGZ7)M^*SbTuzV}?-LYF@q*T53;x!>(wxOlA9daN7@uwGY0| zx_Zs>&?)&tbA{9&rnY$<c~vSC?)7Ka*{fbl*IJZswtuWxd;3Km-y>N?)%N;#&u&iH zWvj@ui=%mu#@*AOU+<PI3TDm?^5NDK`6Df!!r`ZS;onxP*tiEJGy9~LpXQ#U*Bvmu z<f5+s;-hPPR181ATsHq=izRdMTkbXQPi$D!^rCT&zW&DA@HWxX*V<ldrW*NI?L9Mh z9?#)sR^MH>XWx07Z7@qT!7ab|^b(E$n@!QoRwDYZjzkMgJW_3-)cIZWpToB=9bVsj zx|AMx?0Lj=_h+f+o)uT_U+CP<V0+<f;-AJje|vT-eVoO*D^&en;`3!f{lT5PGSA7s z3bA@0)8V2ul{0bqMYC(Sg;Hyd__(fhl@XbF-!5zF7vc01w~9rJ7ECQ(@HEEt#-5s^ za#mW)f?sO$J$$*slJT<d^#z_W`87xP{a2r5Q2r{bQl`A2a_Q@}#jCFM9Z%ia(-rj1 zRq|Bgyt>QHp3j^4_BNO41imkso_9TN&c)dCzpQQdoBUW&?WF$n_nCKBS{CK0n`L}q zcsbR){om7rMh5l^4NCu>ZeIENGfU0iH!7~Zed}#)`guG~ZHaHT7dSV2(h23`$F>=q z*ycDTR`XF`+=8R0cbx6MB);cBzF*e)`g4!|TZqr|zg=1L?qE>Ku{$OCuW|*-EQ6gV zR)*-zvH#bt%*g+x$L5dK+ZgK@U*iLhR_*Nibf$8mrPx`Qy{~OtD<=j_@H=iL?Zi9( z$HA#-NwZ?JFP7AVy_lbIJiqI5=8iR13;cGMN}0uNeqFF)!R+==8xGFmHLG_Gt}Ew% z%DOh-(2~~`*RO7t>susqX42Y4Pd0eVq%wD(7V%vfe&q5q)dN;`qTZADEKZI-z2x>s z?z!)Bw2hu;GJEB&IsV(=n$4o+k>8$w?b@X~Db9LH%I^4ts`H;0%vLT4+HvVsut?8_ zH9->t>sKmsPiJ+jYk9FmS^P)FtIDoVt2_LDeUR1Hwec4`&$W41bp^AXiGWt{mkhS* zoX%e&Q&okpDA*j=Evk6j{M0S3W7R9MA15s01>g10Yn0z7+w3KKQPShu=X3t2S<dG7 zOkH5~P<Wrt<apWGGs!<1KO5e7t6(b8@%Xa1#wqT=X2wKYy(@?G8a8-}f7%u3bBtBs zy4l%`$g5#ZwZfv7!Rt;;Iqq+m_vrTrZoL+soPx(&n$%~uyIkO9Q<1flPkO6xk$27I z%+HZUoRa1nPK8`(aoO}TO)cIsK9EuN$-+HWQ70SZpDUN#Jr=hvbcf%mJyyH=UvAbr zq_;#QHYe)5&%6B|fsV>iuU;^+y}iz$_UgpRu6<&&%RD<f?j*6W`G<+*28d|PUGpOG zfTXR@5z9x7ajP%Rw|p_FyYLRf9($oL=|N0;9T%_dZv8H`Ab919zp`5on|Rm!nK-5G z30KW`o!MLeCfPVF62JMLU9aK(OVzTUD_9GRE*ii2w2Sr4qa>qw4^N!tdnlQ<=xmgp zQ<x{GYy8Eod%GP(?rw7koW60gXz5?$InR0KO*uA4aqXdmv!PZtFQfi9v-bTl*8ZiZ z{fj|sj;-~~ABL~y*nVl;_*zK5_fPk>C#Bn-Oz@WRj`QFCr1aft_D9p2ZPxsaKGrDF znRLH!(K!Rn#QW8^_I;e*Q7ay+^sTnkb9U{He^P>*&J-AV`Cj^Y;TX^DU3=Buw(DMe zTN(I^e|7Z{r#)S-P3~WC`#0~TsY17WVe^6&Gq_s6S_XCfX1VguNKbOTWViRc$fkKU zTf+`SFf#Yp?6aEF6Tfz6rXrurb@!J%F|m1ZD>UpqLfW!D9tX{7GjMiEmH5T1pDI3m zLSWn1rYl!YcC8a;H22{A7R>bAFHB@#NZ^9HO^ZUOFS_W-wL`b`rc|%X)>(_<zFzV% zRjg6uKfU&Xs)tBxlC#)GwWBF63umO{PT8t;!v2Qyt$h}6R>v;U=+{pc-fdQusk&;z zKKrxmKhL^G+)MQQlE9xmKjH1w)J1<kPER~>&m{8V*+oxcgAT3w+1ReG&BgfVNs8-s zhB;TSWG<6kv|>iZS5sNvy|aC59~;RwXZI*_*P1*nV*TB9a!1?B6;l>0TAS+?x%*6m z?ev(wN?XD1@5`s&+3>OG1wV7X$jQ}9TK=8p-S+MC>8X8JABHpVW?$#bT0F&Jy~&L` zC&M+k9td2IZ#ZW#J)iZ9rq|Q^UK>9>eQmUsH~RCBYj4VJ?Bw@31;$OVGbuR!Kz!Yo z<@0x#{r7VHIo0LA(Yc5hi=PKL2VK~ILvr`}kR|`@A}`ur&-y35v}N0Vsm5z3yMF11 ziTp_1q?B!#!~9F@Vp{7Ihx&yVvt_=NEr`vXJm;>`T<z(Z7tdYbx-{Q*L-L8xsL!l& z8UJpC`bmg?kPAMvqoKU`%Gz!NNj=r{f2uuyK54mDPP8oHbY8C8C^%2EtE{`mdDnrC z)3!H5uI*I6u<PmD(7cqX`mu{b;|^)Pk9f3VPm8GF)ae`KLMGI6l$HL?WG&at2njLZ z_T@N#_D*8X;%k4>-WA3xo1|Qw-YIA9#p$$Iz2Jq2t93)t1vf=IRaFOV8>>64Ne2Rh znzo%g{P10^saDmthbuR?NU?mKG36MSy`bcj;wK`mXD?Me_}b^sv@}>`ZspcRO;g(| zR-E3U*|p9q`PS~YcA-A^m(S|*EnoO$zrR<qt2)QY<GgD)&Go)q3=Y>m)i3CKVBe2u zgPh<=vzCNkmzL2j$UnHCr~U5NhhA}|KhzZMRk>#?YE99*?wPsfMCw5cslcL@{Z;zD z)min=g8DM+r!N+ays=(nTXSBC$?25+EN?z;klQpbFZulC%W+?p2POVkFy&=cviL6U zp1IH8)~>thzISEh4c$E}7w=1`{$~2=gv``qX4|6f$9!|r)m!nTh<A6g^4iL@ls?gC zVg6Ct>n8oU#8nV4mAI_4d+9cH%{X7~sG7%RJLYy2Pe0W2>|@#5weovROiWBTcHgiG z%WjG^KWfw*=2M>Hpnh%E<yg6`Q~qiGRb-s}^jO)1qP=JPU#hc2eB|{tbym!|{z!Pz zl-Fy2dW+iE-mKfW-ga)$UhVl8_g?2aS-4_iz=Z1Na;vF+XBGGTIOu-k4M%DGuWrX) zAxWc*eE-}x9F=c9E}oe&cf*&>(?ZUdYR|dxN#o>v_bsP{_g-;7ue77^%7+P0#jhMn zS@TIj>v(MU>sJvxU)TTo@nTW7Xlb<iE*WK+&yPN5Y<>RByY|bWtv3BPCMxZyj!4?m zdj5tPcizRbGo_An%(7V@^J?+L>zb#IFV9$c^7C@@y(NmTww6hhW_*-*m1s0;$2ZZe zHNnEKOYRu$VQ-Bzky*wrS#;6Jz5ibA@~Ekt)<SJgVQcuc-Fg?DToi3&+9jO(_21_p z`L*%OzMqWVd*QkBl|v~xPrsk8-+$-h$uRvIqx7ANnl9b1)L4IQ&EoBQcRaek!Dcm6 zux>V=Y1e~?+SVE$7_~nLwz#ZX^(d_A1@p7Y6JNVNJ--@}Sab9lb6D!3uje?f3#>6? zKJlaB>!HdO9lM#^R9E<@*za7`%yxexo49k#lo!@z2botqw3hlJtNq#ZRie?pWZS-7 z2MbS@>r@@&?c$P>nf~X5?Y6rU?y7#P6f^Bs%J<UTULi2K)$YiW*S$G8hLYU1A2x2| zKP|e|V}5r2X#=%nwXMpok}DmwS?|0pTRwRocatf@C%1buwy~YLeCY1A2EHEd)`!JG zQg0>hb03@WS1~nOI(eq=%=xD5n-|YJe<D+AV$a9#D-6|mmKTOtoZy}3SEiC|wfNpt z8^x28A9I|W<=->qsM1wKZMoxXKAYRBD|YgJku%LSS+<Jr?8X~cW_jow+|2c~DmsSY z@<*Nvt9&9H&n~&jdf2B;ef6p}5>KnPZ*h;<ctd6Ghn;a%o91SP>6isCuUlun-?lJB z$E<08SZtd6!h~R}>{&1KXZuY~+-)SZ<(H~(X!FY7)zv(=lpMA0{62C@cF)VZ-EL6{ zrmB+Lf_%*Gyj&u5eq-guq+KU}{8f&#`k>Ytt);!ANJ{JD=ND%8j>k7fxpLk;yJdgj zT6@#NxArm84*bP$`L(|+*>dK<5)<LA5|XEX@Wm)+)jZADN#6D)-1V-O>-?iZ&#pcS zIH>h*<Ja{za+_zE=;@qx-(0fy>pP#zkB)vZ%6__krf+qtws1h_eD~<ccD8j>FIfBB zKCt!Ql|x(mcbGNUJ-em2{Q+~V%lXf@c^?OMPyA@3UB#JoYs>SQZ_Br9yfO>@vNOOd z_txt>kB?53^_3R&b6xGZ>(9G;Jd+JRZ2$D@^JlNUukW0iFJM(Bdfxi)$s4D-xl4aX zTeDS~{k^+6d-3!)p|Y&Xj$f94;&Pq0Q_VRqZbq5m<NfO{ef&FZ>WxK5r%m{%8@zIX zr0^==`^Bc^rthA}zFN4)>({|%nbi?z8*dz*`Z^$S`QO&`7rqVCGk-jdJFxrCsuc?& zx9dEcmYJdX=Ae%B&Zn266Z!w8KJz@aEM%6;(PgFC#yN-I)HaL%?sCsRs+($*mr%N| zafOD<%@X15e?FSIvifGMTq3Ho=bPEKbkp##MTfrKIr+hyG5_j^tvwb`%3^$EkKHma z^tOzeReG8&FS2TPvVWf2y~A>~bHsyR>sRlQJsG?<Kr~po$4BYgv>D77iqFqWzg0NT zF82$|=DyB-XX-wt=!mT}6TZe0o!=`x>sDv3Ge^6v|2Ah8uNlSGGdXqF?_JdSk0&p0 zd3_Rt`SvaOawpENeLK7V>9f0UXBn=~SgD>K#o09N_GV>AZ@UG`j;Ft+3niW05IU*I z)q1w$oB2xHk~tqWh-|Daah`aJ%WT!&!&y0sHaE}RvdVo`f6H|CuUCuqo_=KIG0!La z(Jk%9vbDEV-yV{={_jVv^%}mT2g=qq-<bC8WSPu%JMXzhpW^;MaalM0+`1zQ%m4kf zOi6J%bIMD5){LaK)oU&K7Jprn^5oh-%ajYL?j;dXD}U?C@tdAD3HT+wdR1KCN%eXW z-|h!CK9x%)w|AJnlT!W{`TYt@$dryHJL+rVkEKi&?>cel^PRUl>N77#v$;KecU$x+ z_xbBPQt!!DHP!b{@2(DL_SiP*h3Vr<u6DQDu0<J3ZEdpI$IEN?!_Q`Ym&)OToM|Rm z?W-LRoXh<)w<7#|!5MqQs@pgI8_C<&Enl=JYG>g6s^yFF5-(QFo${&WeeAX53$ZrV zmch9fx11>X_bGLCgZQ2KiF)hi*FEX`7RzP*U&yFr?v?ADuBuG)byc^ih*vYso!k|+ zVTp<3W983lBuu$Jbu8m+(tEh{!`3MZvDcg8rsw^(yxZ}@OZP;vxsMm;qq9{T53Kul zbz+lvY|oVjy{^6o7nZw)2X5(+4pLsBVKq@Y$TLo4?kV26b2bKu*fvXlx-E4~edkhx zwW>#S&t^_qeCLvxpor_&&RfS!QjfVyT$yw&*JjzyZ)<OgwJp*NGf4^iRG#2=WrpFq z>D!(yc)Vey^0D4zr`s;=-#(@t;(Prw#ecTf95rQwv<dHWs|rJc-{$_vEK^EZdh7Nb ztAlI2w=P?zaCUB_;qSJ#^xG;eZ#JYJo3rw(L;9-|d-x`5I>)zLDA%U-&fRcox!YN< zH#e+h7k&S2&+9w8p=$w8|4ECdRnc6gEXl^E?xMX5V)B<aEZg!r#&hSrd+(NLo%$-a zd$Q7$*Sf{(j@?q>mnu$u-6pmp)6kA3@NSIf)+w)L-}p6&Eq%9yMWjUiq1lzpbxSy> zyzbo<{9u_{xscN4i*rTVj;>qM8KJt1y>jY_o)a#2+_cNo5BjFQQ~B}8Bs@s+X%)ZS z3EvROHBztrn#5AysYuk!vgXt@K0P-jc-{7Mb6M_6-|;-L%q{#<$f>V+MavJY5i7qW zWAQk_Jl)52k?5|;3lj3fFG)UlZl!bHVMEpK$%{P7%Y}I4-grqRs;znDapv0Nn0K4k z>H5y+YGZX)JF~+2!r4QKrh)>}cRCY|1vzh~?WkFkdsOM_!e1G@C6Q11`eurn-MKTP zH72_?SNLW9Uh9N)qW%W8OSNlvbXpqs`Rvx_USP(VJZn?H<HF4~ZIRA9@AXCsa0>6d z@WyZJp{r>elDkjNjZ3~&{OF{mLr$T)%c6<5JVb?-AC(m_&d$2{e{xQWwMf(7weP;9 zY?ZyncWW+dKyotA<kulKhj?Z?vQ$U7s-%VAuDCV%_1W`Hy)Ogg=CsaPWAgZnc1WVv z74EwWO`pw)68>CiX5<t#t2N`OQn5}&${Op2`Ued~dzYswx(m<QxcSb>lN(aQU6TS7 zUiGc$Kla9hX>){F)fv9l2$yE<ge9Welf)!q=C|5i=b3#qjVE&BC0U`BeFy7(-(5*D z5n1^>aO*`;gH^liW;rY|YOmOAZ4r`qZ01e1@~TD0`dn__(_PnK7^V`o))?d`qt(ia z(d|!#%RN*A4=Y98J!xn>XGNoXS^C1qhED6I$CS$^PuhHT#>|G@)~Spw>9Z9#*Z8Q& zuxQzHYlY386U=<z;JSv5Z;l?y+44$dy~xcIWm9AARD+V+SHDioC^U;Pk~x@TY{6|S zn5^q{_hgvsnOW{T?`?dSVx1N?JF&z5tf;{{v#g^z#vyh(Y1d}SY+x%-*m3Mou=yFE z?oA4hrW#szwFjoH*mGtL=gi`Vw~p019TzgSdc3Z@b$-%0)6{D*FS#tPZV{F_xlHFt z!3~~6{L-5*2tSi~yW*KnL)GSGH8woAp75<OOrBWL8<ylP=AmmZRbkC-YBc-$(rG$R zQZCF%FS4qC)FqLx)LWLmP&LPA<C9&>W%FIND-Ftu)NgMUy;1!<ec>ail{FIIf~%Q% zjvuq+R^a=#_ipFcsaA$*sfx*_M?PnUe`48uY))>Q;I>^l4VGzljxf(|)A=$XGXK@t zn~QWh3Ih7)9Lp)$z3JGN3X%BvtU{~TY)m+MO6SWCN#pF`%Qs`+X=rDJ&MY%HHZkv9 z(?+3bv33X7Y~Rp%YYM9fU)0m(w~EhLdRF9li-qVksV=B-F%sMUJgK(6`u%}3M^_(} z%_$bEf8^Iw@az3KC!XZMB=gCyzg}sPVDnHfk20Eap>ooDzxC#ax6V;#-+f7amS~z& z)1BM0iD$Lvr`>w`cyhtj2RRaF0++r!Vi{1s##&c${XvOUg-iWbt$WBDb!D!UmV99H z=PSX}Sp{_DXY(XCAHJ7+qDyMJ!B@Aez_1fXJaht^pDuGU&OXznvpH$&9;Mj*<{V}l zDpt)FH8u^>4L%ZEeNe@CO4g~aIn#R1CN4GG<(y=u|LyF%D?1{4ALO5MT5EdvPIb5T z-ZN_qpI@EQzqYq!wc+$#aq81&F1+<?hG^T2dyxVsKM9uYs9Dq5qFH+U%8aWYKN&qq z@)dJ9!gbro*!`|zq4AUrNtxD-uVV{?*W6T--g0qnk9AhXtoL4Xv#!lDjE<j>ce2Uj z*qI97rtSChcROdDnQ>2MOWNY|S5NM`JvWcNxvF8}>$Pnw%St8MxeLr~uiw_>R*Ok) zd+?#Ayh^K^J$>QvGoMyYytU%!KAp2ntNO2meb9O2=ik<R&TRD=*+BU&l{cR{(@f*v z%$de2vf1}Y&NS`HS&_MIN*)hq<$U2XJ|moH!hJwbzMp0NX|H+99$%awYH;`&_b%5+ zhT<E0j_F*9l9vAedUL?xtDZ61>q^w47p9o9-HUzqL@M~blX;qQvSVJE`Yq9Ea-6d_ zU0D5QLu7BXcJwBOB-4{DMIUm*f0e9Z__b*LQBi~Dt=XkZ&zdBs?bub*a&~&|ld8pU zN+hPg_p&<7Gh6goW!jpWS35n8+g^z8Vp{&ml_Rg=;$z1{`^(C2E$fpoUstmxG~Dje zx^2Qc3g6U8ALG0AR9Wevi%wI*{<mCGna3WUb6t0RgYw#pGpkI5YtCMI!ME}3sTn)I z=!G9yv5TcF`No2MZ@E0SFF8~C-hP|iD)Z@^V@^JH^V5~jYd;%N`*X<(QDb32j@2i- z=8C;$=-buYEcUL-<!fz&RrncALF+lsp6-rue5`!+M@aF{B@q|8ruMHoEF5j!6k5OL zwO>J!N=&EbUZK<HJ3KCEJ?DQHu>qAzWfyqZtwYM%ZO>3R{;OgJEdl}rLLeq?< zXvl}l_I>DQN;#Er)BC!ip>b65)u^XdYo@&Zo1*`sQ2C~5*^Kg@wbkx^zB3GG?5Nf^ zs5{lFesIU;N4utEhWi)@d%X6mZv0?unK<=*m$tF+kAG1=uly=FwB~T_=e>uF<Y(}f z9Y3~4!_8Ts?%)Zo*&Z#QIMaB-jk{y4ef`{oBsWZ5w?Q*az|(E#z1??ShHaHSIJdVj zk>RUGW>Kr()<c{!$-48~WY_F-{@rP96f{*sUefc0<)Ry<k4k1tnXY=cLQziaakt*O z2QPQLPGLPAoXoHJtZPo=?86U2?XGe~PQ5kn?z#(`B2==D3jO+dH1GOz@z99@0{)!- z#d9am<hp+Dl%LzXYbol<CwtOVAODPxEz|mQ^?{e1EGMh`i{r*Wj$BZW?Df98M6dKI zGxzZmwx>5n3cfVIcq%6Fg8TY}3Wk~McuP-aTlWM7&foCpitVJ=3#S&HNw{{ef6)s$ zJH4)|?^ln9d^K0*U7XDt_`;}W#!}nbu2$h&)y^tOUz3w2Rvv!6JmQzQ$L#~LhmZ7M zv2M@cv)}ptz`a$yYOgL=P3X6eTC)FmibSk%Sgcdsy_>h=Of3(*yitEn?)eVZbLkR4 zMP1YnElYm??6C6DlsO&6RW6p#^}|iPFBEF_iZ(7iEqZmyfyWVvr*>xUT*StBS7Yf$ zr4I*+T+JVTaA)7TDtTh%mY@mKD~}2MJDK=?Pt+{#eY4*m<7Ra6)UbFdXJ_f*86bA& z@U3YR4u)#YXcaa$i)DD^{QX7wa=jhz0`+UF_~T;YIE~_TcXaWe{kB6qU+>U?Ylm%X zl+yHWf91U;np5d-l08-E+^Y2AzNqGX{yO0ycY?p~RoIZcbJ6AN530pai^g&~xHlK3 zCI>E#sM@`K@6qocCN6nq8uh*Uhrva~?5)i2j2<+8T;baJE=gL?N!RCC?49?5SAOJn z?B<bq^6z_;>zb61DSM75=vLo7u3mUcol{$V<|UO?w$HQIKXTT|x>Xx>v_0bJ*6*33 z@(H5R0o}s32iPl^zZakAVc&6|<x0d+_K2h1@5M!DyBuxb(#3!8{VJt<cO+!HuUrzl z_&RRtLV2T~tYMFYIl2V*COlX>$$9n`A$^I8=me{*HAlGD9EqPTQu;g8Vx3T5rP<yi zTJ<-Ud@$_}m#F$=Wq0f=SMa`=>B~~qS{}bN-!(^wZ|gswyN6tE?}%Uj)$dW>V~sTL zDG$GLb}u@#)PFfoUgg%3ne3f*xqJJLr2d)o;MP|wq3Kl<baYpQ3dNp!-oPv^vvK;T z(?(Y2QAa;cue2~fmgBK`W$wG?$15X3ENso4MJ@j7O)J00R-5%x&u;4;F;f-w*FO!j z-h9~|@vDPfWTpIcZ7b&;ZA*@5>^?5c5wrfqH1-dh)Y_6<I_jqhEijdn>&|DmQn<n~ zx$J??#c$f0bAK+Kv~5##k=zx%+h^2x>L$z$@i~^Z>{Q~evuieoS?KlXO%vL+XPM}{ z&kGiRsCBA|@@j~?&UK$BVD_N^k$mNc%R8f4@8;ScKU^cn*ZF66x!&iCuQlJ+wcprX zFf(g@df>v6_v%Y)`1o`BSfuYe_f2$9ve~hrF(^dm?*AFr9cSN5D8A!-t9b86zC$@7 zhf=<@C2e1L=-|8F&KKS7A9{Jy_c7*6^b|!jb+!JkoNMhN$)L$Be=Ug3!OK+Z&%28Z z8T+`d8c6=>{jxnEaUrw9mXyUxS07iox^q4L7<6c9^QIeTBrhu*n7>5i`_s@15p$-+ z?+Fx)v1GbsnqLxQ$z<X=T`=H)E6e^5uD`RLf0tccdidU&j>|R?Uwb(`oDRs#2eNc; z+`d5NMEZiGl|Q?u?^`XGsQPR2K?V)Oh8`8|gL)ErO1YX3o9v}$eVexBua0U4?+=+t zec@Sg+N-?Uw7pkEY}ps+CF$p9cRSJAQM>iq5yrk&kNV~!)6*4eCAnV4iZ0hST6R;> zZP5wtSyeOp^@M6vUey#!uAO;6(}MHdTQ@FVwv6}Z-_GNE%e>?6?S-`p+kY?Fdu`3( z@>vV-$UUF0T-|h5U(jgd!IuKPH@9A!61?-{EPL~kS4-BWPPbS;RV+NI+xgd@#moA; zjxKXmdVE&m{=2+M(uN*;uUW@m)X=B!@Fdbyzax~!>f&-*Whe9x=4FG+r}DaLEB zN7TeMhjuTKfB$}ATBiV0oJ+D&>(s3W>!wb;wL*3i*J@=|S5@XNFSbeV9zI^QqCAV` zwM5jPna8;nJ)Hh|o5Y>V4gr}WaneuM8XL?t^e8PmrB^Pq@9v4JY>~FA>t0H7L7E%) zICg&3lrp=%#W~IOgiuMpc8x^#+b2a?mf!ZOm3eJTIw{2$I`5kD@)<o3Iks@m*0L5^ zq<g70{d!Le+ib~%&v%u7B*iae-`(bA@anI|zHM!72CNr9Ja^yN-EmZ-<AmK8jj+g1 z+pf<%d|S(j@5MdG8^vr(zE=3?Z$AI=U7}D{qS3s^dyghvQ8-@FXRhOJx6VU1ZtaTa zjk9hW1|_-V88MZw5BqZD@r|?V;@7U&8Sp+W-i&Gey09z1b}Z7%UmN!2x4I0=*GnDO zO_Zi7P2qZI>$~GG=gVJZTpNP~<g<^+|7Vlmv8%yYG5*Yd7cb7(IMu(W-gnOZXuenP zP11awf71SqM^oM;X~`PMhqLXz!FlA^){Z~&TmL+J^XaSIx=@z?F9qIOx;I+vJ!3s9 z-=%T74acbo>L0HPYNuQm+Iu9rJ!;E?7I{hik8K&EQWj?VAIl!CRTmW%kvkZ<d1mA@ zv&T+LJ<V&+`HE(IQf~UW|N6T7&mOLbJ>D|yYS*cj4L5~<q-|Z~d$x<oer=e^2Tk=X zZPt%{?T@2APhokkrm*R_g5S}k-TycE$hW+-to#0I^KzB7*FT@$mpw0RO1P-;>Xn`G zqWj)UUYWd5VD0C;{de4%=Z7Adp0M7a^6qA<mh8PB63!Oq%3XP1c=wA8`_`78tv&7m zzZW+dy0EU@|GZzo?!eXS^MtSY8<iaX+_mDiV|&)tcJG<#mp`xh9;<)g;?epG`<^eW zU$pY9__>tBmp%5)xF780$=dT@y>>?3vD_rz?voXh>ZPu?UVIT?6zAM}=;7zXThk6{ z>ieuOKF)2{=^X$4;ED5Qnf!Z?1nE^?ocKv7ICjZ~TUWI1_`kRscS>I;^>O#Cx6jX% z@n;1tI$t}Z{P+Hr?4<^h^NmfqwA9}|*C~GNT`^y}kNfg|Nk@I<vo+hbZhc~(yD<I- z)84$$5<?DI+f!PnO4C+qR6KZpTT^P;*FUG1@$Xrqq3`2g@O1L@t+!qmJ@{O={)M>f z^`{TgT#stMnJx4uMq4fB<kV8j0%g%B@}1{jU1au&{@As+@QcUVk8Lv7EZ$$;V%fRf zsC7}qrxiS`n&JL;EnFw@JoHUFC(MzjAriIrp2`bXBiD1~E7I<Ecv$3xstJFK$rj0b zm+-CMxWC@=kNS+#VC}OXOlnM&k21~Qa^cZO*F$B8tTVZ?^>_C@TWnk=yT`BWPO!<c z_u?(5S48-%wwFq_xE}qYudq!0*WGI|`B!AscJJtk^?GUNuyOj~*?f8zOpGno7VJ96 zs#dL2E3x39>;nly9gFKn%0-LzZobpVG()Vj=b5wK&vzRx?wEbH@$lb2B|NedzJ>Nj z+1S6R3q0V=J1I%~dtLb?>mMKLFYfrUqd?TmG1TRM&c~qeKj${@>!0D|W1_xH`OS{c zA9J4x^c~4ME3i(IcX9UIf|cscJ0nX2ANjT#NQVjfH+w4o-*;?5W9UYYT-JTZl0KO6 z&%bnv#lj_U>K>i_T5O7aPoK#fvqi?q%5u3m#~-aOb76N{y}v*;Eo{=kukC^C!jBz# z_8mxb{nvb5BWu#c+5iUg*GjWRWh0K5KfN-+v}@MU>*u{X?_O|h+?Xx0_Fj+o594bZ zzuGU9d2^n5&$Q6A>)x$38WSQGuehAu#U#Eau;fs7*OjH&BDq#xvp=+z9J+dC!U5B4 zkyggYjc!Xl`08u2lIoR${MQ72Y5wv%)QiLR%EvH`pu6)IJz6qv?^czc6Jq6R0vN>C zRPlD}*@Q5(*M6F%RP)xT;nc$DIgyiA^CjC}<vc9BWD0+g=MuN8t}8<{rhh4QdCl+m zGNP|PBzSQ^mCR!WtB1*6O%i7>>WSQ%7<8(=o5fhpOpN<wgr=;Ap1N;Q-i<5oJ>z@M ze>XAtvq#-^<Ka(P+x~6e-7CcT`u^DqEp2zzv(r{y|N2d4f%GHObL-7`uJDR(+jDmJ z@%7RVqW{TqS48Xawwg5ROR;BnX_y}5>*w~4KI}TH_1M%G;w9hT7%rJQ@zSje2Juld zpELZOWN^fClZ5Wu4XgBI?LGEu@Ywu69pKjS;>iD7TV~~1uUa<6XlLM-L)w>RSTe;v z3KTl1s}&fjekkmW$#*`cA7)@Fx!ukG0OJj7v5=2j4e~BXmmD_Cy}taT%Nir0dER%= zzk9bZ$g}a;-t%34^7H>3>^J(!Wa!uO*HQZEofMVCvs;8sXRL0yHC6g6YkIidHv!J} zSDjN|NA;>-EPJ{n#9MlOVP^-Y*(YP>To#!J6W()3xLsehA#72$jo{__t%{GoRy<p6 zZ}~y`g24V+*%G<akM(W+ILpIGWR8$$Tl3|`4l`ViO|^R^#b@=pk@@Xi^Ni42Thmtf z3qE#td)8XNx;=FIgUWsWg6HaPT@<kISf#X!-)L3mxA-@U&zYL-O-?xUPNwTbd~Vt| zzhooB+N*+kbHDDmos<ypa_M6EYdwoTPLten_-cB@Mvs~c4jEC)>!&a5HMiTABC$bo z?(Q%Pwc<k?A2I)G7Iz4BIq7@v%eigIb!q9x<7>8a*;*gD(KlUDVe+bFXCIeeeSPU^ z*3;!jeXO5k@T--&`0r)-Xt7Fs;`#Frj^EAMd)BaLo#CYGcVv01zR#Vp<|&Kk->5F0 zE=AXKCf%inZsgbHBm|z|3T9X)5dQIVM5DX>SE2g8KOeN$f4JzfmqTQ7p+!GW=qA65 zQx6qwoRV<E*q~<aqVDY)pXTrK3w%9MF7CV@&zY#@FHY;;&<^%G&1I~|8Lt(!_qM+C z?wX#aeG@94y}q$CS)<@<RLI4-U-*mj>gI~CWK8+ex@*a_^}#9Jm%W#?)VZ_2i&=i+ zGh5l`9hakPrrbL#FZ-f;?;^(x|I6q764=kyEfEWRyJu3LmYCzwsreRKMPFq@TA2d{ z??_i%zPM?H@98^9XWx5hE=;w*R&-`|-?YGso8+@A?QY%PqGOy~$UWJ>v?FUi?=_vd z+DD@{=e0>Ll3=^lp8v@D=+PtlJB!bRxmHd+$&%N9y&-F%q7UbvzuVVtX#e8>S>}t_ zy2T$RS@1S$rp8?VH*wkiJx?RdS?(5^nqK&+I=^Yz;t!e3UXQ-rIkx@7$*uNP8u}i^ z7eD-r-jSre@TiUGls~eOkMg6Iuh_Z0&u_6{Mef{13K~8q-Y@2yo_Q#K=7)DyuA5sv zh%0}1Y~@<d?)Y>~_?4#-ZznS^ZJDjlQ*yj`YyE+<FYc(!l`eVyP@&-Y+vbwzbAuLr zW_edr$0cmxm%PiH(?>G-op)lN=&c0J#+j#P$R5}rbR~SY*%V%l3h8qmy%K$<?+mwl zb@)rIT57eBFZ$}ImeNDFb2rw`l&zMHdUW)Qf_-W&|JIZl^HQR=mkTgeHP0{8t8jO( z(`DZ8<lA1S>%VpHeOEn;jn{M27oJs1)-}#>>3z?z=IQQC*8d?^d8tWv#ALU>{?B<` z`I2_)hgE8O&aoU>63X$q#OGVJ{$cr(26m?-Bs(J~`z;Onc$8T^{g+DKxy7!3Si1z@ zYu>qVvET9eqM+of7q9-2`SR}jmW$j!oZH^-J$^FA>D>1uOa6BvoZme<f9QTKVA#F4 zzndZDmOtOcz~+v;PfK`jY<U{;F4Kg2OUjbPmisz;qiz0FpG>|#T{_gZaC@q7*5?%h zhd<29?fT@q=v~B4{yXQa#3x^r{Tw*!h{Cy~B+Dg16Aiq*c8Z+fKPK#=-uPGJ?9!H= z$qJ3TEMw12(5p1#WljC}ttUVwsVuka?dGP^8?#-K7d*21;+a}JOS$dnPp6XZFV*EC z%ajY)l25fV%Ky!_e*UsVqoAPMtj@^de)FjqrGJOM{no9hdZE*1xO<n{!u{;Glxo8J zPCk)e%wbV>ccDhUoa@K$K7VAFSzNoP_UG*Ff30k<p1;2`>F|Uad9C7(Lkr&A=sa&J z*SquVx@FoDci%F*hHSW$xo4X6@kJZYie8)%ntHvryMOzmkC{JA-Q5rK8=D^edzfvX zY0W=&t9WUPc=;a<$@k~?u$@bL^~~Ug9?z$oT{H6e>t||Ori6ADN3mAk(42Yh;(p~q z(eig+_5W-Ujy*i}bKp$(>qV*BT8UBb8m04o23TioWS9FTu+`F~duG`O_aEPG7|Q)| z3DLcKB=@m>kP9oX-{aUj6@pT?W2Q{gwVKho==t*?sj4dqH|3IdzP1ly+1bCbNxmso zOY_nW--B{9qFPTsUY-`gpJzSuwxaxFz4Qqg>YJtiZ&a#3|FtWs|D!<LYxj8v9(?VR zvI`T@h+Us?`1lM#zsU73j1nuGr#RHV;ye?#{zdYF<kzBq-%akhcqMM{%fPH@_m=m* zU-01jng>VxT@JpFJlJg?7!vSJeP8@v?(H@m)7-X%Tw4F<z(e6_v))bJR=ZnnlCsj) ziz$bs_~egfPCKz~wn5waBTt&-%Z1u*r`_SYbibn0;!AMt|EG-1xBqsoxH{`0i{Fog z`~pL%g71xAB<HgS9>3(u|Ka5V|G@QJhX1~I*OuH!@A~vK=ouq(IN#)plb-O)c%J5+ zzqJ47mu&WZ$ss{Lyo<Kj8U|MyOZB?Ga=Xg-*~0o&^ZAOo6;0ZA?XPcJ^ZmfI!WEG{ zrq_)BGHv<bf6`LdcfPjP4JP};$I{&XzAn}&6<-q`67fXw$S+a<grili?6nJ5oSyZy z%kQ8(|Jv}9hvEv=kAKa&7FgdTxo-K26?Qd2%Q(&Uo(!6`@51AEyw|=mU(xc&y8C~v z#{Nqy=Qho{@saKO-2eHmYZ5|4?yM4x`JW&7!TAp(EC0Vs8<$2d$j^MSGM?*;(jUeb zRSvgvJo!&&>^{fUcSU?!h#K49#H?kBGk%Cy<ww6+%)kFgGGE!B<!j#0-*?DwTK?Xe zbDxhonLJQ8T)kGJ_Tc=G8dl#>!^!rKy#Iew_{o<OI%WG$$6u4O`4@|A)A{r6YW<A& z=^27%${P!gz06jV`6usMdGW-aNlCxje*3vOpXpM6p+2pQ|J6m0IeJfzJKtNsF^n}+ z^ZL18QOhq@%gnmEg0=JBXPezO-18TTx$$cIzcW7<^Kag~)7&fM`;-1^9-qALV%CN2 zYh1oa`^;VHaAv9Ny;$kJCEDg|T_*c_NBmlT$K_~M;wIse+2Z?NeAl&dznF75xMz*S z<o_$bcFpQ4T~zmo^G(e97rXLBOMm9<UF3UT>(l$V^&MU&3EbN(;?(2MK6sO2V|cGe z{YJG+n%1-(amKpJ`w9+9$L)BqJm0WVHM{d(!r88UkKL;im&Y~k>NDH>@Tk;g9^KjU zE~3l!e3^Sl_4vk=Jr9raDrsrxCa%v--dy)rFF9bT`lFDVdDpts=fuQlTGf?SwXLh< zl-c3Yvt{+F7j^P3=k84PIvM&W=C!3shU)uIj>j4ACo6HPTd2PO_V(6`rBx<NgFeZn zZDGqz(-4_?{Jh|`qQ?h!-~P2p{qdH0OXqMg<-dGknm41r?N*9LqPz1p(Z#xV>hI>w zE!e$h#`b;gx*l7)_ul>9ex*h0OYwz8>LHntznYtz*(YrDmbt~yp5*fGW0L;2Kl~^1 zvl3)?{ps+UAXd2cm;0H9hVx6iBWG{5SGw%=yVyf7`GR@Iq9(Sx+Zu28{qmet&HUZ! zulkp)YaQ;Ag-`vvxIVEz5O-Jl{KBx!wlmJLC$wtL+&`ucfel)d4Qsy6SbXiw1Lb-* zwHG4!atn+rX7&gF*)m`C==wjAL3=6|u)Q%ox-!)0>1tymBesA4ZnVFt`;>lWZ|15C z$Jd;`p5cF5JJar!_@86bBacUU-<HhqZd>Fx&FgdMr8V~ri=yWLYfU!q=;^Puk+{|N zJmZe%<?qi{gnr4jy?k-@`@PS@1-~BN`#&}zE_AlStM=Dl?Djtr@jSCi<yCv<tDGyr z;!Sr-zOL7)P>}rlL+VKV4K~+{jdDznA00517P0-ldJ!}Gr-c{I)~;H+L3FdW`{QRT z7N2DdYYVH%wPw5a=AWp0*2eh~?THqp{c>$4VqUqfx*6feHG_(#_P0%*|LKRH-2JID zyz6f9TXzd@SpOjYXGhc1yA4NEl@>_M+9g?c>ALIj$Gb9gRu!KWxcIT)tm=wQ*?sNV za*m<9pH*ah%)b)6?%}e{wsk$rlTRkpg<LSX;9OZai^Dzk?Z?LzOi^DH=5)uNlk1na zU*EA_yQp@7_%W5$8FFDRx4Z2wCeO9B_3N(qT)O$XKkLtCn<?S0rz^I9X}kPBikbQP z`@_x>rI*-T51+eaC#SD^$YhGhqO#mpHQnh#OW2y7D;Ax9-!g$Y#>|7gqEXHL)`?uf zbsJV&?)oWol{x2ZT-{dZ_BUnBhS6_72S*#cd!YO9eyyKL*hk4_cVz544~K8Oy7=M& z#>k_`E90EzexI^>#=-R~iZ5Nzy4Uh8yzUSmM`_C%uF@rJ5<1^5_I5okm642EWVSWX zXK(!MU6D&|E~aVio1XIOs=nXPr1a&tc&ba&Y>#h~sIz~%d{Y0s<l6Q{6Te>nI^(`S zdqLdg;y0@2ueLs7sO@{rSCYVPbDZyoy49KEG7FXl929%Pvfs>e{ic&Y=l(fT9r8Cj zVX@Uu&*T5jSVr9}UgGkmJfr)y)y@c+!%rJG_XX{nQT2W2tZv~)QPw@YALXXiu0O%& z`Xp;p@H+YX5Bb!c1fxzx&;ESj&|$?B_Nf*2@taMaD+zbl85iVQrrO(kM%X{z5ZA=k zvG9DvIpr0_5%!O>JL}&+U*7cSvCWj}TQZsRcT_$7xpD!g?^ZM0GrpHU8GOpwSrT*F zv}(@N?F)ju&&F7;+C2Z$q%S(*PAgoVv3#s}B6@Gv+UvR}HQqk>_3oTRWnGMj82`28 zXU4UP6<_|<J>ouC{Eb~@>+Dsle0vtD6+T(B%cni;+J&QkGGDF!KHWj@`PsgUta@GQ z9d%EtS<@Gt+xbO#bzzxZ5oZX`_H8b|Cg$$9y6<l_u`XrvJoYL(HNCUFT#K4NR*ROf za{t!6-#?psdU2{>xc$WcJ-l5}NB3#(VXSUml*j%{v)~<@YchXM{{0tIVz04EetT)S zsn0)sZvBG!TR2}=n13p0G)tUoez~P|&9UbT&K);eQXTC0@#C6L%07B;+GcKM_1z}p zz53;|<xP(icX-D-pWhrj-!0g9R@!CmJNf(HU5LHyuKwbO?V`8Vot`ffrTqd8pU?ZL zm$vs{n(J=sw3o53Co~7WT=V^4qDg_({)1X;-1c%!KbBu%=>7Mv!n~ywx4TodYNb~B z&T;cq`n|$c(n_H;?B|A}x8)n;_g@QhpZ;Tmz})f;lda|(?Oz^no%{CkH3!zWTipDp zS-tZAdl_!Krw2k7u6usdzcRT_S?UJU*#zTPIR}^LG(Hd5_Q1kui;I?qZDV<-`KP-4 z3ky$Z+=<8)?O*@xqwIAzfm=4~zMKA$SrU<B`_t%nn#>G?Pmf9zd}_W0*gw7P61$<2 z<I9KOefxIbWnF#Xh1?q7dZS$o-gSEx8ohSmpTF}2f6PY5i<Pz$SXTyQAKalCul31k z`u6G75*2G(HD<pMkU9BZQdIZ5z=^lzGnBszBy7E-HnCdc^jaGo=XG(ZZMQouTwNdh z_BLXj9a8ry)Gn$_qW?nVgwLN6{c8N(ck#S_x%`jH#g`wB)`V>FTh6>&u5_N6-|@B= z${`;;Ul(kcQ*!w|*TG(%XQqNvEhLv8Zb)sd(^@du;A~QSQ}@DYPCdJfw!F+<a`jG4 zh<;Aii?DfnomX7Bl<O0DlUr8m;=-nP>Az<0{S$JbX<G41$Gng7jO$lqn```fo|OB~ zPgC%#bnk&#yj^V!OXcn?cp<D<r>N?%y7#~|-mXIoTUo<qcZttgt6={pU-0|6{b8pk zUN`u(QF*cR!*f^N6I9i$MD#5Fc-TKZt=Z^Y|G#6ppR@C=z((hXSG6zBD2!{EJ-h!& zjm0#cG#}QZ&bCv;<>sxq<j=UzR%l=6qQZHyK36Wt3v6`nKXmPV%AM$?${$y!-~Gq& ze#h+==c1hO<{O)TTZ!*xJGjpH|BK~+BrYm^*dr&pF<anG6)SIM8E;eUmD~O^%L+dq zxYrkZX2zfQIRRUqru>~zQSe|kZ&y~8^Ybe|E+tBct~Xiy$*?qP*;koOUekrzZE9B5 zN99gwn|tNWQqc+LCu+8`MhEl?PCe9g)-@Nzn^#xowS9qz7>`r3Tgr~gGW+99X+Eq! zmv->@IxytDYu_+sq3XK$(|;#K&no4%kF?lfad@9#TE&h?OLyxnAwE*utPXGaV{uXY zkIA|{GnP)D@R2KDcx6Ld;STf9$M3Ay|0XQdWcK;l^QS(6qJ^h>La$BXS!DF^|FS84 z+_}G`&wq;b{xiiqTFcn`&z~tTIp4D%wfkkARqEnj(Qfl@Df6$*c7IP+G+75ZYJOR{ zFl}Ami}{JGH4GNmZ|!&xxjju{R{G`DcRp_Vx29p;o@?>iAG*Hx_3tq$Y?$A_eD9;X zTQ7P~=PMAYXtfS<Tpaembe)}<f^%cx$4xV2{gxb2d!L~m_k!2X!-y^EQICI*O38XQ zd6@#ysAR*e2hqIk^4vVJXS%PZgruGR+q++8HTzjV{i1gdlOxXy8I^sq@?_P$a9Qw& z?eA~KU;KqvL_g<E65M@rf#30s$#u_8aUM^NNI!nO_4tt=vlSk{t!R=uvMc$0PP*#a zl+we;GkNpBI35l?ZYg!|#=m8Z^S^0}>Q$Co-YK|${=|>DI?vS>6#r@Y#9A|X%CRmR znfHeu7BaUhY;$UrQd)f7P5&qRX12d4A81*wSzByv6sO5E{qEb0$tQob9F%x$ak-?m z|4?p^;ill8>@yX<U0Y>}7EL|$kzMn0=rPsfSp{Vwb*p=gbvz3Ff^R<b{+{9MKY5ew z{X36clQ}Fu{+Ob@Df^AhnuU>vJ_%}Gj(q$sUSy5z)coA!xxP<D9;YQ%eApZH{aep} z#$z9hmb@`fkuN#+`Iw;Tw3!Q>9#+ns@^a7fn5T;uI{%px^y`k<y_-|=9`6aVD2u-w zm|d_>cFsamt^DnU?_Rt#W}iCe?UNGe|4t8gyLer+Ie)EvzV-ZuYGIdS)!{!gw6ywO zi_e?zDWF>Wj;d)_i}Cfeh({h(B4=bhS=GJ%IlP%696TvzjZ{s{sZ}8>(mOk@FUd*2 z^78>-psRPy7Za_%!24S}UnNYLy+Bv#!HVB8xvz>RRq!{Rb7Gz7lzP(hZO*Aur{wmZ zOT-d6^YXIgp5L2uhH1U&>o1d2H&_}T-E*q%sPMU+wm(%b-PZG&_?T1sR^Kg6S04YP zvsa(fmYOS5Tj?}ac>R>0hZlaj!f!wO_;H($VUEQU=5KqnS48>fVl5Fv;byj5iT`F* z-E{ob+k3S8C%a24cQV@-ai5LaX(2kt>?b#VSB+tHUBtQjnjL>b+|MYkpjE!YJUw1K zFD>Ghy;wO_)>1{CmuWh`)C&1|PphJjg>P~UPf1ubu`*1@ZY7u9xvyq9*Jo&YU*gS* zmiA@~T(>=-B{4GZvir3Vw=<`j3a))&{Hu9AdKT-E)pp8KEC+Wtg>bytv1Z$kN0SvI zM5})O-FEn-;cBk=42$0Vx4Y(ibkY~yYWq2FzI;3>@4EN*F`=)4tNkyoEVJk^p3k{x zWp73GHOH2-QQiL!r!(>}&u{-(n#t~P!RN!r#|DO5wfp`R?kk@g9&CSg&89hrkMTtt zpLn$B$o|;w%PS<F+`OQvddcL4l={70W$PB`?+v|Qxw6>Tz-{flKiQYIHpiZ;)HwXY zsy*Z1q>`#ri~@!$S8*wPY&3gxY<28Ii-R-UN<U^S{pYkV(c;e~!;>4-{F9$YmtOSH zNn}-z=$z#-q5rvBhgh1#rQP~+KaQ?C<K<mGYsQ+Z6@nW-M}@lz#+mPy%SsOqHHuj& z`8xRo=c0Y5Ypz&!depvlFS*1!VT)%(DX00n^V@@$^;WCQ6llGD<9*_{xymP7>Iz?< zpIgBDKq)U~&i)3`E!wT@MO%xN9J&8Icy=k-rB_le^Z2cU^&#mx{@=rtm@Z#-(3^9t z_412zk~t^pjAp+H*0r0f_mbrW_rJ^Q{HM=8WhWVvdExq>_30~SH2L{lOIyLT@Al@E z28NN=nokRkN6K$^R{q-k=7+{frx}`$E$;GubkT{NDUln!Fk!yZ>TQR(9u+Xhi72d! znV1>M7FK6?gO_u?|0Bb6VcR`Nz31wxSmfT$e;9w+^4OXRm6m?K4^zx9u6Y`<Q)8y( zx~%U;^DG)wOCSGwJ|k7{(N6Agy(zQS=46=a$(-?6VpjBipJ-W9TkHFcUnfd)8*ER~ zyC@z0dqsBZ+za_7OUe^je~Gv%+pL@G*e|hl;VP%J(!gA!c@pQ?!Ugy4I^td-e{R*0 z=g)8XrhRl#N%LJf=k!av=_|HebU!C@+tTrL(Sg0j($3~jWXiL5b)TIOdsZ^ey-JJk z^`^N-#VK<ILT{}&S#V{|9AmD&rnf6AE+r+OdTZAapS0nJ)~;g>qPej*Pf6_Gd7*Kw zWcSRkhbvAMT;r<zyKuGWrQODB^QM)iwMlwK?cs9J{^&V7!*`m*4y6c7S*F^Ovs2#N zmIQ=;mJ&}(bq#uM`DK~Bw%>D&+^>(*Z{0rqEc$fY#A^?i>s@;ITrW@dhv}p<a;GC) zs?ULjZYG3I=Zsx<V2^D{OwFRR>!)p5SsSj-VEuS)?{BM(a&hTzk4=yGa_O+z<jp^S zWKZ7w`I+{QJrYJ6r96L}@vJ*_I9g5QsMDtV0rpR4Zp=DURcPYl=4_J~c5b1C+nKK` z!p|;!;^|$xWv;g3rU|C(^WH4~<1uB0%y%c}6=zko+9%xhFZ=c}M)m)*@1ml7*Pq{8 zy~4MC-g_an?s!eBdab2*HXhoza&BBsiQR>m?!snP>58DW@3pHU9{78AZr8T|#AcNn zd}XglzxD3C;4ggw_ooUi=-=D8c$=nF_$zhOwAo&U6`H)C`Sk^j3#|Sb_iFIyfzIc< z?{T=n(Y<(OsKV!KmW54jZgEO3_c!N$pZ-fzUuMz#9osgG^d}VLvDdvY>v``ey?al= zpBssFZ##k>hN`Jr{97Vhc+lXuAYWmT)C=*q9lsB9^c7?*U!EBArsLd7J!4_hD+(K0 zUmNE-<`}MyKlgoJ8Q<q%xo4kSYm!&}F0g;f$sXsj?$g07o7=LT#S3yz7WgerG7|f+ zSX*m`=^f{SOH0o@dHJwT$$rv;%pL7-V_!TzP|wbET-SX{P|zXG?pm22_muyLXxv)% z;*8)VM!pvfCLiqgG!}2u+?B=h?(oVdX0FbyRlBAn>^vgAqh|J^<p+vhnz`OLvN82J ze9gveQ{b*S{}Yz2{_*3K?w>8|zI)hApLV;v-fd~qyJ!6dHMT*&(=2~Kx)xY>%74aH zm9O6e%FEVg91)HAe(}u##uT0O_8>=X>kUos(x)x&X$!Udo*#TgLF;VAi9p>Cf6QFJ zXY(CR$w}S#>*#7R#{W91F(0<|-_N{tQYU!Y@^4<}${Nx-8x9=qQ}|-`N!X?Sap=a| z5@EY$^z487;aGIyw+_$kRhk!HzMOO5_<L<BYqqcw5zY5;B3%pgmrkiv)%Uai5UzAi zp4ngMZQ4uwB}?9?X|23&;OTMScxlTQ`68zI`N1V;BJ5fB-CVY!Mu$z(Xh~4O=cOVh zMk$&XPE2^-uxw8JcFio&8|#Clw3*m*!@nGkIL^<@Wn6sAX3{4udzmG@w<iCec*(GU zPxp!Hvl)fA4zO~quKQ`x{+4NJdf<-j5x?ZrRYT%d+}P`VeCO_RiTvOfg1UbgG+iwY z?VRz{cKW345vPkguVmz;n(nW8cscl#NuBqM`|m{ds~*y4XA|Mtw|~kpuCRYEf@QMT zr^O3A70C+^(OMC_)Fh0>@5Z_pb3}ewO?$d*wehhpo1~W;C4QVcWl=$#k>hpkC-1K= z&-kvNKJEN67oBkTN0-jn7wujYsr=>7Rwq`a+oHAgU1vOAx4vu+QqrkE$$93+vK8-F za&&ha-(KhTGEjE5mZq!ek!NCI&)x*fzQ41m_GWH_L%a9P=^hufTDfnzop>B$>6B5s zq$NnZbe+xN1-ssM{o=fs$Z;V{bVudxMX?M!HP+dB1-`ubY09;@E%);4*6h$oX?-be zzdU!<zt!uWUsZeIS^B|9{;Onvv0Kr>jct4P%$qIrHL$9I_r3W+|H@F#s(^<{rE*zi zGvtEG^*>*nyyD@lABOApS)KH+bXQ$<=*yH_n-6=Z`?_5>uwA=BbhqeMjcHa<%QrOD znnk+*_M29GL!?&dp<h|PWY*S{J1gDvzh8`B_G9Jk`$uoc^=BqOe82nVed*;tI=N=K zS5(xyNzc6h{7!_`rRMT%p)VHZ-{yC9vNu1_e7Mck%i^z|?99&+Ej!PM1U~oXykK>- z_+}JaB3HD=5$mNa8G9dTu1Q@rzk-X~r}>WccI{g#EA*GL{9+WXNZY;0km2Y5eX)U` zJ?1{<U+M6=%=NkN=ga?gf7~@gO}ziolipc>zL?mTGFI+?X(aY8_nO|WcrT8hC(kE8 zT=KH+QR=TrFC|3&%@K3eZoMC@bz!yZMYn)I%+VrSxgHvd&bYGZ#pjoGx9Zcnm0kti zT$FKu=Z$8Aw)9%js+h;C(tfPuF8KbC-=X}Bz}aJ+ZjYC?q)jhNI?I*Tm6m=s<*bly zS5(W#$z9)%9!=gd$JS!&okG#ei<2sB^A+Y8e!R2!miXJ_Zq9uDygqibD?ddV1uuWx zJx9}j@v)O$`dT@1`<<P2CH0HkzFG5H|FT?>^gW<**8E+folDd-Coa%0Zu<AwL?xqy zNB(JLu;Yii<;uzvI#vC%S-qFGc*k9uSz+X0^LlI7)BIPF`enO*eEyqW@?1>wVr1Ih z+ZQ9(pWM#<T74-?*6MSQw>Lhrsq^fZ@2#nzbF?zuLyq5;dEv_!KaFla$P>JrU*or0 z)vtZ>_RW(Yr)Q}DpFFqbxa7Gdzx=;#ytd83N?uo0<flXSZ$6QOe2YHMERb)z&*11g zCFnxH?<dBtZzV41Wfd=4Go?>>-uWw*e({@v%ICa1K6BrCi=6%T-mm{lzP5j?<Np7= zgx8c}_wGeT55G*9a;)pXW!)}4?}g<nOLxq_{dB3AYybSG*Rx%HE_@9-G~s=zTurIi zoq5fI7j>@e)3><Awk|WU<jBoFwf#j-LDOePiTK(_SG=DRH{Xl%UbV@4*YxJ{;}iP0 zBDaR<1U<_yTCz}GznlB-4JF%YlXhR|XKm#=b?nhDWyk3s*B;P6xOYL|t#w}>^QEmX zHjvupaa(BLZj0XApN_xW=l!?+&V$9?JJ<T$TK8Fh$3gf0MZN83c>>?&XfC|5wC>)? zKeHY4w`!~sP1-w!A@&`A&7DOnHpob_T+mWnH&tlCQ7IEs)~eW!_uiZVi`jI28hHvY z{{x+*%Vs-?X)4D@6-~ydWsdO-PlUMdeF<zXv3Ps5Cm~_h&ZGb5OV?Z}p5}LMwuMP> zz~;`pN3Q=TMb1%BO}X=A|4%jfO!a+gI@>)tW5a&j?Cd{Nbe{WKYK3im@szYqtx)~a zfR}z@FS#`)D(spX`YJQ2_xN?Mi=Te_+RaL`%DOvi*)`!q-$XSRUdxSI>sGuz>tjb> zm)ZCIcN|y$j$EC-z`f~3+_fz6tg2P}ulKLq=ij>c%HF7z%+DUn9NSyx^YvEY`mT=e zOIq5px3o<a-M{kTi<BLcX1VNsyT0VYr^IVTk*$2s*{*$Zy^?j-C6)Ig+y5V;cE2o_ zK5Hv6HD9uF=l&1x6jiU(IIND0;WRCd+IWiV>%?$bU#5SuU0T|IMJy897o|?^vzNQv zyyvu|waDHO&B@)9@_u`BD)wh9?swqG&y+g;a_R1y=c8vmTJR=Pt9jF;P_Oq|ng?CK z>6~ubWL#m$F}L!<Je3WFK}`47xL-WqbILNQeNo!Nd0Q+~Z%&Zy`W2ZIU?_RM!|`yr z#;OfR7R<f$I#~1I@5tN>rPGYFYm|@J1~F~%)ZW0UaBAiT=fIU5`<%U!T@Tz^_+t4K zCjHMZmgsG@77-2YWD;%Kwv^?4_!g!#(U=Q`yXMVU^K_!8@1^Cxv-?iIIJ^0Xy~Wyf zYcFMfRa<_QJG4*x-j!J&p8RSvsC4(*aATF|kBOouR^DE?BEUsw%B2Xwh+WPX=dY5T z_Wz2`?mIi2FRsZl%-gZxxF_em_nG&uhaBE9=XSY9mdGplZ5C-Pv&uE9?2i6sez-TY zeUZG(z1K^xD+e`w-*56hIcv_{tkp{%sn^}RI_>(8uXSab0($F?ZeEcTnEc^&pH;%y zxW8TUKDObD_x`f1E;Y|GyZC&~lZ$57OImu)m+sowpU!c5^HP?>`dijL-L^RUrDoNQ zEm^VCZ*AIK$5)?_zW4UDkDEPj?9oxZaq`@+7dMUS&ducg|LI4h+J>t^O!rn@{+{Kw zG{{HJ&FXuH*HLESdnZ1y+<U)!o$xl(^XJmCyHD;|C!7{sEB{99<+mAACX^Se@8kbg zyKE2N`JS)a=ACkR(4L>hG0ppwwe`bEo8HUFwqBiEAX{gaWp?qE-;F}^MPc5@Uis}< zQd8C!|K3bqc-wj}&ZF<%9GQLQZn43QjVEioJJtp<m4vI`OOcbE<onE0al!pN3s0y^ zy;E1cP+rbev(x!vP{W@c0y)!8+`F&&;ZvFG`NjhiH7CZYdv@OYUjE4TbRL`I!u?!@ zi>ENGeR<^iEcfe5CoD^Ei*DsA?VoatYu{>t^`%N*6spyfU;aEOC)e@NFkQZIUrg}D zu(!XK-@mjp=l#Yhc`5z(179z%**hig$%FmPZBa&Mn=Uga?##M%gVX!v3<JN6`KQ8N z{WqwIw>)%?sah`@=<mhJJpW4K&mPAQhq#^YXfAE<nD<6xN6qd3$L~x(<{qk=AD;g5 z-4ae0A(2uy<5w1k>fH`2E!wO)f#IM|u8>2aqT)*jXOCNc%`Wb}((i=A-R>xHY2_8N zUcC6CN2A9oklnqY+bf`C%8mz3w|}o*_56<N-3t$DDt|gW)%hAS*Q)e<?Yo`Z-+#TN zKig3EU>aZh)U)jm&DQt%O+S;z^IZOar}Q;hNuM?6^3(1)mAJF1U((Vuo$$PmM=eO+ z{CCEQ;`J+^iBDj>mse-deEI(Y4*t%{XB8%4j-MvHJ2|0quY=Xc2~rm;6jjQ1nLDeM zZ7uorD^~w*!!bUOcO~&`o}Wq=<%z0nzdPUYUi1CWQSX)}FSzr~qb=^=+@4*n6YgAF z+4lc*Te+muVfNh%?`#cx{Lo{<R-uy@mlvr_Wn6bQfz6^jTk6E-utk-xSK3Jl)x>TM zXHeZRVczr;`4*viN4I?FUeRB4+oD=3X^UO+dON+&PciBrr)Vw`FnIiA=j^L8b9hZ! z#U4%U%a!cT^I5FFxJJHU-=DHs+fvr-Gwj`$@vz$O&&d`1OKSXmuFu_`G9g*~1poAw zRePr%49V5I?&7TbSvvVirMFuZclbxXL(!5oI(v2<aNikgGi%qgpT35-6qnUk&gw6+ z_Ik>(Q)Tkxe97x2(+YcC3QN`43qLsB%zW(5qj&zvn(9f~vns^jclqq|v)(wh-tE-W zY9q_V*SC5tQF(gl{-uxdY$uKDE0-;~(|^Y|NU!Bsn#a2>7QyFJmM?y1<B_*pD|nrf z+uU<E&)=4bzIcQE<lJ|c{CMwVny2;NG7NrRyFhNX<m}knL1)gFZMm?^hwmBh^4BFc z>dAk*yoIEz{F;p4@W>aQvYmdCy+C%l(!~Jpj{GKjbq4Qq52mhcTI}<G%8vcjZ1X4m zeDHeBh2Wy{Wo_?&#Fakd@sPXGEwwMP{-MV5>3g3qE!*)&VafAj2aWH2J~jKH+l1$9 zef;82%{m&oDI%u3Zo8AwE9;r-G-jq7CS81$_R4AhQTf&8Y9_j0ruO`tvj0Quk&NOs zGv}{e^qHxqb?;1V{$7_CHJbnK&#bBVc=mHK^Pd>+DW@kI#Hub8P0tFjpVlH(FwL?( zV`kBBi<pT9wbM@Ss<-Rb|HR!?<@kT=3!6eQ`HeB63-gU`Hs+>p3{U(OSHfpC`|#7b z|L0AQySM6ah4iHM{TdFNTCEtIZ5SrqwmUgR_ewX*!KgRVv&4E9l$BJ=2u*xAKUasd zW~<dyIs4o0H+vKRb-tLy@Zav)<;|%%$|o1gW^^2^5Rqt{`Q<vp|A=QB%*t*WW!%lx zdbnKTS?jDM-7BnfcmG@MZ1gSJ#hm@gjhxRX-=E9lD-epgsCLYK!t-Yg&y7?a^m8&f z&reFXS~Yv6@Q&R1M;_kS{BfT7zu&?C=ML>JUjF0p?Ac-;(k0T(HZd~)x$Xa$r=+V< z`TRx3lCH*^&u1`}ygPJYkH^}Yi&H)|MlrtRIG^PhzpUctlgPXB`Z?~F9ABsJFS3;6 zxICRP&fI*?<HZg=^Y{!5=ajGOXX0L=f53dM)slp~rX2ncYnL^j{~TCmdhz~|=Ios& zSEtOg_dj-g|EIwEqTLot4}Zxw{PMT5>F+8DtKT*9Ps`+|AKEW}OW`bkp|8KHyx8X- zJ}&<`4GL|4FkQPet2fB_JCpoVu@G<f&3k{PHC+GJzGeN&Hxo}8ixnz;($9=Y<*QY_ zb}#zL;ye2^nUt>W6MS=7f6Lmp6K19BeF@CFXYw+mp<dv@neHX)SK6dA?Edh|HOW`( zo43GEZN;AjHAWK-Z=ZdB-;Fi@v@iVNP${o+wYEJmx8}@GtK~0G{hHr!;k|Acv+R0a zY38E$srS8Ws^oXR5jvKBOXT*l%XPXckMp@W9P=OFtC9a%x%#{Bi>+dpF8;2*y!%B^ z+=rRx#1H(EW?cAT?HvWT=igUee61k)>}|u1s#~wV7)r6%EStOYa`mm3+V51vnco_0 z)KoZq<HPA1m2lSOF~^vnoNm3o;Gdz&;T$fH<=Q(Zzd!bU&lTPoZyV<<zxVl)z24!i z4?Sc=+?_Uk;?FF)p8Dvi&19i}e}qj=-Ou=Oy|~-N<-!K%!~dDhJs6hNFHE*#o>aL1 z@{0Z)On-KN<&tAMf3fmKDgTni-}f&2UiMBm@O{h0_olB7i%ZWC-XF5KzA<ISe3J!% zFORJJqAb;(cm3R*mwOl|*_P|LecPkB_vYs2i>o<=_WqPRbfBzf@rJpd8<#gN%bv6E z^2w+JyhiTY3;&6z9Ijbjyk(O0P3_8B3#nDzrrU0DTYgQ*d-p%e*6ekH>BUm!A0{8e zcK26^UJCj)HBEF^Uc(%<ClNMmr-T)5HqT~1u`!}Vm3_j@8JTN(-j_&~Z@N1tcDF)E zkbG9x$M0P~yE?5F8h^fRp&!ok;PUmm;j5-c-8!>$SLMW4w<2|2w2xe7UMF<keWLqm z>&@n^_tS6Pkjk5-I!$>)Ma(aiNA(^44)fl|m^RG+y?T#V_*-_>IRBzdt%YACr|bC3 ziTKymZvE(TdP=mx+;E4gQil7l?H*>u{d{phXvdqZe;ZBB+h2ZVtZ{p}D@x*Ar<2hA zNvr;3|45K>TKOtTFh#*_FY}K*mkWCp@+KZyeaCY9+^@-7Y8f^c-QRlY-&B#loztd2 znauK!y)l2+w1=sD^3Eo^6e<^mzjWDucJGqOnK92_Y>BddTPmAwod0O+{3SR4{9@R7 z^j7bq*>}#(K73O>`}Z-%P2w>%bNiPhv%i}E;U!x>|EXBJo#KB_ltxtd{r59|s^anO zi*@`t&HU<@&Sg$7-}PH>317BYd|UDN_(O}u;+vN5sL_mPx*fZs{jK`+1K(O5c8gp} z{B7(gx@xb?Hu=UUaT{t+#2+=w_jq<b;C6oIoLd2R-dv7;8mm$NqWF&De6?3``_z9t z{BCu?U*YBnd-fBx2fhEjx*Xl;UsNvt{oK01<h!>X7hisS;kDpjsgeV{hhARqp1<Z+ zqu7eLLfZrL%~sjIV7RL9diC_|tCQ<P<WDQ!Jf6;eqU~$`v|lCtzm6>3)AM1`&+hqK zzRN4_{NBe{^esB4RLk+PjEDR3{n2wjdG=3#tgtgUdYVFQZmE@IZ@QzE)!%Pyeqs0C zpI?1-N3OKO??0yIKRmX5Tfgt%@?u-g_9q`F^fbl(-rD2D6#TgD=d}ZW-nku^!}8V5 zgWYFs&DFzk&dF;h2AtjzW|J7+eD(Dm9@$NiM?V<UbK6cR{k1J**E6?TmMQkpKOL?a zx-SX*=WACx;pxFOspd;B_RW5BFznTtB&%=dXQwJkJI?dVTzaSPmPlpWmZdFn=N?_v zdMl=I>2PGXoyXGd{D<Xx&iE)M&yqX&Xn6^jbxqCo--dy&o*a@9*_4;+6gAzc@#dEu zCily<*EiV4n6}TGzN&v`aJB9FMQa74rXN*b_jy`jkx=FQ`t#n;t4kk<J1rBqcz;vT zy_)mhuc!aic)s}io{}%QcAtD}ZhIe`;P*T>@=&N${$aas(%TIeJ(&ACcn`ONWd7Vo zynAFT((m!@DKn2#FSmG_t1r7_&c`>FkKZorV#_+N5^L^~JLBY|c(2Qgn}6KeShVxa zYL}On9c=`cuX*q~^0n6QRgN`sKh?v{j=YG{lr3wwbGzqso%hkqo+8UL_NI%p+1I}E zTY6QyGQV@3+>y&}<)`Z;FD`BV(YQJ=CTE_!@l!WF{T01!Pp`X{yi{1mr2TGMj)dzx zZ}yLxGmCaR|6$tl;ZT5WtbN95-^?v9^XBb*!?ya@GRN+Gzr|~+cUWbF-?z$mqnjC` zaP@83*H4b}cP6>%2HnjKzi;|tSD#7L!K==%j7`(J6`8aC-E%y;ec45~cavt@cAwAn z-Qu-Jra=Gpy9ZWs3DZO3w5I;tY&k#bMQZ2y_3s|XvaDPb&Q{oTw?^JSK5zP!truor z%H=L!Y><5^`qJ8Wb??lUSVi0Zej2Cs^WRUimj&kKAAEVMRw}IN+@5*i!7&B<9F^HZ zlNTEDPAY!8+Ocm>=8XFx;iBC^>_Tdf8B80GY@B!aaB*d0hKA5mdA7gru7>=2RA*=R z{P>GYIp@00%RSOvC2{flBokq|X_~T*^WLkT*Vx|cF1BpGig%R!wC>yUQ$*zL&orKy zclh8^?ah|mYBox5!_$hl$kn!lpT2(YW6*6G*{A-^Pv+%(Tf9Tv`d{E2`}hBs{`xPV z!Y}bXMck$<`Qtiw%T=GYgvoz6cUr71z(D*%+@<0aYc0LA=N=wEc`v2<a@8J_4AFN7 zdZSqn?{7T#a6*oR`Q~Tqn;u$+Z%q8X;OT?u*R~b$Y!>{!E%BB8Bl(QH38JNs?fy*o zAicWg+vJHe1hd=DOrFI3a(1mnMs)b+&Cd*@j7pAeGMV*BLjKvt7kh4T?A^!z|J!4s zb(sr4w|~<5z$h-2oR}qlioGPGx1Xu_<<r`CvD4h%ue}(%<?HVcmp1%=Y<Fr}{G1C_ z8-ve@@?N}Esc4r!!9Dx@(_6P*==}Zrtg5iy_PVR{MlM<5EOz^Y&s%TA=xvU_yi=#* z;In6L>+TlTN$FNMiT^()BBURGvwiQMj@P%g^u^t6Z?C*|-{R2D*qBJ^xvqO3J#vnj zJ=@k_ZcWZYyOn?Xv|CI3+}`vzKUw+vx!o-@>C&?k?tHssS5#^A&-0n+?fajDel=QU zGAr!7eEatkmV4hXW!^bC{rA4<x1MF@?cMBuZpHV#CEHC3{Jt+(ST27u^MCM(m-p>k zuV`6^JZzr8(?2J#ifd)*>tLIL`!D45MNL*N+*hlT{{L*noXz|6p1d)PWjM!{z^B5j zoc_F&amV8B##F<d&lSEtHuQK`cQW9UU(J1QX~vIcD%<z&_4oVxJFaS~pbCrahc~gj zjqfwtwv{PmzkdC5ljpoD^Y(+m?W;Xy_-_6Q-v7J3Xx0_`v*yoCCcpT%u%<%i;VOyg z7XSbMG@1WrcBN_W#aj#Y^*tBQpZD$J1nIiv6ZTGMG_W<FGJQ_q`3=@Pa|0?1HRA8j z`Nxy*UKlzfZc^TNPjg9sgGoxvv9=-0uKX{LTUN2o`r-yR>AHN=3oBQBoML7Y$!nTX z5hb0sRchCT4?SjoqNRSEi--$ZxU1bNOyu|W#@LQKuarx6RP3!&@JX~QtNH&y@c$z3 zI<s%T>t}4O65Ae_=WEi+v}d(kYSY#a@^+`*K3ZiJbwG6epJrv%mHS20wmB~ozG&0# z+|E1y)P9~R{U2uZOO_s78tuL#<}{z6?&m+rky3AeulX_Ae_raR%f+8Q_D8I6y?<r@ zA?5q*Ra)^|?>9W@skS{^nY&JU$)?U5oWYOXR!!=3ThjM#;`O*GcCQyZFyDP0`KMBA zZtl(f(Qj{i-b(l%wB^FBOY5?7?0TCT&dI(tI+*|XZ%AFo&j*|B*eA3+{ZP2)$WzD1 z%pMlUFBcbjIaW)3jrtce=TJ#!&F6dHg9JYAP*IiJW_s+k%!js~!s;yzD`E@yW3nIJ znRh6?<Y+GYyQv>{{OMXJc8WRfV_@M9bB=eOV()l0&)gB+F1_QAOjqfJ*D|4PubdvT zF4SOUwEXd1?}fvRZA(8IR{f2#d!_6${}lhUxF?U8^a^L}Isei3Oh8Uq@m{;v?(@5X z7_WcU^!5*!zwF~DfybvLD(!q9Z@x7*CM)BSlKPL?=Rcm^62ZmF$7^nKQT64Y+YNi? zeGg2C<DNVF-|Os4FMd3){QCUt%CH}I*iN=P#Lu!@RjB^znnTg;@XSr&H7glD<gLxD zId%8t<?_8>RLUpm?(y*1{C~O0O~=1I(kb^ptlB8Gs#@XZk=B=0>36T#KFAV&;(Tr6 z|35l^PiNd=JIOG8$?Ja#vTGl(I~3hcec4yDdUbBjk;{6OGd3Tr50hPDIKRD>)n?wg z?k`(PYZgS7JS_YfdrdcN>738<{?CK|u?O9B$-Y$S#TS1*d~zvwiO}_qTQ%#tFU~5N z>7;k#jnmrP$ER++&<W^EKiazZ-^;~scHKB6aq(5jzo)Nn#wgkq@<vxr$X>MY#+6&g z3T(sIMNIaJ{&!M;*3&f)0^1i&6`OifWykNm2VVd0{gXQVr&Z+nCEjg+zVS;<w09~J znZD#TlhsrITV+ft+wV^3o9}ytAw73jK=UT?JNs9?aQs|+tL7|s0$=`~2H`*E^&ubL zD^&Kf6ifV2^7{}RH|6M`uiy7>k@{!LeR7}8bi0bxHAQZ9wpE4=>l&ZPy<c*A={r}p z51edM?$x`lzpc2l+<#Zh{=CgrYh52#dA$4L|F-0c_nTQ;!(L2^JmX*aT=BS_?ghqK zF|)n3_v~4J>&K*d_oh`>CRV&t-Fz-{W>GVDkj8@d4m(bte!gc*{m&l@d)b%#xBUO; z^+dmSht&^;eo9`TzJonqu;gU(m)kpTT=4L({`ve8d+G0v8f%My<u9+S|L|&AGUKW9 zFE+mpUh??D*|;p{!_4>QD4SKkT$Crzt8?ScQuQDGlg`H7y4HJ~Up;w3=meRCt8EWC z@>k95PkOdMebGk|k9Q@#?iMdZ-nracbvBM`ajBik_ebSBzjuFR`FB$8>%z+yAK!6& zrm#oLamR~PyBX3iO*s!ej(OCOJFTHI?xJOczM<^dr3M$P^w&RLzE8>6sLAHms*SJR zJpR2@wai<^b@fAu$G2~$y^lYIS3KBLHLvFwD4=#tZ&6OwzjE@%o2xBz`t8!4isJJg zx2xp2+?_k&&bEp6UdeTR>t8NUpT^Xp60$^2>Hm+IlHL8m?@D>TmsQRwI<Y!!$1Sg& z2U~r7qLnKa?0youZ(81j?7h`Bd;I>jX8&mY%75}T&%5VI&dRoL9+y<V5B0l{*Zgsc z%|Xj2_xFg#-}Z=IWZ2m~XThSvWBVf)FPK^>yLK|)_l1Y6UVhLkpTP4j=jsC8<HvI4 zPx1d&d0J#%@T7A6Yd4mMWgdMuoOk)#O|<@L@%WQ}_?GtCC%O0DEWPqk%1~ZKyYy#~ z-pw~lFPnepU41rgSAMhq^V21#N=oW7C+H}=-^6NrM9JWziA+szzw5TUnWih=RyJfd zrQCj9WBEMq+odDdYnJ%!VY{vSVhh70>-{Dk({}y7(Zsi&U+tRp&bv&@_Ne+A*7gdx zPEc|8y|B=FQtED%)4SLI)Y$EM@7|VJxmNoJ_LKCLPj0?@e6?_8WoD(E#s8+1{&Sy& zm)_rh)0>rHeZ!YKGd{mb(+|}Ova7WF`uWfbkE1U_m`-}!{?m7P*R!h36`f5lioU2! z|J=B9ODu!<U-$k``hVwq(~o+#Z_0Azt9O_Z=5U{Uw(a-H@0Zf_pUz+S^W$9m=gnv1 zPSwmkeE$0J7q>Z2TB(0-`Fx3Uu6#)PqKlS=i+)8gbIMkiTIW<m<}OhY>*AX{olP{s z=d0?f_D;?t8NqD(9bWvoUMcMo@mN~YM=E^px$4=5i^7e>^!yjg$4}2ZrG8#p(x%Gy zcwWW#v^E)iWwTi&Xa05beLdSVr|oQ9)CpeB+S#XmItx~mo_+G~UaiJG+pQD(%RlC= zuK51bt>U`!J=wh{E3QBOpvR$Z7xZ4rT=M;`w`||uDdu^+h_$qLxxu)6p6#`#F=p(` z{m;DLaOV5T$4ypu?{4^1*_I<+!!g5O;c=ReQz6Lpo3>?!b?i!fcR6VLO;(rbsh>pt zyyG=~aoVV|>&qqO_ddOUo}N2?@zpHlwSN+?+9li#e0J=~)tT$29Y64F&%x^1y)jRo zepl1`)Y-T0T*|f|o1|o2=dC`<DOIGf!LOO;%0;1<v(A-kK5f}+KC6!Nr1O5YV80tn z@0{%0d+fl!r{(G<|AO9?RWM$3PWu1G+*d$uk~*Vs;!DkayaKACg#qh1u4Hk)5^bI2 zeO~Iw=g@SUt7jMNOYdxQxbxjYyeL8MuGoXt7n{vX@9r=?aM|pe?&FVZ8SQ=t<{h6| zw5rPB0OP#>V)KhDcw-oD6f0V0ZD%#vUiIK=SA&jf`M%brPr|;$GM=<jSC;>L{?eI> zfYPeobH`uYX6KyyZ*q`m*Gp9!x0%5&rF8vIhB8+)u<u=)Z@bSc@A3RE+xly2_e?tY z=PI+whFFbwV}*s9JJ+0V?&V(nC`VT``t63TB@FRb_Gjdz&R^@imvLK@#(jzW)v6+o zuXk?`u+FK8l}*pyd4p@u;>S^T2^ZN{n!J?lSuJ}*J7Kl-Q|m{$p{+^Jc)d(q*YeC< zCG^_RePi$%-KMSQ7;EP*4Z3b!GxgrIL(y0HPdyf~zW4BI53_XU<%4zx4O6Zx_><to z{w3DG(qQ-hEf$~syMAigTHR1*|6txC_Wi@P1+(4@eXf6R_^kQ)il569_Q^h7*YeDJ zR?-yq#p<&1>3>)a>kjPB`qRqzhq3AL{inyjoSV3DzsfQF>gy8ct;2iwTR8adS7)-~ zIl1#skdylp)kxD1312x2=iQlbbmt#No1KcUr#=sQ8UFsK#`b41AD=AKvD>nIPrA*^ z7IpKqmFngUzw|u5Jz2KKWo6&&^RXWuUVT}(&qi%u$-d=*l|JvZ>c7Z+TF>8hJ>_NL z)cSdCPd>67lR8|g_^Pqd+OJHK>&jKP7xG2(e@yuPvo9pOW!~9G^S{nJdUWPiUBxKv z@`dxCep<-=a(`sLj{jn2^UuCvx2Ao)`^jY06DMco+Sy0d`?<|tANt<&WB)Ag#6{^x zj+N=1HC&pwcauh+O8>W|ceZ`DIP)iK$8*(7>fb*xn?L_-7ur*`>BrPL+rNJ@-Tb8H z_D`!9&o`eqy?)Wo$1bwBy*&E<iAR32n)QFfwCO*0X6`wEx6`udnOMP-$3Oa4n^nJT z(%o}p-`lNK>x(iPb=E&SS9AK;a@|Lg!k_gjzU7K_Ufk{1ls@6j&FaXck|*p2Qojz| za9Yl;u+umv_CMq7j_4)H7Yi=yygG6v&%(9WGHiM%v*r5u9}bV^`p*mf^>sqbu7=0H ztJ#a9(rYsOoZd5LYO7Rls7ja<yKlCm?S`_#-qTqMK6{yedi~&+f3+^@zQeuHm`@AU zj=L3R{B1wBu$TGiwjD8||BKp`c$-sRN3*@Ei&cEJN@3^Q$&H(mXY87K_xW<h_3p{) zD&@D{mDE>h-12!_@Z(LCX?sDT(tX<*!j)&j_UYe{Qj9X3u{Zm^*1bN3^IZpJ{8A4e z<Tl}aFSlk(x^Tk%rj*CudCHEgvni3<dNA#!&-UDBG5x%0ZX3=S{o5dM{@)3S`iS1! zo&n4I+rnKmICf;G?M&GG`lsVBd3NK&`ae7Lk1i^0`4lfwu2NYi^QT`l^M~$NCWg73 zC!2p{Zn*IGT))McJ^D4WQqvuamKPT-xtzB8vY1I7-^sc2F8Teg$(gXH^ws+Wk9Sv= z&Q*(^%XKk=?d05RS<5%C@q7JR^rflCyONb<RTo}`NL=zuop9%6)Y~iBrBU6-!WDL2 z*1eVaqQ3X>l?5K4j@RE?FC$i8t}@xjc5?2t?B$zx2uE5Q{Bd%z3olFf=Qnrl2Q^bm z$@r5GGix5~ui8C3?(D;ypU?K6x!)Og?%|QYm(~AVRQUIaZ||JL%Qpn?R63@5?(x(4 z9$LLh=TBRf$!%BezaMt~-p`hBsp;unO1B<dp7^lhzFBvEdmY#Ps-xR0=da3t-<>ZT z6Xo!wjxV?;e5c4`A?s(i(`2geKa4P!`MusMY=`bSL+ABe4=ydR{J5@GZ(Zyb-gUK# z>;7iX-t}$fj%k;cXJ1aY^x4<<B&g?wapBsX=XZRYd3fs^*Qz(~1FpY&)m_Z%U2JCa zi|vw6hKa~xdDad6XH5nEDy)<FGjrD`XFmHq22;Ha^B!w#txt>j>1gu%X@611tksG) z_+FY+IO?7MRmGO8U}Zl&_!+yN?gOFVSAWh|K7A}Co%8FCgiXB3Z^;lP-(CZbmnIc6 zSKK|hfY)Vu*Fp0gTN=DU!&Hs&D-L=eO?WAO?7`ASFAXBLJDgBC8=U>sS1YlX@xPW< zH^Yx`#y3F+CO)|s_UY~Q*Gm=B-v7EYN4aY6mdD)kyf&+@doSMqMRWFV-Zec<Pnf5h zt34IDpPsq<u5g!RWBlydkN@oU{A2ytTlRzRqx;J7ryATRxksNg-+A5pr+8M`-8r*^ zFTY-z8~-cg!J9aJ-rIctryV$zJK@d!a%RuJuQzVF`|o7nnQkBX8tJO6IsK=P96wR^ zwQ%N-9Ywo821cJOcUwRGlU|T~{^_$jS6%m>z5RE_gG+JxvLB}Qw=CYe_;9Ju%$ZW9 ziyv)ssq_5s>A{6P8FP}$JCYZ!zp~N#$j0b>Mtzy9t)|s(v?^O}we6#}#k9|+GTF}T zr#I?_+_`yv<0Q3SN!JJGqjd}G?kd;${*U^*%l*%;XW5UZZ_B#$@ovt%<<+Jy<9<nb zKL3BrM{CU;wv%($E_uDf#l1A&Ufwd#XyX;;=jZ0jKYzmfDCMa6t0&Blo*ca|p}sZL zcs+ZX+?6xGf1BH#-6P*KdEbmh&mA^R4tusWewClyjq{$R8)W-z_c$oZw7*;YDB<Gv zR}U6HdJuVEihXNn`gUoxu$OPv{S({#`{(u<cbiUMVb3nR9k6lL{NQJIF0z&fi5=Vd zb;pj<FMOr13kz4LwikLwpDeFlKYdrilg>MH_J6H<c~90(>POD{>2^05w|~+P-#)Fv zv;Pu@*1Q+b!#so6ctzHl$-K(wEned-WBtdvbd9&n?7wpheWFcYTO~frJi9CPzT)e9 zfA}KHMb?Nod}@h`6yM{tw{F+`$#Y6ST&f5!aGNvzeAjgL`t@;k+Hv##?EM%NZTj|B zV*1);cPG8ComHk^&#yn<nP->cO>gbDTw<%IXaBC$RCn2tdFS+h?>VzyA3eL!eg%lq zw3jg78v1+<Z`;3{=O^3}D4y2Xe7?u{i@xC&iMD^LZE+iTGFMBzYFhZNuI)+B>T4SE zY@g0`8Gp$)eDXKY{Y$=~$v<~vrQA^GE9(~Cz5q30&gREFxgyT#hc37oZaHSOWNp?8 zhBmXW#vv;9`xe<G)N^)jRTNk}FD7ko{J#_1lV8dv3he*S8T0OL%(4T||2&z@zVDt` z`n?B2ms6{v??^h;?J@GYt+{>eT>E8Svt4&K{rRwgTQ2YI^Te+wgcg~}{@VL|g~>bJ zCAm9m#9v!|`kbw{XtR^yuaJV1kHweUolHGtI??;l74=6~e#$+bvMsyk$4(W$H*f#i zXh=pn&E4?lK&2l4jPEttYV)@E)M%^i+w7BJ{ZuSQ)4%iB3+q4&qgMBS>*Msd#;)|- zplqJ*y?%Py@*kNGmhX*=I#*wF_w~~M%YIB1|H~e?hyUcgdnXNQ>fSS-`R?~!c+aEc zIeWf3$_dIj#!8%bd_KGI<764RN^bML^C~ODwPa_!TK-1NX{Ax9lX;WP`qQ@=Wn|pz zH5ne%Fvx8<!K<@h{D#tg@f{{t{TnmZv{td}?$+#$OSIl7aq*esoTBc_Jqyo%`eFU_ zS^QDPp4QB6n=Ai(X5G)+n^-3-S2@kzJwQ%pK3Au@Ei?B^uF9U`tX&c()XzV4w(OKq z<}`0jzQVTmqWCPqf*rPf-o}**K6!pU>vMCKFqQ0Kyi}}gulqj0BIifN-x((jJ~J)k zE4lkyDY;oc#isdO()JIh6mCCAJp41+Vp@^hlp=k<1%KX~%(JfDXIK=<#kp?J?B9<{ z_U~(Z5%t2J;iPf@^+NlJ=Qf(ozxmkG`i{+-gnK7+s{<+x?sB~h{QtB%p?jU*;@p>Y zzk_qxD^(vT3)dXgSFDhBh;M(xZ+G>z`cF2A=v_D1_LuS2@4mXL=~(lk!(XI@Y#F3$ zJ)0KPYMIYFd3yU^_65#jOIR-Keq6ggbhCJrh3*fza;C{O^LI1co!4^2pro(R*t#+6 zXU!eY*G>24>-j$Ts9p75XQQ3meC>uulPBo0KYI5#^5Laofl|lpOR1b^)?a#irlphV zwd0GWvF|QT7rFVn&*Yc%`9(idV&>a+7i~Vr(C)W2h-Jgg2J4qyM>e$om>`prJnQ_a zJv*LU(7#(?#&5kR;GS<B^NGufu^)HE%rh!tz0;atyDR#xPcFj_zDo>e)%Vmlo$pcB zxOiH9&WulYs@M&#IY{Y5YM#5hH8`Sl1^2Gm3b7Iu{Hu*8&+#*eJsz!9wcwxV5^lA3 zdqv(EU#Pfibo91K#zwz;_a?Aq+CBU$$q~>rJ>8=AGW++2LX#y2Cow#1U1Kdh=gy{U zC-obCm3WBvRF>{Z&Mo})u;QX8?-g+esTn_8!e=L>n7__8F6+AClIyTT?vg@lr`&Y+ z0}U<L1K)|POkMReGlqSFT&qckkmeqv@^phgu50vO<hGQ&e5^Xj`s(v&AKQRCj4zoC z^zYpF?5T8JTIM_ZuR`pviq0qJzHF>{;<#1rlEa7YvtJS(nQwh5eO>>nrF=rs^Ua4e zmoDNsAlGcN;MYFY+R|+gUR7_N|46m#e$`gLxZpoW_0CNBe0$9<hTVdf4xHXIbGqJp zm7Hrgm$09*R)|$tpXKzHX~~k#7hW#%ms%UP$Gl^5RCcSpV8?c;wdp+98>ZI#&XEjP zUrx~D*dtV&F0dw^d2g7<VtKVatA*UJ9sjlH)Lh4z+YfGGd{*VbtbX}(Tlk9`=M0`0 ze&f?v*I2@+@?%DO@k>MX>=k(}C5{hHt5|<t=x1MkgZZlL1icQH``Zhu5_c$G3_T&} zA<nVyeA2nMdSxed=AAz}h5c-phXP0LgZ5ASpRH#+?W}wKXP@z{1jg-*A4*UBJJ2mT zW53Snuz4FBA9pl6nrvCf-e9f3xaRZx1~ZE<bC)!(yLRC%Z)QSSv&oGPC&^C@1w2a} zC$;!ENamC}OK>f)l)cnCp~1jH;LY@?s}7ZnOSn}SV+)#g#O-DM`&xnbT;2p$>7W%( z>;iJlCLTgFSV9hli7#@9y>NP$+wxN{qV*+?A8Op*ZOy-<F5r~qrbc6#OAk8jIW64n z1wJ1-S+in3XJ*2eryV7;_oT?IySps^<h|Bxi{)DxbC{PfUM-%rPg!P0XPm&iD-8Kk z0sII0_gs?=xURh~@u}k1nhAT_WZr-G%Q@<JoQuIm^ioff`HLB{Zzid&YOK><a_}av z(X#^^S4Kz|tZO!@F#627Vg~Oamo>~${f@h~2n!gtn_b?Zw<5QxWTpCo#BElSH^^nY zZ79i9S4iAuCczN9d~z&HCWBDmhV_5)xUR|boRng@@^u1R&}7rT2Hx`@CO0?CowU(d z*=fHO!!L;?jMH~|Iqc7o*Zp*r^{Ou@!k(OF4ZP%Hd*L?Y%S-+adt-j7z411A^V@Nk zfslZ{INRlAHX3rwFPS_XcIPl$(`&fKIl-;k++#X#ibgoELzE)#3?EOXQ>n@v53`zY z1$j)bVmkCR;Ci%+Y(NdqCDsWIc{dqKy|(RIu!9rC%el!QcJ)=`D?ShLBkNX7%ZWL4 zetGA=RhEYGLK05fexzRx|J<1LE#q36ifT093p0mb>%)crE-W`NxvabG^KKt*sgmyc zmV%0;E(^sy1|jxIPa;mSePNy8C;X>v>MbAk&^^b@CiZAWvE5?a^Zn8f!wrqkZ!+{Z za^JtnX27<2XXOmjTDF@3$88wonL7nD<lZ+~SryC?*~DNFtjK#uF2F-NazzU71iyBZ zj-mx`o4jrrv;}QrOw?S$ohd%$Qe#T+X}$&<u9r-=S+|xnS!$+<7sNH2R8%_{=4LFM zW$W-u?2>}lgEB|cT#2P~N}89&NiI2flljz5{-BlV0u{vyyz72!=YDmQ|4hIIj)Z?~ znGe2AXgap=H0KWP1<rX_A|wiIcmLPXnss49z$F`rnf#eOfAyza+4gL~vRs1#*(HWs z*sok^yzL@;ppxg(gSU*Xr4Md~Y~`+4?<f^>a!PDKsC18JHvg9WttAWJG;Oj9n6c}U z?<}U@j=K`d9Ak4OmTiEP0GqhEvKKc5i!wZ@@nD|PaY|0m<BZYo=Dy2I^4Xubc`&c_ zly}d3&7|kGTV<u8<)$izf4WNym5O(BXJ!A+35?k#Y0Uhx=@#qJQpc$#fpTB^8%rL( zX?nG*;LI0>WUD3I+2T{Kw;p}6m5pJ!^R9+6$J$&4x6I~mUnj7s&Z)V7@q@keg@A{A z4Yq8V4yjz_j;*;W3y;JG+-G^&bdy!J?0|;pJH`XsC+IDR4M>*e5Sf|h=;R18W@4^F zx7KsUM-m?5SJtiAWc?<21p`CB1Cz%Oqx~u$SnarY<Ns+UOuThBc~0^Q?j6EbC2#(I zbmu*A*4ONs!GS~mP8P={_DQn5lks?vy-DTiY@W-PlJ=L_Gfi=wz?R$p^4W~UQ)agr zw+LNg@X}LHot@kknX*}!;k9C{gXc*#=9@8_r6r;jVk10vE=#annI@mGXZx;YGn%hy z&gXj|yH0S*i)-oob7YqJ7)v`GR*3zlnmQ}Ftuf=7^Isni=FP`lE-PrA&tbk3<iV^R zJZnn2l#a8pb;BplC62!%O)j%dFHV#<=$^h)-OYc;f9BIAw*@Cjw)8%okS6or`lO8U znUjXrjr+we-ME?DcXEU872X+ZC$Q!9YpNZ-$+MZi;NI;!6Q|2~o-#Q8Vz&6Eg*H5w zeyAyUa;h~O>_5}~EyY9JE2z#gZN`Pu3R9jt>~ffN>9paq>SU3|e}^ZqsSECou}TPk zbLkMv&Noa+$KJl{$X<7R;_2x>wj|%*;CIq1$-8fPP6B_k$-UDpDo>{+x81yAB+oHf zG1kL#<x-`oCV>L4iWOoFJZCOF)DYpuP{_H2TT63eh(=n=+Y9lWFAsU1(mu{39CefP zY3T&El>W@8GZMvCzhS!;=FzM<dHR&lKFMWEHu2w@dHQaqnue#R>SB%MXWIT5cuY6< zw0t@vu`TGCbG^|LZgtJ2GR9}prZ_5`X)IBEYqnU%bdnOoi&mBi23~dhzXV41*`BeC z6nlJI*Ud6Z<tt<PL9Xi}5kJh0?eY^hZJeI_C)W5>pfc~`9OidH9zWIx&*=%|>X{r^ z#_%-Iqj}r%o=J_V|2J_v%vRuCkdk2Jc2Xnl_a=4*YlT>clM+iAZuU%icVXuZ=}WCs zdjhqlO%8m<n8vfD@%H7j-fq+Oo@Qd0%aF;SnsHJ>b@7X9sk~R-x0)DKpVH8ENu4sc zA(}rk;ppy^o=b;}dNxUG?B5go{K$g8GHx#!rW+^789aBE%CSBDug2}>`96bFW;bpy zWaj)m-!rM#vP`$S;lJpTjhmPE1SQPwcz0>Td+y8!-=+j|%{i;_nZcax(gCkNmE<Ws zN28~)2G}uPVx80z$i-oNN-ASM-%BUYV;YjJ<~p|*>=6OW7;#j1vwtynmYU<zV^sS< zFJfLVr`t{TBa6ddwMeC${c7P>`NiRS+0#8K+n!WtF?{2kaIEc2mXX^@x#-zbO&u&` zFSSnT31sS&zu5XK$V1%6$c<CN*tj8r`DK&WF%8C}J)5|H%~puDI4Pm}*uaB-%Klap zh3ZoplIPz9U%ER>;<uBO&&)k3J(C(!W*hO}I_|J5V3MJiW1w3k!(U;jwuH%>*nTZ{ z-c>Nk(CgU7ZyL87Hi%qm-EzC9$fVSv{)DYm{sWGOkJr!Bd8~XsCooT9M|w+<$y3HM z)|C1mJ&rdPrbO3Eq#S%d%fHX8WRviQ*NTkmBPaFv8FfweEn`mMUcz`g*vztO#-x*W z4^r;E=2~kty~n3-Te<q?h4wO+eyFxP8R?z0Fq?XY{RoSPc!-gfW`LiXB#RgG635%Y zYL-&blLa5d@ML~SS#YxBNycX5n|yD#EAXD0_sN5Kg~=xN3~L46RX?WnxJhXoR(ff7 zw!_GS`CD<slHS-jYke0-2fcQa3sp&ff2v%{b+brO_gQj({bIvq|I+y^Z*A3_$@{}u zv3I8J(g{7cTz)!eHkxEqpHh%Kt2V)LfvJO3$jQDGmZB~1Jlqyk8!q8imaI?VS=RqH zAdd4Tlc$@!`|G+C^^)t(y9_36Jbv+7S&I3UV(YsvCwADlvAu1wiJI`BuabesS?a&Z z(Z@R;zpd%oSgsuJpXAlIh+~0%n~7SA-Q>XI7yI^}C}UXDQo^X3+{YDi$z|?^IR2MT zo^CRtta~;}bI3dHnlS03n`_ds>+E08u-_@x{^;o@?f%*>#jK>=Q7Zh~6w|(K+Z8`E zhB9R;99^5Tq|l^Hu{mHK^GhbrW0I0Cn#nA$#GQ8~ObR@9@tRzU^_6g^T?vy6k6rB3 zJ>kc&roDvmty!Xs@vL2p0e==`ziT!8wEfMdO|sDwSZ|6gy}efVgyCXMjyu;C9<FGW zPp-Mwl#*P2<Hzo0J;^iPo;+Vx-LRWk=*KLTlNO5)Sa>SiE(yFLeQCj4&CHa9n@wk! zFBnc>3n;RX%uW&6%o@qi@N$CQf|M<R4LSEBn0r_!=&ecF6S#5Ck&V0t*BzyH*sAvR z=tNJDWvJr0#Nc&dlA*iXMcos6E7EP(OwLO9HpMEcV^-nK25CNU*1mJ`kkJ!Ed5v@@ zsV(!J<zyce-OFZv#^%wycKNmW=jDFi6#R9AS=!z15#P7}KJqtbBy(?K_z|plcE-0U z_FOr_R~eRxUOMnrvr)uiw&OO&cUB(E+Ln`g9F4^C9m^bk8hVI(7;$MToKf7pU@zyT z)=53iMqK%h-yAC0mvE~{cBTjjh*gDbUd(anflAWE{FL`iuD50^nCPew$DcXD^!nqB zCkyt*L{E799bA_4CD^lN=A2lg_ITo(jayl7vbOk6&|8w?BINPsw8Dz_4J8Lvk|#A7 z8gKSWPqPPS0#1!*lPWLRvt4SP+~aM;*DT`jlf{F1)AE!_AJ2%T@NS4w<Xz?yXJmHL zVH4|3wzo?s=uJr}2@06vU*_=N&_mqM$nK=YjixtE|I|Pnr;`@f#8UVL${co0D4QMF zry8}k(?nj#!s&<D{W)&jKTjA(Pbh!CHNB-sXDj2i-!o>t<p0li*m!<QbTfPOhuzO6 zU&}Ar#Aq!e^kJ6j$rmb%EpDdRIjA{EZLm$9oV<}$lI5I?N3*)-oF1RPt=kopFYIT5 zxVz6+^)};1rc10-d%U=&O!h2eO4WsAYq97FcMsg;%KV^`aI)h`#Aeo;>~HPY6)u%o z?`HmDwK3b=qx`w|7VHo7`?5KuQs*XvR<91jtrCyv`6n}$G#cq_VpI6;B(-7wVWr$0 z%a^50Mt=*xzxaCO*JsZqw|fds<jml3oE7-zyiC!WY;Gf_AFdOQotYQp;g-9oY{4DY z%nRS9I2z6JRj_W{CU$9o*E2Qc3CX40o1}L|E5=Hk{Gps|Q2VC2=$Y`lm*Vb|E*{^w zi*+XN-G>wO+$U{3zVVt^irtmu32bVDm2(U##9Piav6Sa9Kd|y(UZW{@T-IOy&7;2W zs)nlWf#wUgJ4p!(9ZR`VxF(r<6F<YudT#^ylQR}GO*IKL+tTj5>kcHF8$#;#lNF1V z3?Fkl)$%RjR+G$?Ssgt=@I@R?rU59AZ{4nNo3T`UN#m4EZ#SvqN!*)+PrX)*RXAy( z+^muMrtMc4sCGDIaqU*qo93!#lR1SnB$H)c-)K6+cA&sxy1iIpPn(pKbLqyz{L*q} z@&-@L|IUcs_cLG~&r2rH1KWQ!Tf7Vtkl>xbmTmqb%s%4gu5N~BLLSWO#q%3-zaBP_ zE`J*k&-t>+(_!1M!#8493v*;E#(Hq>2$PvKIja;>%=50g${)V%%`b+@A48hfcpcdC zEAd5Jtl1R*HWRzI$J%0*Y@SA%GFZ!AYB*)0_8_uz0?*kj=40I;D>K8AGHmS`K3<P~ z_v58zMt{V$b89(Ho@#I9RyZqB$9M8o`l*e+*ZIDPPB?ZduVBr;1-@4}CzMWL%Q4po zt2daPSjwEky@YY<9*+YXekp!ri<J*Co>%5S%RxmU;;-V2_*Ca|izTOfS^lh&XUjkL z)Vb7X3AYmC-dF63KgC<}Brdg1Y?%M$xWW3hy$=6{JjDH&s)YIvPmwyMtr)AodBf9& zBe=^iV|{zcLX`y~e*+{INw11l<aM$5nP2vM;mp_-b_%IBlNC~h1iI=BHnC3yrIlma zp1WCU7TLF5>a59_?yxIB=t5_mM(HoD*$P{pgSZ<y>ol&t3ugH>-C<XOj?u#k{hoad z2Jd@poDB|byykJQAb8UGU;poA-3gW6-)WFvw?oq`>&g4iX;0=_{7TX5**aDFnfcSX z7E1H~&$ZdVa_Kh3lzm>a%GI_We%M%F?z<wV{O{XsCROwG#m<ynzh`-^F6963<^T8h zs7pWI!73!2*qdI&C-?F3!MU0J>Us6LLfuxKaqJ(iIWUD!He<ZF`RZJe_1w4CJ4#Kt zyP!gB!Gzs%3~w4t9Ex~U70Rb=TUh#qfA0Ig3b72F8FP6Pgm>Az=xZ!VR8c75<58cg z-S8{KgL$)b$T=qwp>XDgFb`%GM^A@GI=M^pa|5acFRggbR$HvBS@@dmjQa#OzdfD~ zckW2EZTjl?yZ`*F8p$blm*o6)e3}x;uqIoP_t|-c?9LCZ%Ssult(R>4Se!8{^@!tz zjJ3y-^F5mPOS7D9%U)!&LY@~iKr!p4ftjW@!<i6Jx}DcBB~rrYc-Dd|TrY$C9FFIt zswL%Kh~j#A$<N`4PVTY<*?=f!kjz1y)HjPt9QEH?&J|reKO_5fqs;efVfH$2GE<pf zvUoJFu$0=q{Oak`yZ%Pp-M7<vqvFqa<}+a)&AOYXH0VUhMVV~mo#m|<%fXqkNVxHS z8Ov7F33^{yST3&2Q{sbk^?!%?aV%?tcJ&YF>DGoVdtN&0d#lN*-3PUGWe#h6hK!A! z^f;iQ%kXd#>xEf-FE9BWP|eLvooIR?yS3z{dcwqMc0ZD(zf5!5^+ouDyZ8O1KG_Q~ z%rBib%vk)ewVgLVljr)*Z3|!>{+SkxbM7o*{}t~jHRtD)KfC$2`5*GjnEUK_-2^tT zXJ2CU>z`jQoqcj){tr*Nkp9LJN0kTaejKwGia1njE#X#WbS_+IVd=%oAm$*o;O+wr zKA%L*+=d-;mmE9`gf@t@@B1``Pos`0lcB76`IqBC%Pz4mIP=vimo31?>p;k_#S-f# z@&28x$a^lYXlg}7?Apd}97`Op8XuI))m7c5P_vZ#h4a6ceFx7!)aEnhJ2r{^iQ$Aa zncW8?<}~<*Ff<4&@LtF(5L2IUHY$r@3&SObQ-9PGbooS{>E;IPmb<icUc+{at21|& zIOOv#@wR6yE_`8J{<7(o8fXyY-J^ixJGZdUYTxr<-fx#TOZUic?r>(VKgk}Zz2u|U zX0yKib7sHZrT+cJxwR(@FS1>Et;oCUOav3#;}4e}8OUCUWqx_c<3Qpa302=KtVew( z=ryqD9OF$$;(sbTL2m+!%`sl3lqarpxBgX#<=~vr!`yiF^MW#yC4u*O^&UGI<t$^+ zP<N0rnK^?c=NO}6%`&#C<<6k!l3c#eXqH(9sA1=EAVY@Zfe9q;JPu^aaEPsb+4N4$ zL%i*1mdx)&^*)<dPsC&$`Abg4U+QelQ}B^X<CFiqP)PAp&pzc|H@-rfd-777F2B`e zuMyaw`QMA9-d0)ahxm>I{>dBH&d;fMBWC%h|Mve`GtD>e+<Ea{YxIotx3bUX7N*^s zIfeJPxI@y6B<mt~soq<+4Y#!IEw|cYyZsJd_shHMI3lJ^-;^q6y8Gjz+(`Gii90ep zFE@b}%FW$s(fj6B;n}mjK~D=ydOUr*Hm9u#S!%V~t!Lsclk~eQCI(G^w?^7H>eZew z+4{(^RW<9hOdpnCJ?Pf9TFA{PcIpP9f>5`lKtq!QtEQe8VOBUD%iYo#rfYop*afF6 z{IBBAuV;OpkQU9`dNCt+^_91&R}Gz~tvVQXwdJR8Y0%W<(5W+aUk#d{eRcX(w<T#E zp~6QdeA||_YQ{P5^|f!TY|U=&U3l))&r+$MwQEmlZaONHGp$6t_3xT(5zfhP*J%1i zWK7fXD^BU$BC6lxn!P${YhdOUVK=FtSHksq%eq3^UWtjcF8<QMy;|!^NPbrCgu=5M zZ!Wv$w`XVKOX*eJQ%$a1Ywfr`!{&5y-dqbiiQIXrys>4AioGLqPsgmZzYsLTa(`Z) zhFbT}^<m4cHs!~?J(TRcHq3c;ZOxh0u@+mm6{_nVj3|lAJstDc&*1m9wB_z9Vxqe1 zwq2f+eC9}2)DAnd=v!|VAK%jX_>XG+?ZUiOU9GR5Z3_$Ym@LbZr!~*qIHX_9U1Z0~ zB`fV@L`9D;*s*ZKKDn>oR0?d*{mt2bcAnSnrCDV=6xHV4ZdciUsHWeRGgPt8s(JbB zZC_3^<UM#Zf$jFbERj~Jtmo#(mgrv158LwV=jUfzgWR$X8h<_VUv7tTuT9wMngr8h z#`7lLGF;VXec}7+AX!@rRSVB(4dt!Rr+00voW0pyMeM1vf%4YJ^0#VUTlxwAnRMpT zvu8U$D?iSDoz||Qy!Bb++wW&DzT|W6-MaSd=j5>YEfGcH9r=j?Zdu=@Tp#*hsd{mp zx%1MI+WpIyT1qY6+q`^vfY~{=Kdx5~XC@WL-QDv``PHoFu7`RT?uk6B%qMU7>Vx27 zPm!RX^=pLP@=u(8mh(ta$$x?26%%c<*_$jaZGZpJyigRC+rn14IMXCk{-4GAHAYj8 z#&3*0Big%gn%JT>f2=RR_*q-=->;`-c9he_h#fmucG;e7p2!?GQ-%4!^TN%qvy->F z{64qKxOZQ=j^2ic%I&{2tJNlo9CH3^vP6JmrUOSyrv1Ch%K`RJ%RU~jdVb+wOyPlj zzf2=PE>gWCpq*v6w)4{hv(KT^nHw{Ti+lJI_s;(QRrrtPjK7wd_V%gfX2P;()?P2l zER$GT8k5E09-Xw}N20y`(`T_~@6Vbio401Ub7cCFe{VXijI2YXCwjO%I3W7J%-YD> zCpq%vw7-8v0$v!#+}pJO>9vV(uGQ`TAl&}aBX7;4Lq3-dweJ5AoX7iHVA=iR*N^vq z5Pn*g;mdfP=jYP57xx6z?%1|+(ZZ@S6Olz_J3jwnn;y+^B4u06CH=osZ1Y(zrnKz} z^ZKc=cJd{UDRl|PR(XE&PTg7-srZWV6X$x>O$Kvydn_D|o6Y4t^AKd!*_aHchf|sV zm^@KG;L8-F;G@22)^~^Mj<07AerS3oadm<J_1Sut8?CYur*2~YD>B1#`N#V=E9<zf zWc>~QQ|=<L=+3oY+V-qU7oSC}&~p>#TD$9e__a4zK5*=NV5IKLtNrJj!m_5sOF_KT zpS8Z0o_$jJ?DYjnWfG$2<)19SBR6lo*sAn~_xz6}&+JxfW%~MAS+}Iq;N_y`w~F(u z>|@Nsg--M29lpKJF^Mg_^2Xk1zH1EYh2~t}X)-w=%c^Dh_Oir44WZe&TGM3@$!+{w zx%u#yB`fxpb<Ah_EHyju%zxA05e2zi{s*})E$&-Af#Fd!f6c7#eW$lO2<M8lPOr~x z{a9L9@?zmNr=*=Cjh~)Qh;U~5`lz6vLAHFwd<*}36EFR2=lU(@D5rZ_pt;b_fGM#m zeAl|9=3}W3eGV;4S-wbiZhk{c;<LNuOKnQirD~UyeL7hj&gCDSv|yUpD~3aXw(Bem zE_pdxJut63#V5R0WKL$xtYok0|J>Y+C)sERPr3A3@mb0CuIsOu7X0UU`Qdxs#!n)T z+dAKgY2Po%?9=@F%|QE8=*C+!dleUzU%gr7!Mr^C`!f;0HB!;5UAHQ|*!#}*YFk)B zT5|E-m_+;NqrbkkpFH?|qDal*Coe7^`jZ$IRHNZ$)b{#Goks0(-tWPA(#!AK{0hqw z<JsQdJ?&a$>cSUm1WFJ8`=py^AC<KAqQqL?r$2XPThvR-vA+NRG0;!n^Yqdf4%4fb z-8A=|I;vI`#`XK}wNLL~h448^hF=ki)jiw2!{q#wYXzChG;4oF+w)p}sQvmP`NRR4 zU4bV2zvj*t{>-L%=cBbf?@qzkdks8imWp1QRb|0n*-^gP=`XX9LA%_vZ;Sc98((n_ zs(4gj&ztEwy<L&H_@!s2Y!!>M;_^jjH{OcquoB$z+&-YjxU=|0@<mNsrw8_v4qbJR z_;^F><Bt~Ytj=v-pBMhzvv0<w61}q~OSM0(T~hq9P10x03h8U|KQB}qoF8MZ9lh-R zI+LolhgqFZn4jJ+OjP4?@A+_f!uf^*Z2_i4t`A49_kIY@Gk<<TM(Tj*Y<G*MgcHqG z7g_JlTdSu(#qr6eqQ4&643=-MI4(Y*P_*N#i{8hR4qn|muPoqk()wq2%75p<*E(z) z&0p8pcHO;r_>KX`*JBkQkGkjOr?qEFWVg9Rn>;d)*_XcM>FtGYqWPz1dv>1M=dN{I z=vq+OlKDlqZ%#DeO}|>)_k?v{bavfw)BFP7J4F{czV_OM%@6v&`qJZB>o4Z~TVObc zN4dkz_+DqwDfi5cQ`{DP`ED4N^`K;(+a|_;57PCN8?9EQoLGPS#3rNG|Nq_wZd&E> z_rb=RlGB!dE%J7UoeH#Fe*Q^n>D>tJpvgC`I=Ux|sTcnDjCm9}<x>2Pmf&3>C5xK; zUj}XbnPLAlP2Y2&1GnsH2Iu~V8EiY<{9YE#TmR6D<%-qf*A}}C_C4QM&?~(9y{lZ= z$B)Thw$x3Wb86p{OFm97C*3bzvi9<>vdT~2-&~Ck_V9b%?fUlf{l{C@9ld0~VZPuy z;bpIv9={pGs?RU|&f@Kh^SQeoK5UGa^1u9~Ny$mh&a>_lyJhXsxhjt*L`7-v3&=ZG z-3h3ypOWf6C+bN3`HTCn|G8NC?avhNYd1eHu<!i2V{^Lw=OU)J%{R-ptIM3cVt@15 z|FiXn_xwMf73aUY{=uZusNO#}{hv(F7P|iR1!HNE?UL(@FZFas{ah96%AC4H&OSn) zsa^i)^hxLJ-sGr=EbY0X@XO|C?TZCIznucN70=3&?$4MZo*a9t`cb9kmG+5GmtI(> zC)Mj0RX^phwAAeE<URUf(!3LoZw}YJC~doP%XOcroF<2KpKg8Hw{A+?#8>Qpw4`?E z`W(4FwJdtY(sgD+Sr50hW_b4&Kilx|jFI!#)UATPuEu-j8~F6c-A*$Xe!KmCY-Y*t z`KpqiPAXrYFhypve&PO0ITZ|-7<bt>|7%yb@u?D>75PAYUhPhmzrl?&q&?^Tt!(wo z>U%zQSHiPt`B&B)zN3F5W{Pf0XWhZor;7B>I3^}D>d!0;d?XRbeqr8^bFESju5etv zJYUjs^@PvXVqKRExu-7=6cvBo7M1xXw|wcv+L)+-+ZOgN?!}fSe%GdVJkh@RqUoE- zucH-`?>Rp8q}iN$dnwgrotM9a{_`ys-z!cxO<u`8ulKaxL}%v5d{<7*^LTmT^`#fv zKGt!a*>d`(>z;qUYjahsroHul`pIW^P3goR#*=I|u>|YI&Oa1hIraU%NnZNmhBN-{ z^*7Y7ioI2JN&EcLOHYzAr|!SKbZc@ZSG=y-2lZ?J&#m41tNb6!wYLwiMRk3vo#tik zD-ip$`Y?<A)3SR9mY46{ac0(u#1m(C2iioQs0=(1y3;hOc%99v>WeOo7o4_lDfg&u z5%X@p;(6!bl)ky3D(GE+`}C8aDz2%R89&+4z*c;cVd}M6{|=}uoBlWYb;in!{J^*K zFNLhWvD!#r8DHl8?MM8b)s|<ky&C1d-7CA2^Y*#3yER*l&aXN%eXT>(%ZG2B*LG}m z%UIfOzJ1G?-CwkAtJnIea$ULT#b~*_<>d)qp&iyso+UPZy|@3ScX|E#$Qpi?_X(`U z^X|S`%J22($?cE(rtix4dN|kc)-!eRqP#b=gO|joo)g>~XO*&P{wA)fy)D<u!n5C| z*n?N-ZI8IShsWv#`_^iM6fVKNuarOezWW+<PdDqqk~y>D^lQ)0TE2=g?`_dmx!N`J z+0MQDdMWexFVEebjaThgHi|3UJW$TuS(E;yYUMI#UYDYEufAT|-~V)CVeRzQb?bxd zd1G$9UfLDZqVzwE_h{*xm;0~%)F@ib_$vDO;%cwIQ$C-ylHVKrN_~C$-hR7JFV56) zoqQPk{^ZU2z5Q&{e|lQKow{gln?uoZ@h!oI<x2P8?u~oZwld?~{i?lNCP*)_tDm`R z!PovNKQkX}-W&I85szKDPVoHc6`e<(%V})sXg6J%wMTAJ@A~g6lHV`P<5t<e_W`5N z!Q8C!#sfz#q$;YEn;MuecqitOCp^RX+V2(22HLik?rXmXZn)#Wuj#AZtKGhL_Z1fS zU9FPe8*#4Kq-68-Px;r|X0tz+*?7}?vi2X74GEj4pL=BQWmf&Nk;}d^zUs7h`p=RK zai=1#n71c?KG4<AUH9NYe*Jmx?u!+oD&@P5O%|@W@BN$Q=L_Y+&2P(Ob??lPX_<ZZ z16!Wmaji#b--@Qsc0GRZZ0jHP-Id=93qGst-zC|U!vEme?c<W>&legpot*pNS=`h| zX-&oj!8<Mr^eCKTz5Z;4;T+*jrDx+GKXl!{Vv>GR%Ejwlo3+la+V6Iaw=VXO#-}wC zd#ykH?e1UlRBHNhTg&LGy<2u&@@KYx`}NZEKgX}{jkDTyYCZdt%nuK2Jme0}wOPq? znR&)?(PbOC477jnw|xv@PGh|i?zk%<D{O_izs8vtSqDr$%JXbKcfe-f^kWZMJ(!*U z?YO*Za_+Xjw+@=UWb$X4cH@pvm)q>cTgvZ0)x6SJApT~Ss+8>SIek(aa~IAra+!PV ziDixH-R{MK#l=?2rtdwM?!DdiajsZ)Oy9nP0VQ!ema5*lbL4y7q%!?DiM-B0x2&>D z^PZkHyliQo`TS$=S>1(Ir#zO%mQPK1U=}hxTj`YfP2r1|ZUu$kzTr_FU*gJjRUyfA zci;Ytp4pkXtE~TQcqr;yx-hX_+|)QTPwm&&otaz0nFHS+3)%E5#7}#+Jh!e)Sw(7Q z@!gnrlD54WFBh4-RI-yf_g$}f<BOJz&o+B+JkHZ~Ia9-SCFtY(INzm=3p1v4RrX0l zeRaB+5nNnoa_X+LOY}Rh$E)2;u9><=Is0<2+4%EYVXAB1d9Irt)7EypU;Xo&u<R+e z^>ZFAzw^gBs3PCH%%pGrDf7A=O*>y2yxW|qbxkNaCrRVjwoQqT&;Q<)!+J<0<<p*| zSu<9qb@X2TGv#c9$;9q@yL<jOPnFpQZJeMjHS4+g)ye5flebm(eZM>*o;UP?MvB53 zYku3~pM?zToBKDtzmi;i=|Q*Ij}Er&pZ`DEUDw>7bs@y;hvQ0>(|=b5tl6{Ev&?5D z!@lb8!NJca&3M25l8l_&InKIMc`MYpiZhv}&srdxH_KHdtvq_?tmkKhpLy>7&ayAW z;33!XS=pM>Y;mB?J?Ex>lwnn>e1GPfa7v=Aqn!Nl6AwSsIKF6mw)wYoIIE&TaUC=7 zuD_p5ta7a46JF>Zo4hQ$rIXF>Z;Y1iyu1zU-wr>^nPOY%ZdZ2rP<>sZj^V`5ELkr- z{LZCI>~4L*(YDI!qJsRv+!`|p&w0ugTqaM98G4xm6nuWl96jy#q-PUT$eEdE|C_t7 zWRDI1d+EkE&pA#mf_^`jUx~A;RLYrGWc_YR>;jGHcIA)5TGLIhnmGlC3(RjjBJ9>2 z)e%;eq56JRgq)=B&Q!;{7b5B!ZZ8*GRPFM)WwHv#&nG*NAFd0?>#q>)zEm4jc++zo zqq)12?^eTCEcZGq*``-lGF`0EdbC`1pT$Z4ndz?Xa&moF&t1{tI@9sw^8D*-JeO{o z{8xmdcYzY0_wAD}w6^ni?U=ji_2EFjJNAVq<gIS{-<%k=kNvB9St0jy%hS;w)=%ah z3ZHZKtKuU2+O1YoSM9tL;4c@rzr%adgZf7+-`XAZ-=}W&L*xBAk)-9^MP_*)E?$tV zllt+FO+NIKe6;zG7t1AUR@Ci0=q~tW&Wy+PXWi>pChBV6yBLsLo4g}4FSjR4pr@I? zi~Cdw->JW*5-XK8gj9~7Q1uX%Y3YfwpY9f58vp-KqxV8Vlex~<VoqC6l3G;6chP9w z1%au>9cBeH`}MS*Uy(DpeBS(^&86)v+(P|DeaEL73-;&r7-@XGVIlnS#LCZ;k32qS zCEUMfs?^TOs&f0+l^=CJS2v|!poaIQV_l2eg~ggSEstMsG_zGI&(eFk$l|L9SM}GF zz4KMR<!;z&<=RvG&g@#ib&+fptBA*c8J8G}on4-~Qszf|yUG1&OE<mT>}*iG`c7NL zgznPMuQ;E^>0NhApZRGYZ$!V%t^GZxAH7<;^35wt-h!>{>-79Z!{svzjxNo~tF?%d zUTkL(`7OIt>YSIH#V4mv34vDXhuV2x{ayO<&EJ2UC%E)2F>F80`f?7>)6HuhKW!>G z*H*m4slT85(ocrUIoc^4;!8dYY&+}3Cvs=4adqM@m4ktsC$cR6BwrIyaafJ>*2S-% zN+ffY&3E*3aV2%A%e|7)I)8fhOB?;|EviR9zua1K?qmK=2`R_?Qx5gk?3cGVx|ue2 z3ohb)Ip<<{;mS^%Ti=+br`SlR*qDe1Ut(9kAE@qrzSQ?*=EVXX7t;@U@A4n*`E%rW z+__oY`t~0-PWLZ1G-<N?__3tM^7{JcH*|~C{`e{%pO?|yzjw~LrD~rtZkxsk>2LpG z@#?(!q0<j+rM}wDZ~64wZTj@j&&<!R?hRmh*K2*|ZCVD)->c{6$r$#3-}A#!H|kOP z{`4Z(#ddp|S7q<?iivoXE`IH7Gxywr#Fg<eKN9DiZspY7cuRsc@#pr#k8F0RG~1rY zchb>2_rPY&<3$;lj!OPs@3Z*z4yQHoa}Hj+Zho-p+g_=L(?&;r_513*c8p8Xln-0Z zS03H}?6T!dn>&JMXDoYfR545MX;KEu+{q``+1}*2Ue=L4ztGTWi*obwmlHVbH{ZW- zL8z-x^gZLeL!rJ;F1`yd^pv@;*tfp-z()=ld;4IS`+|j*aiUyjmrDJx{`mjVpM9Qx z{@q<!RdHXXFRnsMW^drTm~{^q6}~;@Q}$MPomFnxij{gUFTSo`!Pj7OE0t^d(fiWI zmKVg`ALg6?c^gpwU##@!?E{mK3iF2F|Fib=(|_JmrpT_Ipc5(2UUBkO_p2Gdm}LGm zM;k2be<JrfMDNwfSBn|e`->N|-d6jiaiH^4`MLv=ai#m7Nl9LGXOz46|LBIKr}vky z{CvM*O@yxDnuzb8<o6``&d(29V^;FlMq%<-`MeF`Ybt9dd`&oV|L-}|BEL<aH(8uC z5`S^v-04S+SM@jW?JQne$r(Pw*>Cl=V$u8lDpuy*{N3_9nVK)pnz&riE-L52qM4tI zH&>jSUUb#Ta)&L~Z=vfR4(HB3YFMIkMC5zIl<rL_Q<BAYEwi{I(kCdpZgDhI=DPj5 ze!)wpr(J2}tCn5JHBZ`j=EY;BGR~DR3N=$=&S$*MbkKdSeejva*2S-*PFPGm+{V3I zj;-o$Z=LX`USr0xv<ZKdoFz>ol`V31e0q@h;IK%`HPZ=lSN&Ejyt0$o_PgA~19B&f zEbA=`9(4pRKI1R{X~7jf&SJ(tUljKQ_zTbbw)^sm$=uJ*%DmSXv9p_GvuSpIB*)xW zhs<{zRgOI;`KLBY_Q&L%2lg{1B&TnS`cd;W{o&`+?tJ!zS3Hax6dLBYyfJTJmzZ21 z^Kt#|%P*w$W-$J_|8g3mU4jm?4TJPP?hO|MIseWtwEC0&WA%;$|B4?*O2_>@pK&q8 zw#F^f^XHVu={@>9Vtv}0x-0Dxk6n3mYSpB!Pm+r}`gf;JFWIs*VsCU*befOOI=y*8 zK`LwIC$C<)YDbk)lwDW0p;h!-vARvs1$CQVznZo9mq69*<A?Zkc|OOQemnl|hER5& zi__Pj*BA0P%FX*0{mXcj--=m*_V!A(^-tI3aUM-`o&9sAL)-sL$MokIEZ$JE=!#s{ z%7Rpbh^Uy@h^jRvOLxy&rRMoGAw0D-vfx$4%I&sEb6#!Iy7GM2FM0b<9xvy<S|b~7 zwRFd>MT??%WnN^h($Sn1p`&G&{`beBNt{8yv&(aLhWxt}85v@;Pg7*_FUE7f9(@#j zdh}}0{KsqN2L!ERduypR$6)c29Xl#={+$qX?-T2Fo+G8ocHB?xnuh*5Ipw*=v2&Y` zd#BD?y=l{mfUNI@SHnbKR`3;F^^>#@{q@W;yI<GNQH$MD+uOS|?^|(bSdYHW)P3t1 zr-`nL-(UDmSAU&cxXn_Rs`Rhf8oHX-O-{bM9uOI*#ub-dyP@FE#*S}s>wbA&(~)_z zil0sU%EE=`UY%N`bx`insx>PvNpkPVULKOY_4L70kF}enM1Ln}&M{cLs^ZU-Q<JiI zA33P|h3@n_d+*$-S3(Uk57x{Lid$s2TgiIq9<7KqYO(GQwjBDD;298m=Q?LH-z1*h zn~W@0Zpv^=3``6#{-)%<YN0P*tjx~7X2G>nANx+S>QmnG@Wj45hKU&~ugxrxk<T#D zv+7IEm27|f>5#>SkCVByOFqfG?$YYjUnv!@__?a@@dk(J&`i&O+mDXv<W}{}6~7)4 zVzSRiRKJ5+S4(!i=Ua=ID|3=VcPQm?96fq<a+mhenHI%mlaoI+S}slL(UboDef~wx zXAygh&fRGFD9m*{bXvxvn7IoqSGa!+?VMHe;O$3WS68bKt{;7;wpKl|HeZ(+Bq%oN z$IF=^LOfcJQa@&0_L;Ticx(6d%Yuvhu5Ga3E9{ln-gj1Bb7Eh!>*Ef=OEs_0-A-rG z_FiSuJpJvW@YKXWPuJ3gZEv>CEjx0m{<ogh84kZotSLXwS)E;R?aRxK_W^bbm+VQ2 zN!;nzlw9cU(d6p->e11UyAF0v-PIQ(bU)2cxBKxdFYe~YDs9h?%PJI2GFW|*_2Vp2 z(SwDpk4yjB+ppWXX2-5gDK>u0eZjN(mh8D<<!W)wc}wV&*A8`mH1je!r%eeJ)6U#! zaxO(c^S+q*l!T?FZJpVxb>^f!{kG^wSaIA=CB0hh)mqD6xy+Tn9v0eUYIW}9s^pDR z=8E4>3A1EZcJ<kj*>mRd&cbc0mQR^3KHV!hT4tHqs#lhUYlF2N((AkUw_4_j-)1|` zs($8z@WNWFsk;hyt+>spz13Apwz_HQwvw(LS?*C;6F=R3cW&0Kyku8Zui8&*OQ$eS zF~9ZPW}UX?W#;?6kxap{?_Qm>+E6$3=<&|YdHib4y}N8@*aR-WBinM);{T23*57i9 zL@NU9)xRVZ{SKI=(Y4g|uP58#X=2*pB{5MRrhe~i^B!zEbW(Kcvm%eS%=ud*)~@;R zW74NpMRRYNecy1Z%XG_M#qQI~LM7^+@kK>?{#>_qYRJpXbI;TsvDCbO@%*-kZ^icT zrx8-WdiOhBzg@R+-4T%+?5wv}x9qhmU$d$t?{2$O=vKd`y<Ub+SEsl{M)PL9ZCh&> zw|U+C!<SRc?!;c5GWWq_Z|zgv={@s9f}%{4YcdNHQ%(7vZZl(_wK+VraO-0K`X!4V zy*l)9a+m4NTeoDqCnSAP6%0C<FI$=6w71qHySDSw&TZSaSxo)(D6`FW`_`?NX>O*c zyO&F@I(6%B<4#F4VMX@09!Gc=@2R*oMeBok+qTQ6HrXt_m0&$7F1opO>d~jOxISLE z^@6YX&WWb0Q(GT@{UUb%gmFk#&+V0Kx2^V4^D{b~GP^cs;m4L$D?=^RZa<1ztsW}Y zd}HfT)?%-nJ5qdW{wMg)4G3KMI;bYe_iE??!KaH}Nj@%MOp=dqy=r{S|5Eb>yQZ#H z=^|4zmga6+QFW|k66Y-QZ&yS@&ubiQT~;d_8)ADnfYDm9dsTW!Xv1Wl4VSj8s5&;~ zQ}Su;WiO4=!Nx4}z4UX-`tM!MqDz+^o%(7P@7k-kW*Vn2WYyQ5yiCJ*bGq9q?<-4e z#a8OB4&r-!B5vh+4gGbqLK1IpU|xJSc+1N8(hWg3x64MqjEzh&^mL!K_~ee)K29c6 z!$V$ev6>V<Ygy>6hQBd0!ZI^0Cpm6O>(O7UVJ9cP|C}?|+N(>Ad4gYvFO|F##*%e8 zzu;0>*uuzc{R3T*ae;R0t}JPMoT0I9o{6$W@{x(j$4@c+{yLQ}AoJ1PxsR8uJ$rET znr)I*rjI0cUp$nzD4F3>P(;Ma$d9d$4~hCNtzs+wu}({Lmz7R;@wvjkdHK&AIMc)| zH~C*&)4NCdk?jh-*#Qx2ubu1P9u^xWw&ud+%iMk0<`1OGZrC?HZj#zR|BbBN)eU8@ z_q~%}^6|#T&HdpSAydM`!!oA4=@sANdr-16FudO4_pJMI4IwK}s3h+3^IRx5LHvmM zRPl2fLF(r`Z$zxz^7PJ;-m8;*pC2_3%glItm*MNhjo%aE1s9)ukyEv#{*sSG|C-2> z(Epq>8&3CTKZ;tjTCV!BC-2Pe?3lN8SM3kokvmd!J?;J5Tc-|c9(0oZVOe;#%I1jd z6xD+(t0sQB_VllNLYa5?QQ1k?O4rubuJoI7=+Do>!soKyan>nO|D>m1uR5D;w*T!} z^8;ZaD}M9cTCJNZ`q*n#_teEVe^1Kbo)&gi<FZbr-MK|u{4QR5{wS)swW=mgu)Kzi zdwIg~&x<N_tDQ@$|DWV$Yrgj5*Ltyo2?cHwA3l74{4iUyae?Jy3*A#zhkZjIw9kGl z>bq%4>Xt3ru3R<yXMb!Ti_f}6xAs@1O)5#RFi<>rcmKza^O%y(xV0=lKYiZ2+qTzB z?%%#sU9EQbcg@+9Ws|q~q#c{d#}Xo8=BOYLz{seorKR9~qN#z4aZ0E{i|Z8KHw&0J zH+4k+yZ`>m%IMI$viDDacRX*qKX|#{T&t~fS4Ef4@J+VxN?tCt!Y;SlazQ{^qT9B) zmuF>}>-;Es_vfpctW*DD>nc^XrLKK**4vl)FJAeG;k~Wzwf?3p(GN18w107FxYEtk zqihpqQr29X$$w1g`(<s}nH%&cPdsqe_txrn-$G(jcdjwrl=ZYY(nrPlJI70_V=~I& zC#QK^TBg176bRPMjK3^8XSIblx6RT^Q>PrZlk7Iyq_!$2W>>#b)<MtB`{!kxI%lnQ zXvu5WPx=olGMQt2AC=rzDcgU3b-{0AAC`|D>%$KzpLn#~JVkcbrrXzNvssof7VXLw zJN;SNT>WvC`b?vmlUY*6C$zF>Ubzr>?b2Q8G8v_9(=u;fFkF)wDlaf|vD|GBubj1N zyK?w%U7fMFf9-7sjn}hMd1NO{EiK(LM`h+U+qH^QH?5nu`MFkN|E9i^GL|-Twja;c z4DWoTIVW>dk&o%w=x<XZCU4gd2#KAZw=CjSY|GP}BX6%CD0=C&Zjx_$amL+Eb2CgO zOT8K=d%E+y4xQCf{b$kSb7{=#Q(jxV?GgI^M`!(!EsKOcdQXflIoz@M?=3}@n4+en zTixOFd%fCcSfpHT{BqIFq^L{P{)X`@*Ow>WPW{_z_}cwkmVbbC*X+aR3KvG`xfI<^ z)M4Y$cCWj5a#v<n(X&N@8RZX_Z=Pv#eS2n0jOy!>>8Z^o-t$+d{N7tK@omz&-HQCl zMKWz>+S=io%bY`xyJ<TqU9t^36p_XJrN;D2MA*57!V~W9TNAbU6=RrJ4xhBcjAtJ< z1y8#+cgvaCtEbdl@2>e}KlAh#@db_|pO{u?ram}sXq?>P!r8Rx`ObM;Qk?4&70-Un z@i{obEw}E5snAsE>+6q1yOmFv__rpx^+QDdu7q`$URwq(RoA|LWt(@!%cK`_7b7ax zPdb+pqHL5>IkjYAKw*_^|6-HfUn3bC0!4Nd*_g+iSe?T4(!eLYs^;77ySvM!`D7oT zx_P#%-%(lVYx-SosfrtqpQY%&TDiMv_t`zA`pX|$WN$Vtym!~_Uj6O6bIQw)p1A!% z!Ec`7r)@r-VJ9;l{=ae8sp6POo{0fR{@xAK1^U17F8koT{L#<a#?##A*>V}PFPzgY zxRQ0Xq?})nseS3qZKtgmv=#<Wc<yq>@4)JmTK!c|{xr=p@|#s?9ByTCOtkb!;-#M0 z9dSp`R@WU^+;Ej`PnYzn6JkuR)09`e^Rk>=xas)U3(2~jixoYxx47{?<TK^WTu?mO z?AhTX_g<%HTb7C(e#4=_ouHzeyKT4l-?+;eVZ3iOH$AF)@qEpVsiBqo!e5x@Bqgif z+h*{~cYRUC(f6fIbJoe8E<PQ1QGd0^n#fH-nje(+PkOhIFV)dzZh*tF=b~rizkYE{ zj5Pg!ZMjpDM$aFi?-uiOg<d^*y63Kj*z1QCl5u4=x97|kK9%x$Hp{c7l6@<V{c4__ zu=Voqm1;|l>mRK$OMkKIvOt?|WT3+yrvLqFEA`%6z1HxVqjUb5iA<2^l-BHpuAPdD z6OUv@v@_2x(Qpg8Cw!LaG4JE^CQG$;8cq2bRIIgC<7%S%Qr8L7CZ}!QoWjbyUuNaR zufo1NSrgZX6!{5@q(%G>o|P;Aag+b~yP;)s+~%hSJIu^>(bwl)vcT&4<+FRL#6zE* zx6j%4@?;vT!JWs`x$-8KulKFLR`Dj`n8C6KmnNO~YEhTJi)Fc<uA^~L?Y+H*+-GDQ zm!xr4-F3fYxbob}6D7_&FWuBBQ`tRN;Z5TGONHt)cgcL!I9O%r6tL|0NgdA9m;8G? z8BI>H)vXNN#P{AqY;n55-}U8!Qj51ebvmr7B6hoQBJa+n7fyzF)g3&0;^rQ^U+$K5 z_l~<9f8GCly;a+ak2McIyR11V#HxPxb;y|~R&|aiRh#|p2i!|i`ToAQQ1+>&TPm0A z!pqCng||OZ>AT8g(iZyUPvm^1+y@UHCojwYu6L=pOv+iaf9AG_HtCsH4hvez$|*ct z7C-B5?)ybvD<!6G&YQ6^<!Qo=2iw@rJnp?Yb;e8Y#{0VJKY4kT#V$@y6Xg9TZ80<M za{n2*9p!=t`QE#~jL@CRt+`e$yRTx-6&Yc^jk;}5mSsLOJ-o|N?iP=mN%N9jJJuf5 zd7(LX!6ZEk8D?{K{tPkmFNdX`Fd3}<_)^TGra^s1?@y&l)gup;EyeS<m_7`wKe_UZ z>QU*-`lg@rGA<qrx;%sT?KOjAZ+V?g$2Gr~o~$LYxLi=pV@cn=TZ$=}dK$B88|@Tc zPmB6m?OX9;dUMa^8=WtO_vNj;)H{L8coN?)n;p@sQs&0&is^{jy1ymRSog;wk97jM z+hkG`*D`wRT1VCHi!$7zcbajT*v<omyRHh#Coc^-^y>20inCc}7oPpQwnk>n>7L@# z&nz{kI~?A$NpZ&C+@&X$Ze6y*f_rgJ^0#$UlV%iiYo#RmHEOx?spp!u=alS9@!4C> zJ6EbYsd$fZ*2L<_<V(4WB9>3cDgIy>r2Y5o%A%v^pLV?rVACl7KRr^HBX4EkeXS<> z9Y1p<5=)l9(Rn@fQliACWpgc~BJS?A^khs(OYLJSH23)#;nr#WLd>~=v*>-USsw59 zcmGW9ZTh@iF5>H3E3UU*3iA|a2&;O1@;}=aXIqfK@p9s`C2a>zOmDC|cV2Q;l<D&$ z78;`3N!>}$&B9kM^$I?o@ApJYD|@wnN7EMZQ;&^9ET8R=tDa@47k;X!d44sE*OL28 zh2Fk*m(BA&@x760`R(tEy_U^bn{p}ALhs}S)7!Ir*$&6;|8eX0mg}a4-<EK1lCZaU z`Fwr0x7kPCPJh=sb;pduUxi&f67lKx9EEEiJNM83_O||zj78#<XNupK8ee-Qc0pyD z<<psM?f$#V*X9ZP?n#VS)oE94KksMv``yXOw<>ksC0V^sd$RG(>iWW4*1Nd#o=vjc zzv<(;wY%~%H6HcOVOw=w;<|F2-TLK!J6FhTyRtRJC%sxb(%$a$lG{?saU#jL_za&} z%DlWdO?}PsIptoNcKoY$Cw6|FT4SCvzcOyOSfi`@3G0`6b!R=QIUDEYpS)_8VxsZs zwl%L)^OosPg|FX|o1#|GrRA~2wrRoz%RO%@CY~1BdX`hSdfTF1mpI=QbGP0wsS40t z<~^15^X^K~%${0?OLDVa`fa-I#D6)xDSgw%HIKi(Ua>%MqLb5>MMWaBFLmr=KDzN} z+cMpg-aDPXCh%9;efWK7%`H}AE3<|bQ&uSKy}%>J)O>xTOYsKwl;dZ53Kp<1tCukG zJ(#&!X@Tng5WORT=h;fV8gH*Q*nG=t>IU_-N|Tq#Pti(T!uMu2yZS_7wIeC&hi@9S zdM%SVqAtf9IMYcryzkVXLyZ%6ihpzrnv}|X?$V^wnmu7IjyD?mU8EvgetNb2OJ|*_ z`?>Mx_aZ&{aO1yB0U}duEpJHQb(pbU;e&Ux$jgisha%#4wLWh9*>(7NhkCB=T!oCi zdz(#mnjB)5xxa;Z7t8054wp3#)hvCndF~o5{?DT4`S0#I?t8UpnnC=>+LyDoRjD4I zC~UOY=#WjCw)fMf!x;>T`-DEQb>8ebRHOQ4y7PR&ove|wZ)9vp+$Y5P*Y>4D-hx|f zZG~4anqQuO*v8S)J|MsTMrf>O`XgDd8yBZUdA9$Jxtw-W`=iwXEgrwQ$Nq%HhQ+Km zk1g1&D-{}1xOVa<-oA*)M=WVBx7Ka<GJKnQ(Q(4znRgQ-G@ltyRq~&yzV@svTlM2x z_iAPt`m~5FQ*R8b7f$+ZRMgEgb6)@6boYJMiIL|&Z_Z0tVko=l(M#QVY>^AA9^Ncj z)Ac<1`0B5UH<KbXe=gi@a@Ko8+bdIR<JI}R+Ky&%GSOG1Kb$&{$3FFdrbKHd)7O2C zFE>qHAaU^>cjT9YY)^asU9nmd$D_S4I-u}Zo0oZ6RPO)D8#%q*PjA^+9JQ4t%m2aQ zeM=UHdZ+U5TX)>SdBJo&tB4~(O3I7gT7LPKw_2iUThW=2b4Bx4r1Nuin}4||TqM5I zG(1M~{^vP9M|RAs)wFrd8aUD4^>t-P58K(oh__{3r%$r{U8<q}*4Q|%PRrtXS#sp= zmdA^#rY)CbTJB%!S7o;N!JfyKuVQ_2Yg-?MzW1u>+j5g3FEdb8{k!NF6)iuRG^ff6 zhw7$7(|+8YUv3n*)Mxg+klw1EFrRtCk_(yd`X8xS_u%wrhALCB<hr9D|GYDjUN`e# zkAT^1SN^3{W~*ElM-&x4|2)Y>|8cThdl*}Y!QMR~88@}tZPsU%d6$@eEc~BV@9?JQ z$g8KD!#%<;v6{dCcy4*1KkJ;oALkf6dUNL4ngc5?-Icejn^2mv<<Ohh1D7ZBUa^i? zmeP|~eM&SUthchQl(T^8>Y|=Yf=N$OekeJ*UcY>Kr`v^K_XytXAT5@6%yDLsyTXe^ zO*3;AO@Ac1&@iil)l2xNYiY{yf~-Sl*8XwRiGC*Q`Z(5Y=BblkPH@yF3#r?6U0RV~ zD4Y5uWQ*jXHkQiv)LUNbge;ai{ps^FuBeEV4cqL~(Xvnf_VS6Rj$2M!G=J*jjqBp~ zCrSBFKVhzB{OrKH=;Ov`1&>aDwq%h+F}M6@8Fl5C%jRnxc)mI5S;X`3O%mxI(+o3y zismN8X6&DI`ONFJYq(!3yx$U0^;1;;waBHSN0~c%^3O*}P4GEVZWK}WwdVDQwoIq$ zqf2Ku<eyV5$!9H^pL1c?uD@M|-dnu4FAsWsbHl^jx~2LVhV>H-pVr&`GV-@M5VSSp zxAC9z$KBFSEp<*lyZE<r+vDQETN*tId@}OuFFP|YcFp-9q0YGVrwOyE$(uhDfB8y^ zFt2faG&#rj=;q1hsSh5TwMEq4-Dgq1U*>7;*2MMI>~4Y@tlpjXS7&+2>8xeZDh+<< zeCA<6T(F-7&tkT9@tRM6&Xrm0;==#uN6hR*9tVkYCp_FVne7*GuwU$DYPct`#vr{` z>iNxcOKz^<==JxV5%r^DWgq{FSzW&;7V4Z1;WyVVZTEA@^YD7r`*4!m<L~@mw-sov zu!y_nTRk<;t~FO{(S{~zR~Z%KuYm<Crx-@+oGnsa9<i>XPP4$WAm4LB!HZ|bsy8jo zRov#MmmFJrVU6^%-P|_u8@8N3`Q%k>>E?c2R<2Upol={xiAa5`(JwIC_arl5?v&5f z#VLimA5ATh2`;Pbzf$l<g8xS;Z?VqBj2q#FHX?q{E@=HWVsFkpe`sR0)RD(W!k$&C zKiZ{y(D-p=mFKc0QHmbxO-#0gY-8SAlaSH*c#2Wxy@tz^J=`|3C{3(VNmw$a^irVz ziGS&#h9bOkeQM%-@~;#|?b1E*vaE8ltNft^neGn?IxBWg-lyX6cvbdAZl>0cyFXNI zpWnF2{j-Nw??pw{sY%CH>J<K*>$F!hUEz~%p;zY4RbDI23OWxPxt@8rq`66Ew{CsM zN1Od}tH1M{{qxu%?e&|hQ#qz(yox;K`qn2+++)QXXOGOi<q?x+l&S5#aaq86a-5D~ zisj^aVFpsxljB+pldOY-4WG=uzlmwy&$}zXM_p|%nssj(zxRd@Z26bd%OvtYnAIlv zJU(^%%crQsH@UxFFfuROKJ#$2s#m-B2REla|D@IPCaiLlKcTnvfk~C9Yy;zBZq~00 zP9^G}4xhoE%DdQPy|9k6d*ZP(3pu&(*1UL<Iz8*Bg?Q=t!>vgicWcb^gCv+<h*s@c zcsp2=RnqQzf=T5u<vSZ4+>CZ9bw11ucj|fPtrWRyW~0I0-jfnXSvHtF&@S%T7p69i z=Z3N(qub|=g?#TNw&pzgcx^^vfbjKl7L%JUS`Iu@E9PeZ*e5c%Tq!9;ae;7e@}ya+ z;aqd}%*mDXlkq+=b=t8Vea0C!Y`tpo>TD<OUf0w$=D%B0v*qKGX)-nEom6ElGB<6z zSbMtWk(|}a<F=hYT6OMi)_Qq(;<1LqI#v#ubENtmlNQ}p(0Kn$M#cRNm#>_J@dkYf zZ7b`^H>782&30}1n|SYg>W}>=lV`NsG@0an@|>((Cb@X?f=QQ-xtYm&dYfl9<@TS5 z)S4YKna|<$!Z+QsXZf6re{`g2wvA|p&JNGg1zrWg(TA)XZZ-FQbQbGKcze)TI(ErH zd$rlM1|=tYPp&#PM@cOI$B&h|!L#k^ioE;O<jvW5&mI5ziM9RQl!;2mQjVl>D7&u{ z@2Qk~{5R3fHL>@o3y+nnrK8C)N#WHVtVut8`<&0X-t_wNLFhu@U9YGpf9<1RdTvd+ z9J+48q>$yFA#a>-Sz3DP)mesDt2xh;Z}<J;aA3y0y;tqHS17&jeXMYjbK`xMz^Y8u zxo<<;kC#k$@L1Nb{=v=7V`A~88@HymU5H)U8G5Oe>0aOht~`O$C$;_D&YkK}lDee5 zaF&GhHN(Q{3Fd3~)Lx%hJ@2;Qv#LB1@wJ!D*fuzbM)NC9S@*zv?|1K#uSsFdyRF#o zE~ryjd^l@e>JPy$d`j{UBaLR~E#Nu1Z`Hyjjv`-|rMfTOt>h)pw&PlR|Na;I+BQtP z-YazJc~xYc`@Moi8UBHV3a1#V4sa~;={wx57+;^bS5~!fkL*(^MGs{ccb+Wwq)Ral zLP0+5pTq>Sm8UB7=a+3TOW=IzEB+{0<XDwyM^VQn){>x|EBw?SUDCYEc-&^+@~|su zi7!jT?;GD^F^;vnF0kP6yDcw2@AP65&kmd$Z7B8RRC~6h$?JP{udjw3uh3rQc(KN+ z=$FO5tg1A>_8)J2V)ToeVr1Us8Dy_}#P(*o+Z)lY!xDRzCb#Nye7^cd_ES!@=-)N7 zi!D<Y?M_n(zB|S6y@JvHf(ssQf9&|Qm6UhyX<KZv#N~m-i{RtJJqG4Q&LKYgT@!NU zy(8v|KGoWseXAmK@j}Oh=!0JcjHb*>h*mAwa8%v(*4Ji{v$r(@R9|uKP&5~t;9R#Y z%k6M}Kvm|0mbyD-KK|NayRI(fHIsDw!?SGFl1+8l3LRZ7sXu+=ZQD&JIPIDppSkb0 zgmJ3Z4$+fWv}N6Fj-Ng4Iw9`1QAx$Mr=n}@m`_c(H|I)mA?sAjbt}|0dR(rAPmua0 zu<cM!?lpg@X6|qG8J~31_lD^*74zvY=hj{Kq#<IDja<Bb;!eY$X-w+J!@bNy{N%U~ z?-2Wvtvi9!=V}w5nncIC*6KG=4qlI+E;=9Cdd51?Ou1k3?)@n`>umP^c*OJe*sA#a z#E9!_<BVnpT+xkJF}P=#7!kg=F1=AP_G?wwDuvLmRslyl0>84GirraL^|wi0XqDh6 z#@j0ME~jr1s*To|#1Q;-@#8SIMX#T)Q(L)l;oGpzY{AVpTt574`w(xo^vbkrf-2{x zD5PetKCR=l>0#TiP&2<(*^c`+ADpm`)plz5gjKCKr!BQzetre(-y-(qM%j-0CA|{@ zPA=`vJNR^Ec5`8qm*JVmGZyFE<W=R_nrwb0NrV4XK>32}DJKj{mOR&5ESdk8W#aYC z?Yi|%1=n3Kn{g{2^EoWTy=R`+x}c(_dnGH@y)tmO*<+)}A6O#wY{}etdFB)LPO_Xi zz2nwKv*%4MDb+ughFtCv`nbYxsY|htw}zU6kdeDZQ-NEbbzN}bp)Q;02G2jSR7~8m z$1+k`VFGu3ag*-LKEszL?G8@853g*|O{|__BE0R&^Sd_1q7Ekn{mfS<-P-Hq++n)+ z_wJQEkE@oY%sIm)66N#x<AwSy9;<%M3FC~OzC>o(=e|9LW)Fl{9*YWG^f8vx?YyF( zj@c|5voj)vzZA6jC!B6u`dTdG!L#a$b{BD`hQ0ePC+})qnL0)8y6%j4<!kTvwAwyh zap>GS?*mts>DDbWz8Y}utMSVmvwt=Ro|yLtdG@p!zY<tyy`D40-)^&DXV*)IU!PX; z287);{~VzFKqSf3FDF3xwZ!jt(zAs(Nu`@A?tK<`dTwvf-5494*!wd~_D#xlXPy+! zTCqdW;7ILi$=B1kZ?9cDA!p6;?Xw?NEG&!D_KD>wbT*!08fUtDf#kL8rhhg_o)Nty zQ}fTw_{L@N*S{a9UHQ7{kKgHKX&X+MyS&L^{5W;r^leQay0k4HmPakvlkqFgSR_AT zZFZw&;2xKKJ0$fog>y39zBXRho^>$e<-=DgcXE3(YC;}OaZ~xWBV9^x@Bieu%Fb_^ zitnWSvOU`Mq}iDsiK~~Hm@w3GmF7BM3b6dC+}rT*$#e7MH&3>2bJ{XF{tD0ReN~CZ zYihr`pIxv{<MTcL8R{jz@q5=;X8O;seeh!WS)Gr6KHo|6sr<Z7OrZMnudskOXYc1W zZZfamFWI&CC%dk};o16`ZM^yS?(}5k-@Cvgb+4vcS*rT8XRpVbv*%}Z%#UoEn!HCp zdERjagMHK7*ng_n$}f5PGc1{>X^X9Fy>ftD?V>to|7{$B*XO<1xj-d7Rjq2D%aaS1 zcLbIuPZsK7YA-cr3)>&y`FY#B>%LRDMZZo|d_39h!8xms;@y5*g}3Zk$L9It7k~1H z@7%wNBKOWw-}Fo8l;pO8#WBlob{I`GeA%QhW#)Ijw~x&3zhNpXo%#H_&%>i%g62$I z-et&SqA06S>J`ZIO4Z2VR^YMdyjNQb_uk;GtzuUDwApX{Y5j<o8XN(ywf3$2xQQ`f z#?h1FeA`}m=D(1h{$*zB)7Y$F;aVlOm47PCR=<~1u+pmxi+^c!_k_itoLzFV=RL%) z2zi|U?=0_la*y(Jk*Y+Yr2A9S<Ekp99-UaZ=Xa~mI`#Jp`^{Izv{a|AIG3aL#j8r~ zfoflzbgNLH{_58|m>7<8K2ot-chuuf<4aacWv?0co-+yTs9UhD>PuChfc+=qeLYiN zWO%#?Z}Q16k7V2Sb&<}p=a06(x67(>nDA2fN9`L1s|CuF6F=#lPkMcWRbaWyrMJ^l zf-djYJ{T19;^_3buUu<ioSv-v!ytK=%-00PiJr#|b!B%NNy_%eeL3JRW2^ouc)t1t z#x`4n+xbc_CU+!!-*n2Q%3Nnzw(!e<R+g=uB^eG%w_L0^q<&S$eUk|24()IJbmmyZ zmmj}&?7vtZzKh%YQLUS@oMhOYiZzB!3W-P0uGBZ5A-<$}o!z|zwpotX&38%0Iv(xa z{~+PWHOH{~1v5<UeP`RN(Z2Yk?6PlpdI9mphE9tT-1EKp%q%liJI>Fz^{3IQBl}A1 zQQj-d)q3|WeZ-daexIYu{h*y!SFS(6SbRU|CL2%x${mjPgLcaAxf-N*W_AB03EvHm zj_F^NTo^l{T3Pb+#@B28MUTFy(8`;y)phZK*1C`TwuD;N77FTq3R1lll)q9a_p_E@ z+iw?1^KgyNc}wJK7x%o*-W6tWN+Lzq=G2q|0j>8f8FxcY?oytfpzu0QTKvhH$%kW| zC3&Vy@#5!hcwB6~YdZT(zF!|NCfNScJaYEazlLuUBt9*QyLtW<BX`8Xqd%0_n_ehm z3G&hBy*bxc`2w#|t<2M#dvEK7815C>ZT2fH(3WTNi8qR`9%N}VoSbg)H|t_*O+>fQ z=USt-`o=duZ`{nZdBpkT!=~Ahi?+S_x`UZxz4HdqQg4O}?$u{GN<RkL+D>v?H~(Zw zaco@O&WDT)S9}fcXEMlqU35N@*CB52ja?r<75B2SCEnPmFnz}w!`|7Q%B=ooNv&rl zX=v=)$CGJ$i{p6lpW;~)Ja)ZpOLJ{1c(|~Ag4T7DWn6O|Vh?<{tJY)Pr#*|oUOe?j z&VlXH2kovuwm!fABE!ym50@M{%3JbR?4ByG$Hv*^3i=srOB&DRvt03fkZ;PQ7ss&t z&-{#*D<5}rOE-3DExmbvhh0+trmWd7Ws0uE?t60n(@g!v)#(aZb2fWel{0Ru7t?O+ z`6n5>B8C6QrKf2PqDH@jv>0A5{#~r*Ei75v@jBhc{*kiX{rn9Rmft#hi|v5Ed;8># z=W`jnyi@pI&wlyq&ZLXIyX_{0Y_eNttkAhF)7yIy*VoS<6>?_l9Q(Q<<x8{9-LF?q z-fZJ?&GJvrjpkmk?~|J3`NXMLB9d=^TllKB?oL9JLEYUqZ`_V>3RFDV&MKGX_R)}e z-NpOcTuLAODA)a9z;#M8Qony|!|#}XA`cFqO?V-(Nj|^vc&B_OTcPcqGuPIcyy*;# z{lCDFclLu{r_Y<R3tT_nQg;03>|-s3$9Jyd?7R~D_qwU~)!64-dtdgf`+Q05%nL>R zES8_2etnjDx$F6#Wt>xOcV095_FsPIqp;0CQuVueD<?m`=+?JK_~XwV6Lfwb5WQ9r z%GNx4a`x7@x(3N}KLv10Twn7&h56mj`pYL8xq8>%*#Dbr^`}z~pFgf$_<g&>)THlQ z4m_IhpjOx6{P`8!VehjTfA5Sr_<Zlu+_fL<`oq8O&ug6a!M6UL!Ta1sX|?JdtTMW$ zSziu{@oe*z-)!;dHdDbrkMH`mB|<G)5)MZ1CjTlpb^q%r8G8eU^p&gQY|Nij)cMb9 z7rATl+vfg_9%r^)+HXJqsc6{BH~V6`<<+0Ui>u!{e~(D2<*~dL&S-jfX8-iBaeEdW zp7F|ZMtQr-mC2=C%sVP7=QBM1mhEI?@AZAzU8ctKFB^|}rdM~S$;1|1vOLd!T6LE* zL!^E3r{^0zxmL})ZT*s|ZDF0#{zQZF<(q?KYnvFi$QZs-Wxlw{WzTuPSf0-=W_@QG zEEN{*i=LX%p5C!)de4Hk){YhJNA(_-JTF+U;I%+sx^&m+g(30%rG9E}Q)Tw#a@^Z5 z-F4C3p>vh`4ZmgVlLa@t3KsG^rZ3i6);{yj_dO-s<q!Nn@IQ!I%))Y4Gvkfdz7lD< z4sm7^)=WMpHccS1T~p=i`7H}h`|dnoIcL(JYg3+h*Oq3SI{7Q`+Vn7!bN!Y#51;n= z+dc7KWY;>zUoQ{L-gACRN7ch8Po^Z-2ZZz9(_VJ^fWm<vN;-_G`URI}${i|`jNEn8 z;ng2b-4nVkF+19Q{nm^8sQeYeWAtM(tLvqeCFu`#?3mkOQ5tckuy)al(iP3`UsTHl z*5`Zi2(ERsvyywdW8w5^r~chch<)_s^zL0tf+h85GfYYsm9!2l_ZE76^tAAlUPJaW zuFussK7Rds#4mAQ`%-1Y*NaR15*r^~ZC^9zP?N%x&~KW#SDS9nJ$K^Ev6-?Hc3%!+ zPrk}+baZb*Q+v-Pu{(KlmNHcC_T@9a)Wbh3#ou)G&NihTA<RrA36~Fw7o2jPW3Kch zzpY0}_9Od#zDO%qDM!aEALEuwJX?90?PvKi7MZ%Eq1PFDJ}2@mIyv#Zc#VHqh}DC) z6}t}C9v66OdFyDeH<#n_vn7{a=-=Y>xn6&;<x$hi2df_c%=EWfx$i{eU32EI@rJBF zcCfRD)XutiP<`?ulfeJMw`CS`S%$ngvHJ1P9X*^cdlgTAeq42(dEMim-ZD3j{yQAR zusML^g1ev0`Tp0(EFL|r_%e0zrX2hFtt|=5g`G+ku-vh!kVz3^y3feC+)%}OUVN0& z>i1#ZTDftLbL532?mHIP*z24uTVO8eFeSEQUx&EKyKuW~Ex~W6A4b2IvY02YDAhCP z%SG(~!zh8D{L}I#zKZ?Q#;{{<lIEnaYrE|htj>F$8_luz{X3Q~uPip%-Fy*eW54Kx z{)_&bCksw8p76h&axU_HrK8);;-?0mvnN+-eqU_G^Wul<lL)=3vs@mplCf&)w|Q@R z<bsQ6v%I3Z<f+H6V_i%#c`r+SUOef?8mW)3Q@1%^^*>_WYtxx^zJFd$%j38gh0L6n z;^LkyEMGn6fM#0NlJ}C&s~x{7pUjzaFx6=GyVzi}wl5CfWcz1)k=e`0xmz-Sx@F`1 z$$Trmo5{+Y>pFhVUdreJ%f#3R%Y7`&=Gp~6YhmQSVs+?Mi{r(~QvXi*$SvjGv>-p~ zih|hh6^_T%_@}zfpQILbP2c<C`k80;#rstMZ9Lpsd-cdPx4SlvFH7(6vkWe{v}x<! zRD)#AQ%^6tzu)*(%tQO%u?KHIpKe`KBgr3Tu6EK}(3sUX|DC0G@zbU`NiTN4bvB>> zj!#K(-5Y~h>?>Lqc!bz?KbpSy;40^X3umAIBC^Tl>Slw0Xdy%CHiorg_b)OodUxQX z<(Hz)j$OVF{~mQ}?5KLgvPk{w^~Fpbc7|EzinCI#{B?No>}2}H-Em4SdGlnLLiWC3 zy!!l)?#87D&I_)e7t^#|Ut!U=Ul-2G$CWMRx)plW`}GN*aD^2YC&f4xy)I?CSeq$n zYtnQ}eiDc6evZ2}PYP7u3G;I-V9V5WIIr!<tL%BT)@H@T*AruG*Q>0GS6el2bz9!N z{9<QE9o<uo^E#?-F+4Mv;U2Pg)x;}5U9Ki)zj#)?_=a@pZ_e13gT}T;*0~?Ma901Q z_v{N!{s$b+wz><1_DdwzM;wfrbnVlw$i_S3^@q=V*#B>XQ2n`61>61!xYx|mOJ<Z+ zpX9mg;fBA7s^XV>wO;URx6a7hkx}1a-p{3SETjC$x^?xYQGWFinyZqd+Ps^4F1o}@ zrOl8HxEY+uBmah9TdObY3VV;(!(}a7>THi@s2cX{p77{%o14CnF^gXapN-b^hx7j~ z6x1@4kxz3-{kYt>cOM(aRdHvx`%QZ^UVXT__HA1AOa4^e??MR`HTLfo^{y{4nj<%J zN6qvJ55N9Z^b6HqEG5UMaQShH_TP1fC&nI@P5g8qP;I;ICXd%@8aWo$LObIA%-eMF zGJ|J&_0?1dH}3{6+mC6z7gIeO{OcwDPF}LwVDH7Y-_AABGfFN6i!D3F8@RWHJ+gjZ z)OkCH@0aGui^<fP$GG!1Je_;uXovRptS^_?B6dySdtzy7TgYy^<<bjIy$ySWr^eje z^7V~~!;6Z@s8a`yRQbA3m>=lAx94z5#{ExC!Cs5fe>e!pX?r@fvoI(~?`sb_p26@S zZquA!dGq6>n4P3_R2IyPlV^5RYJK5qG@(MP)urkt7svHuLXrL|4thHhpPVRLE}q2j ziO=`Y#xqM&sw~fI@L3;S!MB-l>N4%6v61ywF>VV)dam+rF<B=6cj2kf#wuCPfa6i6 zD|o;Bn8qcW%^Z2(dSSdXvuyT}xJV7z_Q?$Q+ZRsvQBXd!YeLD@?w|{qn;Mi}Xeyp~ zdPAeilCA2+k+bI`n2ZkH-((cl$dmG;O)}ZY&%@tPTlQ?kawd(o%B{-TEMbzIwlA5E znar4|pj>UQ9Ce~2=s-j#!^u>eYiBsT1RNUeXB_RgDJW2$>gF?*A!fcn^D!-Mg}Yl; ztb1uDR&=09EQ6(h@sWwcpO+EIt%oC)`OiAUq0V^nOF}94+ujDDhB<CM3W5_FoD8QN z>(FA<)C)Y+qao6;U<HG+2S>v?Z`HtKQCtkIstc|y{&r<a&*AP*b9y$4IdpA^KQ?p1 zi3k_wLmCp?CP^C(7}>h+J9o6hiSb0{k%(xflV4V>*N~XV#bDVh!5yl=+AE=LrSP<3 z+J`N%m-YxJx_l^(wA-4bvuL8hdzT%G&Cwe+7A|n8+Sz&L;~HJdH~f#2osY4xUQ%@5 zS)0yshT%lDhsP{IG3H6-i;Vb9-E??8Zpg3d7Kqzqdcu05mC3hFy)99*1Z|Zwt$GFj zur^6go5JPx!p%`jJ$WgwM#-W{O+8<=6nf@m{V<uflPl=?$sSo|hZoOp3b;AUov3i_ z0>_HuGcFyzagmYDn6+-E{(s}97YvE~yi(p(Y|QF*jp=JPFdiy4$vCo-p>0QodI*=~ z!;l7}rA)mCteQ7E_I7Y8-1#q@{dxK_sq;sbdLxuCCHkaImI_Q$m|5ak`ukX&pz@6! zj^+t1_Khbxt>2Y~9S`XJu|Lg0`a}|silp0`_{|r>4Ii1Myb;L|`#jspZ3hpJNJ7V# zspf7P0tJ#>0r%V#I2{T*kH=nJ`EA#lp6+A2HBVm8VX;23Z@S)!<g3eX7Ffm=N!|~3 z4O{R$#r;F6HRsxU5>|)X4*i?ZXq?ON_N2|7+G)ItRcC#;#Wo{MD8Xy>cBgZBM;l|3 z`ii3p7qmJ>>^va+wsh@|2PI<HcUC`GrLk7~Mrz~Xx!?B}?<#utvo~x`;~tGEdU}EK z$A2t48_|<4eLsugR2<LT`wWZ$*ZpEIG@MM~+IzvRdZYXK^1PbcZ7;S>{^!-0o+^Gb z<K)|;wYy)*zUj^tnYU<J<n$W>ngIvOa};DF#0BcMGoG!JTbrhN_0UqYHo4xs14;#Z zc^@4XuG#wYdydMy1-18=EjO;2@RHrkHb-W?{^r8JrG4_Z9A*maob+cRZ)@#Kq57a# z$8`nle3R!qb-X=QzG3m9xQi1`bZ&Sreg69ToTjI{bqo*t=dfMZKk0EM)VJiwtqV-+ zwh7L<Z&@H#s(i@QjCtK!qlekKGxit9e|h{xI*08}s`mYT$5{ftMO?qB(>{Ifg8L#H zHwu1Vyyep_U$bXV3%FK?FPxn#V%rxrJ#XW}Aj__WuX8o#a<61uVfH;(y6=>C@(VWU zMYDrK!|N9JUMvap`<TB;@J!uh+gH5b=if|t;o^N+P+suw&R$WS58`GsHXoRsYmo1? z_vkS$u^m_0ip45c^CrDqH+An?#w{0b=bEH1-oGXAC#R+EuW+-Nj3e(ie2h`9Ti)yb z@zBq8YzK5tHU7(+Jx|;H&eXff%qDsZ&t2Ln6Xj>Wb!T<7;Zxb!FR%0Z_<gQz|9(?v zUH_aN-}mq5JZ-h3JU;7#gK(E+W&R$SyVqLUpXO~XsocOh<#^+YoZGnqXJh9HTn?XT zm$F%;riOj_q_aQ%35C!4o;dsPK|i)~-zg`Rbw93XSnb{U@M0Lx45ec-`!`x@?CCUT zo6EItp~ml}$8+SiCOkjzHa~ZY)`_#1So8VzU;1D@yLG$L+H+3|XRDTU6>QRJkDj;T z;vAm2o0$A>DO_S*Hg#TB>*p2jVO6_CnZJ6!d1n3XKtpW$*T!k-Q6EnvHW*c2J7zrN zc0`oT^{#_g9{sd6SP}g=KBM*a!Q0}wVsi?PsB`aFyXQ*7v_DRbds?|Z6lsU>8mzyO zpkn^QV8g$AZW$95?!MwTyX1X8W=i7;`&$8qeVzNVm<zTo3O0FHQGGVeVfSXqxb2c% z?XT}Hoa;M5aO=&hEQc;`;`kS2c|W6<-S1eS{;_{6JCA}Eitb)yKHK5U^SK&wPws7! zd=b2L>W>NUZku(Pom>3M+HBIfG;NEt=3PHG^Rj+&wle&)j#t0%S7_<~qi!+s+FV!I zI{#*Shv-)Szt-R-eW9f*NP7CGgs>F_FYb!hTc6lbcRYowi>?2so5i>2J2eyf&eWZ? zSi;TrM(ESGQ=Q-36pyk?TCEgZv^ikO&y!{<i?imunZo9?jhXGN`ODwVG22~Nhq|3D zzx3Pro9D4J(;sHu<_S(XcE<es#`iC(w5I-CWxuUIg=Ny@nfdo7pD;P9AZfdKp^QjQ z%9}N8JiB!kYCVpU)@kK4dmuLP;kmp67d{FU^zlxy{q;uP@pTS|t>c68>4!U(MIR|z zceZKYf{91>d}W-bA$leI=QCB6zlo|vDckOENp1TZ=f*ev(;L31tJ`y?-CLyiwMP1| zctdaFHzsLS`Hxc=^|v_Qw4Q$9g68%7+XXKkEnZ@I(>yjM`NfW=m}@f1`r9lsxQ=w{ zZ(JC&E8xRphyUd{0iI^=*WYhTGj!kPoh$akx3j$H+U*Hi)9yU`IgfYKwIbJFf7y8K zj;c<Zf9PN1*$KMS#U?1~Z+>~hewt8y2J@=qLp5Pue<q%FkiK8E{n>F|j@%mW7e&%s zi@c^RH&*wHbbqyO!%VNfUuT$0qn3P|YQ~*wyUO&Qe~!-dyf?+`Z!tU9*k8M9=IqI) zR>4%(%ch=nJk)*q^jD9UOtyQV@bclQiyy5Ndo}L}dR|x8OX)iF;slr11r08Sf7uM) z%wMM-Z8UW;jW1Q-dg$W8#cXYD$L>zdinW?h7__j{xy<+2xjjcG%2`e`GE{yh=3Kc% z?WzIuM=|$>W<^&%9M~9|sr}fZ`RLQo*N3-GT&%Hq@0Uh}N19jM!U~FV<vDjQeN^$) zd&(+P6Q_v9F564KEV4*k>~*wLRJ(o2wqyJBGABhY-RQP>(oS#ptJ%t@-J{d3g4XIA zNlF>3tzEQfHH*Y?NsaOt+u|>aVsdw!yOxon!QU6&9`#H%)oWu!viI?`OAT*L`BA;i z>JvZ1^{$mmd*_{Da6GT!uJ`tVOaJ@pSKUuIyx+F2`r<kMGkZRU%3Cl^I{5Tzp-6q! z8<7JqB&urIH``S{dB#<(BWQPE=GkpJL5g=5ERI~~wCmBU+w;y$620^>^-bDBuFSpm zSz;?Etb6g>>+C0yOClGiziw?ilu}c7*yp#os8H}liyKSM2MLDxw7&|HtDVv{!OpyZ zYgLdn?_{w{KP2KrW=C(A@Jf%dUfLflxab_`OXm=mcZZjrEIM)T__<^HmW<!@pSU=0 zSMqAR8U5$1S8b2hv82D>wzgLJg?v2JbxZWha(>OFv%SS*{_ShZD`8o=ma&$J^LBvb z{wE=)=G}hHQd8n*-YdZ$tJf@O*5xSD61B+ie7~bhC8x==?}jga*4p|htW7@i)-dT& zN!9CbQi~<`uXyKY6sBO9bMIGPwp#1)aMhc$JOj*shgE5Mzq_}$di%Pfn8){j1zpgH zJ-I3S^*z>*(vAD`niuTK>+@N5TYiOIaOr1e(eQ)cK5pBS*Wj=wZ1L;`Epz6airVg| z;JQo6Z=2s;F3y9u4VIQ%abEQ@jHBn=%j89CW=ozZTfc0!q=Av~QbXPsZ%;Nbl&EJ& zT{M1m%4G5N3uk8@`?6-;4U-kuFWjA__Eqcdj|(yV=f8{2Fl(**vP|mFw64@!SDo80 zKQ7z7NpnWqR{@tN+RuC!SBY*tcja!BK;<{vPs?`hb+XfV7-ltd@68TX5%b{FRdclX zq9W9$DC{!Vnw08vNU73%S*jz`ouKzlUtC{(%RSvUYq7+SS7An{XU%dpj$kvDxKh@a z8rrIFG<Cz9)s{S}I?>sDYh5-Uy(^R|^!?hh+0sfqv-g(P%gvA}bS&v#SD@eB`}N1M z!n^BFpPXbKbLVqR?}1l4<~%W7#`Egus}rj@EuUYjTX<yIYn!*%MRgV~*ynyCw(sWj zNh^=r%)AggPfkiIe8oDWPPVT~uNSRdA+UbevVBD*Ju({&V=b&IrWl6jaQ651pGq=Y zX<`($Uf2Gb<*rlOVrK(9-KWNc%?;n}690t9A#dx7%PGgYUou!SIL|+*A+n=Z`l9BC z6XCm3<Fi%Xm)hQAxtzOWdR&A9|7vZ{^#vb0)=KB*?>V?wI(z-&=NfC*x$cVY%h;9p zZ51=)F4b>swRaAcK7O5B%Il#YSD;?GtM}`pJDe9wR=+C!@yh0IUlp@^y>(c4wZ5JC zLOc0I&lC67`YrHUyF76(>-mT4C%nAKQh73U-?{+v_bVrVxi#VLL7_dnm|kZ&@2XsX z<odsi%~LNqPFx>+$?jQ|>c3?ZC;CgU)_5+9+p!}~QMviyF~tz`_l6fO?mbRp^4GKC znO=B$X49KI-t@xhpO)<@{NK3S<n2k0Ers`I?hbi-QMx;0`;53%cEU+<*3YLczOyhz z;Ip!Qo#)x}Ti^b1TBbAg(z4Hwi?UAtEbQF!u;%>pMcIdc#wkAVw%hvf!&bdazQYA| zdZ)kNk#2iE&pYaM+vVDhB^kZD?RD3;U;0&cezy9|lF2XUZFguBF5%que51zQq+QR? zPvtD_ei?T_<!-_*aYN7Pd#dMot(@)seUI}@fAi_@esa%TcH8^A)6wP9-rp0o`0obo zdM>-n=pygZ>Vpft7UgM3R{fTIx4wUEx>KfV?nxhw!|J=%89iLCId$C%AC0}orU?I3 zt&}^n!g|ip6G2gn-+8?}@KI&;!>>zA9;D9<4|nt5Ic1_;;tyN12Ni4cEb6{1&JR0g z8JK;`HvgXDDI0akCqKELX)({unINt=YxSDCE=Ri*y?=p@ex@f+1WwwYHi3V(y?<uW zEXR55l4t66d8+d+ow0s)iSl)0o?kW2=Vq$iePDljfxf|y>$6Il|K~LCyYRr?{c>!n z|HQncJhiul+m}S&ywvk*d#S0_Ou6}Mmd|GMC`!51$2Kb_@l}rFW4lWQI_J-e{-|;} zyjiJs_0)%v6Bd;1=ef?b^T_vK(&tz|39X#*Fs4L$x=z!@wWo^bh8S%AUov;;t6Kig z+s<k<=Q=*J`&g8FL_^q0M$Pi&Czql}H#!eCK744ISi8bZDd=tTavkv>?P=Ky7q5P; zbk)u>Ss=r>XJ*2xT3_!9zuYBZ>-l|TRD<4jKlfy;|DCy8alcj37LTp>ziw6(lJlFJ zlq{@tb>HJMPP_kA&QA3y8?=Jn_HTT&b+Xl)Bdr%5Q*6Fpd8+b9d3ofIA3t7L99eJg z*!BOUzf{5<hX<}oSL1#ycvA8AfE!=scK21aALT5cxSFs0wo}(qElb;tt!?4aH-R&c zYflrK`gqmj#T)d*KQ1=k(%tf7zL}!c3XhX6xl7_?<s~Klh3vHol$VQDyjT2X`|Tr7 zn5KU?X{PwB<IjsX2Sc6bOUcBVhDonG7iVade{K(J@iSc!EnoM=Q!HCAigrk;3sqkY z>*ekC|5FmZTi~s4&d)4a(G{Dx#ZKi2PgiLX=v+6kF*H<BY|Y(UdiQMgG}CnVZSyU0 zI@#JDb5-$Ky`|=nExFg#j_%67?c&6-vEaRZ%xn?$I!n!Ee=p6~Dl_;gY#je_dDq{4 zlSHcjADyoi^XFpVEUkT?rmA?|Tr<r+Ojgi+lhJ4X%%xZA9ad)VpCqz5Ek9wY9rMz- zHlLuZoaT^M#!Id&-+#(0H+56Ut9$D=1?~RGK4n(@AGs-9ubE3X&X{_$JWzY-rGQmc zH9=>Du5S#w8}MrP1W&K2>n3}ZP7YcxciwAl`lgUqM}#9*>i%H%+FQe<IW=e1fyBu3 zE5DVRd;kCaD5c$_!@oYRI%4m(Ra*BxpYFbOBqyxu@Bc@k9v2$_pI_;llC7OpI??0I z3<0L4vjZo`o``FHbWZd4{Tq5SiWk`##La)P`f9kCTmQ<wbT+kBQ&!pOU4Cn-an4ub z5A$g?qr(~ZJCF1<rV2ad?B5)FCc<)8RgB7o=Ccu@(hv8mt!n<He@<)F+EwR1vbnjb zuWDYb>^vbr{L$OhRw9QP*&Cl8uvDI6#Q0@vc2Y^gO_%tsRaY(?*ythoe6RS7^KToZ z=A54%)_*NkF-34j%xsMi_G1&i-FS6i#}+ncXXfL6JCqy3I0N^sayHqlaW}00%C#1a zh{aW1jIT@@cix)y>Vn{6<t5W{=7wl4K0Vz(<aN;Wy=_Oum&M46ho4?8?#9kL(YVc> zy*1-#r2P6`QFnJY-ZZ@jHxB%8F^%tOkg+w`vul-(@xf-nt#@3Kj@@vmU3w&Kjg;&v zud``Ap~u5HKYrtVn{@1xV&rmTgFh-4jJqQ|0%R9N#o8^o#QE`L`>mrqPX*niih@JF z&#Al8wnd^QQGeCk^4kx6*3@#|YEG?q5W&-RsVvOW<Iaf}KeRTiO^KgxXmhGdr#bqv zfK_rzYOl9}k&V{>TiGsmOk{t)HC4)6Xm61mVph@;aAkExnzfg6{k<;<ciQ+YGBOs} zJ^00bPBNXFhx6m@Z0mr6Qzh#S4aM6MHqNTQx$#o4sr}Zil8fCtk8ID&a(BA!v4g34 zap#eq)JyAh@?5vt?Vq_S#4$DD$E=MxUyJ;%>8%Zo4d#FCJag)5_r9*(GuA(U`!6u^ zPZP_Zug#M^FPfUg#l}S|3r!MfGzpYHWbCu!(~>1GW<~71lTi72%90sdnPszmV?2B& zFIPRf=BxB=m*?U~XXbi*d%s-$=$duDxr>B1om0IPxIFl|W$yo8&%!5aH~+88p1m_6 zIjH9QmnAcP&g}L4IQ#PCr1xizE&1``y5R~@bG4&kX?sl!H*Yi4^osZI+N*A+c68bd z=bUMW)=iPo*Y0~Hc_JVx{i;K4!P1E1&u(l#Zg%>JjpDZL2URAgFWB?>>f_#Ym7{jY z`_GGazRg|XV3_^!nc2liVgA60|4Q6~&dg#-EtpragZJ;N<L7+3&K>;uNU%!EPFQOL z-{HlJ-Pg(qZ?^nAIX1fU*8wBNdAWzbyt!mNdC}_^jfpnStB;=x$+GeO>9m|x>7!0# zMMMXC*51wAoaWA|=#%(vtF39(FLquq`F~`9+pXk;oHbTPEsGgmNAynSnr1cE^dn#A z4Nk#=ZQE}f?Y?#N{~F(}b5|dI-4^{mN8CPYwn*&hmWs8!aeu9C)*cj&d9XKK-SxWR zR>cyB^@6D<Z-#WSDk=Uvx@Mhj?xN2Ug+gEX)aT@`2$x^mS-R#TfA_XD<*TBP-jszL z7fx4qeLnq&`K^l+cAs;+%DHiytlH@ZnY|usRBy%~6fO<VzMZ%%e%|Det($5}YOXrS zKAv&ofaKogDd`=T>i7S>wA$)O-0Kxz?q|Ed6kk7iY5e`ZOV>Y|D78B)UK2iE>T+0E z=M&SsSC6-bDmeW8KHr-yQbQ=H<;Hu<YY!Csvo3f(-uZ)Zss6mxye)Y-ei8EPd)EkT zj=ZbiW+dn@ZLNOfZA#b4;>n9v>mA8``(lE9y<DnQxJsUK$QdbT-2^V(cUkS+^ULCb zO4iNNf0uJy;}3)FS>f*&FIVrID3a38zT!gs54Rv6`(<V-+a|X;Nlv|TdSdEPiPc|M zp9sspdO+$Uo9gX<z8s>vJ)W@dQ{7rScgtHFd(B62uS<h=Jg?C@U^8*T`K0~2a}Ept z@#flhbKk>jWrdf1@|t;1e!MyBcEr+xt!t%vBh||nT&k<83o4Q8jy!e!MdeRc&x@Uc zk6(Y$&}&)i=Kf0isHW_UtQeP;TOAg2KeXQW>++lBH`nd__s5c#{FWa7tmoC`H_Ktp z4aW7hKOQZ4@g(v{=aE81jTy3YJ&G5}ihI9)qxM>+p!3UtyD2-h=7sR@pPjSytI?*b zH4`W3Bwb@$_2$=v2=7Poe-yive}CV)<k0W>DI#-iG(1jyuWxzx_n6tm$~8Y2mumgD z&^)437(Dw$jOw~&DbgJwOqotG{!3b?H)q>=XdgeyJmr(yqPGdR7Q6gbzWD6l8s8GR zcFU``UH+aB^=xd~Y<f@ek!83;%Js8dU;k*XSR5I?Xwvf9(&V5wdm{T-@4uZ<`R&*e zi>IfS%&2^LY{?JPe?JZ$TQcM8<RvpIzaCq1;=hXKkt@<>YDX98pSWe;Q@^n#%0Bf~ zzPZ{_MxP*^T&Ip$Stp<B^yDC&eXh?+Ymc9KIeSvlU;jx-?;~eVdXzeer%yCgvr_rL z)2FnPe-EynQT;(nL%;edGvA_}6OHZctm+=f{1SBElz(rp+o?y_Z2$iFSXtb*TKSQc zQR5GL+y1M^kCq1K?OHka=l_$%;h`O`m;3DA<~*lf^yh_`i971JYC?Qw@72^)+xfxV zZ>D8>aL}FJAb|~28)vJ0*tgnnf^zE(t+QW^IoB{4h#q+CetOc|`kp_nQ|t6*u45No z8h2)8{=@@QvbS6f3wf=)I=nMCXMuTts^Olhc><5>f^2rFY3`bq{ECmu>cQ6Q0T-Wj zANhN!>$gn*L(ff*6BPw`B#Leso%FIXXkDheJ?`-4srwzIXWht2?t42a`Qz3zg>Sa@ z{?Ze8WU=x^^?sfD$cN_yKbm9~U)}2IWBTOq6yBne>aMOLu6a8&`~w5)Hadzv)%4<d zE8fwPa{RE9&5K26_Jv+M#<%jtI$j%Q>laqnemr@-<yiCT*TTun&7p>~Lt{_vUt^T> zhfA~UZ|Ra_pd_E=FvDV%nOfhIkn-B2S_WxW5r_6T3dQaB^Y@xra==Z<Dqcl}yK6H8 ze~3(;^%gau+Yw(HXRpz*Iv&<~b=QxdAy<BF>U|N}@xfRrhLh>*$L;>E^_NO*t&YB0 zu%lTn{Ncnf&hks2r`Jn;|G8c0;T36z6}=tt7a!MFEV27P{Y%V#L(LWE_xH-lA9-B( zXx++)&#dOEuFroQIMKDr*zVlppT5Bt&-ndd-SXt!A2+4FekwZyCQV_^JNBYQ^m^E& zy*%e%xYX_x+B<tixYnw6hfB69uX|_rHhyJOiY@7$Q@Th`<>`&Jo)=9+V(m6mNvyus zSe0Ai(jo2f**mYf;iBW2Al1~{xgoyXu8-PQ&d6Lai|-(F=;bxa`A?r7Fbi7s_}h{f zN2a`CJj7k`q%lK!<>%Gb0o-2|kLby%oSOdriu2?rH^1uz9GT1#Un+hmVEfj@1F~{L z=Y>D!m#enkc>k^Rl5E-M{B++nbK6#}yW7i{D%9zABuy#SNu|)5ZF}gWDJnPa?UX+J z!@{2RyTj&NU4kEvn1oz){o)fifm7|ToXU=tClQtUmy}m2&Hvx`ypwa<_IK9<obFsy zvvNOjbjm|*msu*81di6#d3@o&%YH3)!Sy3)O1zCKX>0dy_ATM-tnMf~So^`FP~X;u zvn?{Vd~4^qT|csgLN~vtGkrh9XCX`cp7}?-rfm*NxcQ#ZR@73RRe1;h0`23Ua$n!w z^tqx|>ud9L+X*7SlYXjQ&okbpX;;|)WOtU-$JFhSHTlPDx@YEhKe7Jc_^wB;euDL4 z*V~GpE=!cp_<H^1%$>fTfyxRurifTeR47{(Cj<w@Y`4`+yJn_(^z-e>O+kHkZ@WGI zzSZdILH>pHv$s_R2ff)7zN*qM>($TMlafAvU$WWe$bN}0<|-{Swq*tfo%y@<$zBW7 z&*8HtE&3M_bmq=BP0e>z8k*ngih_gw$QCW%yF*iRTh=wr*^>`dT4*jS&GqZr`Q6j? zonJtib)CZI>dEyJ@0#^As-(QJn|Z%dQ)p8d=gll`%P;Lg9*y-|1w;bw=(qYTTeh&F z`~7sTfQ1WQsytRd>5~^aGbh>Y+LtNvr+xIE#Lwl_x%{=^%oCH$ncL;kPVea7kb16` zWopMCvy8BaXWRQXq^9Lh?kcQ{-|6&hdtd$IKWFshy?MEgo_(tKe|2=qsur35*6yd0 zldl#QYXAJ;E`PQ5&ebQs1T_v{ohbTss#2k;;aXNs!`Z8YCG@-Ydv^WJOMZF#$M)iY zI};COy<FVCFofGo{piHoOBm~B&6;_4j))*b@Z}BLl9pH<vHh%){qxb+ZQHJ_{WDQz zeXWkB)%A|Knrrgpj~%WkoqEGFYI*(Y(;wLv`TUmsy6>~gBmNK$?QEU=Q1-2pi(`tN zozFEh9}ev@_V3uNnX~Ojxz*&mQ%<sID03A1a%`OaX=j&*u#%#D`Gbv#4&En@u-nWN zDH7ag-KzNT(DBJh`?>41w(giyZ=L-}=9JA(mRrl`i1UZDw`D173#^-wviAJ$z-7T( zV}$Q7OPOEkbZ)ZVoW8nT7XNIQM~7Z+c-a4uMOsbe*1sh|FAlv7Sn(+(=*+DRYk8+u ze=G@kcYfX#ubw3H6x;OIcb05A&KI<(_~(Qft#(EqMf$TgD-=8o_B^=T+<4)+lm08M zX8pV<eJitJj=qrbL9JzS`z$rzrG3oRkvo)rR_4YW5&L>A&9r%2EH&>Pl26a`>tddF zZ5J<JzhB67{!XFg*4_2OL3{OFc<q~X`W=-ruNbz7xF4}f`+Ma4TOrxao4RTb);q6U zS0iQoTv72`dyo3ZmKhy4<Qx0f$$DNi-Bq(w;CJ))nX)0rSd})~PtCsOCn3W8m$BmB zd&wS?ZuuiGwD{bb^z9F;SxfvdJ+ORU|G!V^K@0Ui>gN=GI3u6y*VR1Vw`=D0Jijik ze?MM6TXN(1&LuM{Pd{7oqK<F%F8)}H(3oQ<-#v|2`9CE~L`%xvz@+8H{}{dB-+!7H za_*YN(xf(9=*H$7zhu;$oN~Ml^#A)J^M}P%;*ieADy6zVl}~#9?L8Od_aOenFW01J zj<Y8}>Uci6$?C6Yk7nG59PcxGih_gIv??sssM>D%@@d7*Bxd!Oe_OY3Yu}8VFOWZx zEqcCtL6*}VU;p|lJI!w^xi@MzUW|FOIqH9<O;u+s=a<|jwwAg4r|Q11ZK;{JJJxRh zT!G6Qc;p^GFaJ^5T$h%vWV?IyY4_u+A9;jr_@Cw-sPQ>`ZO^aCQ+}>q$-{mB>?xf( z53R-XuAAGuH<-8Z&6{>p`+5CW&M(_^Zh9C$Pq%X9@%^9mrA2qmyCx>>_t$gRJT>Km zDLcOE{61s$bI;)lw|krAC+=c6{(0iBnWdUaWlNMQABkmdbd7$hpKV-w^VQQN<D(IV z&Uc(HUg_UEK_qr{%Z&?d8-o9HUwQSc>j+!dSFMkGjxIBbIMZ}IKlj{&i5AW7n$4Rh z9GoD)zl}Hks$yQJam9sG4O(&^S9*$7-pZZ!;#^{3xOJFS{+<TU;?jUS?iX)4$4_}z zsm{7-L&ywmA)`kc-%fAp5&Rdbxbdxs-kvu{mk5}wk39cu&-%Gp$?En=afhF&e%;^| zX{LB>;}+|@{3{<gFMTlA-_&+~LYsV@XV#A$R|390?mVu!&g{peH=j4$o?bC$%Pt0$ zl*tuyl$L(qH)V>~x!RCl2aJPGde-HH7#a8E-pE~ZyT3m3Wyic12l;+H&P#FD?mW^g zBJ}&s=?~0rE}eRAXmj}7pPHMeJtd`XpOVa<zHsC5xMvq4o@;wLF5GzjA%DxUeP6od zA~&r*o_TY*O$M{}x0AbdSgju}n(ntVC%1ja^QkILzg}zdeB`%TBX)m&Q@|(2`U!hq zlrNi8725vey}ictzkIrXj;>jEe@ozt!hk<5Li7K!uRZ=L^y{4;2NujY{nKyf?Q{Pn zKDv7qzn0&iB(uKv%F)##5|RJhk9cg1{PtT@VqM<B&ljEk%nSKtJnz`C)y)bCCRRT} zd2Sx>IP(7GM~^BSseX-v=JCE=k?u}sXI1n{yklDW+HlR@jG5o8KK}h0nsLr<M@^`# z@pQdwKdk;eJ$ARU$6}(`k(bw}ESem=@I{!7yzY@FAy59i%T8JQQ_0Ztj+cdHMOB7J zPU^<rceAD2+E$1!OS<#x$?J%(2hHQ=R9$X<`zU+8H-CMc-t~(&=Fjh1HFMKsquJ_0 zJZGcYg|4km66dtaQ9ou?k}>!Di92=&_DdX(o3rbEwsc^f6z}=;Cr1BRkIxSNel$a) z{m^8IfS!aK({6}6EB-rql1tP$X0OkgXOF(#RW}X`lM%{Z?)X)BK~-$W$;=F0lQ%2v zSAL(TqPOvW(6nOq|BDLLeVeDgyV04rMays5^74-+Dt-%7*xx&yZ&tms?Rd)KIBVas zKe79-p5Nf@X+L=`-;b>!--G{jJFT0W7W(Ld!(OevCrNt`?vJtA^8fb=m#MLy7q`}Y z{jfpcyYFF+I!+D|Ca*j0AG>oEPUv<Xsl9AqQL?A8%)CHn-owZ3k7hoKos;sTx57Fn z;iijNSI6(Ahum`~?_cBbd_qNq)Stq?(jQbmN>^2I@E`9w{^H@^`a?B&DIRN<zHnyk zKfOvjOZicb(wy(hmn`TD%2EFp|1fe|hV|4J=M_!}<*L{6*9phUuz5|q;iqaPE21^= zNrl|`O)ir*{Lqd2y8dz3kJ~0);xV7ZWP*Q9F|X>8c>JjLkK^tc87k!}5BbjTYi&A} zZZhldJ-@DVp%&8=KhE2}a_t$>&iKHLqpL1II`HGjsm6!r+xMwID-wI8XuUf1(Oi*R zzo*}y7Hw^<`RCKZ@+mjwwj{O8Q5Uk#e5#W6_J?ln@i&W9BmP@w^nK6q>xw&<JgNR+ z+U_9Z`8Vc>r0<<9Qf=RF%2t@%RijlLdvyPUI^(OW7y?UuZNvU_^GCBb<gc6CckSEu zi04}`2P$2w)YV;gWX;a~%5$II`n17bd#?SlZyKNUw^&qLy|nq0e(fjMqqcL5#j>aO zKd?*Rb?IkA^Mybei{&<(yrSlb$S1e1?vLoYyy%Hi(7R51@7wVfvYY?<@9}=S)K1|@ zYsiHEmD9D7<;40TmUOJ#o!}w%J5h`~RBztC_wUYnDyF^Ls;a418E!3Yy2|j`pO25X zDOt==I`^Vw_tw9vod$Q0&NW!k-5&agGwNoYDF5*;@#Twu?rmxp{K#JQ;-5CtnMa{j z5xwkZoc&(2Jf2<g#CA*R+>F2S|D~5%er^1?E5bd}uc?7eTmJoz=%5qN)a91;eE(H_ z=g*PFmoF~-Bd(EAcgC<Weby9%hsPBXf)1VUe=M2XG5`BdcDKmp35<<?PPSIHr>@FA zx>P^mD8Fc@`^l|G)_7K&fAwpDMgNq#7xJEp%l6iL2fg_*_f6IOId-3uqbDW3dbec8 z&y~8Kg%bZx<al;fe{?VO4mu;Cxv~8Iv?VVN%e&`!b{V^LE!C-u@v3z5`q}E?sk!?D z`w|ghO~)WLVV$StKbV95E%o)BnWA~#OSAiSgqLi+!>KD}(~2Ujy)7B*9Znr9Q%#lj zuJrS&WXud$^u2rui|0?ye-54VUL|P$H&a#h@VYOen)*$6lgoK;&2*o{*t}!%7oILy zx#qO^vQ1M;e=zU5V<#FUkT098xqEr=|DR@z@pmSP+?Lp}qxjkM?J7spmn*V1Rmb|D zs?mSB<iWicTc+?-_ZiM@uHIaye*1v>5An6_hvwa}mM;iM40&fE(;;iOvGMkqN9rlN zj;OvZu_%k^IDa^~&Oo>IU)nm43yWuL`!z8$>G0%SrksEKmfIWocGO;f_<wEDgSFzS zfA&1{shW6laiQAYj^|q@9a&y;ZF9@E<+`QT?e0g-Ut9>$nkh6_JNwb>FI#kG3Td3u zDB3B*f5pP>qN+`wyOqiW3D<SMmjsCvEIfK#W7ZeW1t-+zE>KzD+@Pi_uYEZ<X<CKX z+gbA8PngO+YJ91wm^RfRM)jP!>bKWEo#!6j(kf(h6{xZb{=cMU=dB+ubD7r1#vJ)u z9^vX*xXyopP5ir%&|=%C%HOPKPR@CF|J>uOM`?%FIWGIH`D-;>9s9%c4EYb0PEWOZ z+WBh2jMJ-lKCXYLbK7%iWVPnhZKp3db5A^664(9h%&~}br_Y;-e$<>a{l4~5ZI_QC zbJtq)vAnu|G&tq#S%m`kITc-}J{e8yJEWXeRQz96HEpeX>y;zkM+(F=tQ`){n^$4k z*tMJW$j0TjABBE=-&y|Zo~D(TQl0-#@$YU=J0qnJL<r{#oL6<3vU&c~tq-;09xe%H ztGSk-E}s9%GSr8|vqV??(ckH@w-x8zjgEZ#x4<RWq%@%@GWV#{!l3W=`JOLjgu4y2 ze>||$jLMQ}Ql1)r<Zy?FOw;8R_YX3^Ddafw@sORS;oCd9o*O^EG%LBBbn(pT?J7sr zcLyci=Z&7^^rrUkv?UgsxV26x$tJuiTwyWkbNzkpX>t+IYWOPiUpdX~Jo4PYZ(j%d zlfRZv{x(W}G~qay_f9r#^MdI=%nv@36jpLLIqj^%=QF~c4QDpT&yw7KdjDKg!9{!H zxIV5aNi0e5_*$~_<HgX6f{|xz%8DjEnXmiQI$O9~tgHKE{I>HRo#z&>ek^=r>R+WN z)4sRzcNhzCo0W8Ue+-CcK0i}nyV`;W+6uhgr*B=*`fq5gYLzW45wu-+)?8N>8NOZf zIrg(9CfwZg@zupgev9txjrWqf<k;FBulBFhx&6nf>YzoN_p!AHs2@4&vWt0%;zpi= z>(#-FihX2S|7`vty`8sH`h>jsgGv^uuH&5_%s9UM@p-gqwe^ut-zVl6-u$*Q+v-T- zd;gQ0!>4aw7_U>$@U>kx*N~w)z9&7%heu(1yS>9z!*~_8fVdt$ZkMD6wSvuJ?;TjG z5?pfwLJsbE&wf#M9rISTb1JFV1Tv*Pgx#+mJ5t{H>l1r$(zQG3FGOC1y3G8nxZ}YZ zL(l4I8R>N#w(mA)EOGCAzGBzEUkj(ah*A4D<G?YeUx%lp*;-^ha^c!B_gmtU%D%Ej z|3yM}++Lj;?On#V9xVU;*Iq?r^R>n(;qZV{assK*m44f%uDa;5PiArP{OK<?G<NkT zJaDs?PX2Pdb7n^T{ocm4Ja=>&+3hDy%3Ytl&CBXHtLBfelZB^qo>eG6lSuB1_v*8@ zJ0<hJF688mQ!?dVeZT)rwLJ2#Yw>H>nWt?hJ$@)*F{$yls=?d)7BB1n%=X&VXt~Y1 zZ@b8G#{YbM(HH0LQEA;jYsSuG@1Q;3lQj)LKh^d8*xJAJ$i3a$RF3ZIFRTwq^1Hs_ z(QSF>trHfM^1PqawC_)$Sjp?-kr!smr#o8DxGYj~gi+u~?conE4g{3Q=oLGipRl&~ z_ha`f$6Um}bJ|y5e<i)EE$gw<9gl}Mm-BbrZd2Lw-r=f5-ju?7wJ%m&?)`M7;Pjsf zSHsRdS{-cPpV0H_(}w$BLvFk0XNVtc{P61P>7%PvzD3z2ZGNsP^yjeu5|`_RTMc>4 zoNPQ-mCDJiR^z<cJT=RI5>MD$&q)etXX|F(x)p!oa=*QWYTDU<wtkbY^sVmttoh~F z?dD(;iLUM!A3OY)xjbK$RlD?um~H++<6oT*Uv=zg@Q5uAT2#zCPk+ht#~=692(;u2 zKkQeKX?J<_sO$^>XZzNwnQf{s9@%^OSMJSy<|ytb8vJZo%Zy*sbv+CJ$EViX9NX{l zG3xf2%<Jk$EeszfTs+P5?oqtK+pNh<B5%*LeRI3LPvAhLM#}bsAD5dM^4|2{6!&~< z@U-uWr!!9!X*1u?n*a0R>&c4@`0e^d9)(6u`kdM&%;C^=lqW_ZIH#x4lTB~R0dB($ zQycTWAJl$+Y!f%RGDhWdgcHNeiH+~KPL}LfXPD`4^r2Yu)pr(!qh@T|PKn6JHP|qe z?A80c!;>jqoq<)yuwd_*KfX*8j$5(SX??aRR&-&!aqIxE(2ki5r;?YSc`O_GdN+fK zskGtAw@=<?hE-3zT)uIx8GoOpis~*kxA1=cFwxAzimNzt)bk&!ZJ5iqdiKo~Gn)iF zXCBnN{B-@S?g^*6)HN61f8C?zQ2p;~*~b4$TRbLKY?4iWB>rKGOmKR7jm(kj{BH!z z^}ISGm(I;d4m!Eq<5t~#zs|_l4#yaLCr?@Kd2^xva+a+>muvp~=6`tU$u#SmY4uaS z7X4Z1@Fa$J_W7N+3PRUBX4>;nKg==1`Jw*XrM$9_o;n9FD&7+%UcdB>T}^~niJo}= zw#C0^ew3f{efE@cdpY+b_gC<)|KW4z;-5~h53|otnEJf)$b1i>H8%468e3=l+W30% zBkQ?A-S0o?i`=_wSA6iR=f$r#r`-6y`MUC(f`8AJ%-Fr!H^Kj>^A^>;A`07<u)cL) z|3Am;oqPFTb(MmdAI0>w3L-l8rkcgSn6$ssdl|Q)(A=+&kL38I%Kbh+WrpcCZf>)U z_FAj{?3^O-JNDekPrpy3%st-O+%S*t$_W>llSQ95t0>Q(B=GiY^6^#0&(_Gyh_GZA z-e$SND==wY{Kx!9ll(H8D|Ode)P37I<wcaH3zJy=+u{_LJ&Ri0Q@o8<#~Z2SC3`ae zUil}t^Z&1S&5K`E6^~B;uRX0}XSvSBYerJ?5;Fyobw1?%GYwsv|3V<XJz?pq^IIR- zuF^W1mt4rcXL)3N$6d~i7sUC0>*rk(U+=W)#$wUWsg>40reD4LyyA#v6l>W|A?cJr zk>HaN-{ZX9HCKlo*y<>zpA_=x``-1fGj6Y#`(jGg_xBD{tIU>L&97g}^wBPEj{e)+ z<DS=xCw<?u-}TtHbzd3TKkh4?Q(>kKQc?4@&!lqEH|g40aciEpXxk+`^|R{>eplNa zeCzo63#NB274qy%)>;47dF|7CJUc(gq-^p&9Xe0Xmi_bM3d41N|H?O>X#Y9=^qrkC zDjOvJe>d6rFlM3n<6S@g+vfih|G@O}1lPvq$<^}POx`^Cu6EvMIZNcjJ-o6>)^Z`A zXI}D^+>-UO?T1iz?(cftqw?2sJLHcs_cZR&yZUIVq0is_4$Bt)TiNX@e}4ZdftaRt zg;Jl6BY&l>j<6fK*@_weU&7+XHd&|Qr+d`2hb|{VBy)~2pK7=hcS-WkXQLB&X+2Nv zkM8GX{h2T=_pAQ((vH-MfY6U?-~3;xU^HD;=kCnAn@q}`4ZNCT{<X1BVdI})^e84J zGbn^z_^V>ffA6(3@73FG)MYdIsN(x2Z2hG#lTH72y!x?%&F><^k;O;T*`N6CU!HYB zrQUb4==023A##$d`m}$&oYfa2`t$WHpEnhek%{RUJf1!;e0aY;5cnRxeOvctt0d(H zvwXY2>seYY5+`m-X<l;+yT1BJec!UC`wrJKRhBG%dZd0Gh;!~T#~IGAnn%sIyfb_| zdsf(_`Mm$8`Ppvkx-@sa=0!o5%KVrF^Dq3dCgpOM?_c+r9_4HB=bxHHc(C!0MmGav zD;ujr57?udwteA0YIE;Z=$v<l)qFR~yQluB7faFjBq(e!b;ey5jm}G2^1X#~{3p)X zBmE*iTA_WS*ze;V;cNL)H{R!)KI_U=rd>9IE)DCtzx?PbNX@OB`yzJZ93{PW#@Fxe zzMZrqE5Y~vfe!|IAMg7uzyH_K`@%l!dyjlMd3XP>qmzA(=gm=6oyNTD+{Cxve>P6M z%KZ5FrKenT?80Ns<Sy?}INJE};M5~Wyp|q6mVMaefsiQsk_nnYJI}9|TPb7{YRm6k zGpojLecZ;XMSe1#o}8<bzrI?PdbLn#naV}^HT_zbN<2Tg^<T@GJ89ZlzN}kulf>4^ z9X$5TVBN+EQyyjbJY3|qdbMy@r}wRJS*eRIdbwMQzE+$$!FsOudPtC7u|+_<?YfMZ zca5pSix01U9lGV?j;r^6{hh71<Eg~TDZ3g~*dk4*8c3D8Kj}4l!Z6wYO~u12lhxGK z{WnZ@Uz~Z)b5m^DOV|3Jzu(>6z4OJ5{TWlf+?s1&-)S7|<+3>S&VjxeZ=O_|->G}} z(P(lqTU)zyur%ND;Ou&vkIQcFJHImgs@s(}!4DG7FZp%cHNtemu|tJV_5UW{wf(7O zmZ#=e6t*)$TITuW4=!~xWmiW?%dI;p{K@5h)v5YZPAAxWx17xX_mFd4<h$jH6-9^3 z^QvbpUA%kxk+tXN{kn5{O{a);;Hti2qb--udwrBzyv5<#O1<8yT9shsD^K`uq?)um zoEYT0?`wXLlAgV0=yCCLbGh%&Q24NG`;M&!7Ux;k|Kj^?(9B)BOZQHI0q=`-y*xeg zw@=^Z?MN(M$li85j4!}!Ra=N?@48j%CUAuE{M+am#=GX=Bl+OCsYZHHUsp`vQ$HYf z#x8KJ+|)>0ySS&_4wmT?<_cOGY*StMWDe8b3DdIdi{dN!`NNKLa$fwjyRXjZs$FL6 zB3DiISI))P8LSc}D9yd$^l-}6k00MPD&BdwIn>K_Ps7H>Um3mIF1W0!T_YJ6D}SU^ zf91EE|CJ}tt2Wi!zewhEpxcsL;bz%ZjfI_RUiS{Hde!2wb^UzZVCAO6lKlOBCp2e1 zvfMPYoh6mMFH~ppSsj7o0-d~P4bdM?z6<$%t(onl)n@+Li$qrT^^33i_*-)2x39Wu z41!jRvmbL=^>^d^lTA9;UcOAY&LYI;^=1|C#?A@mua+oHj5a%d!ayr(R@re`AyXgk z>W42FC;XW5bcxZf<u}TjnGe^zy?L7F`ion}t0bm$oR{ke`Q7&HQ$navP!7+n+}v$9 zH!=3SVm`6ga;xms{=UV!t3!U9nVr3A&|C2~p0#y)i-($<`jP6oZvnG4KDN}ow%>1& zRpNC2;p3kE{+@G%>IDmZLOaCQzYs8f#r#;iz*}%nL`jd|8OsL>CdZhc^Q>FLCwJA_ zAZGIQe;gbCf0a!-_F~2Y=_;MDmiG$5h95=E)~;hSGO|dkN$6qf?X*}p$&Pt8+gYvN zx0=dT4_QKg?N5uGT>k0LeUr6)SC6eqVb_vnnsSNjs@SQ8{}s)iJ-l1H@|xkNzml(x zr72W-E@xOVqvrgj7e{3FtzX%(d!`U)%&XFGX)|I}Hpu&lxnE_NAH3?+>j`0;Nta4v zY`CQD*XC6>O=yz|jZOb>Oh9Pkrzn|qwTsR#xFNLh_~zrU=ewyt(^<dvWY0Reh2E#! zcHAhNyG!^-icf^Bw1oVM{yyh_3l>al;p$puZWt!p<5t+lpHb`7@&BJ^O{<u%kx=Kd z)w3V4R`+(uU$l<fB~ubOC$_EgmqTm^f85Q)$X4w{zs3juy}K@Q#_GOv+2)qo`MOm` zZXGi(-<;-C!ktHYo(en7`FNR6@t=KqJk!09i+^kLChM6y>MSXZ@7kxe;Mz6?r94-S zu1z5azJ_MrT9&)S*J;wUN8iL;4oqF8uxLf7Kwu!39*33)i=fMj2m2hR`W9-h=s5Ms zc7O3X-^t4w{`Ws$IC-XJ@wxYNERD<4-krJg!u7`gdFi^BBYLYhnia)v+A3z*^{FH` z;aYZCm7rjD?t|IWBCB5?T_yI8_mt_4lTpG38;)cbzQ3T~RUPlLL5(4B@vp5>!W(`% z&zyd;wlz|mdvm(!mTw&4ftq3)<C*3Q&b#O$=kj07?`BHDlo^*9?j=utzIstrYSA;* zLm@Lcn;9fZcK0kynS3xXb}#>lAl8J+ri1_XX}#OOX^M9}Zz=NxooVw9*v=1Sd3#Fw zk*~;4ueVnXmR;#IT>5F%-h~FNQ$EP?CUeaZ2~L<`ualZJ^&2a1@~Js8!EMr+hF7(p zYVjs}r5u?e@#OvM!o@$URs^oBzhJN|Wcjq99VIF;$C4$opKgroUUO>eE2h~mdP4XE zpDnxoCPdG4qN|&lmYdJbov#CS*6Ou|F5a|bZ@J9sZvE=Je*W$ev3Cxw67sfux_Ixt zpl4U@T}-3)Z1CriUf%BZaOSpuMw5SJX#QMqW8?JMQ?hKIS)`tL`o`Ka<;RWqN%=Qs zJAJiIEl=2bdb@e+yZv`m!mr*Fxm93!@8*GpQ4be1c)h=7&erO&Pj*uOi}OXBPCn3B zD!+8eOsP-Zo2(O$)cyVFmA-zHPxra?hR^oqEx+jVVXn}hwTi|P*$2vs9S%6Gjgw`z zJrx>eo|)m}?-YGpZ=GDlqI{vA$doS`hLz9PG4I(Yn3H#!n`_ITx0Tbj9Xjs(gmGVA z_=a_nhP=0&nwE21%{Vz<ulwPH9mX>zzdTx-o?XGuXlX0b_Vv>`gTjfveCM2YWco7Q z4`IH;_+Vaj>&flCtRL+DS-aGvuo(EB*b%h)K1YM^&8ccjm%j57i0*X>`a8A4(>^bs z>uiwE`YevQZoB5)neD{xFxjR&pG)+O*Mlz`vTxoKIVG7eDf{a^kxvhf|Jti*!fe0q z&TJ=Zwg-DZUfZh~vfNcG_Wv8-g^A3*MTz&nv@}lBca>gNK4aEZmFIjGTQYBi**NtW z9e-<ZJhS<T%=W`F*A)*XFS`BFVo#AlT<xSn>ouRvl`2HK{o^L{zy7oA+D_(cGw1i8 z^=I{1z2M`X#*;g^^usc3`a^fcr$)Z-InA0^&YQT``bJf@<K!9>v#S4k*QE1zoVzpK z>6pOen7iMW29*EVkr2<7d%S;7t!ByFfHw~JraOJ-+xkZ0!XaT^vnTa!D;3wvvT;-h z?tjD8xFOitK_dIew&v{0E7MnRtIgOj<wDy5wcav&zm9DiFFRk3_-MS&?B@Sv5*vPS zcZdr4KHOUnul!6ond|oJ9oahKa}KF;uQ$|O`?7rNr^R!=Ju<z(==UNtB~;3(-JN&a z<9G$HeR=U*secvA=A`X7^?m7u$m_G7aJ~0l`0z*J?|mXwLiWerdM`Ydow(2QchCyA z(>BRFUVU47VZv*lqP<)6Ti@;D6DbI}r5lsp)i`;5IP>>i`?fCU`&!`^F!$EF+Y_8` zUyxkFYjY@f-#poL=IlF<+nP;Yl;&L6YCdD)+%sGHx~2b{YF?GHUY~q<Ubl*zBX_5G z;kW0dIw?o%eZ5xSU%M>t$reBJsAYBjqBj;O`rOr6#@C?nb8D@pOMO?MnZ&xOzk@Q4 z^{VZ>_SSo$|HsF#Yc-$jIH_`-|98-fq(47$<GFfwpWKwOPV8Td&Msz=jNj__?%Z1R zW}5TUYx`nMU-0o>wY+#cH|g=7QsZr%0=bX=?%A*KOgUM2_L9#9r*E5W+-1&pye_of z<2lpWQ^i*{%<w9%%CMe%ebJ6LA~%oSu@*`A)6U73Yb;lD)-PP7;Ql=4V`h4eCx3rm z8n8EO*WH4p@B9~9bNdv@ZnkfICO%`zmCSmsR6fzhy(T_ti~80Te}Al{ETR1K(O=Ce z8{K>M-Yww0H{WUc4&S1?8|+(GeLTF`zBMi>YDIH(&hJE_u*I{U#Og9#i8?1VYjVS~ z$}q(}dJ;=4_hjc(DE_j)C-qAy-zvJXWl~YO=Ed$k6Lai^Tpo)HFDUdA%)ZmxBR*5P zZTek7M|RD<rxng?uuWdCV3w8PbKFw?u;roG+RG9bUVjr3)Ka&2MutY)lgk3aCe9y^ z#40Z;Iw8UJ=FpwxXJ$`%x%+zD!P8=5pBwh?xf2`lZegIr0Tt2DYBRW|yg$0Xd+!t1 zeEVe)uG1aAWOsDFFzUG1XYqK65yRUj8@K2&Yd1dPuekB5`Ol7&J%NpTs%mu>DCnlf z@3|$s@vBqWvQ7_yX#JF~rC{p)FJ+}t#ofDZRV~~R6_vn$%V_WEi+ZXV=^yNVX*VXk zc>1VTf7*)gxjKRGtv^~h-f%rE_TGB^l)e1nIV@2-cEo!x6kMvd^HjX|!kIq|Uu_ln z#bbYL-!!K_qsc#(^>&{Murl0W-zs&5V{e{7;IWeHJN{~J*>R?YFES-3^+M9|b2i*> zl(rWKtP^`#wK!Ddl!VQ^-@V>%b)2sry!%pp@fTj}zt^)j{_W({So=}m?Cg7)+6&w< z8R`<bALrP5&-}j2(ye3H0p~q?7k}41V>+9slev;l>CMZ`$oj|UO8Wcdp2dc2zt<GZ z>GAnOidyAUv7Dbv+$;D)pK=J73;Co)xmz-*+BY1I`R|eHyKU#ZoAaH-r_O#dYqNdp zst=EOOE^T;c8b0CU)Zf3aB%gv<pGY~GtYlt9<b=VO8Dh}BClRDN58NX@Rr?s`m|>F z*WarTT-q#qY)6jIzN)7U=T+3Nxn6S9-*7p`e%rRoof!)0tUfUzHC%Q4{4=IVJ@DRS zy{OXWc;P#C>qWsgBocz3PPjO+ck;dZ9VeofD0aW#6O?s-)cIL?m;O<+aAyVUlc_Qu z3q1vAZJo6}&iTTeQzoY!4xf57Ejx2pp5U)FZO6VSM?~r`b?&rxbCXJtnj#^Ra^Yr5 zLCB26_mOF0SGBu^xm%YS?zN5BxMxpR{tP3N#ZJzu9=eBwL}OVhg`0kDIQWZ=b;<{Q z-rkq)O^d7M2seniGFT>Rel=H*o}zmC6t^hnvTBo_r$=`E5qo&#>Z&<A4z&h%Zr>wQ zYqH=g_b=_XKEA-UpJqQ3{CDh2h+Bk^QdaqUChNJPT@o8)Kk)I-obtqCf)nS_phL?P zPsz@_^VEUs>fgx+Hg1l!<y&%L%LLDLcbGM|sHFt`*5+SwAv1CEj~Owa?K!VBcElBy z95J-~$L_yv88d@dY1f-;K@-z`-gC6*-m8hdq4NBts$vo2`{#*Ygk9VhownOKDcicc zM_KoA+T_BN?!t|$RBbDJH|@V6t=}dt*A}vB#$<)G+SDUD>W6fWhizPvW8}9*<>>^y zZk702h1N~q)C3ylzxgENu~0EoZ6_0Z&<ndiJKj2Q>8{&!tFV%LMGWia|I;c0Viz7g zx>zJcydla)i)odygKAjx0xS2%N2}X3D*rT!tO^W>jSc2sa^dJzwVm^9Cpazs@c1dS z=9i?ctXy@?M|b(iF1*O$SQ6LT(w37{(zj?|23xHAV(B$H3+;A%ReByad42NB-n_+6 z!q}#|vekDrU6T6#N$#7?l-XsgJGqyADwy$Sd$i$4ue-;0{ybs(*X-O`_q?;Y4by%+ zYZN&Z%F}FOvTV1V%><|Schfj`aV}=cWd3xcF}ZXiYh#UW$f|`}hj<srxc${Wv`ogV zH(j}V4x_Gp*x?L2(FeuX-ipoPYMcD!WuwTdkXKo}8-=$V`ue$1M5@mE%j9bf$LBdb zo8Gk5>(7?9V_!_RaJ1F%xY)`Fmh!0Wap{~8y8MZLc7{*7qqfqK<BO^ueU*Np|IGDy zmG1Y6Z5NaJn{U_(`W=7RP-dfEV&NNAHNWj*OdtDn<M$ihl>A|;5p2s1h<c}g=8VqD zFQTc!KaV{9BPDhD^1^+}0$;?Z{&*7EdQQK)hc70%Xs0Xt7V(E||K>48?THPz@lr^^ zb-lyyjV1B#7KSXHxpLt;`_F!cJ+68Cl(UlWIxljFj%L=a`+6!p=ES74+UEr8v=8K~ z2pZqNe5hZxomVMVB`QU{^gz_puWQ@7E=D@qtg(6RcY2NC+JjlwC!Sq$HfoQB470CT z`_iM^%mPyidzhkL{5Un^&>Hcuqiy#kQ<t~1UUYnO<&cT<OwsVIXTR7Qv~E;*xL#~o z)|wT)lm7|S&zLX%Nn^pAe-{JZ9*x+@Qu*!wq77ny`q!2Vtf?qf_Q{-*aA$2|_Oi(b zMIsavQ@JmjZFJL@6b|KnUc|fDGI{T3`GRe6)hX9xxbk@JH+-_L-0~s+?Dh@ErrdoY zV6?O~e|E>(zAmFFw}W^W?_4x-JySUM+k0Z`W=wPabJ|$QRP5o+6?>-Llj;|-*?deR z$ffI;i;jYaZ@tE5f$JWH;$6!<eVNty<m{Hbf8fac<o4uQMQ<l~bTu|D*crC3X{N%* zdq00xHh<x+*PPVyp7|B~aouy3*S}um|ED>7;dTB={QlRjM4B9Ki^*Mj^I%3s+`XxX z+n@0!_w=*}|BBK5G*fVS#3xC?WoxgA$P|a`bsRaN#Tc~HAn5a6M*ihnM85sjYpt}n z%BJw))im)*8*W&JePYPhkePjzJMu-}heQ151>ck>9#+^`uF$~zJ<RHL=a)UN7JWK3 zVf!iJlSwuwq@Jzh_|bgBJ$-7@hZ9m(yPBRC&r)o2af|n#x?SUt_Ti?M*7}PP-b<n^ zf3U1q&rx-M!1uL9d+*V(B9^xS{yVm)S~hL*pJ^?jy^}TbMzl%N7Ne|#H|-_9ObMH| zyLpzdqg77Hfu{KH;VgV{hYX)GuABbw=fv|bq&5Eqq`gnjEQ?CfnLJl+6YnwkQ!-L| z$HZHFZvXC9VOLJlJIH@v!<%m>&uT0Ene6|}(@=j~naLKttMap-HBD_an7QrB<F3FC zwYP_t32U~Bs$Kq;_ByFjS6|LI`kjzwd%B_XN$yv-oi0zi>K6Cp=z>h`3}xwQ7q3rV zrh8%50b!nRpMULHXvF_b{pyj_@aitD!x3gp%adQXX0En35j1<G!RjV9jqR7#r7fu$ zX)d<@1}9$6+I#qK?In%}%Wvpjon@pi@we{MmZ$E*7iKJuD%%rL&3yjgDskDbSEsS8 zx@dm=Z2P@GhghTRj<jnC{Q47c&%o-1+Kg)|u66NK)ists=;3qp@7Q7f;sI~s7l$LV zIX2M|tm!%%${!0%nY)|GJ>GxCi71{!dd*3TgyRptYm>-L?zniP(*5Yc&fj0(PI~!O zl+{)>q0996pP#vgtg>d8KU|mD$hdq4pVQ4IQ-LFCy!>I)1CB5idaQPN&E;3H@5Q0D zYbAW`Prc>+FW>5@+rQtXO)W5M>sI!qTjvIriQE(UTYsY^R*s23NhCYivxV>5zQqUK zWSQ9SyIq!2c%vT2eA*>qtL~+1yG+td{(1c<Iepz_P1u(e+tg&Y$h=gv`aP?%EIX*s zY*yWu=~|5}!lxfKPEGVLJ@WX~l(Uh`BOZ&!JWgH`79uovchS7L%T7tCc0cjs_ljSp z>UUAdFXn3fy<bz!qHNi;JLd(KFed#z_TkQkt$u46zI+p1%vq)3ee>yjDgGx$3@4>d zH!syO(tEsZPF?S`7t2*8JnB32q*gnyDSmr?!<kw0TfyzkEbD{Iu6+}YSj58c>HQ{# zDI5(8g7&RfWY%atvHM*R!_$tvh1VA{vbcYou`KZB7Yp5EOy{^lZfwoVpRww|TlNpn zOzyoopILkS;Q^Z$dRtG->r>(V8nPoZWaVkU`u@X0?y7;RUo>~$UJ_EG;W9PgMd$9w z{W(S!UYV>BR~_E=87oxWy}Rm)OP^Yg{hY9=cGtASzwUha#q0~yJ;^igKYg6Ww`R4< zJu%OeRMn4DJ(O0PU2e#`-xq#&p6P-+lEO--Y)?18mR5_n<vHils|9j*zVc4{|A6I= zV?dnGXNzBdTg<LH_b=uPUhu1C;lif|FVxK>UL5Y9f5K?<i3!tJ=5BHS;4B-XyDcjy zZtuB{jiR6L9J68fJ#s7ebr`GJ9YL-6F}nYEv|YUM&0xM^?k7im#lNEZ_E$1L`dddA zR7g+UtP$gzo*?z*mP=*!^Us$hUr67+-~9AP(xS^B681<L&UkDRk^IOur#HvQ@9+iZ zci*#aO?P-uS#{=b+{x?nbApc5owT|wYISqT_V<~a?V=c7FYcQq@|rU?*)Z9oK+@pW zyU?E6hL34So?M<)JK?v$qiX>R_-`HUs+KJ0ldNU={#Jc<$m$1r<+f#;JnQdvyPllv zD^)h%)AOtPY?D3R9_85_vd-&F)n}W0w9^o}e{*|AlRImw#-CfptrOS%*&ubD>Ba5u zE2W$l9=rJc@ZZYL`T82Wm<qjn7X~~&_gmMrZQBY*_9MrxZ<PA{KHRjg!r|(Sg&OlN zZ*c9s$M3*<@BGGK<Nm-E3cGgk@;+ShxAtCv%ktCbH<cXjwu{u=&+<S^{=lya!$5wE zb45pL<{1d)m;VwDjOb=pyTW`b)jmV>I`dP0r9C%<x!C_M*1SKdx@^xMM~(ND0Z-!@ zf4*+9)LZ7PrdnUQ$+MA}^G{XL1ILt;!MdTUDk;ajwb(Ydcxt_r@{ls@ym~_Eu~bS> zQBj-cS9X`6#)@l2sXynn8Qd*pXEeMb{OqTZ+m4l74?h(rEhzZChxyR_E{^@pt;<d2 z53D|wFyWocpT(XPr?1-{UJ|ou;}z>zXCt3eD?;Nfy(HxqE3yg6C!E}H_Fk8J=TEPw z`NI7#72hpuyLRYwr`#i1>&MwQ?J7Q=e7|&K)-2~^&VP)iUV3%X?a!&FiGBuhhi=(O z&iWyu{;W93PN?>aOmXF7#g|83ST4K4-StG;*vHFPz*XKXW_$XKFQ*T$dYQ}hosW0n zOSfi?%$hdO<!POIwI(iqZBlnUOPg`!rQqb4{InS%-#Bu9zR1=LS*$eA_=i}Rx<g~M z#<fSsnQB}TH^sRG+Dfihzkk}`W%|=U+uJ4>++MKcuB6({&8}7Ayc54SJ+xXo+0)&p z@j~8QpR9m|>m}DMoa=LHZqlUJ$Nbb<KP=uc*>khQt+KsOA7_fL+|YQ}b<RTF8}6rX zE~)pqnCra9TU)fBYvs(RIghyIujbs_Jat#b#NH0sLc#D~YuuM!5-(g@-#9~l{_=<; z(mj3iPWer6KBhE{RsBU9$DS#dHswU!@3wq+YUKr~yy)b~w_<WO+_$p}&HgNL^`QlC z;Or04W}%zKqEwgtDp)nEOU}5{_v%~2FU`5Ter%mFGhpAQ+6C#_!9O!>@>4258NOxu zw)xX^f8nR!H-Fmho1D7&<PG^iGj_p1v+L_l$vtRkz5L+g*04vhd%mhZEmSd6yy*UY zv&a3%64^UfXDYdeD|WTj*^66z;+%2j{>de`TnukA9uB>IatW{hij%*-iNyZO<d|%_ zn9=6m$tB6>rk<H6b%^!7SAp*Pl%Pu`lVjw|j867;f1kAf(A7jwrAPl*uKUmRnZ?s( zZ#8p^ko~t(qm{QOtL+r>H+*?eeJ$IB>&_}X7X^gmJQpmv672KK>vGO>PTo&y>N|@3 z4PWvbWb9s5u{>sNR`Rz=i(XxMGh=ej3ngoN(XDrLh3Zot?DyETZMW|uUy;f49$B4M zboRL7sQ&RDw->ic=G?1Ahj#lPyj5_4&D3>muk4n`m$TmXpBJ~8pT&`V*ztDQQu%vl zf>ymMc*+`N7B$^Ga{8X-spYq3o5?I+Q8&vleey@O#f!GANon0VLHL<X?!h&l)1;y` z4E}FSkXyQ_@8X99gUXbd>s`F{wtRT#Dfl}{yKKVk_4=k7A2*6ew*I&zTPNN0>g1a4 zD(Oe-l6!AeNedmfaFz_qUa|eBi-bR8@*cs)Dc_?dl3zHc9Q*XRQo{aUcjev#8QS$6 z>mw3mre6sAwsm4ob@WYV8`T)IrwTKfH|B6Xt1jT$!&~88(Z|{~Yu2o7t}Yc<u3ULz zTd=@acU^B<aB%SDZF(2yWMyT2dt6ea?ep%H;`OM4M;~{rZm+62`ls(`b@r1znc0a8 z9d>R%VSM8KV{?r&YfU*V3`2S5Pl+&CD{jf$y?A=_<BW}aI&K}4IKAbmeA5iu`0y>+ zRc`AujvIYtX|8N$d(JE6wruUs8_nG8vHu;ff01)ow)Uk#$zJ`}ck2btX~;G4byXkI z-6bO9G<|}4#8b{s8TYpAl`Lj54x70rAz=E(f*)TDF8zI2lRbG!=4#89iiR&=R(}zl znCX4-^Y+_%ek#p*9vR7kQP)46TluAO^~)8<>wFhT<-S~Lw#aAKnfZYsfi->;_bWZn z{hl)A*Jk6*yHnH3)%7lXD(HVU`KG<dN7L@xk>b&^!r#;U?m6G&TReYnsYG&G@a|mw z8!zXif9X7beW$q6v*7Km;*x$}w1X$~v~PN|IqGYV<lW0h6jpwD7&_V7M&|{ez!Kk% zqCXN|IXu0Kq>Bx9-8<aIe^T1w$E-d3{yy!ED_Pw0@J98G4-2pJ%Ur0xF!eAy?>qB~ z0}TgnP2fMai{<=s4W7sRZWT8tIM%lFOWC}*#Mt~h#<RAdXvfmq9S09gajxQjWnH0g zS+*zn!dvZc;Vx^oSgd+_{6lx%3RZPj(~wt{dEMnxLt0+05S}f(BJlp#G}&9z9rE>8 za-^5Ni;9R0dc;|F@`<YS8b@)VyZbN5MMmXK_A@t?bZe2ie=2{2;7#q?drI{ipU*i} z;CcRx;#9ly4E_8qj!HSsO4S-NN4SOlR{RQ``fo$}jQ!s)*!}(8yv9OA?U3RWvA$f9 zAK#}qTu}S%{Lpb>gdqFEcj52KKWk4FyP@h5Z+deDv(K65fz~I)8$UF>4tT*^Tz-C| znQryV49movt4>b>R$mG8bd`AhG)^qa>B>i4>yw#LS7siaxU%b%?K_32T8>p3YXe&T z{$sS*-rJMK?Q<bG=$$54dfa2Z^<PrH@w=YO3m1HP?U8PPNXw+I4;QjT!uN&cmOkJY zcb%iS?Z{F?)iuHQHmW8CekuI@LpO_apLni>Za~t>TZ>*kP;LJ1ymisr$KSr{={<Aa zHZ95Qz?12AsuS5vK7YIMPI&MAz!@(}DyFSp@UG&>?6N9}{mxVP&i{WDS+e9`0;?bE zXS-E)#y(!9!lfKKn=ZdKUZc3+&FV?ox|4JNG=56{ap{t)am6n-gSoq3z5F(9y6#u5 zjHPXDUtU`{l;8T}TK4O_kJtp)W0?)ki$c>svgY0S`7WvE$0Vt#haXt$if;5uOZY39 zyH(!i>#do)<Tt+m$o<xYe`CY$8FPyj*1djV#~l{X=Ijt`#r;e8=^@p*=aZ9F1NACm z|Jdm5^xNo~r^9b2zf|LQ)`3``pIpxu8gAU+R@r?x)kJ2_Jm%X%i=-7Er@L@<<~ig~ zG`zj!$(wm<tU)<@UX(9>{b`=sU7On~;dTC#=gscbWafA^bwLZKSu{&*{DB@mmi+4- ze>l6Ck7*`7+9W>Zc8tJr35y4M*X%a>b=TVX-H7O3y6Bk4ijSYUlU5b2D16s#^!?%4 zNvZm?rqtB>Po5>Z^nB>m%S+PZO-{CQ``1?pW;H0B7O`DD^TCzrQyxs4zJQH`?~dxH zaBaR7fhUg`>pqfqlv(WN>G~sR|Nc9VzXeX7CSAAvL!fQKKkLTdTP)Qcb|;l5H5A;H z*~2Mt{wbI4N{)D4ekQE|wrurd28V9Xk+yNP-+MH8`tRol7JhiUg}tKiVe*l^UnJbm zRYvYDRMK~OQycll{2;@GzG7dmwJNg?y!ZO_Y}OPpgWM%-(~s`FSe&?>)7CdDV7G1R zk<5Gd#lKCdYPzz*q1x_-*@N;QvwL}qe~N~zwC;Ip@qUxg$**0XYmfc3ShVJH`Wm;4 zeVt|>gx$@~imOiBDD-8Q#>SqhFWMx^-U#a^KiXpM<Mp-e$>RMUEf@9OlcuUibg<Y& z=esvuovZiwvGLdZ8B?ac?wIk|Ic@u6-Z^4*59%)F&up70^>fzEbp4FGUWX5Q#ZR=( zvHe?o)o!`JkX@kMCL2c6;Jpqp)t2*TRb?`k2i#2Ym~-h>!)f>T9}k_s#%l4nLqvb= zlPTW!SQ;e$ZxINXc1k8rTD{u#^t^A&WHMss7kp!rUR!y2l5U~b>4IAYSDqwKdlHcm z6vY^{=L_d@5#^<Pnv3@w{i3>DH||7562n7F4_2<Ey={SQH)b(7zlqUkyK$=R$N5D+ zjumBg%-XW$`$CJUbt!u0`a)CdQl`pfHJ`q4D2prOBVTax4Tt^$$+xM#*L00euAIN} z-cik;`759MZChwuFLF_7R+@AC{=c#xugvXv^ycWI(|*^MGHi5OG`Htv;gPP7FAoV! z`XKYGVxwoK<l7yer%PYGt=}jaT`CqH*!IYGu2{G9>__1rUM{en#?hy0c4Ld&MuCe$ zc5PcKJ3UWszW85W@$VzA*{}D`Q>&ErDKh<Ll3B%Ubxgl%)|4+ZI9@DQv^o}Q7GCT> z*)J+<((Cv0)MB@8;q9qax-o70A&Dh!(ozrFmS!F8mn^tsG<nYA7s7F!yQ^j{h(G>9 zT`A0EOP~GwpVu~3^zT^JAr*Qiy`MYjt9JT?eQAaJo9y3QKJv(~GF+wRsL(pMH60#y z3RWLuCr`?GKKn^f9J6W5xBE9^me0+<bo>3RCsxmECfC)9Z+lg_&ogmpm8)|={>Ghc z^YSlgp7t%eTe8nHTtEMCn2|x=rKG-@8@YaHX|I@R=pU2!Y32*rp2|zVKl$8DJS<Qp znN(wJ|29GRom*2$h4l@F*zm+!DSqCiJ1VDoW`1?Q5%p`+U$c^_y{k98yVKKSRK8;U z@)OYy_0E5<u1&1E!`GA?Hv0+B?!8Z^hfTW>Qaye98xuj#S7*N8{CFp>Uqs+ya@iLx z^_qmBDBtRDZ(sUceCT{bY2}le$(!a2%vI0exNLemrhHERr5XIbMZOmImzaM{zV%b> zZ;QO~dGnRWqij~h+1_7rNBQW)``dP3o0oq{H`}+UwrZc}=NU}QQ=^tf<UGH4GJe5< z2=#JVhYaTKnfE^KQ47_6d?J!5!2b1Hoh1*C>u<SS{rW~`_ree1y)O!xT&^dVUHqr~ zCRt&Z+`4<el~1SE&I|nc!)2Aa$I4Sht!<~Gz8&&6F-TeV#P|8^maTl&g0=}Ns^5%O zdc8cJ%@G}Y!>EOqWmn2H7F)TjD}T<<>u>Dada-?Gbt+3}x?ZVnzwGQOfBbnx#k$Tu zN!q+n(yA(1&0c=Llg$11Gf(;-QobI&i|hKI1<OxJA8Iek*v4QPby(xv<{8EFzfNnH z+B~CFROmpJ*yg&8qOa>LLgy^J^DIl^XsKE8T@JNYm(4R%+j*}Y&NchoW3w>9yz*o4 z`Z@RN96z`f#vGCPY_?#TXMAy}ve=iFk80d?2J(ex8hTvWo;ln$dy!#ne)ZVbAJY!b zE5CC*aGv<Yb<B_1rtW=q)Pl!b^6-ZKrzbaEInbQUl+@$s$ENQ($51Dgr@m&5^HZs# z8_qmXESm1GB=L*&!F1ItD}?sfX}WDPc97rjV3B`;FT=$wWrKr$`bufOPr{6+o%JX( zKB#0p_lKr`UeDE>+5eS}?Fcho=Q%&>oKeV8^OZMJi<6GFzsP>J?@usK?Mv@tlYUBC zxy*H|JNR>|N0D%CqQfuk`GvX9Cn)ZWy1hu-bX8BP{_#^>7s}H93s>|i-8#0a>~~Ds zirX`aEtBkIF1gR$-y!i@wf&oUo!n}1(^VbE<2pq}7wwlUJFXwG)>h~HH+`2&^L1X{ z)sJY;G5VKsNkaBiZOC#R`FYZ(tsI1fb_o9r(#ok}(t2Zb<W9>CCF`pS&y=0xGW6Vf zwo06TFI-+Lxtg_Q<%CVYA`%s==C~UyuRmI4b8J%fej(dsY7W=Z<0GqlQiHB8z9RhY z`PV7p!48pe#`@Drr|zDUrjih-`Zl}rk?rY?2RkY|=RGyN`8VG9yNr>_^hwY1JQtas z`s~d6*2TnF@#Xuoo)ee!n{vA!s`pj&ira45DYa$h8J~>*>WkvtXL%lw<*EGOp%*Zt z_WAJxM>VqTUVoSV(E7v4ch-4{^S_QQ36TlCP{H`<L8`~Ulp}i;eGg9lmc8VM&Eh>` zp67ejROeqjz2pktrI|V&1#_>TUUE`enPchgwO@Ka{B-|WalU?^lg!sDohuB1%;8r~ z^(Nh5GkbGi+SlvU+tf=Ly5+muHr%_!a{cRGpI19iR(*M<JwcSyNOJw<AJv?94u`mM z1Y2`Gn(8HS;m0PHuPlslFZA}YUEU(ay8HWDiwmnIzs&Px;0=nJ+pzr2Oy654uWCOx z<($%U(Q)&!>uLd?4!obFaXK|Q*3PSd_rG3eoB7NsUp#|_ME5H$IwW;y$!r;wD%L2j z#KMO^)lM_`&e~|_xa8@wn=^igb0!^i&F8vaZqg!Z@23z~9<eU7mAjR#GJZvE)|B(= zNhkK?>o%U9^)YkJ!xGD;7r75Z7baeLoE^+$)pGUzp#qoLH;#TQ>^Zxm^X!bjbFaQy z>g1($DDtZ9u3(3ss{54xOkHmr@{Et|g?rkwpM3rWi)3{&_>w|95~W|M9Xa)M-=#HK zOZ*~Jl&?Rt$h>yRX%*M0ybeK*?RSs<(Z8+J^(N`xcBN}ZZ|@&{6YsbvV|(}3-+FQL zc=i{Eo=W$Ayu^3o!5sHo!OY-_J$K~|CG-6se*7luA>bKYvBx&wdrn&IAJN7le^b+y zJ$$Dhr`Vp~w9UwP(evE1*108T!falDWScrKJ<@rC!lrrYk&m~}nlf#^p7YN?pH2y! zeYo(?qP5d5n=I`P-<Km?e$LA4(dS&lwfw;)J5|-4H~!tA#P`_g*o=L?Z#G_N6Fl)$ zCfPjd#Drsc#+oZPO!w5+SUlgPrGI~Vap+g0m%X_Wov%|b@!$4&WwB3ON@n^_*VcV& z6i?|)H(H)|-ROEw|CEi#-b=VHl<eWFcDk}A)qGuVaDuLO!0uR)h|`l-ms=j=T9eA! z^WN9%=ubaWj<u<-=l{xOr@7xOnDnQ3-lyOD%{K;pwG2Po^xm*?(PGR0(dYbsH-6s# z^pAACLvPIe%S&c0Udndu_73OB!;&x7Ntr%2UcIVM@Q{A)rGr<pYZgB<&;FBq_PkZt zi`gb|i$9)~%D?ubOj`ASdZ|&WtooPTIqN=$sRv);n>?vFTs`<~p;?`l-}@I*yR2sF z-C}%lJjZhp+u4d$i(k*t^%S|681(y&uBVB#{jYq_MeECEOxf~nw#u)R-Wzp`+bT}j zCGFT&KiTDN{gFLC``=H>n4R`-fntZ6wBGY)DzA8z7A-ls*Qe^g^TVm@zH-k0arD`& zyQi0Y=vUkMs(R*^KmBT@HNk&4QuP9F{Wf)(Ie*ESu+?V_?Oji8`J_DWb5+xoB}>o$ zj1Y*hjaU79a{i%R&(vRjE!!M@)_D!r`__)K+Xi_z4{5%M+I@4Y-_M&<K6>7=dN^a9 z;)k~zcZTJ7F3NUjZtPlFxl-zojZHw~`XzGurRCFOXO-?dcKqhem1_TcteUr*W*xgL z_K-8Epec5)*W>Rulh*`1;S-<wba(ANrBgv~?SBRttQNf>>%YC2{U>)@^}(P;FU$7$ zyz1Yv!uD<-`<Gac6(3qo|2ewAar?#x;*&4EaJjqji^}xdom<vSUSfP$M&G6Qjkx}% z%baIlJoVc)adX$ajfXe=Jp01vNUyY?Sns@zo2BiquF0D-Rq*k#`HMMr7tT#vAzglF zW!@cr`v=Dsm#>n}558J|RUmXh-k!SUPmk4SJ+qf;^0;eJD1O-I(1a^LT+U2ipt$Of zq;+`Z`B*j8#iwSAN`@OBTO2u8X;<#;SuT&uXC7g`+<$P!>+OD;^R^1F7n1qBvg73% z#UpzbmEK>n<*U?rE7u+8uUD)+7B8yq_3v!aqMqQ^52mHFq<Z&DzBWnCi!a=nt`J_* zG3!daTD^A1qCaVdzkT1|zrbJoIpR#6kXw_1rdqP$ddsu_&Mw)~7JM}@>_+|Dq~+@w zb$b6LD=+t9x)^c&wr&2+mG8<+4*xvC_3`r=;U(+e^zTbu&i(H|(Lc_3Zi(CGLRPC^ zq(A#9lg@wP$vSD}oBMCxuRiU!{Jop+t0F-;bDP|^vN}txLh~Q?2dQ|S{ktaZZQkn2 zH|gf};)Q|L_N({iZ})tepEvQ*YSWTw7q%SH?9Q3;SG{2Wp4d(HS1v?4`KyHO%U_&y zZr+lmahE`RwWP`dt@QfczFyYRUiNc;JoS@a`|OSQzUgoHO-iO+Fz%FSklD??DgLJ7 zO>K}skIR|5^^e}nuAA^Ci+8T-mzYQ2M9rj*->lDC*_S1s&S^XU$3H)5-3M=Whdi4s zW##>%)ulwr+Typd>!0qnb3#>`g4g@!{19JI8un~@#tWT=(}kz6+Y)G(F28u`uFMxY z3wL%#tli(e+5S?Hebx(|g_WIq?`^v%J?E~s=q@kkbk4oAI=J`MKX}99TC)aZoUI`9 zJ>h?BTYhi7!oxdPb&G;vbuC-5*~&AELH<AF@}(!)@^{SIjY1%|hAB-87FV=hE5;Y+ z^j_uJwOcRw{j#PlncDfHsrLVZm!IZ5H_6<!wbSPL%)kBTDvS0{j*?!m&{L&Yu7`&y z>cvEtcR~CAx$nNxb~$O+mBrtjxZK!EcBN!YpS3@H_m#pQje4aA<K6~^uh_FyYSH#9 zdZp|)KAw0GeD+U}vq0wbsz-JTQ|H<LFS(f8d*ICd<p!@sd-)RHxe7K`y78QO`dj3g z+#iNB#^1HisN{OMXK}D_eSY>g?0o%}G`Z!99U{-16_jRj#O(?7xf|_Va+0^xW^Nqc zrX}C2W?peOUVkB=bK4iAmy4JMeiZ9Zes}eZrBqW!<|6H<Oq1_fBuvu&$~5_$;+u`R zcY|XOKc2*v+q^j@T<D+c*HCGpj~erx_%<CgkiN0=-gA+6OUw&)`xwbgiF(7?a=OE; z!2H7Lf`F)hk}rBQmBl|5dM)dnqgZe7MswNj$$ixa{fZ~dnRs+P(=qjh>_6`^+}P5S z<2U<UW~`9Rlxb6&&HLYR@!L*s2`emS627Kn>GNJi_VbFl|JV}i%%v^4D<AAv;pAO% z<=KKQX-ldyMUDR)>f1CW?DpKHHRn2Qg=B9S*XpU)p7vCppc0z)+jG^Ly=?|x)Pqt> z7d+DPzItY{X^2wDO*gee$@ML1)3P}h^>+TbVXt`7(dN?TPkF&oKLpztJdejET*|cP zo|40RFd=f1_H(Amc^-$9j*3Q4Uhym@lXLatThnuW7ai9*_oZ7TZ@Hqy|I71IUQEB} z%w?+TxyRx0Y}2^)AK!W!UCFri<CltD^1p+Z482a;`%C0{JPJIOdy-Fbsg4hu9Z&e{ zDUXa(U2T^hnXa|U;jy&oe!s`^*?T-6muK4iuhFdUpO$~&he~g-{>gfm$2+CwFZw9e zdw=<#Stk3;Cx-s+Y;@jwhr8wCK9?}v8H-k3UG5SR++}#GOK*zMZ{ed;e@R@r_A9gF z*X-FUryUNPp7jxsda`#}P0`fzHXFaCiFF9p?oB)6KdJGlbD8F!4Q&aZiiNDFO*Qe| z9Pqb5XPxjakA{D%%rr0j5awFo_}D+&=5f-(`Kk?jmZdgEovnzIG|m1a+50?BiS@b0 zVyRw#VYf~`_D;)d@`|(e+=+9__>{ZoiSD&6o0OwJZBq;SR2V&Zg{S1dIWMfzvxV+* zx82cU+Nt#Ox=Z-MYbsUqjq`5La=8+1RHn8|?%pgHPd^6-w?g*B_+^R;f7D!R9jDk7 zXA3>edTB2d`##5a(Z&-VPRG=vvKF^#{9P_!Y9MG;nC~w5v87GnSBTZezkcl{kHoh* zPd_?$$93^zTe~E(u4Qlk`z!F!!B_k4y8NEB;mW=ZbDM+i9=zl@Rn)_Ye>b=1o=toD zA1{}GctCCDzaKd#_Nnj~<U4eoH(s@UO7JfB{(^w0-28dPcc=Cw9y3~E5|eefZ^G`$ zhHT!s{dOk%`PJ`E@7d@tuX56>v{-)Q$0;dsE7v*s8M%o^)~Y^UmlB!xLYx2TOWCK2 zcNY}OdhfY#&vDPG54?2`6z5hgaSoH5=P7KxXNBUOX!%REeJ;I8Qxo^4?OUkW@kKF~ zRjD?4gV*Np?2f3N+B1K@6j$KCym`B6ersF8(X*U>GiIqr-JN^J@5l3rd{#>0>(tgo ztiJhOb+h)frB*LGCeG2ldgi_O60Q%jd)d}L%I_BwUAt=9Pri#iDK?_=p026Cx@@ne zobhlgUGK=Xf63H}D0cRbv#&^=T=BY4R%Xh*HG7t8<S(Bd_vFxi<?kB4y-!Zf+hgft zxMFeh2G&cfKN`wDT)wDrja2t{$JVseDJ3~QTz&_4&-l5Qt*$});@gg2?iZ5Ss^^?` zj7W``(X;TR!;u#2i%xp6%_^srxNj=0S3H?5_@`;cdZAwRl%;bgJzJ1bE*^G0W7Tw% zhngx=erVSo^=N$i&#(AMM%0UA%M~wP2?{;@yRZAA$c_8SZ>}#-j(Ex`CbV~Y&&jiY zU-DHhOZy_cK60kcN2cEOUVRgfei!`db&>PRDuL&mg@>+f*w7}Hm>qE=f7%AC)PD=P zvM>GE6_I$f?%t{Zr<Gcd7H&><vAnCAD5KhVyY|pB%f}k*mRs}eo~@c$Hs#9Qs<tV0 zYnqirx6adkRiv`B^P2kN$5Pd6nlBmYJwABj`I!ZbnrElqJ`wqjuXXPi)#d9f?m7CI zr6hB`*}+~Ir<m{4_&dI|srgdS`X{b8=1(@fT>X*d+l4JwDpi^4lTGI<RAi=erFrl5 zb4j0g<Mfi_A&+lLEfT*mQRn>WV~u8g_4AZMr`mfw$_`3-`gEIL($e21E;r++Zu85W zZ92~?;?%!oAEkQV`w2$+O5LBXl)ujCQ)``n7kB=;EdP=dvsWMa-*Kjd*U<b{%X1?( zE>_*v56`kJw>(j=U!3-5>7~u<gx=+6?s0p3+2r1ekG?0*y!bRZA*jy6b9Q+9LaVQn zR~55NEsMHwH*#tB%Vb}ZrQv=;?<JBKrOvN5niu7g?6bq^=1GZv{2N_mUg-&&{(f^Z zDz$v(icS8Gq5N9;9+CaY*LF%W91p(#XW^o27L$9!W-fGlJx%k&uM7!8DYsQyqd2yA z9$6jnZn0G8I+f@hD<);U*}CQ&x8x!}-PNB<+nO)UYTLHrv%u=&5cSaKieb;Y{8pHp z(3enKAaA&Ios>ym@Wr0xCa*4CYDkJ$w=l`_li!;Hd3EdGOGV~C%n8|~UZnG4!&JwM z+ar^zjr>xtXrA@Fs}prc>2#LVjmRBKCog{b`mOu?M+=nSAMvhW)|N>r{L;gs|7SVR z)6HJai$(Wq`xl<*osdwM&TYTLyG6b}U5-Op`v1znpRqS=<<!gmoM(@J?RR3WZq4mO zHxHSH@BF(e(Il$C_FIT+_lbsJ<y+iQ!UDGcgzOG{vhSN3I<0Em*Hb@(=B(UOyz096 zzAMsvhOx~etH1m@)L3AkWyyMH2h&%Lq`Rtt>T@j21zxnzjbEm_J=pNs*N|fKybI0; zo%YAu@wZ;CdGGOVee9YTnFZS4Lq2chlQHX2Td@37^f|vqgBP5w=QK6T+igp(uu7U` zg*|>E|4c%3`iA30C*IuIdgHL=-#ZHnrk`1$-ZD4Djh#R6T9Nh9i;G|Feq7Q$ucK3W zML~P_skWc)CmdJ3D(YV^;w=)f{&hfZt-8R*9h2<NuQ;vk?WJ{RpZDIxdtArZ<J|-k zAD>Y?@wvw0$k8Kzcpg>dbnnaWUX>zIC^C0<)Ukt}VkV)w47J^zcJ-@yn@iqBUE?a5 zBHCEHajsR*p<cFw%^FSXlgdsv@@&=I*VeH-OU6M$zGUi^ZL9}Zx|h6_nGzhmLQ>^M z^a@cwnVxN2?T7v?SU%%?x1?K3^L6#-kN=5sPrH9U`mN@^JCF6I{o1mi!nyLF(9WI> zbNAeyeKh~mBZ>Bhl`~(Jwp~>8DM@gZzN#itTkx?zu|(th55A<Jhs*1#IqIAeKR%8& zzg6;S!SYkWJe51*4SV?ZdC4sn4%=P$Ag{qpoM+~VxQBex=LWyvZ8vK7*!V_HBSiO0 z;jZ#`Q5IDV=5IK6o!*_2bd>*y;-dHWw+FMEUp%oiS7K4|b)zlw^k&}raJnNX{N_q# zAy>XNhjS$qZj0Q~e<3NUywTc1#wUp-<r%|E6(^U;)3!3|&N4W{&OB8pulJxwdo<sr zYtdeOvQPO|NGd#zpVDF!c%p23ug^-Q4G!P(XSyf;3O{O9uKP`_^><Z(>Yd#?x_?g% zZ`+}*p{Mq0<0ZehhwAo8X2{7u%UnDyDWau$@y_PAkNJDrN_F&PyFTW+Z(O@YpmU2h zU+d?;idA#Uqqlwj(Ir^zlyr3Aho$c(w9lKCp%Kw?#;Q-2uVeSAx4P*X7Y+EryQ;a~ z7<KAhDf(ry?&cSkSkuhao2)7;+qP}XlgzXKc2DZ?E?pbBZr*vKsx`uImp=WsNKhcu z>C5$3W-_}%T{nG-$!-%|$+W5Z?@W(3;gNBDJqj0RahBOybWi=tXP0!l?7`Ya5-vv` ze*XEH?Yna#f8cDEwG%ctwm*{M5ZSaxu`f4zDci2*HJd|MI8W5q@e>Z;bI7(SKV<5< z*sodQJeTx4zpvRCZ2h0(SL^+)llE@dbmaB%^yLYhOZCN^kEBLzO%*K3kYw4Sy=0B| zd(mr=5+d8UFI9SMm{Iahi)qoko2@PjCN!VQ$#<1n?yp^(a6lk;pN5R=g<oF+Gd9bp zKdKLFstBswY5HmdTiNgGh~%uDvIpJ$H!@#I_!8|?BF(0zEvTuoi+95RX$uR?B)2b| zzvN5lbFOZi)TcV%rnKKRnfSBhe}D6(?V3kFinUIcdvfQ}|Ack^{8AP3Z2!r#2kvqe ze)vrFr`UsbC+pVCmnJ^he2ev~=b6Y@`5Vl;7wq)<LV@8$9%ijF>$8q4|2Xg|zWgd$ zrsX(kP0B@{HtWwO*<uFHx7?&+&#H6P9{m^iMW(!ezVW8R;YYRxX?Qc9eJjd*Ci2~P zcBd^(NBit#wTr5lK0UttF|Y51X<O|%Nxpwo&$D%Qf8b$X`jqLC?iV@nwa#9;K^x3} z*81HpXltI6SU=y${~|}!VOC>n>l2YOlZ=1L8(nRm<s#h^c{^vyHC73m#d^#AcQ73* zD-4i|J-pg-eo^Ch$H1q0%l&6Qn6Jm@6<@k9<7<E0xg`CQf)~!Li)n0MeMo5i`U(ZN zzai_{PVJ3|$l|qffBLg4bAO{_?`~}o?kZcwTZboXQ#jZ@`)+yRpKHdlPu6_+_3QJl zFy8z-|5!2?@3H$eRk!d_BwtbAA?Ifd$L~AuIrKVN;Kw?nw+Uh4^K`F%U=Bb0Ux53E zvx|MfyP7_B+fa$zsLr>>8<m8<ghVnMF5D|}@@d_Fj`EquWfj*w3X$9>>GVTsQi@K7 zYVMOIf*p(4UsdqEiq}fj$-d678F~HFtG^b0H%s}X|6SlarJJ51aX2s2e%jUB@j}V9 zTjr|^U#Rmt-J~2gO~Ce~y2AR?kCsjHJvO=Kp2EIG+}fwzW8U#iEnOaxy350Pb=GOF zms?rB@bsrycF6wV4SN_q%{Aowq;qH8d>?G<`NdMPN?-bQ^YxACdI`U7-VE5e^_b1f zBN`hWkCvV8y?WO7$^oIe#ug{-vb4VDphACXQ-gAUzU*7QJe|S6%2F%V7?o7Lj8&UE ztyy%TR+Ty9yIpF_bt5(kyY{co$T;v=b6Lz&)9wB`Cz2LzjbUUjl9^JI-Rk-C`pH<X z`)WJptu)YFe*59l7bbIGP5pVOvCt_iXGN;NIs4M92e*BAd{9+Y;>PvQ&#oWeW!VvY zb@%h4S9(jfS!};^)NDg_iE+(T&g@;*8fza^S*%K*vZ<oGIF5Ded1J4oo{zS@<C`-( ztSa+-_RK#wiYHDkWxoFZ{TvY&A=SMRiI-AqT{o{bJ>s0-bav~SLrZ)YBrU3(wbR8} zpjOL8wmLyla?Z0SoXcIMnqIIfo?;IC#4f6K^{ztc#zSgzVyAmA3^s9D_<m_X>5_oS zVo{mh`6e6hHNM|esJ)bJ?Z(^eoeZlvf4-2j>;D<VZz8m)vn=GX-VrXr!_0rTe7@1R z`Q(ffTiPd|x?H#_(9@i!Zz4x~&4gASd;a%9Yd*TqW)^zZQYxtxpcnq^cwu$VPTQJ_ zygQkJ`-&<)ud?tvZ?IhS`NHK&Rc%x6H_zzrNssu-UuEUt=V^P`nvMU9%^E%%qwIjh z;D6HUC7j%U-~Z%0RJd8SY>l~XN5szMr-J>qw6N~)7m+L0Pdr$CzC564(fK7k|8L#! zmU^?!xK{1!+oubjytA8}^-nwdgsV{Qg^Z0ZFWpXl6;Kub?Q?2x;0}{pnw5LbFNx}{ zPb)wBeP&7e`orG^H&ul%=hEA)>32~rtRzl9a7(A?U8WuRKYg--8t>nVy<Mv|x1_N- zX8$iAt$hsLk6vuslqb|-{pL=tZEMdCyWPcSDz>e-BmXI2vD43o&n!HCOI~sp-%y`E zapU}4>GNe1(nZb-H(y!flg8Jd_{?T+g^2CM8{ciMZ|aM%%KN+c#&yoP_T=%N;H*2X zI=byW$7jlQKf5dNaA(l3nWujE@&2@%`*P0DXRW!{nT~yDTHjsT70R71dSpRMv#v+X zr+IVzc6rp)Fuqsc!(}Kh$u03f<;abzVjFIh>t|g)o~#-*DXwSwjyYHV2*><<77=yM zX?I*t`Gypo^o6M%8!tT7DfxA@UF1~w$-uo_-UY{3{<P%I-E)KSbK1m@mc_m6Z=Msh zZTs-5c-1DW1q;7T-f%}PEps=Etg4&v>>W+jF^?8(IpAwnB-X!3%`ET1q~<G=)w4F< zKK%NaODj|DqHw3ZpYKX8TD^hm_c2wQRqObkhgKZ^RC!3dNcM@&szr(tKh`)MdA^b_ zd4Y@b#1gOkl0X;nB+k7&f^OA{X*&K2Qe0w!u^oMP%Qa`KxAUA^x~1xcpwvU&3GA1i z$E>>49;Eqbw}5`p@2<T^-)(3=^(pK|W{P~z=k{pn4|-o)+$(MD4jfF_VI;6fP{V3- zfAbtGv$al#(rZ6R<hdL)usyVWlf^Hq6On$CEgw0uG;?fN^t|Gf0ehzF)PoAk&pzAY z>k)jcct^@{n;G_*^Up7NePx%c)T6S?it85tQG5E-a>ZlMx;@{#tq$kAX*2~+aaPTq zq-MKLaYl=#<ezM=92s7t^SeLEJYhP&)ZxJS@*?hoA&0-#wtAlYdtr9$Gjq*w<20#t zO#40e{<1s~`pkT#lt|Bu#QusSlck*A6t_KDdvVKo6BjwX$!%)lQ#^LoNh#&86MX-3 z9#?*Zc+SeOz4>o+gTnR(*eq{U->v_(I3R1MxzCjYpXa^*9l1~W=M+2tnK6rf=7esU zvOIQqOdD5c{iWku+D~Nc4(t9OEZ2Ng^3*c^ig!=;@@(G0ko)LXosgk<Zfd}6LCqz( zGM4N2o3vbIi#l{P+=AnIad`2{i5;zlezN<1$Ryp-2`fISzb@hKu7^*oK54GK#>>9^ z>zvvzQze#OX6vh1R}%0mQ<>$psFc-StLJ||daaoEZ}YO{EB*ZR|DM=2$K-(HlzY*W z-7{W%xnw`5R&*18x@FymZ+iObs{};MvofwK*)5sEAl<{f+w9dr>8%G&H><?W(hV?6 ztxL?V{S$jVBLCo{b4`p!9}m@3un74{zj)Bfq*5_4U>)ZkujsZZ;p~gpb=9=qZoK4I zU)&R3=RL9do5GKoXR=j~+ID0sxAUlFoR<5Tf6%L<*J{Cq`@9ia3C?W~zXVEbTIO&~ zL#aMt<J^5xPZm6xST}jr9V4?<hB5xXe6#FtIIesCNA0Uz&#oTx_&o*N6!)iQh8(nQ zHe^uvV#ge$&OeR)W9^#^ZNbl?LU+?2FdVjTHjLFjGROV;j&mp7*_o#Bwl;mrbc~$2 zUL(Ev(f@`?<-X6g_HZ9EG?;5wHSzC<`8AT4&m^6h8O~@dz9jMv$DQz%Z;iTGu1Yt( zdbwKU>aW#0cTBxD259(6FA}-V`*Zs>-LF4v9LsMse~(=%C;wFI1;6{zCjC$1#dV7t z)9)^J{%}L*>(t36kD}KVPIx2rtIc}l8|ew}*MDivRDNBfFZ5?>;!NwjM_cZicgWsL zx90h$?`AMn^j3G9$My5avUd4+D4)z%*m+0#+-K27qrC_HtS3Ct*X;DNdg;c#)Kui- zn-XSj*&^k6Q$o&o&M&@ncg~d4p1UHh9+8w^P{Mo3P(z|CoO5>M-oGa@p78vd>iPQ~ z%Qi_l>2F2vo-R?J_(fkj;$z1A-G`!&C`n!Y<lon~lCh#PmT6DTci)UFtEys|Lf#h{ zo2jmPHK{~+lFikJ3ufQuyZUj~31^R|p{343VG0MsBNu*^-*oB!uAOHUlWRWfd<?68 zl3O^JY26M}z8|Z3{=9iu(YD2*YO10r&vQA=**u;9<!?zl1Unq9t%{y=W2#P>(GfAh zwLUw_R?ZFMJ1!8c^-7s7K_uer%A3l(?^d(CTcf9a;aQ2c#=lzg3*lM9t0!7~UbW-j zK4Z4|m%ZyZ{@~}9d7|@?&3RMt)8i~QD(t(L=H{gH9#ay#Y__fV;&oHoOJ*uR*?rq8 zy=)_rrhgIU-ni5B$ou=-KQH{Znyc`_k{0R1@6UXCcc}h$al!sGuNALF*ZrEG@nc)t zu1c<XzdLy6?dD`ybNr(6o~SDuSFm1IuDP@?{^I^GHf##q%XqauPTI}d=v9_<vU&f+ z&tjYRZRNNdBEh}(&XttX*T#OXuj7tS*d=bwQQjIERy#R3|MC@&|0*Z#6?Pt7E^hdC z>%0>`=9F)F;PrZ|naP#P6PnY_e@|S)Z<)=%X;Ji}s%NF1oy~JD{%xMq$3Ly3`Aqs@ zyY?Ah?NaygZk~L8VvBCD$^26LRU&QQShWs&II7pVYML!s{AsDz1HCO%TK#lf%dd6V z%;YV&$93+vY^CS=AHGt7%Gc_=oO#&qZQ5z}(^pHQctZB>`%BzUUD#3f%hyYH>anlQ zXRlf&TykG<f@?;~cdHeDTNx);RsHv0cieQnZ*S4oN9$*6OweKf5bCz7P=2xe!>Olw zLl&!?cQ^WdAa;+_7x70Irz)OIzpE7XfAU&88N<`B>Nj1LcN2>K>%Xl^`BBza`<t)6 z3BIWJDxB2)v^TwSdSAf#lziFq?4{c7315ZV<gDYiRK+?=RPC9|Yg^qS^-DY8|7yEa z-QNxOtk-s7&07EboiJyXxyj9yWhOV1PcOcA#dquB&1?#qyUdN2ZQ^@udN<2_)}9r2 z95cTLSorwg=3lenvc3oBl!p;joa-~~(~rmO4rYC2|FWyWlU-!mW4T7w*7RGk_ZmD8 zJ4}_~nK9+5X#1?x<x7q;tHrK75}w)NbpB>6H?x}W1E<qWYF9HBK7YH;mvOR~;^X+p z-fHQsQG26aUuS2Hn{sv9rmJ=9IyT)3+7{Ku@1I+j(%LcYmVM@i%e)?+k1zB6mRP;| zy4yK}wF$**oxSer3Kkbe|7r0pn<ziIM(%#`D=9zO_K<gzQ!?kXGi1M&V0rH}E$VNw znw{<g1;uGq$&b#@sBk&pm}#n95G2E&o9NbCbL7`bhN_M>k7Xju^esLsr#zbBc3??Q z(aNR#OMbmkz9_%o7nezL@7zg&wO^(FN37C0(XwJw+Swm2tJZKz7KXO9K0Kq7bxq20 zui3J+NgF$6$18eld)QNZ$E)(siMH%H)iXh3BpTk4>*mNDw%Rt`<A~3TFA7q|avI+Y z{!|^QHEC7;&c2lU=S<mD+oU`3f6nCE8D6>M{XfTDzqXD;zfnu+@6o?!QbRbD4%NNX zfA@T^n0{M;s;>g?<;68xzok_Q#Wy`vboAx-?l@LhuT-wMGV!g`ua-db8T_I@j)dr| ze{#s+(J;ua<`pU2cHB+6KJ!AXV{g{%bHOfMR}Bh|%C8Sj3$d!0{CUxlkGFG6YL_-& zs=s8Ur~8=a@@<}f6TQB$ugyL+Yr}_cPH&GY`(M&|YWOtJyG}_^@|@AD-sSJBr`qUh zertIVv}ON(i#WI14f5|MY00&xO`OOb<(2&*apoauzCgK8&7pin1#7=KPwAMebvj@9 z#EZKht>mxmpH$A`!<F%<ciohUYPA7R7c9B#J}X6I)&Go+qImTkdQzE}{%O6a%1B+> z=~}WjSdZU7cWt)fhxGog7f~#+-R_aEc24xo{8}gTN<(>HQSmE{5Zfrv%}M%8R8~w{ zd*}RRff=@IdZ!k}hMc<|A)g(aJkfU<&t2g+lOkVi-R6ER^WkIp?og#S{JT$|Y`?ap zYRV2D)`aC@kMDS2FrKu6N$$$>SzAx3EoRy>Wt!-NgKOkBTCb9QqN8ZfcIAE8;RFA< zqU6s+J5O0Sp=f?jtJ-_{XCH+Q=XpG65wK0G*?7sXMEm=RcS27;EirstYaQEo#cBP9 zWwLAw{I6}9ur4llf9MyP^OJ8r&O7|LDZJ>I^o@6x0-U1nvfj<lwCVQWGk5EG#ble! zOSUjvNq6{SGWkxqbvc*Ev0wUT)|Z6NMb=m!`=?xaY*$Zk+g(QKrB{`GEB>5$DQxlg z!?xmfr+YywQ)SkEI;n7bQ~asR8_SM#G5t+lp!>J7eZ{`^(ETs`)^tQ1TV%T?ilH;9 z-!`XT{QZ%YB@SON-nV)9@1Gz0!4o?;g+lAj{+F2&CY++b{!8zI-9ib+tN*d9PX66% zqN%Nt`~4lubzNoOU5k!6u6y7y`P~Y~<c9CpwT`y1<ee1nzc{Vteefdhy=@tyw<fvw zG5)Vl)LpH-IK=eKtn`+Z3+IYuTlYGimpR-k`C8NegUQ<Gv5T~;CHKx_X#e#<Z{wrG zdsGZcVwNnmIdk*zM1jHu?Ul1`8k}>?>{ZM&@;7>X|9Ii-%1N8K-?e>CX|b&5dw$+2 z=u)n-@2ddy0{;sFW)-T9lkQ7p1}W%Fn>+u(uc{@U@ps-TT%R^kZL2i@W0ik4mzKC) z{ie;^`*NLfliJ$(2lVE;9t)c0KBXe$!V<NmR{tXfvwX_uikoO=E^3aM&f>d^#a@ql zmdLCVPm51fOi$n8()rVIa?#d1OdumBI!>PFzVYINe);4kwWW6-D|qcO&j@OsRJUNE z;oYw_7nYoUpU4=QViLvhx>?Os>uRd862o;i-(7#@*mv6T&iZ0im%}sbOY@ZTiphIf z3#u<HsnOjY<ea=q+c4!)=Xa|Ur7kCyWPeb$O}Vu9`!++{caQnmw3#3Nn{n^sMd6?8 zI83#+ADStqHD`nAhK|}-Usu1`@*~cBX0ZH$^pZz+#LPL^YV#~VEb?JK^`@G)S8Q4A z@%5W7pX0c^F4wr%MnFk=p<&hHw5QX5C-FqY)jU4;h%@=9mUZb~{Yzq57K%MBT<iOf z6_{_BvG`q9Z`t1YC%bKTJ+&;@zh%;gX`yAa8asOCJG(rTpTFVq^6#5HH=X40Kj?0G zS?a+*JE_xWg5@8G1)kJRvQq#0a7mQP$0aAsm0a|T&wXM4p!4CE+Xb7x?ezlr-&f^4 z+0z>L)byp)5|#-~#ccTnx7M-CKH)i~$yn9P%{Qkd%{kL|!Iw)yersm7%v|FZH@D^J z+rSsE7rff-vyoRsO#SA<iX2_F<OR(KLVe8kh*_B`e-!)GGFfh2=##{9#oDvcLg5Qi z^0uCFDhd65rd?usPu{gNld^utI#=APZhO)@U$?T@#N}Rg?jE<pl4{v0eYRWd{7ZiX z-RsV^JUYShkmuZUl5(;Ot_vH~?)j-$QsJVn{%7kgKYqrjKg!x=o4*U>FzN*KamRN) zoD=1=Wm=>z`(vjg26F#DE1tH$=Jk7ea#eQf{n#j7ohO&49(~jPQNdC(B`A5T%*p?1 z3;#}-;Cc0gh0Y$kJ&zYT7FIqx_V9P#N7=s|%BPebTQe3$9CPg7Em1s+YkNP_DYHLr zJk`tB-;rKsA8}M$u5tOt?4|oHA6EKl9EzOHJm<qx<)q*75*Kz()^*s=vEYl9=%zU5 zd+i%18=qD?{5#0KxO>;^>ltD`WdT)zdmqePq$ob~fc&F37OZ*G!xU0erbnAzy7X$o zlf}npoNd$O+w*6#$Fs#5)!T1f*urEone&x=Yh3G>IlRG-Z97CS>6|+?_mx7rsqf<x zEG`@7CP{VwFId%lY4tPiJvXI_{zcFEe>(ZX3WiO2ijl_BX1pEz@rKt_ro`@Z%YJf@ zO)xdXKH6!^MK<~Q2E6&^3dh~|Z{(}@ao+v(E5oF_72>w%s`u3=y6&=V+*xPfvESf= zkju`f^v_J^>gOM7cxjfs&!2aWmp^lL6!WD*r3cb}du3Lhd^f>!=l0dvw__(esoDP6 z(j3#@>J!x^Z|tP@_urQ0Ti4rttQMbhd@J7WBh@XR?W9)QEFa(M^XezhwOwT`K3*{j zx8#;9?&>;Q=5>x^cF6KY|F#HT-nYPn+l1w<oOEG#cF?W;Id>i{+t4ukkeY7129w=2 zf!2ch`)?B`8@&^Vwc8Y2RC{Ad!?El)>v|I>@3McrV9DFW$^Sn0eR#1_vBGA)Mw9f` zGjCkPV!r8|IX)riy~w-hH*+T2Fk802Ufgvzar+PFwZ9Jbo_5{wi@Exq{GRJs*Lc`1 z-|?iKHedewXOW2~gZL`{m=<*@nHS>LGA}00<nyvycxg$E>$mp1rD;n&56<K(DqU^z zviQ%5)g~u@%2aLo<2Cu!)RO+Yp<$Vqe9l>DrU?D@-?H2L%f1XTuLB)BXBp~E?EP_E z$MjK8>Z0RJyvaQ)Qm=H(o!`EaFMG98eL_im`3+yztVP`S#09ffS=_eR*j^>H-e*mX z++&vb8ET)Iyf5eEYE7<OKlwH5)|_l-yBQqL=PD06o>bQM^;#>a?Bk_kmeY7lU42uc z`Q8O>XR9wduItwFwR)_|zOgmGg7aI|zDrB2bd60fehppfxxbLj=l&AO%R)E5EI+^T z))~q7owJ^2ad_LUd^f|?O~6+5>L!-f9Z^iPKCTHleO5nvtIB$jv`nd{$Rpdg_Jo~w z*8jgcYvl*_r>u4B!rZTiDmkrgwf*6{t@xUDR=U2MT>Ga7tT{hI*GKeA-Hdb3S#f3K zqR&c(SMG%~d@kVSt$lytqUe;R58nM$5KB>9>v_Z?`BY40)*8<v!H-u|9eGjP=d<?z z_80e4zevAM)%lgD#I{)W*+#vaDSJ-qtx)bRziBWf%s_0h^}LgH2OT~=Tlm{uYuA@j zpKn)eR-AG>vCF$>gJVy@rJ5&O%$zvy-Fp?_;QsiJ>+Pt}y4h_}!6&EAR|)Pl`lr0{ z@e%(?omST#y?+?}T!8=EdUNgL@lwfWy8SYfgl=65{wzC1N@doJEon`2?VNb3vnDir zoc=j<TM(b5@Z^fg>7TZFO_mb5yw_7NdaCE&_|kyt^r@cZdtWVB(xWw5F1*k`n`8aG znJ-?2rCz$Y-csY|BCW|r$8K_z8^yel3QN5dy54d{ZRAwX?+=f^(3&jPT#z%>lTr1} z%c@1EmOPFxpOEb~)${6)k3~~G_4o2c3#;xAObt40v9kTvlD_EGK1$)mQ$3?+E-KCC z`P6f0f{1mp=(D-=k3^iTtm3pi8)o&DcjKj!ZI?RCZ)n_1@$ujF-`Tn|(CD4m$<m}L zGxJvj?yGd}E$S<Jzb<h~;4htk#aHgg=1#dSz9KO0IKRZxYJo!<y<Qq_C#!>dKk3vR z(3rnRGIdJNN2c7gNv~gPO}?dHG9g0!Uaykd%h$SG!M#p<f1kEEd?x+ympF~KnWx)! zvKto8-}%PwwAiMlMJHxRr>>N0{k$roOKj!RbMZ^2oeC?oHR;)O<#P9xpx(!eb-!9x z9H_P0^HgD5$o=gyN2Z;cq0o}FGW}kV_uJ$A5n8|BYIw7%bu1O@SejRPdy~lTi<5nB z-RkGNw9439tJd{q$ZD%AwW8Thaj*Uve|*XR_RH1Vd|vk#l<YPwsmkOp_gVQqVgB5y zHET8<w6i|<?(og9Pp!H)e_QVE+PwCObkwHfA4;?I6|DV~;}kY9%>S^JRVLfdO{Vbq zx{1M$V}JZ9Zxj2Fo#B(;ex0dk_35B_2aj~;990!r)F5_$?ZQn5-$oQYdhxnkW=a)z zrcUX`r&+s1ruIx-bBxn0>E)h=tK}P=#Wu(t<kv}98`E<tKX$QU!jCJKwaRW4afdYw zv?CYSi*ZYI&fR>(@ni(2_uPz=$C)B73bB7=y0QI7BkP7QZOtc--`aR%b6l$2g@Dv< z+qJ11w}otoXmN6pX8m8nJC(g>=e&>K^9BA0?OuG)Xqnz@)#R(X_KSGLZa*`9k#yVA zTlUGJr09#6oV5>6TNBJOJy*yj&|_bMO7L_pq0ZV3R~%19Y+BvTaop=J<B20)cV$n@ z9MLZQJZ-D4P2R?J-Fj=DYBwyNoz!4qo070G@3oPcqnK>Y#&yNlXFRp)&TZS2eIej0 z^X-qT-;|u$Ep~g|u9$Xz)09u5sxw{xbvc~YHJ$l%Q*o-mwHZ2P;`P$aW~L4)CN&w$ zFO{bj92RG`)pIH^_j+C3#HXkf9x0x^;)Jr&HK!vUQ`2?QZ55it-<`-gS~+2Vf7au# zzd7_f9(m|}Z8=oLByiI$o9{&4*~2-$SFQ&?TBp;$_;-A^m24^Rx2gSUndRHmG`>$i zwK4VHY8D6gZEBK7kIz#6X==)u8_ZME?q<-=%>HPKR!O@}(Y>&1yb33-&GLQXlgHg_ z+jlJX?yU1SENlcbKbcKldem`~h3myF3!_?h?~31Pt+W0`X*%11>sPiMolw5&ql;D2 zI%f7qUykgx`P0VRW3}a)z|M)6OieX&pSsVq+F4rHx?8GUH|a{(b0MjRygY|~={H*x zJaDj4W>~pGC%visTJ0`lzlR3j1YJck?@Mu{yJuc1`>7H7D5|SK<MT8R(Xt%f#_u+~ zCG6gNFI{;Qv;EL(=_lV`a{swe)wy|hPQ*bz@yh$Hrz}@)b?Nu_^M5SG-G4)GpXG^L z5*Dp(ZFe0R?bXhCZ|sPB^|5iC>!Q_Lk2sYZYDoA=zg@Ly$r0f<?Q1^^dYd1Yj9Q_@ zQvHbIOYdC<{c}2wAFo_9(7JQA!SI0cv^?#4f%mJQJ@P-Q8U4_$E<WFb;}Os5+Yg*# zY|e4aFbm&NTWet0YY`K(d__pJmiCN*imOLT6}^s`^;g8td988P^xY>f|8DMMhLd;Y zDO#-B`tZnFr6Rs97cCzJN+=#MEq)tie9`^UhKr1aEjy+iN-tEp`5@6h^J~hZhq)59 zTQX1Y*uk;;Smt{sY00&mKiAfD_(UK6SoHDEfq9)DLtgD|Zl2*eYa7$jqlJGh`fNfk zgq`?pyk}pKQLZm{tNpi_EwOG^8&@dZmtWO!#Wim0R@u8-u4=BjrLgW?cB;V2nHfb^ zjtpBp_3m8cNSJ-6(lzH``t41<1$`6t)o}zpJmsG26Wx0#_j1Rf5Y5?quAJ9JPfyIu zwwrQvM^Z`80&U|ReIFhtUDMsOi+A<HduzSc?+!BgUF$2|a;AF2`V-E}av$vwdiFv# zTfJG&dgIeJC%cfBU#HFse(`l@^Wv8)KCj;r=+K_j=o}xo!u5@#zvs^78gp*m4}Zg3 z)%7E6u9niBI^S<$Okdv0zZY2b<=3Gi*C@k_Q?{06h5Nq0u_64)hNDlI_DxHAe|tk# z`HdvoAKUg$OOpN}d{bAdAb;}B<8P&3{k$Ia!1LU9j!r(^S&!pZ`}~WF+dgN~g8fa) z@3l2bck^+JefMt)o4IZEGD)+Tf<h18z55EzuW)K#()xCB=k3tTH4_uEW0RlWE7);o z@^kHPyJ}~Kd(VxO`!uPltMtY82`i+<KAf9cSY;-8cZVJSVblFaYkFQ!eb_JMX84Zt z*uJNHUTc+Jac(=Wf84C|@T0fWoX?(Gce?zV4cm7Yi38P!H|j(WRvTVFyZ`WQ-S$mW z7KR_z*i(DJBDeLyTjp)d;eWLEfOclw+`A-E<hJ&~vNK%s*i$DLKCOz$`uP6Cw-<h2 zPknIbT`UpLdaPUIeQ3h(+Ydj!(mizclwM|WPi^YP2WoSR<TfqR`>ee>B(-o?TUS5? zuRX_Vr~J${&1spL``b>jipH_np07A>lptucl9zeghMbS*8j5DGP87LrRG?eopS7FE zX8GRhVY%Bkv>q=%y|C)(*TrptHnk6aCbF#LxBHSm;n2gWw`WEtX|eojKVX`<RDrkg zxvDmQ{}%W1Q$cZdyo)D%GEG}Jb((K}^Y=f}OA1P#e(P!rj5+?n@`xo@X%FMNX#VEV zy({8&?p?mHNL0V-z%Qec?EajUb2_Zew3>6Jjv34<F>s4`xI9fa=tH`X><PgsXZNr_ zzw!O&*}vs%a?|?v>#x3bUZO(NC~azU&|jN9i_>|oM_KDSaqXYIB%LSb`QP7KW)<c} z^DdsgJWK6UnE%db-Qumymo~jS$o5>|Y)W*P;z~Zxin(88rf2<U+-78=IC19wgfmeo ziyvQCGJPB;?fJ^v?Oc>K@1rYC9<NTb-81WV%=-0f?xJTOcdJY(D(<bze)~l#_=)yW z6W-ROc^}=k&Gn0H|N89z+i9ELXKIM7ms&n2b9wZPM_bYsYFrP{h%@(!Et_>-g#V9< z$own~5$+yEJ?p<`Mc)6{5|K@+&@quQvyQ#RvG=u-)V|a|<!k4@%RSP_t5WqcciqmX zy?ZL3<l1TfQs)YcI#xSv>of_8!)1Rt%ncMTdh^Dt`k}0M^}y0)buC*Df2p))Ia@Bp zb+3HqzOZJMwR}1|^1>v-+258ts#@T<D13WO=sNb*TY7%$GOX@78(B7s_YjjxRi-Jk zMIN*8HN{@bx)av<?0F3CDpi@f!g_*-df0XP<RvA<-ZLdK3hmu8kF(oetj{C4Z@$EF z*0&~KlM7rHm2SHKr-jp4Zi_`-V$7e_y?TFG+?*Co-<Q%idFBL-+QVfV&&cuK%&bpc z9?L29PtWUabJ6Lu<!tq(Z{PUK9+CH0=vg$erS9CCFTs1Nj)!0WWa_+s%BM5Se=VKj z|8epEX(~qcm-UyPxvlj{JadLaO08z<hx7{le*L97m(P4RVVPK`nX1##@uNHJwaM;4 z=N|iQ5`UIXxvuygw8L!A`6+B`et2s#@AqD`%X86lf42Ll?>{YWuQ_vItFObnRSSDN zek8N0+e~xu)otBnH_gSop6|*H9-~m-teFx8b*2^n53$d;UZK2AcY^l%ODey`!)9vQ zcP!C2ahdsi$r;`F7xUUB<By2!d*FSgGRFS2w_@0Ki?sd?SLcZ?-Yv`d;-23Bw%yxv zjLJR;?Y&a&w)V!u<1Fjm8{W^Ty?*7`mG6u4*Zj)6!n5}M{e2gI*(EP--mx$L#)_|h zCzf4_&*J#~dU~PU4G!%E`}SWxvsS9f<MnPv7nhUjx@MY>7jm4Lb5!jwo8*s8H~XXB zsPhK?+1K}mJw3nZ#PqXk_S|2T@qj(oCh+2;8?&}%9TlxV8d)q}zkFZG<kYjVNoT)& z-+H1z_Yu#_pATk!kax58_k5P!q4i(4@#4Gf7xXUdIV$C(>?R%l)*|Au{zA8@-|z3; z7;xC-x6p^qUEH&DR{R!uwfjHE%h0GJl8dD7y%ozll>B(=e%0Gb#cf*JCG#KN_T}@c z_x&6nWacN|`}|RQ?djX>szU$7Y%e-nw7LpgRG;2naNq2VUUfvJn6~gDCe~*+)ID_4 z_0)b{Yuowu;{A<&ktsFVhs$j=e>NReySj3EO?I)Uj@iqu_cB-Z<!tmUuVFt}$kroz zTem$Z^v-N4x6Zq#l3pt(Z9m2P=H$VnYNji1tTnrS<)~V2+l}SovrDc#t5@9SUY-?D zxcyE4<!|g_x9c}AeapWs|N8BYN%0P|D<W<k;WxW}>!{k@eK#H7+Q;Txx^uW|s`nFS zt#{$a8RE`$T>6$S_WN#{<kf#Uhby#dyPGfVzhcBMC$QpNQP#6vvR!w|W=Wm=ddcrx zZ4$T6#IO$r^Do}XZH~^n_sHR+{Y-^=ZL>(_+uqd{PdBcOX_4F5m}T>R!Jp*S&6k98 zn7+&L&W)ROV5fMlGiP4Ld95>&Qi~S;RhqwTU-j%VZvD)AHN0!vj%_<z>yl%A{M@$M z^4Yh_%u@_p*9Ps;5fRT1@0xh~;#N~#v&@N#4&j*`0>5*or05vF%y<6e=Q%;3GWpHA z|2l@5Y7!ed)I>i{>G*L*=;05!xrR3S$(s(;96L9!{Ni`Mr1RVjN-yuIs^xCye{kw= zpfg9+F}*+BTfXo-v}a!W&|&@}lh5meyPmi1|JnR${|9z%$IU@!#JUQ_jxq%pG_iU% zC3LnqeApBFDJ=6=yOdJ?wz-qmM<xZm6S?@dZg=(<p~+<ppJ%A5&E3JwwlBZa(_D@F z`D_!Hm!4(UwY_~#-G8{?39Glys!+ZAH)7&-4PUO4<;&V%+3A_z&^^IK>tu47+RuYZ zmJi)EI_p%w1~z`$G57b>gL4>vKhHi^a<NXw=+SS<_4+-!)BYr6UOQIyeqxjDr5796 zC%^MQGWpk6`JFq{Co8IlYu0WEUa|gqKwBHX(N}$eS#=9P?e=kAxWe~H!S9~=7gpO} z^Q*8~x-h_IPRGJh)!;kJE&H=HL?&0Re=f63;&NQYo#hJyY))C286Ry@Zb@owbNU#e z#CA*l508xRs>AwHTt^>wN+j~9cIBMi>EOI@#<`$(sa>U~{&MrSKGL*P{H+%}V^y=a z^THM0bGDv!t2cISEs_V>b~<QNJ>#W!t5W58Ta(h1*q&@RaNRu3cEQ4cGhRnL{zwLF zdCGIo;A)oc%#}&H$yG*W*N+63zmuBjQl2@}b$OQI`nFwH1C~8zpX+-3LswL5pxXV6 z6=&wO9w}aSzW$-5sl3&jAKHDAlh&O3;=H6QrF7Y|>)Wo`+?sSlw|!Pf(0{&Z-)=~Y zP5g0dy^>(I=6(kc#gkg6HP3#sc@#QLdiMXdN968m#;fjKo2V_DJ=;|&%q7g(L-A$S z$+{z}1f1i}&uyQ&l3R^e>&1;eLoF{}*^+}Q$KoO`oO<UddFmk}Z?vQSea+BArwcZh zMadpNIPKvhm6Fp7qn`em-nLf7WF<?Sops)H)r0M?G7s;6tn%fB-xDG2DcLu?4sD2C z#H?R!D>1QPL+bi-v5T)?SUk(s;pX~F0Y^J7@^8C#b5^wX;fxTo=gT>(QqxU)zL$4i zPAD{bXnwIl{A#sA(9-T#jrVVr&5RHY$=EJ)Dm3wY_6@HWXYRXb^z0Wb;_?hTX8lhu zw>kV6`=5XH0$r&&qGdT%vu9oVqvv#yMa<Dp@#Lp(`W+z?b@I#qJ-*Lz_1naSliadb zWO;?k=CtlE4b9|U87OC;v0~4fs$C!XS4!7S{`5kA+JCt>zFyHz8<^IdS#P__SJ-rw zK;7SmH*z^GZ@li`*7D_rAM2@_scmabUha-Ic~~x1?$+|cntSutPp=)yznZ>Va9v#P z!N=6^57u&Qa~3K+ow(?Tv(VQU=Un=xTzj<W{)y`;Cs&75D&L=VDgG(vv?;&cAG-dw z{!}D(`x0-B@Ra<U3SNdA;-Al1ln}UG#!Bn8m9*(9-z@&WVxe9)qP^00HM^$nU47?X z(VO=#=TD#R-X`dN;r?^p?Nh}+g|VEnWxlIyb2vceJu~yaPZy88m$}_~vh<ZzOs|mY zxz`^n4(Kx7sOx!ZC^28^q-*@lkkyZm6?w^hpX%8w^;Ot)^De6c*Y7OTYOXte%)m?c zknOJ5JGCo>=QTc--*S&(k-Q+kLfdv>_5UaT-RR|6sAe~Lf@vt*)_94v=TuxY56^$V z5gN83^Hb=*a;al{vHueT%{y1*82w93P|{kk=$o9A$SO~fw8XPoD(@@2GtZn4d0Beq z_ecAWJGmB>>il$`ILEo|Nl?_^5S`v%U)4gM%lVkwswPhQyle6Igl#h-zEAc1sr6VC zG+S~sex^w}|7_jaJ$)NAx5j^+>Nz_==a$PO#h?EiQg>Rfi3?iaQ<j}^_odRhVi~LV zm;WCsb$2=5`1NbOrN&*YFYRJQRWB=Y;;J6#-?_yQ8}LwlK>_omO>&QeFRC{^TeE+m z&zkQX28Nm!Ig^fYn{E+kR4rva|FE8U!tMzxv=wZ7G8$HB%e>gT|EAF06A3|H{y}EX zvtF!OwAn8<L-`lGMbeqzce<Z`c|}JBtP{JrZlO|P+smenuispGD<7g|zA>($^tHW? z)$E?Q6U)B*-g+mBcW&G+4z-G(@^g-~_%0AG-{b3%<#0*2gfk<insNQqnJf!#t&H6H z&BpW1(icbk{xYt(A9vdCFJp$uBF07kHe5bkEtz9(G{Yop!d1f;6PseD8n`>JHf6MJ zReb55D$DkI@;AfRGDa5bMYGRnzM6VbP(Av>&i$P$c@th3Cp@^ytP#4>?#zZ2!j)`E zZd>c-Z8((o?L|o5Jdd@~|L>lEySVt*4(G)3mFMH%J^f?5+kXBmp}F--@5`?!_-*ld z>aVHsM?}^Yusf%|E7~g|{O7joblt8G`;2B6r%SV+oYo%EtZWsny)%9ODFeQb&DB|6 zQ<h!GlqmnOY;D>^n@JbAdNLNxV80W2k$;DVpRR>&-B$L;;V17_Pr2w5J@?YP=d}@m zIe~?Hn_W}?YBN1exs}#8Ro3IiR@r93y&3iW?!Ok!yRmwS%)`}&Q<P-8CTGrG8<Kk} z)%Cz@!=+u1Sxa`AXO*3~x8mj7Xt@^?|7I=SUd3E;rTYKYz{OVae;3Yw8KaQ*I`|96 ztoEi&-76<>yj6WwovI=CHE>75go+&>^({`7t>QR-?ePo=7OR$i&8EBFGvr(vA4>mN zocH(ndWnPx!!C~a{1*z`jsXkT`rmEcD?0B?;*a93=c9Xz5*OVqe$#&0?c&iH94{`M zmtmS4vs~f63G1#E!df|3PdIM&2)%df<SYLj-wW?~nZJ!pUoQRq<mn&MANA_mzNFqv zxzk}Y>9s=M5s3+x=AKHJ(e`KBr?e-vd-?a4pO%z#yI}c=*V<A$a`Dl57P@TiuhzOO z6)1aI#~8$=F!lG&;InFrMVK^tmp$CptT6TQ<eK8|$qNP570-vISxPyX+RL}RDR%JR z#JcR{ZzD^N%HxcCDpwd~GS)pfbDvM3@90f8)i*B>Ii8RyI^}pG`s3rLT9f0ReV$S{ zE$^c7i$d|&I;;FXPATsTy!P^QMc3~iwV@`R=Sy?cm(Td<Sa-9!{h`W@$BfKuKW`T- zV%l^fYMR9-KZz%dYD>R}YAp(Iwb&bSdi~V%eg#2MuO9!YdM;V_+E!5Wr^m`g=|89b znQJzO*S1Hm>Uq8cYe?GBS3yB>t8O!e-I!BkbiX^%E8R}yafi%ZdzU%0L(+5B3jA_D zGFS0dLPGcz!7{^3+Br-51+=yKCVlKGeCA%ZN!`kGpV%j^+bh!-O}_MMq1ESq4em=` zdiqULerxJ~BA|NRo_l)@PimI4>Kx|ZBWgBzu8XIw+3YV>;(`Blc^_uImzZ+psRNg3 z#;qXUiPsZU?tiM{GPOyZe0#};lwR{oCb2GgViW(Ri2mfPb9ln3=@7o@=8_oIyGc9V z-N?BlJ%>?l>#U7I6;EcLdd&NWp}%(7(ZzwD_r>LcWiO;ZN}DW}(q;EPi{p&E#JZic zeNUAwGGR%z(M-7Bu^?+X*R2kDfs!ce2~0VSlj<~@rYSrQsBPLkBkRiIY4f!MN|sz* z_PXF}=jsKWZ=T)S|BpjGv1j}2)nDt5)TF$5mbO94&?dO&?i<d~w+}h}A304(m~iZ4 zt*&h!OI6qx&A^fvruV!N<x8}x@>T_JW1q6LNu-Ny%9nR6>qHkb>u~XFzEsO<F>P^G zSKE<yE9cT<K_%_a*EAMY3-KjtzI-Wt)g`v7gU3|V$IzH@@6mSIHTRO#R*M}kobYw& zB1X9yTP<(NyCw6E8gL%=dA@c^^tXv~S1g`fQ24I3k+W<UkKny^{w0cS8K$z45qy&3 zMjt1ZCuP(smS6o?dd~k+g1Fq$z{XRv?;3}#Gv)ZmzEWN;W4+_EwJwzc8^k9Dx>Pz8 z_nr0>n`N`$<E}aF6SU0de=_HM6`FSW=f+JB1zH*Yt3=%QDiLmZw#4!$^Gx%H6W@C# z_ijG9gR^DImuG2{_XXGO&*0$R6?;F|EYnSD4wH4T+UhmiO<2x;IDGKx@{ZQelev>W zKGF<oS>C~a_>6z!=deXZ4d=YdKOOfubum;-k?CnqQA3^4UC&Hj|C<JyPpVb^dzC+$ zc5?Z~;=WZ2pGCS`YU(z$Xi4pTp)7s3#zanJjpzNirlgj(3n@<&O&(?~S3A0I-S#PF zSI*a%bk2TR?CxD&H=psxd}T3(UH#HqK1-Z_@!e4Ok4}5f?;W<mpYmt>uByLv%wd}J zx-YtFU+s^1t`puqqr|$d<X+Mxr9UTbC0#mye1X@6=>9zs3s_8Bl>DVUU#wlb<l?N> zmEu+JmT+&Iu_Z)!dS*vasaw#~)tX;=)!tqZc$#%)iF>?nXF#;diB;~`CzPBOGY(o1 z$<1}%IU;m7^VVl?>=t!JiRNu+<*j_UF=v;K(Y6&^<!&VfZH~&G{;;aF+w)&?qR@dK z_m>`ep2y3Wy#3*-n@N{8AGbPbTG;J5-(|)7;8pD=EMMod2``mlug^3UnG(6dY3)1h zMO)art&)x$cKh@4et6m>_3d-~oi;r?Y4T~`io>ZBeO?P6eIdGt&!ni%bLZ}o8Ox*2 zwQkkxIdi(ZxwgqQ#_Ux@<PshE2M_P8I2<|gj^jHnOQWmPZrJ_n;_CNTai5#<_)xpe ziPIt$E3BvNf5N#nO4&VcewcgXV!t(p?T6<-N;R@waJi^s`%@L+=f$?KPOh8Py?FV} zu;;oZl?NB_$@)frzHOB5{$IHCTi4I0tj*Vq)ci7CgD-K*Mn7A=u;9XLZQhH4)!n%v zi{D+YcrkNj=&^P7M%Lwfw_J)oXFYRE`BY`ChhJwb+UnS<+|jP6`H9_aGh4f|4(~5_ z*NY_|1h1!B-??$*xcaRlCuK8aZty88mAt#?dS{{1`tlX(cT_i@c+b6i?>>PgJDpvQ z=WGZ*t^VS6z~@cd7P=PqORVdk?av^3>EPO9Gd^x%Wl+tT`2K6_PquT#A9@waD>K!m z)vkKt{3>g**ulTGN9SdAPt}r5oVp`%x#Y*z<5$#sUaosKS1q<+&eiX!n-*$(Tt8Rs zs^-NQ-rkpb*YzgX2tLZoh;!Ly)}vy4qe^$?MDfeb50~C%Em)j>;rrImIlV%fX8Yn} zK4u60dHguVpjub%$jRfI`EI7foZis4N7#Q~_Qf8JpDnR!saJ1SG*r%geJED#tKGca zcg+@Texo?mj{oYaJ9&STpIFzL2q_&pe!5_}NW?sM6^~Da`}LJ0o)||rxvD+uQC$#m zO2YfMutCtd+o?g#%YMhQ9;j%Ve2cworU)CO>b-5Jm&6=3dd<Z>`Sr<IHD23`p6i~M z%{2Mva+>Grffb8ZN1vJSt7k@{Nq3%w;W3_huN*A%%2$NH)92r?MCC)o_BodheBCZQ z_esfR!|1xL7hkHM{Be@?d?mM_{6y}M{qv6m6rCt&oN(#W{D;|c{jO;rPtJ%@V63)( zX|W{NS~4L@hN-*F`LN@vaFKJ38#5;OrzhWieuGEdlKEfYl-?T*N1T*ZKgF<psAO_U zXMFfvl1FQH&t`{J-zMIFIc>q(X%70SOcCvVev3-9O&t?0_D*TO6Fot?y{cn*SJ2c= zPR@V3<}f@j{kz;s^MiNeGi8uzm%fT{|8QlfyR0epq1$2Afv4rWR9_g0KKXJgy2;(2 zu|Rw44%O}a4gb6Dh&`xzH;c*Z%dZ6y$-iGnO|kqMvOr0S#izVz$tD94zN}MC!IG(b zlFbgjnO}6S|19lzYa{wqI<uJh&O(Fo$SVsr+3nT}Q_Cvh*{|^XeEpmwY22+QPZRwX z?zZ@y?sDqxLW7g8d*$b;X}B|`uD>i8IAxBI)m^8PyfZHqu|BnsGudBb#rD&5Qi;C0 zY_%Itk=xzd=Cfvre3-Y;@W98yBR}_iGy2D)zEo`L&Su3+Q&iI<Ha$1a_R4NjDet&v zB4l>s<$B{eQ>Ga<uiZ95^V~b0hncJ%+KZOf?5=c7SJ$f46!v-fX2}+-l<*06p60!7 zQu18?#^_~StC_E}$rIPM*PCP2z9y{t@#}#*2e0tdUD+%4abGRlkabTlpf`hkeZTGP zqe0&LZu-9zYhHIs;nTun{>ewDRn<5%KfP!0RO_Mq^^TOOFTPxgxc4Tv>}lL`HO9Rs zLt_J1y3`v?%aw6fp8n%xy3%!FiC61BHL%?)x4l#o_NLKTGQZsDpTU9tOP|tjorqi* z|L*@o9|?n`S=xW%<S%Y*T7B79DC!5-%hKD`uius&iu8LUKiz+e`243THCO+!O7HM@ z?fJMjq1n5xM$G%XqVuCEb(4+c7cac*{kh_E!SBrvdrKL_7n$!Y(VR4CcG=7+ALr;? z-IrK=v(7m4_)R~rLz~|PJ~i@oJ~NL^z&<H!{^WoEwZd=eP4+u;#oeb)O~mh*^0L60 zdK*3pFTHH(SDBG|cG9H9o-eY}IzO~JZNIT7JI{6c?>!fEg?8DB#lHP4Sm!A*hiA9r zo2WI4HeYk2L)c9($S%+^wG-to^j6Jz;I%{dwC76EcMc5Zk{6_+53*UGb&cXMyzy_h z&gpO27Jiw&=Zmv{x4f-&+BSKP>le=aF8R4{mu&eXzG0Hz{GwC4Pslyh<(qyn+-Lqo z=EF;`?(O(3`LbNCO8K~F=1Tp-jrJB#*_S=CT3zXRW@G59HTmYI`JcU$_|`7JV<|MX zO6scb>z7CK1de|VF?W~972fUla$V1hR87lS8Y}PStJxaG_?X5z-`IN7?d0=6X+i%^ zA4*=|dtCKv)Ru-NUVeq%7iD+@OXkd-r2fUEGj8Id`!{3!znf%!*vTN4X?XZgI6Kq4 zgeCLLrgNyT)o(YyQk^mX-`|(2)?q0-^5q}MKjq9iw59lBLucHU#iy>#IUPF9x9y1L z+GnEcHd*e8w%f=)EptMUi~jA8pZ2BmH+U}IxhG)rg}!H&Od(%AK3<BBc^A6m$+hC6 zd(S?-HNU+m$p7otMfa7gx9h&IytPg0>gRn|3|8e{wAr}l%FW5oGw0SGiMe@i=jWEp z=DVeD^VRm=41T>P&O55@{|V)1zr36!>Lj;4k6^x*SZ5f%`BrIjIM<eK?^lWa_G<NL zdR?>e{qLOnb<d-}O|@8hv1Z%*tZkS7pDPcFdRHTD+5Lj~_e$>n@~QE1>fwQJ<InoE zEs0h%V0>bGWQPsQyq3sA6L#2Kx_sC8vS)tDcOK4DuS?RZ)OaVqTb9nou}EKMlct2L zfQU0gpvZ)VrUsotW|kHO1?wZMckTs?%>NbKa{qbtxs|s=OW*rc{C~3S{rBkSb5>b} zmS*iV&3*Oksm5~G*$1MQ&s*}THnY-2_th`8rPZ@8$$qQ5!hNr2Rr-pW^R@Pu3V)W> zc6UG0)Vm+QC;n9VZ<gmz7%Qco?tU4a_Ve9$M$P-7@(m$c_undgVb!}okKgNO+1*2$ zr9bP+ZM7={=4srYoAxtLV}5`lzi!B~tA3hy4);F~2|7`guzwq~U)4eOi;w+RUf3!t zas2(!^S?NMaPt5E&90m^|G%JRd&eA)(AwU0wlSX;c0_G?Dfa2e`tk$Kr{$gIMZN8G z;=8KKnV%EU=Hj;d>e|l}-xSMtJ@D7KYbLLJPyXZLRr`GHjs6P;PW)I@TI6-tPyb(+ z_~O`CVqO)e0^bOvpL36!w;*1~=;LbJunGNNR$Q1lB}Vs_-?y^W=O;HT6cx<a*K;8I z!{SwXOXYXF+MbPHAb$Pf(TwT4T7>qkm#-_<{<o!JSJQ{jzcNo8UcGGBytuoa;aL~` z_N-oQ{qnB-hrGadw@b=ixPN&SYj|eKwSBuBa{rlCUoZU}dR#p&c0tzUn56X6!lHFY z|D4m`v&(_^xYDZ6tHQ-ste!aQMs8`^|J61J_f5X3rT4~1CcANht$)_z+D|OMZPMbG z-`vl#J9O@s@E@O^mR`NJs%Z1VZJwuo&t4NQ)5G*Y{h9K;`}!BT8h)Pb{NiTo`5~8c z-M-^1eof<lFg-+me-_`1SJgdUUb?p$n^vz{{^I#pW{pp++XG+MHpcDE6_DHEP;T+% zdrh+O<(nT1qq=6kSL{tIbynTqbuX^u*|MLXONEMmdY4L{j(^qs@LE!6YEjLuxcPIe z_S~71F=ckJ=+^g<XU(=QKI!`L)Sc5|af`nFx-uzeanNBSFW&6#P0x(?C02Rzxw{{4 z?72Q$`qbr~x1nLH3f-;6rfWFd=@$E!x$MrqytF-0=4Gos-rTo!!<G8F-M6yW7)9P) zAR=`ydV_=U(s|4GfBG@aYxBx^%cH+VuQooKR_dIXRx{_k)r+SyHS3g*y_zJg<-a#l z>-f2a0p3c75=@e=KGTkhJn4OnYx32LUE#;R`xZu?<}!X1HtXE~=L%+h1*S6=Y5sZs zv3iePuypW3zb{$+k6cUNh=?wd{J#g3=%&r>5aXK0?;4UaH;Sd$jQ8MtrW~m?KJK%H zgPG6%YMYo<xxgwi(E7-N-~JnUy61%GPCs^iHS_)2?A=u?n*uMeFFN(j+wIDs$*Z0g zs)VyUJUsp)bf=}^QYUr=uKlKxf!$?yt}Qzxf4*Gz;QjR$Ja<+8%jlY)ncw?znU&7( z*=9?XCSQ$mm1XMO@4M(^{U+Nr|59i1Mr-@?1hJRJU6KpCI`u)!Rk^Tq?r*`BO`9v; z=e|v>`PsW!;{Du2MfTIeEzMSinqNb;l~*xO56XU#>~v86-Q!}(`Y6f3{M-j#FZ+!B zq8<qLS#+j0?N}rHJ?Q)TE)A<b!_8cx3(D$O*{(6Wd+zZ=#p;<ylRQOZHU9MaUK# zsoVR_GkCe-+|$p8R+)<i?oNN+dbRI%W&OY6-Q|5}xmV8)p0Mlp%Fl<gKFaER*>KMP z9QW#XB`$AkFWNjYTXC&8)#R&e(7}4!`Cq%(-5vzLcYiu(-Z3Um$@;ht%qyByC4HD? z3;)UHuG{FW*KY5&NuoLM@hb88^XKa9f6e>n?T34l<+H<Yf5=*6w<<*c$zMC^z;3hq zjRAX&OxN}`&6k<uoc@C=E=+W7@}4<|fBccX$#wqV!+&32baY*jzO1$V{NuN;(*9Vj zv{_m7W5w5S_r*S9Yj<q+bxnA^@<K_3T=L~=xf`*$dnccM>G8|&@0J>ucd9$O%bz`o zYl`mh|1QL#aDU~0JNdxsH9u0@tI8D@eLJw1MY*-(RmG2=e5O@e;*Z%XljeKw3*Ntn zVgHfxSH?_vQ`9{^&HbgUaB?q;er{5v{%PTL8_K@#|G&sNSG4+ru6gAz(dEWp`9HCg zcG=dx-~Hfy_5TU~{u=&!s<M3UVNbb9>XYV}WlwTocUo>2GV{nY_0wK8)8;>N;a=?( z>v4VIo3I{@b)UI2cRpY~+7Y$ov!2u&y~X?LmuYORjO4ib^Q#gUcW=ec6Z592zqupx zN&3{zS%TN*eq#A;ywGpUPw{TS)dm&^W1VW8=Xrd%sqpcM#m?O~3+;}ZN4>GvnYa0G z-s$2`hd-E9_+7W<>3CjK6k(HY{g3&4Wod*>`rYL_-uO*WpW|fne1V_{n@zgcfyqxe zCsmx2nxGPVU+9PaCzoY<oTo&lekc^*@J#v%=eOskv;VYJK94!ENBt@1y{C+Oww*nr zeL{GTHgknFOZ*?nXU*TAGTgIfm7l6!aXn++!@D_8pC0;^U)i+Rb+e`UD&Fs2r$kTx zQ?$A@=Y-j^tJ}_RjFjIoWBw<`vxnM(7T!C4`|5Hxr7zn<Ub;Q4b}K1yx3?9YDx4m^ z!HMO2tk9YVp&AFip1M$W!Eheam(5;h`AurPbTe-6oNI1yadI0|Mq0>@MdefMUQX-J zzT**aDv2vRt}CSEp`z)ZYhizOER0#ZvUdKx8_S9={S)7=z14;Hns|Sngtd(I+N&o| zJ?gCbKIz>()w|xJsu}ZF_^qEG+5USu|NK>E=~BT{Z#|s+we#7TE0dg3s~R31$-I~G zc!8k(mGbX%mzPbQ*3aGQRDF_h!QyZCTxT`&`(9O7D|oOxz%b~t_0qfu6aT%LfhTWO z$gZm7aVfE0zE$_E)xxD$r!)mU?$1!zzldM%d|(gr)0akfLo>QQzN$#uW!3q9m%>|- zdw1_<E89Oe`8lI#a#Bt33mLa9MJt5QO>^EHTDRl5#jQ$N{r)hq`Y*}z((mfZsVVy` zIc40Q-2brm#D#6l&sJ)GOy2vb?Pta6yB8Gw*Dftu<bS+uqHXEKy#5!~HnN|?rLF85 z<TGXmL`1*c;;XH`x6IG1^UM9ymrtGy73k4>R?k0Ud0n%-l{)*Hk6V5eJ$g~$ni8)Y zJ7cl(cDEuX+4M*3MNRVCx0Mv=Uv`UYuMfHYH1d^}U5UB<R}snJWp1fnrh>Zv%6Oki z|M;Ex<skds-ESgXdM01(l=`1n6X8B3IE!uWmA3u|K83vBmzyoWa^?4ZJO96}alU>2 zD@_w}{+{pX>Y1jl{_+A>?3Di%ohFHSC7~Jj{%={$e9ej1>3n_thqs@v*q{D0S?T=K z7h4}C3yQiuu<XbP=zN&PTNi8D_90p3^EFLV-l^?jFV6ld%FWqiv^0;)_V@3yuiLo} zU8sJs;L}Nu@=q3i^K<iqCmwskqj&q>kKXO)gU|OXJFCVTNe3Ez_e-cub6&h;YmCEf zx#R29wZ!=a<E`i2+rl;Ro?M-ii*D3M+j&+ii#5^~RZg~={$D;bV1nIJzvi#6{Tx{K z{{0<sWnqlwzbXH1CkuRb=GvpTux0*CmlZvt$EyWn{f&NSab3R0P`!Fj{Q7mAZ@<Uv zK9$o@dv@8K!19x9OX9cuu$%PLchb*WZ5>fd-sJ~RoILx-WY$;fE-=o|37>4L7gSo! z@%i}j55i4(%Ps#uvJ%st_#(vfYpj)cS(z-?l|`-gO1%7&+S*?mELtYVvvAM%+=S^5 z7^B*&CVtR2^ALR%yw{`h=Ea5?eb(PNR#)#oBKJqTGHIvA)t{H-62C4K7R>yc+P|Uw z@4W|0toiO5uZf#(zED?(b$iv`<)05%w_P;}THQC(_VBB4rhjqs0tye^oBmERY}Mn_ z)opvfv&UT&+O+WVMcc!#R;#;Rxu@T-q9SPfPsTWp*$X}I8aGr1xp)05G3EYI|EXn> zuA=i~Hol)m!ijp>Qn}kqO8<yi3ZJT8m#CFet9s?<cH6_RCZ)NQwurUau1P4kxB2^i z-6fG~o-==FE#K!bZ$c5fccriQ#T#?kma9H}pYC!cpY`Wl8^x#V0-i^o?^#hAEV%Xe zzI3-MUF?=S{`pluPfT~aayB^Ru*Sdtd*<b?I3c_;-pt#TS)6-esMc;@>7`7O=JyY5 z%A2m9Vw-vD(t-Sz3zJG)w6p(9M_x;+bh^g9Oo#VL%Y{i>?@cW|YBuewXr<FNzu;+X zby_Xc*68or6n6i}Ca+H|Yv#|`Wbz?XaZ8Hrn^V7*2i(d}n>pd@4oz=n@74>GL}z7A zwbjkYshd#y-{jNZr42W~m%opb2(xCfRlj1n!C=ik1L45AGg}kCewN(ws9r`ckpJE> zGaEJk8%235iW9?K-WCVBl<r%ry{ONcGv`Oy6TY<l@$(Y$xjKu>Wi}hE*~axLw6ZDi z_V192`r|jb58wN(&gy<`*4+Cs5@ECbyH@Po-n?Rm;@moQ?b~Yp9ltuRwRYzJ)Kj~o z;xAD2uX6pFmuqJ*KQ?vxuDR8T?REXBU?s*b0|T$R|0>BspQlew>otfHx@wzqt$O?C z%Tp^}oD_8Z$Z|x!e3N?MBhKV!Umw1E_jpf6h`#dSoHP4&x%>(JBw}jy;5W|}1)p92 z4phF<{Bt2O=!uB`QhlFU-Ve<JzZ`rY`STK2zm;o}n#LYRdjaEz$Inf3I`_CnYt3Y> zvzmVv&SuSh6<=Z3R-t%gfhFs0I|Hx6t9k8@*?#zM*{T+15m#DKnX~EAk)y1~=igiw zb|S>^y4`aY@8u6yb3eI0shMAPwO`1xW&Dwc+L@gdW0L+oJbruXtnvqpiT)K{Q(E-i z|LJEwly%XqW_7}?B#%WJ`s$p0Q<q=4IxpF)bn*{d`@ZD6cR8BB96wgKII6xSW$g*e zxOr`R;+*H%{mqzZc6mWHpVXu+-zu%A&3_ekJkdP8Alb!rS=Eo@E2TGDExqDy_pf62 zE=GGPui7UYj^Da+uJ_hVj^J)FyDQ6-)K>`|VD|4_QCrrv$o{l(QP-+O>qo1XCmBrQ zU-ozAQscf=ir4-|&6^Y$<GVvpvi4a>*{<Gz@7Ma>t{jT;Z8cjY6aG-VY54^=owk41 z-Jh>oGLv^lsmqi-YW~hQk1u>PTYl-DvXvK(2Rd!O9XI3Vf-6g7)@?r>+~WG>;fCpn z_htmmb`q$)ktw)UfW5-(qPtMGzq0RLtt0!VK6<~p)G&8N|HZg#^7k_~H{NRdq}h`5 zyIfkq+-2j5>rdyY+;4yI`KNb=uYvTVuKLGq9@&bkE?vy(h&Z&s{&4B5l+wAkzALA1 zUHNv>$76SNCkov-YY=?!;H&LDE59$ykeP5U?Qxfn$+=G@k#-JwwR0I71seZu@S6Nj zZL!(YS*LgSmBh|jRTM3kA0F-Jyy8yIs>KgA^cQN)@=BGrS{}RhmxPRb{q?}qhe_$R zJzC4$TQ|)=G=Jlh{w13{((CR!n#t5;Yz|s>_@#ksuJF_2wI|IME$egMTk}3yP*gHD zUUKP~i?#mk^)^oTkFIT-`1-@*g;%m>6n;E@vL{b;QE<1xV)xdt$&%eg8I32-S=8Bo zD_pbXQSpvk{*Ra5Sk&@{|Iq&_^{(uXy5VMrzu)T@I$E*bJjGJKWK-Ch|0l$>#eaCD zDxdjtL~YX=@2I<TTATK7+ZFbNr~c|B@yPXd!iVOs-<vBu{oqSQkN>iDrc<rv=1JOr zC|O_hW82rO%O`)56SbPF=94w?SK;ig9`ma+6>E}fPQIM++4RFX?U&k48K>7XE)2T$ zGg)ux=bx`n96moGX_v%*M?>3swnYoG?+J#P$DTC1ukNqYc1PmY<8S3HS2w1+g|N5r z^!|;o=qTq~9Qe4&IPi|_ikZi*>SWBeQG5|}ZU0}Ni}e>GKV3|Es}u6#`#r(1Zuzv} z?QK@`YuzLM@-e)<W&1~T+V>eYitpbD<ldJ3)Y)-M_I6peeBNSNi+ii2|GKpNpY~?@ z2l*POz058-w{wM+>Ye^>+x%4c)z%;Ub_@5t$a!)&{Nk6|3sEbt_Q#%P^E|xS$#C`S zh(o?^xszCSu7BC`<4D(HBa1`*=gk%wmG-SGi+2##h~eJ*_w~U;)8{EON}2d>X(|42 z=~rE6l;yvfPlZ(-itD*ucxv7X|InS#e(s~LKw;TmU4e_weu#AxtTO&7mXZEpyTg&q z`#%OgTN<=>eVfVU-&q+S@7R=FxoY)Sc0Ow^x9_@No3eOKdOv-s+WKDnTyF5~rQgiX z+wKc!GW+9w^YbL#{eIzgQSKgR)wv3naD>U^^px?a&pP|>Q^dNSso$ig{dxcJfT(P) z$Jsr*Q=dnq-aT{VVY2%4*^jgC*U!7XEUaT+Zt?8XnzwqNcrUtq+1FCE(Q*B%khh&> zU&ZER$h#f+Gwl~=){L6VUShL#{C&6PZRBa4@adkq!0CHM0XH^3?|5BmS@O<8W6i!3 z@9r&iay=3Dd&<#wub=Kb9?af)_3(3-E7A3J0=k)7W^UUQWZAaK$)*3!g3yFEx7qUq zB{qGD$=V*PC88L+P2<$I@5^<rb@kZhUD<SD@+Hd&rW$>m{$gvxR=K_sT;u3xes$x7 zzpcd=GlTZYXD;^pVR34mmHr{iSGN3nZ|wPRr?Ez?tLyX=$z6Ml|J>WK>y1tB+veSl zOOo}zmPf0eT-cwbeRJm;BdZ?)DQ_o)-P_gjH?{Iq`+OVq2X9!sCluXNdnxy`^IBJ| zzvua@9+CIIaI9x*)p*VSa!vWX{x#NWL9S9}?*wi>w-;OB%(aAh>Gs_MMW0lHS1_2L zTj*Z2DN?=4#-ZEdB-7>l0h3x^?K+v2+Z5$`qJ7z`#i=DaEbpH+XYQ2YyYj4g)7gz& zc0no?jr_;dIYdI0OONn}7xM8c@_pFO@-Xhz_FoqceQA3s683Il#X^3+tNwXCLffYE zORw@<BVLd%KSS%fTG}aLQLo!Cn;&0Yc~LF#H%qee)02{Zd)D_G1kGKwZna<7a&xVS zz4QAg+_|vAd^u;$Z2lB}kB{^7U4Q+p6{#tTUjJ14am#;ifqNa{H~-c1I%Ef5*8R=T zq2x1t_13K1MIHO6a!B8Mr+hNt_N3wk{gc*QEYw*N|Au|}Cf*&%m6JH$e-R9_NV@0G zena75tew-kNcq{4YYWW&>vGInm&}{lb7}u|_Y>jE(r0abaYMq};)=V*gZF)6Zm)UQ zz4*>5Fzf2ZY47zv3cipi*XD2k&2h0b`109LFG{vW9-Ss&bnC5pZ(rClo$0SW79Tq{ z>q_Xvo;dq^bJq2RyRQzq<6X=0$JZsqoZI41`|(qgC+{kL`FZiznNrqYCfe~#pRin8 z`da7()`^v;|I9h{B4v%8<>toS%bzx~SmkvnRn;rZIds$hfILUb>9b+qzdPD9^V%)7 zp2h#O;Qje@r-SuB9tJOac{{$T|I<O{v!B|yyk>lO`)^(Kg2=U3ZUpXs?LIkqs?@6W zmwKiyk2v=I&zh3TEs@JNGj90!yN+qskCU&iloajS)M#P<p}6R5*4~xX>*RlZbV_a7 z_pQCFn)!iSsz}{6tyjO9JT5<d`6^>oly&2gJMYsE2y*_OC@(#4RfO|R=DJfJJ7+FV z?%43@Aj6(pbLMGQ%YJcY?8%HdJ$=TS9FMCv)9c@;yQ-@jF7smlT)>c+waP9^H~W}! z9owz8sk3G4_}E$u_4?fZW-Kzhdq?B&9sfTcK7^$1u-MS!^~lhkXaCxZkBqxs71}Gt zuk-bo|Di=**X*>kRO$NZ|5wg$%sZTM!=KG~&$PcG2JcMYF8^Dx$H?^7noAK*Gb@fQ zd*C2o<^J`g`mMPuI09sZg`@o6DYnV}z0tGuNXGUkbHh`YQ~hl91s<2kHr_Crv~xGV z^U~{T9CO|?N4p6h<U4snNk9DV5su%kQ<k6ZxhW_e#P2gLa<l9jMy(58%3YWEu5!%I zGvl~*czNy(?`_NZPlq4d`)eb!4aeE=V>f-Q_X&4+-|c(H^2lksvUTH*Gvcg~myE9T z{hn~?_vsxc{_Wd$rS;T`KYJ4%lwD|l(itpu_T(>(g=JURMN;Qwhb=3Z%ysGg`^ody z_lU0&&e<*Nr}#G^myOlZ(s$+dTgp=e0vu-*E<LL;O?}bXv`XdDJ@MbSPD!e4YU1YK z_MHFdCzev@omE@Sd{4ejbNO+mjjR8_Jb~S+qNjyRCgo4s^^U9W!$E=Fo}#CPWo*Ka z2^hUFly%uXQ{=Sp%1^sasW@)?&Ae*Pr7R_vjnR%9Z4L@@CvICUep-0Sd9MDTfL!a+ zjW!e4_k_=ydP~DK#ATzc^wY@u4V(K8?{F43%K5K1O??OZ2d>j)MaR<JmfPO>R`S63 z^{lTmUuLaMZFzh7sFA1q>YmAr+uX%Z3m@K^wKp_!o3pUZ(dOFJGpjdhPD-gR-)PhL z`@!i)lG~Dt9dlM(pYv+Na}zo3Na?wA7Cz*1P4mxvAhq@D)U?uFJ^QEgHrZ}fWIDEL zW7tK3v-9pOc=tNlcyiCp%~DtXmTZnlfBxarKiRNk*PG!{qRHDs8;oDidV0%cb=19= zYWB;l_R0yzZ#p;c$AX2IN)D9%FW>DXkXI$P@3?F7)0Y>&`YpU&tfBSgR*1GT`_B}H zYhSa@ukR6yf9_VYVYXEAzV!Qwc2k&>t}L=O)SmXzO6$nRmVdivU+OBFcyHeJg<Pi> ztA`x3x!b-|h|Bo3)Y)GyoA)$cx_#Md%dAsvY<G9<+ZgyUX8wwAEaw+oGP(JzY-d*Y z>)Br?NAsSW$L<tXyf`@Vo5_Z&7mA*$iTBy^Uuh|Qbo-l%Kt}B*o7lNIq20E;I@8oo zG{kJSi;|q3S;W0jz4^+|hbD_Jn%)d{%4)kTYPG&wXi3xN^p>FG!M&d}4u7i=5XxX( zYLvDmq&g_0JYb$&b(Y7z3v<>@Q{S6+W1ghcESol4bC-NW=gF-8?fEIaSF@8t*OUf? zy}haUtNH8GWe@w#y%7-Izwx4!`ka@Fr)K|KH?RIg;j#_^l|JdYYo_g7U6$7Qm8~`D zMqBRYJDcxygwGQFH|q*t%4^<NY`fAmrrL63=X4oZ`-rY-zkE}9%Q1_&wkF)$rd+-$ zxC+G1;ojDB`KBV6J&XI;$v1&93Vx~m_rJQ;EOXqs?fBa&<$G(46Zmg@brTD3UHIhf zfi3q=gu44H9FW`hy7$n@RIyb4yI<Y*oxA?=?ei-SUm30!dTY4rUdOt}IX4a$?-g9z zJ29`?VV(J-tCL^swPm|5{W2@JP4#AT{@#hx7E680h|XV<uI4$(_R=-!nWtFxZfCz< zrK~)2^YN=y%C}W^+0M8oz0-5!^H{$`1_`;N0&XwgeB69bV@>+zHxq^5NA}xBT&mvZ za8XX}b??<uzXbjXU)>(IXkDLYn{h?@uhI!Q!`Hn>I#X8IhFp_wt}$I~>vc`Kd5&&% z#TxUVGuw_IsZu_gQ?215JHe}(WsP~z)t7oqZw%MmI}xrXQ83MLf+p|Yr1R%B1?8{a z%*l_sBF+4I)+3hrg$w_sxdzo<Yc0BZO5=9zMD9R!x81xM8S{%1^W^2~Hg=~kc^UVJ zY3Iz9UvHKkub%t-c`Va3#~)eey_**-O*x<HC=m8D@r`)Sh9K$IZKp)c&E#*yulEmo z(jRwY@>Z{0k>dW7r!<)-e>J+k`|i!~ti`$~<}C@$THN}|W$mH4O}6HrH{AGTw0n<j zP)OF|!&_H|WmR81?6CLCw~U~by=vxD)K}e*%D<W3uQhq=%80!iCwiUHn|$(CNXZ)& zAKoIvrnf<v2e({n5!%k3y2&hbg^zNE>IFS9w$!=YnQte}T;rRnCH(Xy7wgvpZznx` z^7rY=R~ovmf=Bh_PDSKqSy`E>eY@Ri964KR#k?TN&7WUr&v8n%y1vH$ntGZR=gXUK z7f$!}G+A}z<@$thCbrwn2Ae0fY9xMBu!(Gb;q>%~q)$WVgKI8J103CK-j}XkB(%OK zJX>`AhP$&Is-iZm&s%Zr)a6SDZ-qL&7CpRGIP2@=>^-;hzy4==E!JXNaoeqFryj#C zHX)Bdel`08xy+o$i}<hgF|tRPHJF+uY+D`>RFROk%X7n94W8@n8v_?gZksQl94mKq z#aq>uOOw`V9{eWs>;)^2(V;TI*#Rs31df*pJo>=Jws2dwz^j!9CW&|*>bu@?SjZ%? z|L3zlb6Jxadj4}4h;3PGamr?4(k8DP68gCs<^?mJ@!2i;^jY+C$ceOx+k!-=Z(7Bg zyR$apj{LOk)<-^lDKYje?OwWvH8)>)V)vqT9tOpiH>q!5kh@Xfv0c)ln<D0Ck4_N% ze55~BWoOa0#{Byg<rQAK8P*X`=JD;!dJy;hfp2P2%-h>vUz%+V@DqA7<M$2TA8)T( zo$D3TT0CRRgEMON(YHfhEh)ZzHSR~W8&|pdgQ#d5&7%j~qd55@gI0w21=QR;x%qj4 z(Hy1)BGq&E%&TI5CHJLp?x`m~CV6d+%-`f?rz^$f`2N}S+gIaw?H);eVb9ues+q-Q zd9%xm$OY>UoZ(JwYJK^}L^L{B{=a=%`}y8&pBCSG>s+~Zf7W3cncb${Z8cj;Bihaz zzWo+_=fj;%Gd%C)r7cW&d0(`6fpVM0G?D3shvap4G}Uz$hql?yN;_HPdDCf&{Y$T? zcQcNtc=vg0=H-OM7#{AEcoY#7<F?CY*S@IFoLLr4CTAx-owUSrLeP5-@#}Yd`)np> zot)F!A$Vl!&xj2^i=vETm6V)#j&FMO`qbZe_jTUeQ+7Xz+3d%CcHM>g+Dm1z{pp_E zNr8299@W%0m^_NS)S;@r=R&l_yOq`_i<fSmlDuojTov}^d;itce$h>zTVw26S(YoN z_<8<?^c_w+W=J0DYug}ho9O<#CGu>=LJo`Yd2OMuFZ}!dZ^^c-yE;u#W}O{B?Z4hM z;R@vRzp}!A%1w2hDPNwSx@?dsWqVX}-kg^Smb%?8hyJM7ik)@1R61{o<b0LY-Vqv1 z=cX=CytGyEi->;3q;*Tr*GzrMp|dJ=D#y(KQ_iniKXJoeyQQc9WY;BLxpVZrSXWE& z)65yA`5dwScP5v-*O?R**4gvZ|M!|*{V8+boZNL@|MbfZVqFu8pJqyYbFlukaeeh6 zxdpS;*M7QmC~8~hjGyO!<Wx^MV*9?c`hvdRg3r+!P3I;qPrP6H`GUIM9*6LQrJrlo zC35B5)L2wje=Ys-R~4gYoqOuSCZ;Xl6u>f9+5hk{_h$?HZBGjSIB|z5+N^8E-T9wy z?r3(YJ1e~Nuzu0BzRHCh*5UKo=IWnyuKznn{mar=?%bPNkDl4T-~2UIX!rBhU0reC zx=VlmZdH03Qz_*(?|Nh6g8P%p<nBJov|OUTHg)cang5&4@A~X{uSfm;pM=V=(C#V4 zPckFEFXiLWzFu`{pGo_cX{Ac(-^FXM<tkR5ulls@$J502rEbx&SqnKVKBn6_roV0X zuln}GWFMPO{t6$>(ra}um){N*$ya;T+Pn4TC(VjZ=g;zgO|FKn=bF1!-gLR^ZTW@S zLT2y3Z+x5k>X`rIc~4|>e?*sx3qE{4|5#z>X5pjqvkrcneM@DVNPXr_k(oi^0hczO z{c*~FrEbcDE9p^ed5X;cd(3s2w@+yFwp-16$H__O>b*CQ1*Dc=iMm*G$om$PRgt`; zw*P9aeKOJCZ*t@@*vnN`GyOl_o^<^2@7AB<58eEhUbFvQvU^pmi`VXu4BMcG%$xH> zqxj|OH+@;8@k>0A#q{{)_SEn=m3W=E|8{n~`g7q7Q>oU!X8+}RKV-VjbvW~UewlQp z&ExmK^*NstpI9#3n0`C-`O%!GD>r<)(Y<x*@@@9l*IjwnzK&=09lpP=H6^JLu?2-L zZ(}1e>sAKLl!-6;u(0Gsl2v2nb;TJy*Jfw6XV`u;-}a3+SUAg?SLeKAwd>026VrnA zF1~uNcx9F3sq<<RzZ}TV?L2Xw`Ag@m(&-PLMSf+t`@Qhd^N-0fwm#Q8Y<^UJ<X>9n z^6C4oDPKxgU+C{>h<bUDf7P_R_BZ9iW~+YYZ+Wro_|)l-Hn$&p{rM^%V_A#U`KsD| zR>BRj!VzUwE@|1;NAAtM|MP9#v@5ptnJ&6A;jbh&JvlsmVrs0`7oLUFUidssIT1G7 z<wmA#=C3)ocgv;!y;8E-tgP#o(%psi4^st2XGZm}d?j9X*yMNlpG85l=dGF-C3vlU ze}i^WN2%t<yvO2OXY#%>npFHjymR5?kEi_~sA?XG-@0f1I;X#u_j*^?2l(afuvw(U z9$NS*WUth(o851<{;1x#98%AdXl3uX--CDd?ai#bt9tUDz29B?^r=~?RNR85_segG z_U}|$^x@<qZABfWJEg3mA=|n3I=x!L75^|Oddr8vI|i3?R@q<f-XGKWUbMJkXWg2W z+unTpE`Ix}`?g2tCq0nuh%R@2YQIO6H~XS?1@|-G-Fx4rzs-6%b;p;d>PnwxAF+As zm6Uhv_NuBDuUF3>=+^Ose_1jAjacQvSlLq1SU%%*WdS$i>O}RFRSs2sJ(5>zpC8`; zAoTiUrQgd{e%FRie#3Up?Aq%1ci-CQZ(n^ruGc&J1J|>CE=STkI{HiIEs)Os=a{zU zN0Eze6>I*oE3bDito{Gtbkecxlw%XGFVL?Os{L41T6KHt{*3VBkFTcfY5HQk;>i5n zZD(#YZV!HaHU0LvU#Yua{pULMNOR+NC8c@)MV0c7-M;ws)vs+^^|!7Lcev9TKB0nH zaC+_3j_&!#-d=xwRX6wi-Ye_2)tD|4GyTAIB)ap5k>JPcA3p9|CAaAJ_u%V?ny)zL zp13^s`XbLoi=#gBl!w&w1p06Ne_bi-y-JnV%k^ddPn_CtOJ#|B`D>fh)QG41#RBKf z4r{x5d%s*@bv%RF_o~~i-(Tga=zRa$@v3FR=MVB<>ig?ts}zH5Pgngvsq}rnpUb~b zR?$zm9>(5vQ~JI;&gIz$`Hnqbs%kj7C$ekgU3x!R-K}|c<R8Bci62YND;{Z{)pwfn zEVNSTtmvF)t<p!9tgk)nom>_sJT-G>TF#_>Pk!8uobg4Id%4z@j^$UrmG#7*uVr4$ zwlDjDbWiQ?`yRX--CMU6N1Tf<%FlQASs<2MFE%eoI@n&N?3bIxfj#=`cviP%><XB- z@U(S)ykyw&`x1fG_2OSI{#-mYvGjPa;MJcmPHp&Q8+5KZFEt`}8q56&=`TK=yB^kd zb@w*cEBD^6KIHZGuh^g4JKwL`@ax02j#oAXp%JxJ(Jm`1+t+Zd&Ykoov9e9?y-bW~ z*nRg;IzsRM3jR4=e?0U5{J%?je@%-My(KT(67;z*UNp>F<)il}p4fYp_f~DF%9R#% zo6fa&?#XKcz5YcTxgs(j{Y#EpVw1u-{h7AXi_V|B{=BSSe?s$>!J5aig_CO{>m{Dd zD-CYhb?AHS_oCfgcYA(IL}^$2S-3Nv|I5m`O8@S#PLukwx>jJ@m$ZFsi}<J7Hp-id zzH+vWZ<fzku+VPChcC0Qa9LkTyI6K7T4(LVsHZ8GpLQ`l{c|n9Xj@;C>imQJd)=x; z9>_oFjr(rPW%l>&?2tRQS}rVCBG+@tvi`oJ^fhL7m&}&Dw3w;$euVC>y1)HG{=>Ug zp>FS%eMr)dQwSGTx%FJEV)<34IR~;%{_x4&61{e6Pfqpfa{^Mbk1k(!elgpwiu+N& zTHV~s>-H6L&%D{{tr0MD!)9sw1(U1i&OKikUSw6CXun%^(TemJyF_gdr-!#*m3MtA zxJZcWJV)uh4O(m59F1bvb~!J+waxk4h8&YhcKIxw>8~d&_5ShvM6jOn(|(QSJGUk| z7B0A@lX-Ps)c2aDU)raXSofx`PiC)N<+tma;KhI}{gC-h>F-$ee;isX_;dBO>V*#S zZkZ8p4;l#^T6}rljkk3le^2;fD`>v5_CdvxEcq3;-rkP7Y8P<zd+zp^k*iL8-`aGP z`|Y9&>vU~<zP<jtkd5!s;wCG3<@h!&&C<1xoZLlYJB34DZSl&enY}AgsO){}Z7-$@ z$MuiHzDzw-9Xl^%r(bVZj$~X|$C3FbFBfUWmA<Jc3ytvQ{Ks3#=6TF&QQ{YEr@v?J zF}j_<;IrlN*U-eTc?bUo&)eKBHhVjl;Vnspidn4twME1FIrI4+vU18jyvuj^&72MY zHT+k*R5)%|p2xM?n00^jykal$(^r+Y{qU-c+En>;=i`=;h_766lhY^UMBOlXb5HHg z;_r#F({dtDzqv7oYjr%wM+e1oiZN&6w9j1F$GB+y(wVbg?NAjB6X*PxSjpCTOlno) zH>Zh}lWlZ2r*7Q*cx6b$(UuQ|mFM)L*WA-P@~Mt7?DV9Z<Jl`WRE2hUw@9c&PIKiw zEPd$S>s-c1sj6EZA1H~4)jcBq#BzDX#@dY>f7jWp4VoBwyU)dH4VTl7fO%0C`}(Ke zUK=gQp|-Lp`Y7MS_)Ys$|AJO@*#B7-E8EXkx;JLQ@1^;dEp9IUbG@I1>%Nwg|Ig_O z5Br@g-uX2z`*z#rp~%cxu`A6_So>}EWYPZ@?#=kUebb@ag*8@d^28qfKQXy$`RCx5 z8=}gjowyd?E-QK2CBS?8X=Z4Pj(>T~9KO|i1oS4TXYW##4O2g}iu3e@Hup@~Y<`Xt zQ`c~o-<iv|+P<^zaLb8ZWy=m{oz^SyT(^bo>o*49O$+XRoLv%88)ot+V&0leN3}I_ zslJVMYS~{NWksFrS=$q{_Ve|(tNxZfUN&1f|NEYYbGJWa;<*@Ld9lDW`k&gw-}&rO zGe16=&+zkuna1r)ljI-dp3~YLXdbKdC$KWAP9gh&Y<2pftPqaqCnr_6=?I6}@4WlG z(5^Pf-dgqJ%l8{L9r>rr{Zsa$Pedt~qO$+Bu#+uU_gH(}j`_OS%zM>$oo!bFPAW#l zm9+;NtKa$fEZeQL^T@YyHt&5*lLFNPK2M(Zq`_+9sbjsZsVp7bu1{(|O_|kjvH$Yb zBH8<$8a@G2s%%;`4EyezidM}z*F2{wenv!#+;W5ezuw<ixM;=YhcEapep*`o)9BEx zGAFs#C2QW!El=_lz9eYS_h9<Mx0B0n-Qu$g$<LSAw=J~9^?vTlC%2}{_Vz`ot&tOc zB<J+x)_w)yu-gldzq=dNb>w8xq*wi)rZlCPZW6p)=&82moXDZOpHfWkpJ}<e`e4?a zI^VtRpEriio2GP=`Q;8{;V@gL8w&nmUvkve@a^i}v(xle!NHA6C;P?rKe`_=cd6U9 zJgd6SHjPL3wOqo4Pqkf@3KPDO5m0w&aVBqhH^W61ah|>ZufKg2TrT<c*}UENTy`6_ z<clvn&9`aOL(Y29Ll;XHyj-x`_Ti<Hw5_pg1seNLU*00+z3BM8+=yC7?+<VO8mtMk zcD*Bh|Mhn9r2X6*H;CK+yCbCiZQCl5OC_75s|~oV-w4!6Z7bSr{X=f|#PE-|v&*7C zoX#lgzuTN99$}&$I%}&}s!wU>veQp9GkE?!Uw`}RpSNx=YZ7*czu7#!Z`z(vl{EgZ zPg_;4D@wAmn1*jv@n&R=l8v^%_9l8&k<zEc%2{s7tlyUltX;f=^?t3eTlWK5r4OZ* zyPE93#O`$9i;~hkSbQ_*jl1U8_c3DgCok8OpPdljR+IZ<vhL5#)3<5XuJ*8fSG(ru zRJot?BKSl9f3#g6@odw+sM*V8FKku%%vm{W)Bo4k->%}kdFxYl)TZ?9G2Ztyg}U2+ zu5Ej=Tv4*FNk0GQKbf${lOBidzVzvO*B$pKn$uq2?hUV*A1>h>U4M7oxr6+3yRD;k z-HBnzzNILo7n}6dCHt3cOY$N{UuXLXyAJgC|4TR*^OfaE*6J5GK7VkCHFvTp`TO$v z+gJKO78aMD;J1za7sHwvr6DzM((>TC<9`EA@z2`VE5Abf&yQU1$@dT2-PyZFUm@Ds z_2k9a#+z0j;sV9~`|e)#p#9vnrQgp@T<Z{;?Rs>7Is2+Aj!S_}0i_pegQ9<Id}ik# z>aeeB7t^Mzs#fbJEDvrIcd#jm{WiPot3}<5oVRuRm!A%gZk)BPtL>|8<IZ0P{suK% zC^4*Cu<gU+f76zAzc)xz*pXCqvb}m5>yuTAR&i67PhNdpL2l=-yZN_5-?$&MD7w`D z>_q5<WpfujymjwDOs(vpt;@c#FIl(X+X;3XU&ozXC$5+Ue(YP?xXQ1gWW}!w`M0m0 z{jqTMtEJPl>O)yIqI9_Gbp4lq`Bop0#nrX!1G8zXe0*iWI-9R-E2>;Wru1=~xokY4 z&!+a(_P4L*@=J<_)|?1_dOCQ*qOFS_JlN;p`rK(-*q7oz4)Ye2&X=$5VtVzmqrs-M zvrGHQOOg0b$AfCl|JxRudyGHU|9<|;Pfs;HE`|ke_;=!f&0o<?6V)}WekFdi{bTX^ z;m%K6Y!v)?13xaZnQL>G?PWmei}$y#O8;2+`O4>x<)@}ES8%m<J-GEW(`S=^9U^if zxySjh2-oeo6?EjQioD{(7rsi7T}v0WGSxCKv=<aokkkFNJ@nUSg-ZKLC&VY+<Wapi zpN-RndoRli{U(-<Z|Y}LeswE27GJbby(G_g^0klAyb~gv7v*h*je<_47<1Yk%zu`; zL`3@B^NB3(v%ek>nWAFqaC1qCMRLwk;SJl{7c3~L_2#@ZKcUk@M8NZiWTLy$hIt_i z=Nq`IBxW9znHkbF$9|4@*%BkGDbjJiE0m{S^jUNxzC&0}!+Po&kD|XvXQZ@G=I4EG zx$!BlrsMRlsl}Z<oZI&}J#Nj>n&mInk?^xk&1G`VuBY-+KF?UU{&8N+{L{th`I%25 zz8cTke@~l~^>^cpshdm8=4ps*cDWGW70Hs~t9?Pf`zMET=DE55g3c(VaIN;(^KZ+2 zro}&X)UV2akAC5xG&x3><@D{+cS$SX6xiIFufqDvyWZQyWWL&m^v1Yg4L9SD8C<&T z^S-t{wQ()8oWr_ZNZk9UOIMurmm{xSdhSKrh3Oo<p7LVr)99l?I<x&RRG(hmwKT*m zdcpVEam7JAs;rfd=krZE_3Tq**uIyg?9yIUH>C3;B4!nLI6n>JyS%g{pMUSRq8WOk zMHg#&7__@z2EE^8SM|2zee|}jxM)kC>C;YTuim-D`}D6}9l2YZOEl-65q+`uD$}K< zPVXn4*)B8x=hB*m+oyO17@hujd8v$)a{8g7T_u?+)2$bEyGHF(`T6ec$)#;Kg=#BV zc1G>m62o&n-2KGsn7*qox|O5W|DHA}_17Z~My|c5BX{pJce>ZFBfR=v^0zlHFFEXM z|M}}2^TX%uOTO`K`uS5rykp--hZh-21&p=p8~5n(T#T-*Z>;0ymx%P6u<x+Lf+Ran zJ>JM)a?FieuD__}dGhtbrl9pHzVWFEiaCw@+7CAG;QJ!We(&|9b$jxxZ=cNYJQaQa zw6f=eh^1Fk*6*9(J|V(PRNmv+&K+M$ZJNI7N_UkOzrJw&1IHvkch4F7D&6CrCs|gl zU4MJx^_dZQGw!{%F8lJkV&Ck0uTPggsa+^>w)*L6{i}jHb6-6B_MOrCb!*c0DeL#y zy9<d-o@uJ>*}c!qz2WNVUnQA;+jX_Y^$YC2xLQt95<g$MS-Q&ni3CUb(cLoc6B@79 z=BzIl?_+3vRJ49#W%+}O8xvDL^3U1VrPXruuK`Dr@E-3#SE-;<UFF7mp)1r%SRB7w zGjS`w(ZBb1`J^QQZ=RWbY?z#&a*A`$Z9i2<9zn*auJV&B8MGHGcZL`_&nVx%GNIsP z%$5^pA0@h9-WX(iFL&7_jk$iCIIZWfI3K=vM(Wbz*my0^qMs>>z8&SoD-|wIwAovK zW}@kY_o?z9)@e;;`S@;~px48@L8}_$826m^WR-|4W9k0%PDNDV?(M^;<`+#_ZhSX( zmwcra!|RD=5AHf>F%{oonpZkW;mJw$)Q*aCjEjFpXioEezG$OT>g!7O_*kD5t~<PY z9ylnUtWbKr^?|tTmKlE2*^2KRDzl1McH#D6=9RL?mUz2LCe^)LqLET`cg`bmy`U>c zKYFu!K65$g%{M_zzV2qF{Nq<Yrhcwkpe{T4+mCE^FYzMpqk(mg9saJ&$hq2J@h@L? z!vu|^Rn;pG)IV?DapvFcO8K+LcS!v^&e&$WHu2SWzG)G%-XawhKbx74hHsu1KIi+z z5W|W6(~M5$G_tqaTeU>Yn|*)&obRjI7rV+O#Fb8n*#4!jzO137T;5&e|7(ViPI{8B z-%2eI`qj;RYQ_KX=cT*0x3~U1%h=T#x;OSx%DEDz12vAn&TN)hV6f!)4}S{}rD?KH zCRyHg<jUZ=vwZ$zhmTI{+LM<Q%O1&geZ%+MvOp_JM=iOt#Aw=7g%>sLjPbA1*3K_k zkhDqU_2tO_Aq+PbALM2_pj`5&aG`w9v*M(4%l{_moo_sl#_qNJacj&;Y5wh21v$QY z^EE`gUZ%$Mhg(&|g?|X;3pk^GxwB%@?BiGYDoXZDczp27X}(QsUUSHKtuJhFDlC2H zQTA!QMSxnLDoamse5t?=*6!VA9EpW`Q~K7~Mg8huZ@Dr|{c_~X(tYn7US_DBocpkp zPw2G!^%S=o*6Yg+GPjvqtiRluR5GFH3$J*mXxCDgRrdOmTcl;@6rFM1eYP>Fk}<ES z!6mTi$ZXjK6TEbu8~s|=ykytziZ}nJF|WCFK$lOT_gS*DkW&5aqi&xb?bvSZv2ez- z4=?%HHPnJRCw`mDpfUY?(8s$k6JDn1%zx|e+W*w=qaJ%7@9~?7@5>lgNIzM$<9K66 z<pP5#K1&`gDcaqg{<$+_<?aK{Kg*df&f{7BcV4qpBg60W-({H&ap*0OxA3qlRbjR- zVOXF4_`&BmcDtgRt91Bxez!a!SbKWcE}<BX@759ZQ)b`nuX%s-9s8W`s{^${V)(5* ztjwlpKb>^vw#}1<lRkzMUrpTb^Y}pp>;H!jDwLn>XK9X!vu<+i+aq6kAT+b^&io$@ zSDS2}xi68md*^<mMLj0LrN7#~bV9@&<~H@a-)}nV-CJJcVCMSt-xlVSO`BeP>R~>@ z_4CrI46T{(o-g~jrdfV>kMhgsbG|E|bSj!*-T7QLV}VBM=O*SQn^#ZH`QFVZ<a?Zb z>GWGK9XJ+S{;9v}7<AFc#B=B0&COq)TlD-~{kd+Xj$x$tihEh-F9q30KVS3BC3xq; zjFkaT+}6C$n8L}>m~te1+br&=)`dQq7Q+5KE4T{}b{X=sO*-{VxYeaj#c{>nJI5^6 zZdY6>Kjo-JLwE#t=^UeIg^bnwi<a6i*>#-V^|$3k`L#!FPjshVewouY>F-JQb$)4& zI<K<aI^E=9ImP7ji$_O;(k!Mv;x1ToNOM}z&o>G6n<5l0tT}inU}H$cWIeavoSV9L zM`($BmNL37Fi~s1hpT4q&MuAwjVKAF12OI_0`ZeOmrXW2<WtT#?WCA6%Z$}MJQZcL zxqBNn-0PmLd#2TGk3P@RNpoZ`ziv2kJ%ua#(v%Z1VeC`RsB|yQu#=B<-mp`LuR>v_ zr__d>E}RzA{VkZzv=$n$eZKinrqfK^u(9CdbS~$aKeCi&oSva@;gqq_uFT8JeDe<S zrnPxrOfsDRMqW>CN8SJLz2^5g7FCLVo*v1uGNoQCQe)D({pSuzdOo?xlz7fQeR+yd zr$u4h{JD#>AN4YDZPk9k!IT;*Yv6U{t3>AMhKaXYgKs``Qt~$l+U;jL<x9enZQ4}} zN_^}heJ<1nlxa(8xCXDx=@Hm1$a{g!#VA<g8Ryp7ryVrsp5Wi)ayymn!jDNa4>B!{ z$}xS>7-VET;po2`T25<K_Z_;xptv;0ZeGIN*)hqRS>G5Q*)+2;$8PQ!o=H=D*FAi* zx&QB$*xaJEddqI;E&s!IVdup^>VnT(@|In?cxcs{C3m;{<=wqlN@2#Y%uBm6FRN{4 z+HlCZcy;o{1BHU!GxhnGeq$1OdA99=;fcKm97NfTjvPPGC~~=zM>9p!VnT9J(IxT6 za$?5amT#0@AAh;G#n%0H;1z47&Pc(XO00!HWfar&c~oDRO+2(_eoWvl2Zql)$4`oS z?_5|h@80a4=R{Z9IhGqax^{loU{U83RWeAqcW}v%2BoFSp-~zEOSWEUyp*-8?2Ojj z#!H4@E@@3~44M}g6`9U|N{pjW)+b<<=^aPr%Rc|Ryw|R++;n%9=^lTTN1Yam%Thlk zX-?OACcN^{#+I3EMrJc~g_6Qz_ME6S{4(R(@x6@V9g)Qj6;s%f#LDJ;vt9h<o{mSQ ze%Z{ua}+FU7!seo;50qDXNe)ZKSTB<t4_<ZV-M$fo?E<ASXTei3{R~yycr&+(iXjB zQG8~=y*oovHq7#BP{ibS=a)?~Jak5nwNps5Dz|U-pD3fGzU4eGdS+NR$HYd>*l8)D zKkZTHzlV;OlJfYDbuUdy+B*H%9r+${Z|#eMn=*e(_8P?Ld{sC87^GIs!+9nAwDOOs zho(3%KV6_dL;MPdbHl6bXRNuc3X_U!@9uf9_Da)h@8_)N`P27sUHE>E+hjr)pP0w; zeHC#HE^5<ylUW*VpJ}vy+OlX-tl$x~TIIbbmdkN?>K8Q0n<(Y%VZOcPa$m(G*CU!7 z1`Yc@xe0WM`EHP2RFHIF=0g+13!av_epVJ8?)s0m*t~quptQD?Z_1{hPZEq4`&DiF zDt=94GqbKad)il3=6TB*H(B*IPP1p+Jj<%7Bg0T~@juxWH_MD>h>LVxd{p3Ad(KcT zScmt?r_O^;i#%pz@+>_qD$pd5R+ihERIfR;*EKk6_T^3{NuSi;tVY#=4#!m%t=8yW z$;n{J&@%I{Aj7I!hP3U>4zJiI-2BWisf#h?n?b9R-&B$7PlR42&cAsyXj^@%QkcpK zv)vI=66cO^Y&feojjJ<4(EYr^c|C=a9?h_nYsxtjW-4BqvDaRKC8i?(m*TzPj|>~S zm!CMwd_Yf*MZtuDX;U|Qrp*bxc@u+d3<YHUM6cHWK9%0`c(RX)>w;J(<)sT#Z04O1 zNSS_-BW>wXmo+mwgtMjv?K@(9uOmn}>tOJ=iZ=^uy*Z6Tt0MHS-F9BF@~SiY=7_+? z2M$|zPH8)<^(pB1rk$o!Zmdz|Z=F8<(M2W0GrvAbXkXqLq&PLT?WT1F&zW`ICk4;# zzPZHqSi-g$-HAJ#)Xq5GN%r{Xd)#0Hld4I35&xTa%4bSs_)jMa3u^h^|9Byl<!vHQ zx!ja)!wjow?8mxymYC^X&HNUqrfhAXz*D^9yM$|V0^d(vr&R_L>da>g@3#c=%x>P# ze%y24l$DaK6+H)YCR!{x#KUI8c2Mb152uY2U;2cAU1=c?7B=5ZTFrCj#DSEGx_mZv zHOEsIdU$<i%<-~Ft4SzsNpRy7Q(Ss=#>1xLCzkf~yx1SnY<MAAWYTf9B@50hICSVJ zn{mR2f-Kp+wlB;ccRKZ)3i<CT?Hy?7+7hHQ%S+Jvf9h-nF~KvB<L2#Kay8-X<ajw( z<0CnrMP?j#d^+`)@td~yyg6<!X4-UBTAUGfsyweAwEE;7=cXl3gjdVXs$8J6{`<Tg zzin?^|9k3Mi01X%&86q=$vwJpcf;YA@09!QO3t1WV>eOAv26OANiLJ_8qQu)QubuV zrGhQ{1Ek(4EiKcxmEJm~Q}Fh?jp>Qy!dKtzs=d;b*nGEr_LY!1pW?hycTb-jvfJ2v z<;9A);_4eryNmgsJY(~A_FQ=T?q1*i#afB3{d%0$3<ft>RxI3c=J@t@mg)EJKKD-G z7s+EP(>twH{h>hki|*IV4abGd9n1Ueg}>cMTBae^_4ntyr6KO$7?L+7-SpXGuOFJp zZ=#m_w7}~6;oL)Vc|Jl`s){$2`#h!2h;8NX46DvC*jb?Pq4?rKmZyj1RD80u?%j62 z6SD28;I{>dmof`fe@?i2_+IARgzT?cFU(Br^G^Qn+!^uAdxFmdCaLt#ol{nxw0bKt zB_Msdhvr$E$mI*0CQE63=8QdcEl@LlS4h)u#cS$+TT2_V!aaAq-^3U8)~GXY=e3Qi z7ld4_i1Cs3Dl7h;JNLvaYu>K@JIAe7ZhdWX%jUJnbbU#-oa2lC+bxd|VA^4p<sS21 zKHtc-B*6N0_HI8%zm_wvd;Sz(&kVSfHtBl#T$Z!*x~@mbZ9m<)OhIDi+RrLx$7gpm zq|54WtbEb!Wg^e`@!HMfg|deP&Rb1R;?`Nl)iM3@O$)>P*|CcZ4Er1NUYm5stC^}P zMJ<o6aj{^zxMs4R*;>(!jvGtgEOlMN8~WhdJrC~wZ{oiy94u@tHg$e`{o+MWB&X1Y zIg&mOH#_<^r=@#5`O<k)a?#T_32pjmR_WJm?sA;Ft2))a`E%LX%Sz|(t4{qr-~ZjL z<*s#E)33y>dddCl!A!=xt-GHTuCw3kEtj5JY;ay&woYxv^4<G`yxQC5-(|hn!>wOc z91}Zj{_Ng&{fhd0%h=c_OlO&V_Q31U2Sv1B?J}9soaeV_r9O-Cg^yg1yASf*kQF!) zXJ>7#(DnDyn>P&tWvAb~S@7fQt^}(^b{|zch4MMomOZNVK6s9gC*h7&lho0*2V*Q` zS&~Im?`?0cj8bE%;{CL3=gtY7cG3ma29fR)ZFFBf6id$y+x{!7`L@&-fBt!HS?gry z&NCFBSrw4^IsM(N7hmV4pFQR4X*1XV#hlut>371;otrmxwok{#i1kh~x?%Mn|4%q% z{QuKVq0agX8+`qgOusDMDU_N1FeL58mehAC1+IQAF`d)n4YQ@xou0>4yq>*u^Xk~2 z%U<q!z30{0We-05e`W4_ook;>I2X&}7?-#k^=D^4UM#nwv;OJX&s#m?=bCadnJ$mM zWo9m^*ZNA-f7eUj4bi!ep6$H(r1HcHvp=(oKL^fI`x{++`QqZP`*%L6&4}y0XJu|F zdOrAQO?6(BiQd5<cT9L1Ro;DztX#>$-)wvO$n*fVDbM=;M@z+?xj)l3@=ma5`u?va zcYoIVgiLz6cX!j}%FmjwU4pZ}Y<L#5oPGT>#RD3ZpH~<+d0vxwbta+jOjm_B%jN#f z&z?LLu4?<xd9yO4#%Q8Nb(oZtN~VqajHL6k&XszUteXCAro^Z9lEO3md6sON>3C>v z<icb9a(%}*%iC%Wu}{2tPwvp%)TBefo;Ba6D&1L`(aSF`#A3br5DWjaM<<>764E7S zJor~*B6nW+jm}>on<-~RIC-?DF@^R0;wTfV(9}&hIeqi8*9U98HMeeV^DZz8KgBEF z#!()YYZ|BVD2e$>_hz5foI4K{*grhCYEAodZs(JX=N4?{^PY0>j)#fqnbg-hySe81 zFKL_9oU?TEnOjLqHXWZJdX7t5aMH^Xr8ucGiS0s`h10@w&jkdXx*QpA8qpfD%(XZy zf;Xyu-_cFqzxsr6&EIx#)!#L;;>!ZQGhMiMBl*JXXomu^{F6NS`z2V?Pfp{ATh7$9 z*<<$f-zvxc`WnsGdzxhH%~=$cY^R$o?(uBPks2wk>S~VSD8<e<?^I7@Ht1~H-EXk` zE9Wt#xyz>ePw#S0IVU*fN@mrZ5=lqD!>5;fx7OVYcQTt(re0LMQ7-KD7Hj^ri+-D% zua&GbY5G`X=~;eDwnTpNltZPn=5*-EOisD!*L`}q_v+$}a_81wzM`U8u<cf6)`7iO z%~vWdU%OS~CgU5o#Tj$1sfp~3=WV-u?bA!4pC$^+8=qd*?Pq&qvZm&ighr#aZ`WRJ zujTR#)@L~{Wy;TSSZ--Jqg(LV7L{X5Jm$EutUr28Yw73h@*zf!-`XrDC7zk?waxr6 z<Dp#MroG~PPW4+RI9Mw`{N`ryAR}vs%kPg%mAzw+Y&1Wd>-SwywM@O*{f^q#FVlA2 z-1z2g!G@rZHruBMm6xxa{m4J0VXrcu(wjwXp|9fN9!c7Ed^@+p_l@PIE0;sN)+#O9 zYtNTtI7{9~WH;y5@?(vY*2THKjV)ZD?EO1D*s0oFMxgs?)>iXFjY$qdE6;r^w>ul0 zlJg+##_TiMp`WJM%$3z_a@=)xb*Rk`iJ*n5Gp?_xn4%Qu(NcMn>+uKqsBnWf2Jd4x zM(9SLv5wH`%I&XI>~=NIF?yetS@_`c-8-*mhHtsS^6vTB?5HRU8IGm=?#ai^^m8*m z7VWsqx-K>D-XVjvYo;6BRC)MJSFa~{`}>Ed-xx~nHQ%~c$ba*KLwjztx>krBI(s@c zXO7x;fy8^C9|drjudm)%SQhyCn)tDcD#eCA(u()@{62cja&IMjPrJAW!}Ei`0*)kZ z=)5-9fUkLK#Pq`%Zf63L?g}IeN3@rQ_V^}<UTZb<aflW9)%$8wUSFX_NW}M*2dvr! z)*o8aosy%Nv#NA)k8eV-{D*AExsKekKR$TF5|$WidRS`<OIYGv^T&c*ZG5jUNwy#K zlH=Ucl)6FewVaf$a+*e=`RC6sgS<G_9KO59oMX+QUGv&P1Kzg@_?Az)=4&dq+(Twn z*z03b{RKYV33p=-v#gglHH{R%8DZmmJNRGG=9Y<`*WQ{bX@(vsQt@4Wv1qM#UX+Ob zh7EbUGaq`yd&u}It+CE;F`A<1I9dJJuJ3J@BG(seS5dt7*4*a+lbu~y>&$Ck&2=SW zO&?nSJ=*w0Bdqi8+SLU%oHrX853bcNw2}ENE_ZlscOlDpp6PB~oj!*9+j=(SoiNlq zc_u(<zK+nzUApa_8oE+DZ^W*xF3w?V4}3RMh_j2mG~%tCjG&F^arJi^zTaCq+z<E& zvV6Dc5SYZ-8FcrseA9B1cXFRERM==QeLL^vg_f2F?|$+goZR_t-a(m}FXXN|ESclq z=hDq7xnu3@@*NVUji+z!sywb%ustF6V6t$9T;|;)$-))yvhJQp7Ph#yHSgI*PMKv9 z+dtoEQC=ytrn)MJsrvN&Kfcb>nccnq=a)H|^NYUI6kojNX!_k?UA1QJ%eF_8jCPpZ zHP%*Z?!IihD9LDt&E00rj_JZnlgzwRQ)}~1rM7NspXX&|63Jq5cmInA0!tO=2>O)# znrGY`$ssG?u-(b_^{ge+8s#o_^RJ1X%+#@ERnN4X*hL}^PZn)|7n~H9?I@bG?N{-H z<013C|NduPU}O4d*Z<w}?uE~at6Cq+*E!95loIqWioq+;Y~g<%hrLG^UuSkWldHM3 zv_4yI`lL@%A0_v(uDq98`y-37L07<mPrig<Q}|*A-b>eI4!pUlkzn#qFiqc`aj__m z%I5IJ43*hM4R2XB58UgLNvL+@GB{=&b$}s9--~e?D^J6>SyLKzN+f=35;2G=i&8lg z*T>ZM%$3`$<$WUSekp%7jxE=xm{`ov<;q*HnQ3#h^X*j?UcO_VoA&lCIT&K&yJBw} z%a+~!4Arch47KS^Oq;^{8FUwa|F%l--eYdzB{%d9UN;xMjrulAFlf;Ww#R!~_&C3v zns{)512<3g-xCQ>Hd!j&==PX3p=<e8(QiSMJQqx@(by<H#iuL1eeaT#pe>5uRt0rW zyxMld+iTVYpNu<kzLzRa9e!J?()(H5cJG}}Z$wp^4{=X8v3hUW63r*)m5#)jFge=^ zITlr)<xseqIZe61;7zIW*#i|<w0x@>Pd=H@;at;q_0`^mhvHnEJ~@{7tmfQuz5m6e z=v$h%AH8PfeEm-O$U8R9mv0r1ykq5jxK4)YZQg_fa$*_fO)WgCt~2j)3r#!pHg`fs zd1DK2Qj~({TfGShdqvx?l!<$MNZ6*4`Bra&L)Kppko>{#s!Q*33VFGC3f|QI#By2e znxM+9cTb`;rcb_mTJYBPDVJ`>$|!a?XFL{AyFGVK`}2Y)ay#x`udFCr5TJ7B-3OaH zt5tVD{#>wr*|*JmrWCw+<GA(iXTd3FM1+1OX?pFr`+jDH{ll{L?iDr@y+njtZpF$i zyz$Qa3Fp?|yT!D2Zu@Q36nJrM&TZS+?gvkAzkAWq@Yi;I+?%?-f_sx(Pu`d-(`a$m zQM%9b4hPqyyO#wu+c{Mm%jDe?>?R)i%I}d?p5L;rXW5RFH+{VZC2?{5U0=%nrxiu@ z-h21ZhfiLmL1uTmv1LsMgUs&PpDmgTo!<JLb2dDpT<Bn~KJ%cb@%hfTPmBD$UQb}( z?o@s9&f=GovzJ_qGy85)a?jC<sru}lWge@yT|blSbvmc|<ekLIc^Ue%o);Oud%6Gd z>z9lVT^#fI8_UwyADp+8<<{T5Y`cGRZ2A3LEW#_5b9;3yv%Hs3-uFLha;kUU{k5H= zyZQG&v4~ga#TTy@lAM&#&mg9GIq9Y|W5iDvFRlY}Tr9%EZyFCA*5Q70@xT)awj0u0 z6+T+D?z)}q`1<_*r_nR?lC4*KoAgR)Te~##GofwAr4Q6>>`A#NSHf`l4r|)Y$Gi&p z@0f1L<uOzjiy!!w!E7;q!rAZx-x8Q-<dwgOoYgJ3Z~l6pHs1Da$EBC3h0M7om)lSj z&$joQ2XoK!!UJy#-8O^;RWA_SaeK8|^@7ZUmxSIV9&6Y+>y0B@fuh{gM3!YYlX(ty zN`%>SZe^EbzN(kPZ=mM5W;45F_knLWAM<eRuwYtdx!b5=k09HQ&6><}b_J}|N%ub1 zu=V4)ww{v$ujk52b{~9Z{o7E^+3JM+P4A3`$|C~GbI(c$Uk|goZ7Ak?ro=2IhwJvs zoReELl2&Y1WR@{hlGPTEo+~TazUXXeS!&F*h&MTBe?;VL*=(P@A?VhM<9}Fn%9<DT zR@LN9llXc>FCu5k=IF<gtp$!=r*5t&)VQ@`d0OD{rY(Wz!g{s6dy2}k4|py4?Jc=D zyZYq%bBjCfo?~lPU3yjCayO5jH`nEx*&jCUFqq5Bepc(q;u((?PPMk?V_WPVJja)P za?|-Q3P*328))8jDflL9qBu8xs((TJi#IkIZ0mx&A9M+>DEubp!)K?e*thv_Lh+Ih zb7VQ%54%3kamw(k-xML`any6_X5NLG2A1cX=G1n`to!`n#`}{CZmiE=+4qm(_agho z>S;o6y8pAhd#8LuoUzJ4isQ-eqyu8f8lF7yA{hpYj(j-UIP0L<FC|C*Z%T#{kGffX zXR#zd__^rdzNH$unga1QCsxdO)Xia<75S)}lXqHThrZSm|0PRa)D|vuD?RyJ$+=&F z^?f&QG>cw&e94XNTSSj7Tv3xKlfGnodqBL2D(k)DSJV9UZf0M+TDthQ%n=qjtv4@I z8M=M9rq8I0TIg}<jc1xb@6nr=eV=^{5jDGc*~6_n@{7E~+syfmuevs>*Qz;c9h>() zlEv)2`PJ9aT+AMOYfZX@p9k#_43ar+C!H$1rSscPjdta*TkVTu_@uMsCnWV<Dcs}m z?3Viy9XGAjp5GKT)h_aQ3cm>sQd_8c#7^z#oS3fU65Zo=T1RC%mzo~6(@hPII@J{M zL@BDLHlypP!9vv|mgYxQVy8z<C@wjrBDrYJ<KQz+6ZrbSvcIhL7JT%HiBIC6fvntd zM?S$njaA(X=AM#YB`s5^Rlgyx=*G%F4|hHDIRA+MhNZntvQyn3#oY%leEZd0Hd%%3 z_u;bcBVxaq%llQ-emj@H>j`vk?wFjo)xKi8=dFVJAMZXKDXV9A|LI0eJ;(h=icac} z|2XdPy>#s7;fi+kV?UWIryoD|)4B5O<6qa_9+5w9y(et<XZEjrp*~;Qzv}h-eqsN1 z=<;Qc+{MLm-O-xMoa8p9E-5z9ZqN?1dq3NF$E~A2&!>oamv~*YT$NfJb?hzArIoHH zKiSyavfQ59oh&E5u((0HK|^^@@g(gDCzR$g$DaAZ71gHxzvXoHPLr9JZ2qq~{iM)O z<M_YM>GMx~`>7spx9rJHdq(brO#%OXcxu&S13P|wIDbgO?<nWD^9LBxlUrYSG;#cs zoN=T^=!)P;d(O?CIV<a>*kUg&3tXUb+Fr0YLg$RVc(HfKlAp_y^?Hx~e4Zln<6FYL z&@)8}Vivm2A1-g7GTqp7X|Y?+-U<G)i=5^NC-Gdi5Q|@X`)z#Fvx11cqjrX#8~@dC z=_-BKRh`n`?QrpaQ_BRUrn$}zZL+)K%ljB-^1SSrQ|utteEO;-w@bZYTl%K|uV<e$ zx^dfiLavQ-tvBbU^bI^uza|+Uxm6sYcEQNpq59V;1+)7<nxhTgywo;Md9OM5Xdugk zH<D|-g}mQZu5o=-n&z=7eWRYI%FW;#Vx6=4MP}MgEVWQNKJT2-a(nr+N7_z^RWqIZ za4MtAp!(ejiEUw=TfU1%DKteZ-Pq0TbHKu4%A1!;O?&qrV41SZb<^_YY?}9kQu0j= z)Z43dqNn^7n_+FB#yY=s`lOTzdtW|K7VSuRZ)<S!^d#HZv<m?V@9iZFy0?9omss{H zPjka}1)r{k$L@80m>4m0uYBU94}0zJT)8(X!OcW2-fh8N<%f-TYg=yJ7L?P<%YN@# zvBF}_UcrMIi96<By=8q*P9y8>Qx1O7t>27V+Pk8vzcvQi*{|boU+nYdDEs883xc0L zxWUbTrgPb(W=W^Fxp{7%l?^xh-pUr%<+9S9l$f8lonvKUSxR;C>_V%1N_~0y`7<nj zx9#0^(85~j^mcJ`pE;LrXG`}i-XG_C?e=Qv9<jFHH;dm)<z*ChWqYg2%b#+6!*wH@ zfSAK^M?VA<@>a7pU%V&x&gDc}_18t^mEoF`&#Htxzx>uqS7P;=-~ZSAt*i;Y`puqi z{pBT^PgN#N5_56TS|E01(zJt&?MBTaE2bQrx$JGG^ei<)Pf3~6Piz(}(kR+mb#?Xk zu4|EntD2PgO6P6mTVWw~Q1WTz-s^GdCp~YUc>cZSw|n`wxa{?n=YP++9HG7bFYAkf zPkYj9o&U?|>1(Aml$toVF51Ymp)ZM{C&+Y-vIIlwj!Rs6*A{Xs<g8kebxqJa!ReA! z0OPVgPshtvc8tf8H65-6axVBW(eS`EM$UvYi9e2tF+?9%Y7i8yxhtCRdY;ySqPwCF zul-groK`bBv#Vdrz;IP5x2VBBCALY|HlAnkofU8@;Ps2+0dGuZCcOS}{6Xj9F5eZU zACfiZ37H+dwva!e?&~JbZG2S>{jz6`BHgVR3IYx+sGBCpw_xSwk6O7JS+X}6g4f?< zJ7YDE(X7<W%9t(cxH03Si87)au6@*D6w6%ucxFSQi|D*-8+AC>bVR#vWQkz9VO6Jk z;LvTW3e^KY8n~})>x<{CaI$<Yk#<l(aLwZxtj<d|^rap+z_MIKzNksy+Ql<0{&SB^ z)=`LHHxXWF(wHE7*@va>0>{_2%~4D`k9gmU7z_007kBrsNsgSu@UFUBaLwbHtj;?k z+Kq*sZQrmcu3a1{6!R+D-B|csztzHBr3T&&OShHkcsHDN*wB}%Hb;I6Q|aq~X$;(@ zuOr0RKNm->$df%c=|Rwat3@*lO7}K#M!0WPX}KA^%%G_>!C3IeFXO{&ouwZfjbkg3 zyR_`wJZ*#5aW+~D>$OY|Udyd$cwDH=vS#*&r>Q@7>BUFM{fv7hZYlDipe^Eh16PpA zG{<X!!HsUB#wV=uv;_3>wPsyAXv`?O>l&j8qu8x$h9QmO4L>yWKX2<>?D-){b#|#v z=!eY9tQoStq5%%qCWbV2xfy!D)>!4RbaVF<n`?$4jE2eq0k0KiHE12WmT1B!+PQ{# zWz#fYkwe!OUSaX_eeJQTf!jb%YvCH@l}w*om^UWLdWag>J!NPr)d=PI=*cdyrg^1P zhre}0f^3NBp*<fT{jZy*7sRNz>(jc@gwP9_moEm0+_BoBRq-;1r_rnQ^^a8#QiX-T ztYyv-ig^+3enVx)h3$MBx%3oXf6!W>WY$rd5xQXGV#yTQFwu-Mn;&bMS2g*~R@)SH zJecvENah;nl}yvlO__BqFof~6nwmy*`$d*JDtWT5k3U${zE)WE;Lfq7-5dHAo&2DD zL*rUv$U#fD{@IboIIabTD9RiYc>Q9fLb61Xtf{EW*OqG^gB&j?cXqEiyp(D6OSz`I zR#93nw!BpDSh}`(Y16cGc8+sWWVJ*~Ze@r{Tq_JZn8tO+%0nw+<$*QKOPbEkv?;Cn zdFNztM8a#1l>*Ot#behlUd$=7UA4dG&zzS(R{ofGQ)W+X=CwUDh1Je4+#H>|Wz))) zwv_@J@z)Gi?YL9lcJRWJzO^-xhi_ag^eDRBFXdKSc}BdmaPE_4VFxucHzl`#gOl7X z4yduO-s$Joe}pY`q5X^gqcs<+PHmg&vqtI9+qx5OQ9|dEl!d;wH$C0hVILvAG$;D# zf~*G@eROOm3#?|^mtoR4&3;4nmaO<Q(+{kA!KN+pHT0Ko#@V|Ij!tXsWeM{%pJe)D z)vG@TRgNsnJK_2|i8Jfx-GJ_ES5+pgkY2JXz}X_nW$iTWmu<Ngo!Qmp7M?!QyARHk z={&<VRX=+L59@;!n~qOquan7B?>+ZL;O5OjTNC8~VeVF@ixYbd>l=RM?YQZ7mi=ss zLaLDHr7Hn-q0V1DG9L0v{M@>5(V<0wt*(nZwQuNt<yOBY8TxferX`!9{-)o~%6}CX zh@CU6x%!xy(Lvcoe{R0|rf|J($%}^~j|nmKawJT3Y2TN)IONpQg}0I##D6m#Iq3au zR$D}3<PrHE)~1FZ9fxMWnIf#h>#K9T!^NZdP)h%*4&6BtT*qquC6v?@NM^Zo>g8?? z^b4J<ue4uy$K{%fn>qp?$It4K3{#Ei&FQ=rY_Ld2XqD``lAfcwI~F)Z2HjpDIPn>u z@ZOb<yPI#76t!n%P5A$%R9f-`yJz)e*Zq8!V*h=^9y~Rhdm?S?7nb@pHV@Vtas9L} zm053*J8yANt}~m(tH1{?n&l75%Pz%T_T;~M#idu`DR1o&H}$>CChwlK;{4hBH(y@5 zUQ(q0G5q+eMJ}}pDX+7wzS^k=xkSl_ERT8bZg%PK75-;`nU72hu)kpWrF8Bt@eeHl zEw^0OIG<ko$aKMB$xpwE%~n;!o}9!Vx$aSqy_o7L_U7pm`Xvl6eQNa1e=$Y6&ETR{ zy>Cw&WBM2ASPsL>9ap5Dh^||$BKmm8QHv6TE4#n&&JE$rWOwdJcQm;Aim~1#EFy~~ zv-iTTxS-r-Ubc2i_msN)<@Way9#?uwGZvSc@ds<gKjIGFJVC<rx{2SM5JeBseJdy5 z_7CB3+Im7~(wVRMWr7kuJFaxNt#sj7H`T=RSdu`U=$1b&M-}co)}8Ax!`OZA(M#f! z!)m^&c}z}fU+I<;Rhr<Xa>XEZ+WLbF(jGc+uhEidoTcy}q`ggyjaxY&*gYzCKmXej z_U9LUUSIIDukv1gM{I{_NU-}?XZbC`=WbiQJg|cOkjj&+a|_z;$8Ehl^Zkn@;Xxb| ztFBvH1zvk|X5N#(H{2$aPh4)bS^aFa_*3!Q)t96+*ME;me(yQG@TbvszuX|X4{Hiv ze~H<1=WpjC;U}Lw-#4Bs&6|5_iOrLpr`KK%j^VR98zh(Z=l+gAirf8UgX(rYciryS z`@URo@nmMxVrOBdg{yuA)tiW!Kb*|1yX;CWV|{Lc+O5+!Gnkp}FL>UZbgo5r^~7|U zMaGRA_*i*6{(Jt*I3vEsh-HIlgO>|uX1Ws3x0(gP>$cx@tGcox_rQ{eF@KY-dw&Hi zzmq0%{ReX+=Zlpu!+4imuURp9((x5?@v*WCug1*zIMMG{<3_9cDO(ptZ~41#`{hIZ zC8u<MUvaD2s(Jiq&p)XP%=<EY65~(Ycx-=7Gq9uclxt7T;p0|Mw>5eRHP;tTy&kZ1 z)5f-SkJfGduWt2pTFo)rt5)y)%4?Wb2j>ZMHU5ZqlexAoIM4djpAvQBm)|v&Cq3rf z?&t2Maelf;VClB>-O<Z~8;{*P`#;9@<bIQ~_o9Uktqku18UCj$%(>K7@gnK&;wxI` z{w==r>Pv=>h@AXl^@#ppyX&6)*M1+qa_VRFgno&@GmOWNzwp%i^RD>I;y1BXKF!tc z^$RCkKgj=ZJfLaWy$`H5zb$8Ha8JMUg;h#8X~MP*fyLWiy7a~7&Hc7AKxwKsm$l8> zuNR9KOnRJF?O%0dxxpsaiiDi2zqZ_{7W!Jr_Fpt^>4EoCMJmomehkVDk>mT3oTR)e zC}*EpNY=Nse+w>c>)mQwINR@?M%tw-$NcsRu`0S<6gjo|cF8Ge%`0*uSyifg&&~S3 z(RcYBJ_+}>Q`={r`Jtb<SwCk<`;1?^W2(+i-{7RaarxQ6%ZDDlJSb7h(Jomkw)1P- zF^0D(ujRi@^|RHk4RrprBzf9qC1&Gh?fsWR`FAKW$38HQcibD%lF{C#XZk(+-HL9H z+Xsa?d2Sp%!7#IE_V$}0#f$FG3_e&kx9D#CqLt>?67oK`n|%?KUVDenROa{77bctC zJa<L}S-dPiYpmwJoPGQ2E6+2&RbMN#v<j_Rx#)lX+06#-%PsFWcz8{iF1^tx{>XKK zrAC4F&r6Ov+*#gU*|5Wg`PP@;Vb3SNo7B^OE9Xj2OvKe?-bVX=Ty%S1yE-^e`$@dZ z^%R9^w)Y(uug^K<@%o0x^}6Mg_szJrkx{&kf8(aMy)#@z<24)gPk)g4mLzuf*IvI! zRsFQU*WqTT)E4p9+C0@yyLf!X#I5JW`HJe-GMIn)*z@O*<KMaqE5lEU^JyLJe;IG_ z;*NYt(VkBeo40kA*?Vvmg=fxNZ&!4|*nWZL-#;^(>jWRjPh!ek`|P59`GtH3rBkzh z&TQ7&7nT$^?_uPRnazCv0@aU4zvVOfbE>F!(l?bw{#8Pj@BSTf{Ms#IprHAuEVu1( z|9RPui;P)Ww%LDsA+z<4V(0cxN4-;fyVg{2Z*Kj4)3Vook!{V{+7oKuj>Kv#d~5cq zvQIxK`V6;Gl}+;h_S_V)#Dnhr={b)yTR(Z;EL-}f`IP$n{~C6gH`n>-+ezMN6qK-A zm@nc`W3=A6rbw{vfO>y<hrgVF?7RsgRo(tp4t=$s)-c3R5b=04d7-s{<tlNVJ`L*@ zmDWo6a}(kWUjJ6!yz-6o(i4v*<-YI8nbm*lj_p60&`|Z`AziEb(<64fmu&Ip`or(p zcs*;AM{aoVpM$S<6weBpBf=XZn0xNWw<_!0dj~JGq+E<Dd;j3wq8VGxO4@8ZZ7f^U zcHu(pme#<%_Kv@&JnQjove<pKd#aO=bjo3&JsUQh6JM)sQ~71(zl1X#SAMMO`D1*3 znQ7DS?MpT(UHY4~G3@JPzX;h(|C;>e|NkwSyt~iC`9;l_$(n}gC!ZwEx!1|A8~Evt zdL~!@oVlJhYKp~Hg2pkMjL$XqCVfiq-4$0BxZ2?5{t!dav^6bn*{%Qb^Z2OR`583$ z6|x<Bd3WM@@lBIeeO2$LPxsTYukgLM_4}vK67gyw^Cz0jw0f3v+TpIqzjG{K>jmaz zZs$DPmO7W0`PSP7yr-2P|FjG(TD_Co&EVpXLsmL2{8o#F3%72V_iJ-$;$EqrKMws8 ze6nHAgx0U$?aQ~;afM!;&0V?v!_Ux5D$bg9zyBva4R5TObN}iI)sNL5r=R<?V3EC) z^tshuR>3b#qk|qU4;S*!`}XJ1vsYz-GhMW$mKrI3nzcmpNLT&UjkB&_(APQs-u2aY z=KZT2XNWi5o*1d(eZ+CU;qScg*1~Ul*5rzB+dg+`iC1F%pM1`5>mP03u>J448=tej z8>EO$Jay&&I^oo4=9FVB_js~iO$@RNJ~cZkINQfm(bxCe6tBrWQt`<SXU!i+uRHKM zG4<5l1#hN4^I!kytkKr+tfQ;*SIm9Mo$OpX`BvTMzOyR5*OH%iYk$3PXkWzL6H@gD z4)DK<-f+=Pw_Urs@^b$EquMtEP5l;cNN_n&er(gJW3wK%?kl*#5tjUa)ub2K^WRPh zc5V3?H_Pf@!q$x@JG<`R$$LGm_g1X;DrYn8cSjl?av%F@m9gp4`JQ$TR)Hq-f9od4 z$##XdC#_QW#J+8{RC37whuh1v{s`~v*nds_3+t(xjaF8I#>bY{zq(Rfs1cCG@=|4j zqOC{whsX2mFWzOI=*RGEo4sX4x5s0h)VFf0F3-x(X<55d!E)c<-RxWC7P{P4(sVHF z-?p6n?RM$U{O=9se%c=zIxTzExufo@tfqT+S)DdrS7H;+G=D>gReW&GZPogPPfqU( zcF~_yv--(;0X1=%#WP}*G-s>{^$9yVvtoa^%erY^^QW)yy6@HHmA)Zi{-Qo+sqa$j z3x0WV%~9={{9D|l?Za88@@BWl(8DE*<VEI7Z}pq^?5kAe(Zgpa&6@Y^vhkhUK7X~} z%{sfM%aWP(>OYQ5t$O)S90i*ntlnRu`rgy&lZmI|`^~2WnEyTF<c^t_!Q1WhFCnJy z^Ky;U)I*QVnB15z7U<}t{mpedUckcrblTqo!MB?Qy~N91XD)ZjZh3Sv*!NV%4XM=V zr5ffNdOBN`?nL(bG$!3X-c^{wpIw`#ChK=n@T6>?Xnv83(ZTT8XWd78JSJ?)&GlbZ z$KLIz%esBfJ^6W0`UAGDwUz9aD^}rS|MTpb?bp9=<)lM9rlr2DR@-_%KkuD*&x{KZ z!O1Rd;(om?(>(MAcU<tZbWAF?kba@Y*L}|P%CgDJ7XEh<-E{m9uiL|zKeNTZ=+Ax1 z-Nw)-__s*C>S};U^yEZ)E89)((_L5BG&(HOXjd#)Y5ZvE`W&12o-c3oIUjkz(%j6v z(NV$L)~Zr-+jE9amot}p1GW@Ym4s<r<IP!j@qpPilVyV4>2o>0ZLc`%y=})-Zsj7E zB&n(!CB|z6o=fG&RnFUe!O#EC+R4^VSJ;nh*eeD<<^H|u&&E`j+6}n{ALMeFCthQ} zy_kDq<CQ3RmqVe|C8wgI7X)uMd7?P)H+M-@UccX7IqeHC&)ZrBUfaxSwUzr2qnKu4 znDJ40gA>}1WK9lhrSbM2e3q+dd399{&ki#s@g<8D?y^5o$-BSj+wzMUMT<?<&GfrG zq<Lq4+&yE<#T8yV@5$#bx&Gtjj8y)cD;<l2@`SxA)YFVK>K0pWtl4+t-sGtIkh_;9 z&YOOlXQIK((A~SZEzmb^;!fuv->rA%JwNj?$h$`SsCU%<_zewbf9-Nmf3@M`&YO0T zz2Cnsn8YXTcjCpOoa3h7zb?p9ELpc?rpuK@f|mDoZ)d-&+uHBN^}zL}OW&G@R%<66 zx;kkQ_s!!I&13qf|A|)MQ<~NF)LAK|>DZ+kGVvR%t_XxLEm-!FFW}M_4&Eld&&D?n z#9d-LaBqs~{xgogi!L3oYcvWtpQTeAFk6K0ZE5MuX0yXheWl+mUd#z{t=PLn!TyYp zRN8@R*Ou+4LU=c>lUu{Zn$7fYmBiehupi!SlXu)-{!`$k#O%p;5-pP+Pf8Cw<hZDC z-W$K5i8k_$hG*X`x${T%PVjuDrtE+V8=b!?>}h3G_E^s3P^Gh}$B%V-{#(DT@(*=+ zjw_XDy?1-E?XOC-M~z6KliH#-8F$|6FFPW=+BYn@@GGQfj#<p|uR&jWmN4jkVQG84 zg_Y&Tp?7n&GHOnW=}kVQwe3S8pZdh)lLd31oeSHeH${Xc+g6<C`pY*KeeO9`JxdO( zOqPijdl6$Av%H%1+nOZ@EEQirduX-x=E`lFw~VW{@?6(!(GnB)k`IZvEU`vwQJLb0 zyC1y_iZ#qv$ZvZw??ln0-wq|ZSGIrEww`<Au;<QP>)a*nwT|^ypLn#e9SEHMrNOpZ z<&asW%W4fzxr;&;g7F)6Eu6S1H&r{Eqkg{5_Q}(l9ByvY)fSO(Tz9eWu<+yX8Y?+I zlWV`417Gca?kK(WM3c&#Itk;~Dt$YaE-9$7kclv}yYztjh{68rTV&+@9AA8WuJiob z$`=<SO07!lROV+LIaRo<vw5C|M!R#MY5MuV=L=`-d+yTc^yR9tSe;M8vibanr?1** znm*G@^YfI6RWTp`Z1zpBIw!mG@`jvu5?vmz4@!NpW4F!czscpfH8JrZ$I@RP4sG&S zajL`ZtgiFIUHg{^Y3;3bsXSc2LHO%2l@*F!Q@$VVzj4RQy=s-<YzZ#6WWo9N65W%+ zGp2dXa@oCqQ7xa<wtsi7T%B3^r`+)5`z<``?5mxwvQ6b|zB@_HQ0hp}lP5iFu^*e~ ziXA9gILj)2gH?h{CtEFpZSm*wn+5YW$d_5HJ5d&tC&4PC+?@DO_om;azXvV%C9HL9 zPJAA}`J&yX2TQKSX8n*lvrqD(aKo8y_g5~@HP+u%Te<CE@pX^6es6!)e~&W>oBL|7 z*utv*B}Hz0@4w#uemv#<SMS%hYI7g$4O{qg;S$d+>>9V;>}B-4*Zp5l?dRRO-)AhW zSRX5~r*555sL<krXHI;%mr#+<t26z{-h-#A-_5^S{qw`UZrg{pA{)McYybZ5)yyY* z|30)`&!?Vx&@<QmwnlTuxAglVh6`3(%oq4@ReGgehWJMQsLZbZv_*#RFMZ+^{<Cq# zuGa;<?~X9$C~P^hVx9!owIA7wuaqC{FRK!4m=%z`Vb-_C6iYw#`yWn7UP)ZedSXVd z0ejq@6EBzO$dpyp2QEGoVE3Tr-b<Cxdnua#g`e(OUVEKO_2K+UPUmV)Pb;%p8+_=n z_Ny>2t<%PH&nut)n=WC(HZkx;(5{{)Jv(vtz0<b@Hh9kN|F36u`f{hDqp;Yv>1)EQ zIIHhGv5;DG%_#oOMM2r#9hH20CO>+XA!?qxKY`8hxKiGhIKNY+|Fe|8$@aS6X$cH# zGs>CK!mHK#<o~;;Dsy*#libnzDObNG$ULdt>8o4HwIeTA7H)P^ssCaV%<S{jq}+Dm z>-(~0U0;sv@#4D_Q}Ac)-nsuzC?EA+>-5Ljb1Tc_+JjF%-&^MXtu^k^#2@dICf$#% zR#`Z!VrlG+H9Iy;_WRu!8*jeIu`<=ZB6Zho&y#UC{VSJBvaMgT@WUyu?URdc2Oe1a zlcC~i^7hF-?J`UUk3XHkr`h7X?rfuTi1N>~$BaMJ<f&BFKI%OZWXJn7R?Bkb!mKUV zZ=bAO^dNHj%&xSDN)z{~h^%}jR<F?Z$M|=`GM_Eyf5?{Y;+DKT|M!9U^S>S3^V-~} zW%cw+1uqP5H0OE!-FGGV)U5xzdma8C_@cDz$3D-LYL_72lP}h(NwGKX`!r*pJo~jr zzrkL~Jgd}~FDlm`leyKs<+*FlM9&Q;_U-h$#H`us9k9Z{uz8VZ!N=JeAu~D;{rxn> z(OcpF%o834Qx#^sJGGfDxRYyH*Zs<j8BGbltj>GxJgebg@KJZqimb!jzZR7r>nV`B z@%>dh$KuBY%X4b>SD0LsKP4<|IV*`FC0A-?@XRUxuRdF{)t={9F8#&7;I3r8PvP<z zS)#Ws*O}&aJifPNh7=d~zWe?&7yQ35|IL$I>MQ$S^v}?`$<Fmp(J++Z_`J>h$3_1C z%ab==cI*EJ!593#`j0|hPdTT%$#;54u#wWTz7~hzU$YHMRU*87j@FA${^OS|*16K? zq2b5)u<8{a4`<Bc=+=3i->a!tBi+h1`Ea_@9ILGR7HNw<ys4e)*x&l+y|S`lsZP=< z(*sh$$5+ib^?!15mQhmHD+cwaVG9m~$L1cpQuv}xPA1{lm5>egi3(Pl@f+eEt>du} zUu@uYSumrS{V4O=ul`Txamr*AGdyr8ejoN!crsJ2>4LkFB{|O>w1u1H3N~j(%$dJg z_;>&P%;oLuOWm$+Z(i^yuX9!NgIf=O7lwR%U;2Ay;MG0Rb3d=vJjpj@xu}lno;^wv z<AZb+ZwgMxxshPJr>}uWDDLC^qbmC^f12`vi@U%0U-HH#7T)&jEG^fY4t`wVDRQs> zkk`559Z#QFduA1-_%`fs*6?|CXvc>{k+5q<V(h8+{%QT|YitrsQTf;B_~-7f{igr= z0zY2oiw{}#<9AB^o8^C68@{l<e*T-ug!xW7@5Bd_Gah&BIjj>R7-=}A+LCYI>b7Ie z>tE_WJ^E3m<~-AZgV)ub3fsJRS||T1ME0)Y;egGnIX{~uXTCadQ{~ye$e>*2ydxh3 z_iQeiK39y-o6Amp*A{KZebKKp1zoml=l4wwIq~|{q*QqqU+H3nQ;gQP8Pi;zGN=iP z{*U$fw7Z&7WsX-%Vqv$?fs~%zlb&wmSk~u!An^?&hkCrpv2ugT+#>0&g4oH+&&FK& z?x0?HvE`VQRL9z@VpBex{cWVYNkL@gvRa38(GOJS=D&EuzGmqOHZAGwMJ7Lf#+Los z$8b&M6?d$5yLwuDtzb;}Vueh<JK_hwzA8|ETJ_&8dU;~PMB782Q>5KIRYeyZUoH7? z$(>2I4hQ4zUOZ5h)iU{0TVBYi{>dTVFF#~Rljjlonc{wOqlV?Hgc*$At@`hNPq)6y z$#N<;ohN4Fe6A(2?ADsweVlba%<S8=nD5|{&eIueTw$_FL9-aWcJnzJoboy`JMlSp z`0pYG`BIHtEA8E1iA?*n*!FitX_Tn``w5?3#{A<twY%gGPrbzh{%gyQm3993x2AfE z<Q86wJC8JL-~a!YqrO()-UQ9s{3G99I7ObGdgBetlbC5HK^&eNk3}bI?iYRfQq?Py z-&g4ro9ASgSNs$2>6$#Y-}g1hrStyF?TL@lCYW8&@wxTx^^*Sz2AAE{m?msb>XXcT zdpAXU8LwCPrGz85E=`P>a`WxSpZ@|Z{;r-V;k9v#T$AG$BmM8mzmo-Iqgpm{iPn?| zSRMN5%5{D9G6!+5Z60Sg$!iCFytK1f(>$s7;SRm|ck^Gd)SO*AtvPVfV}<kmu6{=P zMa2gvB!!uVEWLJURYBdx$)Elyw?wI4{c9qwqNjDt&Y)(V`UEA<gB#XpZ)gAGn4CCw z;}k2F&Ce89Pgv+>cU0L*aPHy96Hex9{%Y>Nu;Yabhhf!}$#=Ykep)@bC?{IMyooVh zpvw4Pw#EuGrhjaUgbkyt+^kQ^I`Q@j9_uX&%H!U%k)^e!LBK7N{nVdV3<<|GR@`Xi z-mrG>g+2G>#Gd}LO9~9?|IjEDFK?0CBNL~4=-=1lCrlrFs+E0|@G)Y?l8tRE*skqp z-t1!9vFZGThlWkZOI9`N)$%{yX4thw>IPf#;fzaJ4!g7yx!umJJD6;>a<9|A6V)@9 z++q{I*7eR{V(~luGOKqRmc%u>@7c`%I&ERTkw@l}?3Pb+CvmmklX!A~aZ>f!i9Weo z-aX|=H=4qBPl@N=<PZtRsWt{xBCAe(QRP=Y!*7syiDPoRVB04jtM^>8dnf%m$jjQU zEMR4Rv`H{^p$E_Li`VYHE?X&Id4{Jm&Oq1y$l1Ny_Z2)n*?#z#%RBM<jh9pBOw7B{ zoA+bo;ib}f=e7uYJSjBa!~Uqi{7#~#zTKfW^SotF{&3q<)4TLf)Rg6++D8}qE;_$= zQr4pI)IH7*;|g92daeGu@alc{f-O~^x9|5{TeRf<(P!_s6m`sxZp}Y9Ic#^>PbQl} zTM?PAzK}coY~NE)bUf#8d;Z?$(yguXXXc&HEx+;b?Zgk?rn@ISnw2{J?=~fqOCKL^ zDDO79dhn8Af~fTJT^}@hoy-4AY|6OtN#*p#6_yV*j`*3s(&b&g&1?ONveip&wcX>8 zZP}E4aeY~q4c9Ht2_L@Qew%P1HK(bni#@vK&GboJl{4F`7F?Nrrf~)Tjj#5%zQ~;q zTsrj_sMdHF$50sCZZPM=o|jhFPFs~e*|_gZ#_21Cx|?Q9JbA_Nw}eE)3i%_}?2?7~ z_B+1IiKv*qsVjKD{dhti+w-5D%^w<)4c`}k-!M7OnZ4!w_RCy)n$Di8yzU}<>eoyz zvJN;PY|pp$l2DA;2{ZLN<-~PcHHyExNQy3ea9F7LQFu#d{L6}O_3xxw4^{JJAD^w^ z&h*sX?ID}*uDJ;_81G!@pPgX#!bns8#MAU*%e0>CB{eGNdFDG-PG2|Uar7jq%+yDn z=_*&vcAWJnuKT%qZryAKXYVD2|LZ>-$@^8mY@SeNuG6)TO)m@7^d}w@d@`Sd&&4uf zd*UL=%#Vf(G%|BMwm({F+QhBAPxXmdSh<Im)JvOppEo@TE#R3M+`CUA-&oC3)A09$ zMTId(HuvuPkfHo3>)XMf))S_`WD~D3=}eV(mP*&0KBp|mnET%5cgB+3!c%^B@-J5N zf41Pos;irHmtNe`p|ttd8nYvdc(gY#FFe3I`6B1TPOD<pW2YCZ2A@p}VOXO+G4yQ4 zkI%c`TuGO5x)Afe*W#JG^jv%2oyi<`HcCroMmk^E<mPvrN2}1rl12Blz3lBOFT;Jw zzu&*ih?Lb>xg;>dy+r2OUcEf|J)PCB3Lo@vp0{B6f4ZTZAuibc_#$07TjtHrB-Z;@ z@_tw3T41d2;(cNDH$B$A|2)ml4mdxb=F}HwP<2G!SfbzG<(+P6(7n_8+MjEcR=x{= zy~Ez?Cif<d>{q$!EiV-B9O0YWxvX97n%dK)a@)g~yG<#7yJJ_tR>8MdP8})b-@7p7 zg4vS=Q(tZjkO<xt*~@DpAhpL%__oq3k##l^#`ncDOcqV~{q}3wt@3G~yx*U?|K`c# zo-JN+tJltLeRwKYz1HyU@g->=#U%dQm6%;Q@W%GdHsOfXa!;71EDu#)YPr4Z&)Z2| za_<Z-Do%KP@#Y<tJxe|wZ08aAc>icxWz;;OZ)*Ivqs3-7h8tG=HIO!b#*lX*&@id^ zztQUjaXmMd+!2#lI>}9$$6v2FNbl*5lUr<hU1eg!+AM-L9&`Q`e1Mzzynp+_S-Tqo zU-q9}bl4!-`bC9~48w5;|HlqbmONJN+m&_xX{npS1|3tKJBw~9zMrM_?cs(A90unT zKZpIvSU$V&_%iPKhrb=Rd^7t*vj6otUZ2?h>?;nLvctG;`(>YV9#cMqY|8BOQC-<T z_dqsB`%mHGGe0yoPkHaKlbJu|-uJ#q6I_C>$o@IMeDktfnu$+DwWdzWT2!9I_OkV2 z`&8{zu7zisij24H=$?3?3)Eqnd+m=<RN}+xufM&tANF6clG&rjl+3yIuwYbwb7j!> z@*P}jpV`^O+XyZEn!OX$NM~4nA&{eU*3OJ6^NxID$d`#V7mwX)FSb;3hJKw@_)*{K ziI4L=dS|9hw0zGTT*jZowrRKCzq@{=pA$?9t^9e{&%b`Q;p~;*n92Gn&%IY^elkk< zeC31fGlwheZ{~dV-Tom#FR$~zpSkH1-li4%8?{=l_w?&(trXmCuk`T7Pl>WKg1hD0 zHkk*C+}D4wXb!8yk;)^_#J$cq#P!~oV=rDQxpC)%eXKfvBraKa?@avB^_{7r;a?Tw zvL21kmlIBGe8KW9bo;tVKeU8h`~Kcq72vCJ=|T6y$lHNC%zm8Z<Y;+fIQxB@zQskE z&3gmBxTP2dxvhNhGq&uMEhnFv6yN9gjvkj+1un0WH9WemJnXm<@8?uL_4H!>_?i#% zdKhPY5_(wu@}%FX*k+~;i;@jA-Y4pm$6q=8?}W>Y2UCI#P83P%*h;4MFIcl={@jqK zD_7k49wJw7@yOqP>e*?xxkT*Gy3LJdNRQjxxUW61Xz%Y2IW=)VYOLp$%(|&<`ZihJ z<>$hAZ!4BM?b+jdihJiDe!b%fZ{Mx|p#Hh0;*e9p`%~$krF;C|u6C*U*0AJO+ntKv zr+yvw>*Y@T_vBlt^^0<UyQPg=x$efRi2gds`^G!X@e2E&U*QEkRcB47-BP@{nk`I$ z%PZtknP~6xk`-5;<a9dZ<t-IWWBIi2Z&b=dt>TYQn>jmvIqoZ-Fp(pqTVF)QbwO0k z&kbp>`aLEc4Odm#AgVgGgu&{9=+qnj_r*0h%=Q&-;7&DRNaZlglXDMMoUzkq?eVbC znZYNW1wD7KdXRTYSGpt1MC@Q$$kt9HF^$)&8V?CCn$}p>)O<DQwK~I&IczJ7OarYB zIA|zs@mlgHfp0C_l=7FqS^p)JW_V^jzpJvW{Eb2EgyRRdww$QECtsFjmJrFQr*2k% zkD+~C)9I86LUDNuW0Y1-doY2S&(bu_VrhKB#!b4-Z&(<Ae>u2hdc;#t(+#m!oho;H zIQ2GpEqeTOW1WQT^eYM0(>3^u{)$U-D&L#)o1;msbz;uBsa#vRRj+EVv|qG5KKFXk z%JeLj%GdLk-E7RM-LvEJ(kq-h{M~bdQa|Nw&WisQF~j3<=(@*Qb34_$&#y~;{Ag{^ zADNDySMonO?|dd2=ep15#6IPf)13avO*p=I?SrqbO*Ux_g`cjqcRl7g!yRx%@5uAN zS0mYDYHlsp^K6$a{m(A@NJp#XOZX(U*NkG%n^?a%JiEuzz4wCi-NHqD3u}(vpF8zH z>umjl2Wt-O*kAvCs=%+aPTOnzuYXDZHTTuW41;X13-_&gkBL;TJha4TbHUd;Ny;(r zcgV~5@b2>}_;Ea7)|CTa?mCrEUUJfo;V)yi3v)`EZdY{1dlQN1H%r47zPW6bZBVy- zZdgO}%7e_DeASB?U$nQr+b0=&`99yT4kZKWi2wB$6WXjqmewfl^|_Ry{7_`W8oQOc zYkW5Ra2NGq^L6?W>lrL*!@_of@y<R^gB#rXE0Wo=#7~{hox8T>?z_KCo?0^U9nml4 zLj`_ix4es~zWyb=+0KMvbGz`D?@r8H<(6<Q{4;IINwY)0URxI!JkBUMd@oz!%k9>@ z+_ZFFhy8mTqnM1ItG+0|_Tb4Q!({)X=NvXnJLI%BHfZ9lnukZ!1deW)@O}B*EeVtO z-Pm_uRhYTY^LtjiYu4mCS&vy?*1NOWF>DSO`m+1dgDXES9P2NhvHs`cw1=0qS9{k< zDxPue*s`<!R;HEFGWT;_OD`_B&dYna$>Ea7>#YrqC*KyQERc=(&U<`k>B74&-u(#W zm>;g<`>;GFV$1Cx%jd3hICdq^yD2`a`{lgo*#$4JFZPc9$HsPn?+%|`>>~b|m;L;g z-<|Pp%V}}D>7KI|-JP1iSl4&AtcqVU*g9S1-1^C7cZ;HxWA05fX^r-D$>GckOnG3P zlIL9zyzg}1@uZqrO0Vw+)d%cdwQZ`<dmDp^r+y@A+q{~R`}x#t{yELYp09gO8Sj%g zcXe}aiN~kpgt-y!&89bMm*(8w|Fly7&D&DP&3b(m#Z^bV&PbkRV>|TIf93I|8z;PP zDrnZXS!x*JdUM-h*VV_}OIL<2-7{O*MAP<c);{5P%X6YX8+ZNGT)cZp<k`z?3eW6U z3;gbR$yb<TsBFgh=yR;{r`e$uw{34fo2ve1!=G19#ig%#UdhapE37#X-_3sh^LHlS zJvZmv^YoeM-?cfH)k*aAM7<?8$zApb(sgcbd-f%4ewvPMZcpI0wV45?=ihCpc-@pV zRbL_K-jujg2KO~R`j$@mBINSpN#Z)Izyq_VTwd&6>25W%F1U#Gm#Xo{3&{#0-nH@- zr`_IOVqD|-e~$8px1Q&(o}b(CobPe}6?KKlHHONZQ#POZ;Ce+nxk$?CV1#Vu=XYCz z{kG=M)KZOB2<e{w`<QCv{M@n~e{6P3=YL<2yrK4soz<d(BL}QszL0pcy>d~7o6UpO zs#{On8=tCusBx(;a)<Q!%|@m5I!_j-DONAbQ(PZ@X-%hf&K8@lxw|*qI$6b#QaH(V z>HGKFE?+<K%X6*`Z%1P9>-E##ypiae%zo3;K2|nzZBL@=sTyv#DQ#KVg}pAh!hXgz zSKV4>xin4xtTSl`vy142UycO{??fN$lf5YscvQdqR%U>*$g$$DA&ksl;@+s3Z;S2J zx}djQ|JbzCb!C^PZp}`7yIK76v-3u)SWo>vu`$}B`i4->ZIi`bS5_=C2oTdPoOJI2 z|EfF1C$6?iDQj8hXlhO2JtkKXt0A<wSn)*D(i^!h3+K-=5!lI8@kC?g<(FE^FO{ra zQjoLt`46kP+jL5Uu1){`b-}iSWp!%r*2>iyy?^gG<>|it>^nb)KIE3Nx83i!>b|<> zyoK4!x~Hms+>;BLmY;sg`)B5_tCn5QMJF*;w$D9L7&O;!|F^&cO#NPtORfp8xcqYa zQom0}UX|?1nK{|!`L&l{MCxK<mS3(*FL`+LX79#=<epncrbqtfaunTTwfkArw&OF? zAM9XX7k&J_aiw&nvd}{7pSC^X^Emy5V%I9N-E-x8zjTG!p^a8k4lVf==~v`FvH4`b zZFlqD8CzD*WO};p<o3yOtCmYw<iwSUZQJpIp}sxhnU$~Y?)P`&BVWZ!*+<o-%NXi3 zM1Qy@X5v$KH=cK=>)L1)(XV&3)^)ynx;><Z>7$r(dwI_LVlzI?M=nf`Src!y^KFpe z43&GP_4#q=vL8wN7%Evm7JB+_>+Y0$&J`Z1eI;OZf)w*w9Un*Y%?onVtvOxHj3>S+ z_HnGt*3=2DpAa%5$Sg#2t=oUL_zbQ7dHtUyweCMy-_^3nEmR{y_G!^>E&i6Kt#4|s zyj^%|$Im0&cUK9-Y8QM8HIrIBPw2x{t*C8s6B>_B`5Ey0VW^GS+h^N9FiZ7@25(#I zswUr|+Us^h*tTB7%IMX4-d{{t%5PSiy%NxkzV!Wn&{4Vdu@MGNwijh2<8~Yo?^$?$ zz4EP%xBV;w>(|-@-ZQPc@*}-O`Ne7;iKkvczTS&6y-yfui+sMW)BSow(T1Zht7LT> zZGz7iPTJk25%O>P+&rf?R-U^brq8`+bKJ8so<Hbo%<q*U{FhSi+^d@VTIH0q)=_b< zRqijn-hSHu#za0LFJbMayrfV6+ENq`zhbP2Qof`sv1o@!%uC0r_L+C9^rXyvzMBRA z{}(eShkxVbIM?|Z6aF4hQ-4|drIT%~Uyf09`Aq@qh0MPLgx^I9H(RZpc&Y4cu;7)K zlho8JUo~0Tp8VQyQBUH27SD;Ob9bW;?P?6r@DWvdTdy*eJ$|yN%WBOpLEQ-{Jf>d{ zGw&DkaS2Rj-pP7+LP&<zcAn<cbp7Y+)^?ce`|MQd&haY7vsB`h!>{#o^&-wK5BigE zVR3-s`6Da6j@h!L)HB#bmWVpEzA_a{JZN7tV<p3yE`z9}V)hxWlkYfAdbl>RHCS?2 z&I+UdTB$Fdi6*_!4}Z{9YO><8x6_YOPsg&Y&vx3Z2>w6S@6#IYS6d2HH{|oZ`qG{7 zS66ex`Rg|QtfjkzJf_So2;8u7;hlKz7y9!S$#oWe>nssmJ54p1$$eJ7i16v@S9rev zR{r>Er?s)rUe{0!3FB$UR;+*REIqX&@z$m9qG__T|7hq)B$~7&pH@3IjZc40%8yl* z0VbWg0*fxm+_|dO^evv(cwTzgqXj$UB6Te4+c|eFli`vIQM@ANsTEQ^zxnaD-CO$q zJ@QNpZ+d8|lDKlKPmuT9>Z=O3O+WwKaoyQB=)IHY*<W9e87*Qr-NWPR_gm$%so|O> zO<T9Pt;m@kvSKIiB44S$xyzly3$;H^+SaMg;`wBWZ<)u{L(Dpga}&62K5=b%<e(kK zf7!1`?ctTUn5ia@W=Krkx9Zm|j{B)6xBdOr!KHp;#nHf|g|7rsZ&#f-k-{3r>J+$m z*Q#^vt%{tdqV&bqt95r)*w!96@Z#Clnw2cFQg^(rI6H6X>-nkmb5}&muWhv%-K(!o zw966R`X)@Pl~q~n!MDhiio|4z$N!n?O~s7<9NW0~|FIjla$9EzW`1C=HB4S4_+b64 z5TWpsE4zPcbqZYc%j3(x9rmcz|8DT6J<A1SiVsZT_$`pR$~AePM^4d}Q{vB3XP(@* z%5SehTh4+>8zLsH+xIH#-a*5~NtunRRv%t98$4MN(W>gXz%VL3M7VX~rO?#{ixXDJ zDrfS{y<EJyBIo6_@FNH2Ebz4WqqI`;!&DdXtc0)ZCrbQNt1ieZORYQdC-jnvQog}N z=kUeOftSmwoDUz`#N^8R?}1R6&fbHMKURq-X-wjdcTBty9^1>N)gk#jar2q>7rhI3 zqWzKs+gdY4RQ+w!7rQ<$OqTVYCDi;$th;5p*Q(zynV;1hu6()n=)t$DQVUJ~Mg(1) za$TgpIQ^^Ck`*eyx<&2Z)Wobm%&b|T*)hxYx%K6r^LL(ERJL&6w}hj<I~v~nlq@*) zRzbQqL~!nW#!d1H@-BSy-q#<rH`WxcHskJI&;RmBmhR?Rm5r0{7$#@ta9)g3yWcWN zOIe^}`knqs$5t3#50_*Wd-U-&_Xdyfk2@M)^X@6o_v!i2AJpzq922O%^55y8v-<@8 z@w@JI`JehoJD?#<R=@Isj;2xJK1a@bx0kH6`W@SHT%r9VYrFN#Q!-Op0+X^XA3F7? zR4~sjA-^=)?YNxv+8q+6{2M0k>v;Ec)?&TJqL($=rxzLpX5M(=x2pEos@OWun62k$ ze7UT_P^|BHQa{aeV&ta-OIHbHt~&S4yD<2NL(IiBpWQR1g{4X)cX5cU`u0_OdR@iL zmA9v_xqajG#^Q(lVLz3wM(|(A&uRLtS00r2&vA#C&*@x_&HDQP)u;9*Y%OVyyLioT z+2m{fw*@Z#y>6kIU9s3tlzrvOyT)ecKlWNpUB@{2-EVo*#af?P|Asyhd&HY;ZTNG- z%sIP{dH$JZ_3J|0_C<HQYczUv#J<O`Yph+c$Wi{LLGg+GOxFbig^sK{n7%FQ)ROh< z8$2>o9`(&Uq;xc~y>wEVxcB7xr5=mlZhF|b`IGi#v*=}3+9oGg7%By739foCd$03X zywBf1+H<Fr1}*mc$$DzR>NoR3d#!SJUGm&}&Ggk5+Z#(SHXV=8692W|@70m#Ue+?^ zzqB^D@4nQxZiU!Sh0O3<g38;K*e_>>-&**%I{YD9Fr)d-(w>J=uT}Q0>brLHV!M7+ z_uegncQ$M@`tx_|hO%qAy;fWM17>ZxWqaQA)sx+~Jm-Z=mqt~aPD|yOH>p|lSa2EN zJmGbW51QTPUgJpEd^b6I&E=!lElw`hzxI;z7{f=igFmJ##qIFx_<gOBt)rSh@JN2Z z5$|=Z3}Sgg4x2X>e2G%8)XTi`a$EM%EA|FjJ1#8jI`+5g^o-Xn-giqC*z`o3J~lim zI#IPIaKWK<LQfm-s~nX|og9>|IAKOz_m#TPi~EcAUZ_{=b8Pi$Di=BCdY${&<Ligd zot)3rA?ZCiUGv4qPBm>szbPScPmV56*&eL6_Qr&gq~8bF<mz_sI&oR_E!V=I8<wox zvc1{t+>rwr5B5LWVt7j+b@_xjkNA)DoY=C{*qbw$QCGB&vp%9qT_I(O(g()lGWEH! zJrBQy{7|{->h~-)Oyj~0fgYoz{*WU(_nmrqFiUyGiygrSC-<B>7PW5Xd@iAn7bbkm zuniK~q9gFlJ5FJV!Z8D_^xGQoYXvTNSPODXc^e!G*tWK|Kx)g;sdjs2SlG+1v}(OP ztIsbnx8uFHm{{Ppw@q_Q4jnh_*1T;d747Ac^}KiAET@mA9!m^6Vh>JySfCQ(T&rH2 zxHybcd3&?Q+3P}~yt`W+5)XD1sE8a6efhBUUqa5C7dI2{*{xPN^=6`{rQw{q1G*LS zmE($k_p@x6e=RpReR0*kjhAO7P21SAJMkaGV$1qtZ!Fafi_;8+iqp;=OFx~)7atU| zx?g6dqVhBAkKSu`ElVg=bXq*yKXgL+s>?j_5*FSboS|nIp7A*ucQfKKf266v9={Dm zHZ@B!)oj}3-I9#XdF{Gv_aVvJ()nx2^0~8jy<B~$Nzk~rZIcJb?VZIvs{)o(RXqIi zV9yG}-9-}vMZ23)T2>U)>xdsccsKl!@)y0;XR>l;NOiSHCC^}ZH1(p|+9GAM&4y_@ zqLRy8YC;X`HBPu}NM9Sm!Mf^Yp!7Mn$BR~5Y;BR$mr9qqxMKaa7*nMyyVfwZs+;^` zz8WFFy4v(b;+gZzd$P`}e3qW&S8qD^ytYilhnlOAv$#qW-5%SwRfl&a7_aZ?SI_P+ zD10@k)io#HG;WvFH}-6G_K#c%TeqZNWLEro>e?Ku{eL(<27jN#DEW2i`8i$^iN91% zUj6Zkck90QllE>&Pt||OE*u?gtkyI)zM}7<Qbt%%zRh$6k8O%7-M>{AF8J{4zq|RS z$eWUlQfVf&L3`%k4qDch7OC^<qE!rozSH}VZ~8VfL)&W;>Qr9HPBm{b%**UC{=p`5 zOs`vVv$BPO?S;=>bF%`&od15f^darfF|TRzum5mao_YN%F|7Pa?~T3#hSE<D)}1x~ z^dQDXDt+a5%NZ<B7^fDU=6d_;?c?SN_3z#0<g9a?8E?y0m}4x~r;+f`YvF;rXZ<cM z<a=IquZ8`DJJ(E2h2qb?ev9@TdOU^GZ^p`?y|+5T&aPXz@Up{_<7fR&l~ymk#ks=l zU0O(WQk#?gijbviB=$2uQajMuaX&upS*Y>yx<CIut*QT9bMlp#oOxE-uS177PLA&{ z@9qfio3}pW^uFD3?!O;C3plxd?kCwD&1FGqYyWSbe23SCIsdYe=4&w-zay_Y*&a>2 zq&)FyV|v25!)9G~51Hwy<tk(a-Q#;`@}c6WXS~;+pxd|e6S+6vGm+ULf9KJXJ=rX8 z^E|)0zOrnXoZlL^GxtEe%b!J)Pw&uh4c)WM;n!Y`sU2nVa~4#b&OZ8cj@tec=MH~4 zJWFQklAtV}sEn=Ly~_SuE*;{&pxtt<IBLH4X&INADZfI(A5Cq$@kiB3<*^F4;n!D1 zzk0S8l;1ryO-Jdi@!PXKK01$r_iA<V=|AaTwX5}p<D{-_Gg$tg65-q1t6Amwd#Ytg z&`t%%iO0Y1RQ$xXakBqrkFMnsFQ@h>e)CzigEzZok*?%~qL=jr>P(hr*#EW9;o1Mn zIPvzs!$u;Lx2ZBO^xJvXwd|6h|N69^+RJW#^N&wz?q79um414~hfP0kOEMHs7ty}H zcizFacMJ+wt1r%2e~O3YUr11^Mu7gx%(+Wl;#2PAo?o)Cd_zjyrF{>0JHDu#cAS66 zDQEK%PnYe67g@_6tTdf8x6ic8RWS2*#C1QNLqBZ39tlV`Fe{7<Js|KuX!ZpA1*cxM ztSWeXB{Tg(yrle=+Zm^2UueF(&QtQ@+<&W;&y(i3xMcbt+b3u}QH~?jb>+!6x9ETI zPnXWW={@;hdvIXEQ;S9Of2RD&(QB1Bxs-pQ$o!KAN)C7CO!c%55P8_?Cz7h_{HEl< z+?+FpOaA8U+IhpTs%6^keKJYQyR0`hHVUPAYv;V+T)*$KXVnGqBMU9pZg5=ryfMP? zR88RV%0HZa_JKEg4l%@@FrIO!_l0bS%y#|jFYmOT^75VZ$l<|vp7YwLOQZH&^z3_k z`m4p8s)Gl)3)HLUXqW7Ap6HdC&3Sx=-N!C{JzlN6M^&~S+dr9IX_wyE$gud**=<Gc zYP`F<|9;-V`;SwhI3YEeH#Yt7j#~RqUyp6lxSMqN>8ADXynejTjGpx0?Dm8GvvyDY zf9>XlQ-0ZnlWv~6X85^O<KcUS3s(1>Z*?^p6n(Br33_JxX70r$Yai>%osy1RyCouK zanN1=?S@N}-yeKoa?7vE_HVoA-CF*fxzcWtIh=Qo-?nPM|9Z(D;a4F~e|Cqgx_+5C zX6ehgkdx7Y>re5EO@8@xOY6h?_q*K<9Vf`IukG8r=Z9T^T=R3jm#*JF$$oF-N$rhU z7<^gcD*K)E&u1bQUgm3%F<*D#@fm+{#Rq@gbLK4%dtvgZE+<OCrK(e9uPW=M$!2^L zPy7{9Qp;(1pyKo-?83_{W!!ED>V>Bjeh!_V9<AJUPh;f~j$d>5b9-%#Uf%tEQ!wt5 zT}t+U;lO_ZQJVu+b7&T;1^(?=`HFvq-*e?<l{`h=9z_$`H_V=HHDQT|T<@{Y?Jc@K zUw*lM;r#t(8~dCk*MF;)Uuf<qv)^ibTH9i~M^Us~iBQPD8LhuXE$uHJ@3DNOsP1Sn z)%vZXnY&@8{Ot<gtEt91?$=j~g%y6Bm$ju!axJ&+!u%O0rt&}B6nf-(g3HV3+gD=E zehK<a7s%bd@M}-yjXCFYwkI-hDZS@rS++uJ%iNWBer}jt6fM~6)3tB=+^>^+Z^=KC zTYYeW{0)uD{)7)zw*4A5VMm{DH#@(*(#5ymV&(Psl`j4UY<BIh?R*mdq<nr*yyKfM z$2*bp1^*A8zG)U%a3X2-BF9~GzAHP=zEKi)N$;^u;InS+l22T}*gUuL&db#<*;P4t zvd#VV7hW=luAQ-EYnfbMu<8TWuRk0VLR`-}E&eam&9UK0m9@^z-xpo%x6E5Q<C}(e zsL!omCQlYVD5?2t{DM1RU%>tH-qvopCA()liR^z=tW&(_OPg#mTimyX--+jM-M@3+ zuip06k-2knzuk0x8}dhDot_l$Q^R;2FY}^E-BX9QT>N+WU)ik&A6474+CLBWTXX)s znRd@^-tQf+67my{nklGXo*^C4CFj3k)t|rni{hOv63)bmnRVoCNM2ZYMR?6OjZ1j} zS<iLjmTjpF47%%o-f-bj{{*R+LWz9a{fAx4Z5q=P0)-ZqzrCSWVAHwoLxJ_nhg!cb z^hs7-oy;L#^k<{f@;j$RKmMDv$h`X2yTY|sUd~dVJLPKmQoq#p+dpfk^xv{=Uw7r@ zRrR@7R;MiWvyF0W-+g7;;ZTRXZN<~A_ymsMnQFCHKb^Tqx|_2aGDUn_2|*EG2D z`-zU~bv@6(_qDs1XD;<K6gbb+$oKHqzPrWuz2?R-H&}lvoWAnb(n*WHz4Cc?f_r|` zh1jiAca~NZ-apdOSld^_xsc6s>EbKRC56v!t~HDLBeMIX_bt~s@2!65svLHDW$xLk za_hh>pDm5n^Bx^ok+ViM>TrmCa7>qH^yHhV!jIkN-8i{Wd&)g`Pc5A*d#A3RsbVP? zz4EexqeVcF#EV&H)=l-8pfC7FE?mpZNlt1_I`1#Lxfc>_H_!fSa+`DQj%?l?lRGv) z_-E;rml#kmwN+66TK?H1W$#Zde>%7GyU|T)^VMeM!rdPar=>-?-16mF8uMPTru%`t z&Sl5wT^x+llKqkes@Hw2oVCHedTnTrf$hAP5?RaJbYC2L$+_&;eqFB?_DGiB#+=?Z zCq66=T=XoIKW)*{`F%}N%5B~v{AqHt=X(CHZhI={d#l;&-4%<e8^fPyADON(+htp~ zs%fo|T9xkSjc<H@xd?up^iAsYM}L=_tXtepSgzZ)f^XA$<H+-yvle}@64tGqrmC;> z_Nxz1%iI}L9y6UhvZ+$ZYG>;^?duUQ^%ZX&W6S9ZzOyXn;Okw>ltb({D{r1X)!gj# zU&iL?3k0)-UxmkIKRmQsQQYdnBDu<G>DR<93^v<+uzY&xvus8m@A<?VZVx`p?$R$z z_*Qef_{FQj$=`&IOWNPk_+A;a-bTpUphPn`=A+Fb?W@I4HwIXE{!J5n@#?is)5gAs z%2WHyEq7g<C~a#W<a{>hf3@e)-x42XUM%}&bH485q-_&F&wY{<wdb+7tkdHcU&UsA zQMh})v^(A)^X~DVep_~MYVP!EId*AwP^Rsv(q8c!Z=z+H^|xJ~exfCFGV|*FjQWQT zc)w6(|MnukBCzs_;I|XgPn?YS#c_G&=BcS#YHtP2?4D-+50ZJmKXB=;`EkitK5t9P zXjy(J^vg14=7-OtA8N_5o11EX(Veu&`Od2cg&W>`8}XINWJ<E9?^k*JfK}g6Qb+gR zp16rKH#ja;_&llk_!0NUql+)cE6q~wyndWdZf+T)rfJ!t(0vV?R^P0eb!5$J718ax zS2r0hIxjopj?7o1bu-;2{#|g_ZQ*oZXH5e$1NVvFESaqfQ~X!0$Pg0~E!XI6nJTwL z{^pwhbu(>uw%c015mkSg_^Z*jQpsqe>Xj+1{&hdPK0PUab24$g+OFg8XPlcA?#sAe z*gtQ-YvG*_@>5^cS?O~-?tL1%M?ZhgtV=O7SpDDKKc;t}=h?H{qQB-&I>cH%Mg94< zPW9QxB5f)&XIFfRbY+_-^Eco1S(>NgGR<z=pVk{>^4DI;T-XtztT`bpT~j|_cw_wp zkqxUi-8g-8z2mJZmK@bHbMvZhn*2=FT*fagSifS{y5!_JAEnF^9(>Q<{NQ`<iNo)& zryYF%cKyt>(1ee>naVy@D~R-Rem~h=xM#Op#C9*O_2+!2#^wtBX6{_fwC|SSdb8jA zPt6FD-M;Ty#*4z@`1e_!nsY7W*&o<w#GDjQex5WdMs3NxJ2yI?l=>9CdhHi9`_%Q1 ziWep4#EYrz>MPfK@3hab!@6$OgV)#RPPtk=)i3w)o0jP^>#y6muep?YLONoCZ^Y8c zzcpBQ#C@K#&rAKkwBIM4=%tt2%;#Lm{;4(h9-CG^U#;4szj~T4V%JxGi(VM~ZMNU5 z(g$2~`>HOh#Hh8HYaCi=-umIJ1M5Tn##1fo|NURSo-6_q-g{r@knp`%O6#nCtHrFG zCVNHC?9UfHSL>6u<{NdT?;jG@%hH~ZcWJ})66>xC>j`<643z3lvhMRz7I3||?R$>N zo7{~rq%OWsOT8$3@1FNhFULMBmo?Sz->Tem6MKJbyKi`YO8EXhoBNaQomf}4=kV#z z9G?4FDrF=uy8V0eO7eZjoLr^nv0mqP-!qhbVi#5UFYoDs`S%6cMfYgWTVEr-;)b}E z_kwNu*I&LWPu16`=$}-#bxD>!2jfSZ4h4mOEP{^$qLo9UL=GSN-m>t$ZDPgWYPG}P zShOVeeVS*WlM~3(KiTA9Ky^G*>X+XIEZuYKdoF#D>s@(epMFS{_uFPw-+-O>mVD9* z{`q{LLQJRjgezKkd%oDI#s_V&J@IZ!ZNje4j%Cjyo)q#~*9yJc`*=#3U>B3cmSyZW zTg__}^wtR2$_44w{AHf;a#pC7DfffKv!7pS&HYu+!60)@ufcuFGh=&^v;AT}pLxx? zQ1i1rX+OI|%6gOeFCQ}}Mkyarv%BN@Q$6D6Tlrb1><^ye|HJY5b=D&Fh>u1o+O>g) zbs}1u8ul~(o#9j|q55p&Caqt5t}mtsa%cDb>HnEE+rA+=tg7j>pHt*qeXFI05u&b& z+s?218S!RT{hF?R^{KJj>v%pNTqnwu5nA^4b0=qp*nwa!1695k0tYU06}ZU+1TCt_ z32WFb(a=}k%dl<5wGTJdFG+p6wbb-X9(#DjW!t!mHx}*LxhQr|<i7<*6&{yb%;gyb zP4=&MbTQp;wD40;lEs7IM2pwKi4_-@s~tAw3^Cb%++MWp9lOY3XBA(AHw=OXGQT8e z-M2TN<FF#jOC!i^;whD^X*TOKyf0r|d|FD&neo5mF&X7s5*-)AZ-t#&@^gOTXDt!K z6?1EU>U?rc?kxK7{?_rEGflpIK637vx>T9j`oD*C7MAf!Pmy1IAl^5>m_aPZ`H8^Z zqZg}mi}pP9%m4UWX<OdoN;kpGd_$|J+j@^n|CVab*sE=Hq2hba;kwY%Ece#$(qRtX z*`{web#@1P@5@s?tJe5r{S(@DGS@lMcfz{!9pBC@*&cABdhyT6Q)k;>tjOQ;Jlt=q zdDL&wKTUx)Iui9O8}6Q9V9Dub_!ky<?Q`}Oh6T<H)glYb_%voNnz8oegLTQa%m)^G zGDsVBXm0WR7ti%E)y;)r_i`;R^OOpM;stMo{1<QYwlU3b7vXr&#iI4-W$#-d|H|ko z=iR+$b*LXVza%UBkvHcU&+OJyy<Le9q!TNY3#PBXbn@rglN)Rsx!R7`Y4e!dua&4% z%WO(~5}jC~-J#L;^YWCovt18&-{*4vYkjfcWx3knE*33`fA6gmB`UlO_e5M`Io$8a zqO112I%CGIq^4s+GuNI9nK)}nqs)%bi6+vgB)v0|-R@?W&H7@iAO7yq<a6Eo!?Pz@ z|I{dc#Gl4-|KtU~H&*AD)LgwPeEOO1r=vAbMEmB2>&`K}THADT?pNk%HV#YGjSLER zNwe;m>foP}p#QL1)xj{+e+K*f%OCEj$+OfQ^v$^1e`87C)yrmT8<`_x7W~j+7S~w! zqG9Fz*rmZIOP)@Zm8@RK8gIF%MsUxg+-|04o*ybts&_uWz2Lw!zN@N#4*t5ko%#EM z<)8gdu$sl3`XQt$t1<EALshdgeC&T(cIzE4)Gy$j|76PFmhI7xwcD<L_!z5gaH~OZ zL7LsZ+dqYW&;N9;F4pt=F=?%<(l7X=fAtnx&y7&LcaY_<bs|TN`~H+Rqa*Q>eQq@# z@l$52W$Jg;hx`w^_&?8+G3#Q-rVoLCmr0iC9ccfubL+NaFF&2UzrWn7v-#KUxqhb( zX&O$wr8;4*cFC>$>60tYg>Uwrb)j_k0>R5#nxRH|+YLLXmwE2~@j-X#<N1@+ZXK1I z?Dg?{p_Z>x>e59?e{@3LO}(|=bJl{Qx962khb^0QYZsT*+QS>{^ZYW-7-ZIjb7pQc z>HFYo_U7Whzni=I-GAKpwI_bgl&`<F=0>?*IG#JtIqdb96Z!#)sfIG&To>JVwnodG zX-AF7QniPZe{P&A@6UEWz3%>Bq5Q<?9SZ-$Ywl~*Y4>Mzzx_OK=9M3_Cl}o{ep~aO z%fDysw&aRkkIiFZxGv_)6k69!-n=MQCUD91pPA;)eLrL;iXBnkqyOkR^R&ec>5<bv z3ZCOW@%v@<x*h-cjklF=@?rjWM66RVbAj5rKU$yeFfI3AbbDvrvAj8^+wZ?wQ21Um z)qjFW_rCYJ^X8gcui*2DbjX^w@=*G8rVH`0HzwC=Zf6z$t@JOU=T6dzU;ZNepOtq{ zb=h0c{^8&LIW=Eyac}#{<q}*o#m6{-B|EuPL@X-bdzQ;){S3Yzx92?hG+iJ~vHSb> zRd2fX@4m?+aPX?DUzYeI;ak?6b__mpETJV`uVT;Mo*3(8!cfI?YqPn|q7bz$_5arh z_y0Zk_=oK>r9Vd}gr3k-y(NG5(c$U>N7jc|J=hyw`X|f^S6-4gIV4|2e*yc3@XI%* ztzVy{?BDt6ey*19rne>?B}_Y0?k7v7g^4Tgo{}LY_SSz=chTqcn+K=7m;T4MY^Ug| z6vl78d>3Pulu7Xku}xNSZ7*c{p2Te`URf0WqC~YWf%Es8XF=6c`xjSP>0Xh&s<D;h z>ZA2mD<3vXwWrL;`mx}cQ_6Iqp9PzruHq4YYUcbxBQ(kKb5UEjM)u3Bxwq0+zT0+c zbK+J%_Zu-!cBXFiWBjutd#m4Bvn_K?!q)M$GKDBP*=l7@>TMP{mucS0?X)9#Vyu_Q zkuJ@w@};H?f@{p)J8kFsS-vegX90V6hN=F)f6Rxbx~w)S_{(6)_ac<%?bMe_<s$4_ z2Toiz&U8vkzvum7gJIwC(*^J6-)as2pgwu|><*K?lkVK;h@SJZEB=>M!-R>}@iqRR zISpc*u2|RXo2!<#ljFF<-a?)_F{4*W75Od~n@@yG&po%T`f&99l$|TSTUy+E)w@{y z)Y<vD>b6eJ1%K?;w09TH4hVR@-i-fIhJj9X62JJ%sXGEsZhzI!vRJ%fUVPhfM&&wX zub&@|=ZTmto}e!LJ30NwksR@-+ZO9Mv@h6ux25UB$A~!>8mIqHS^V}U2k)Pc8F#Ao z{JP*SFL#6eTgl4Cy}OosoAwJCK49{^XmjQ3`s~K;u#5MCqg>bVUE+(mx#C~k&A&yS ziGP`YemiEO@NwbysrDLkW~;KE6FidsH0|PEF#-RdnSDYP@^MLXR_^XFS2usHx7G5e z_~qk5j}P;?{`dBDte2lxTrqdiT+UN>76wc$3s`kZ(<}CIOVG;P&h6_3^EPdl5c@o5 zYp|fv{%wz=V@}7}u<pLBZxOqs*w;$*=UqSXomXq7_qES<-zD&>Vw%%a?TO(ZkA29F zkqKJt@pw(k^j~we5}!-2syVs8W0uR2xZOU18^hPXG_0DvK5E~KqJ@t`X8zk7(_-zB z|MAyWzMZZrJ6~6CG&#Ct?@|64LH9O0RkbgvvfX=FH2y8C^0F`PbMv^m8uA3+oSVOv z>67Y#uQi+oi_LjjXJ38&Q|;21dpcf%nXiAA2|kTga^VwIvS9l?o!2+THNWKV_4UOU zEDp}p)qGR1_iXWhD|TCkC2QKlUWXXD&-FLEZL@yuwM=t{m9vgm%YV-6%ebU;hW&ri zMHaT)t&2JROLqSVntn>RY_r(WC0kdqr|!_*qwTV9f=JM|Q*$T9FVS(9;8^4R^T&!H zmeb;YidCm3>v4SJneYEMoF#Z?|Dz_`#}49GO_}Y^3WAoV342bK_;Ze@sA$SFEpyG| zSN?ooCn?gyvHEyR%1i4Q-N!A_y9<7P3BP=f!~Dy)><XiOCdXZWHL}H~Sv#Fya!uyT z-r^sNo;{V(vu2FfnaNojU}+jwt7%;F@Q$KP@BOuN_@8wCa^Lp7{PxQ(JH1QdCy(we zpSW_Nenr`u>WEzZO!>ljpWZm7FI!T@yVdwbF#jSk;Rnoh!E4k!xH9d-CO^En^Td)4 zIetGmN!NE9F8mJ(+PvSZ$NKmp=PDcVWWlKkXSO^ol`H*g5%Eeus{h|YmdVe<HGT$$ z>^!A-{?>_icP{gb1|FZk>e8yaTE4&7dc)SHU%Fuv{^;nZe>U5~!_O}+JDt!gFz;vh zb)J8$Y!w=!cWw#ssGLhaeaLNL)z&{J+vnfXoo=-7-;yPZ+J0V2s*X49zMyx}IFrrj zS$D?ym$%n?z5KD2Yrg&eZ5gSbc8lvATJq!R_hP|pj%QE*KKf&ooe{eJV8)D>+`?}I zb>yapgd~5u|6*o|-HF++a=a$E$9EsUe6!)$%UI!j(M9=}b9_9l1phN0c_>?OtA2jS z&9zMB?^J|VKXlHPS`j<LW=5isbMeJH6*0NW`d!c8HfMaEoTBe=%_ccOqJLpW`2H;u zr>~dQFbLlgWVUF3x6J$X*G?|h^xHY9>Au_U<;N?nLcf<!JYGA`ul$kw|Ia1ASnS*8 zET5bnFJ9?3Z~5hrKNg>C=1zP6deYq%%dD@})9028%dsAMan<T3+l~{(U$W*Ndvtut zRV&>?{hzK{-EELxzV_P7yNn6*Z`q3U2q>Srw`f=YT`jd&xxri=m%kch?nu3wu26l* z`|jOMuY5vdw-j;j?VYsj!d#mRt+~4MzOR>Eu}tpOsjHv6lD~(q<8o86Ke1>_hM-=x zis?>WRo?R(--Ma$y=!-Tl2`CchqBbkYM#L>`edeDNPMiY^sw1H)>^BMwbT9tbZ5!_ zdD@ksb16dfw7s$YE}e+b6U{Go)O_syGCPas3w!qGjdk9wVeL(BcK6thUih>5G1I?X z(J9e+`sr#<-?nF{KU!v$YcIBL^}etF_ohr)>0{v*WSAJUX|salEZZY{tQBXz+O%nH z80Uw{NyW-_i)R%mEGgxj|LF5$+X#mf9*!M9vs8bGuX<?{zxTi&ov9(}KF7SA&)>0U zF^vA6<Lw-3SNB1p;@i8A1<OQ_pME2^-bU;rd-n5Bx8ofyU9^3*#6v?z{72)=tG3U0 z4tpGXbJ6x$OntK<x4*jK$v5u=)lJ<Z-m?^)e>d^;52b)R=D+L{jf&I1M+iIf^6vP` zyfyajV&7L=_PMvl&DB1V|5m5x^bOZPDjS|9eqxD=J<{LhoE=l*=yPGlGy92;ywwt} zyUG|9Jmg81R4zH_p*ZEZQqP6f16+*pAKtXJZfR+~tZwKgZsWtV&*rp8><7b%UO)8? z*nbF$cS~QRCpFbGi_30Rt9r1*+HSYhM;|XaA9=sXac0KlD|eYpf<^zwnygHTdAP1% zcSeZkjvWz3t2ZvZ)S>Z4FDgvv+ZP2fMbF&b-P=;jY%=c%xeH!OYSgO{J}wg<D|>Kp zpg~6XMYX+mon9RFh^=7XP*JaLvztT8?Xdl_%dG*yQq6C=O{9(mrp*kT(C7DaQ^uK$ zj>TmQ<LAtK89i++x9sB%nNNkfM%5AQ7IA4uuWXl@b!yW6tw~3Xl_qlTdv$VYu*U6a z_gCFxYF_KU*7)9<36f`Tn&nG{m_B~MFEWMWmaKbf*`kQ-eX-8R0t4PIW~ne<Y+Thd z-*)Arbble!WlL8ErvKPb_q4No+uIK&Gk#>`gqk>-+VkqIFB4wDni(KHDNfkw=C(;D zD?%T-)i5M(zGVO8$m>LTZI3;#mR*xyoxMh94VP(8&oAZPhbshSBss3SNiDu&e&@2^ z+D(0Wg-dGR_l9gN_vc%0KJx<T*ospd?)Hn9v~-^Pp7Lm~;Xk&;0*^}`etF^Proxi( z=QK;Ehgi>pnJ=3-;wA=2ty<-Nv`y8e{SEV`%&cotN<8};Re6&f|8O&0UcB^JlCpti zN2iae1?!L73@*~e#+vF33Kuul{%b65TCi$irkGWxuf@@PmIAJx?YV7C-9OLjnYxJn zP6^_>zc*&TznbOilfAn2dlnu&TYRPD*IA=!NAAy<w8sCI7pJU}+kp?Ry?UNcB>rfL z?V4UY=h(5F>r19D(m1?9bMDkB&7X7B@9|A){>PlJqOT*-crT~op~yF9Ucq~sPTy_? z91vfl%j25mt|u!QD<*qW;)}lS9Jy6ptjnY}?yQ)c-4TB(IC$;7Ws`*s{nYC!Cr(~} z>(Z5H|7LdGG&!o%dt*(>LzDQDMfw5(`k_L-=iMEy{9Lr;8ppQ`4I$ybGxqlX2v$j% zK6&S%&V>nQp7R?SXuY4`X%_Zq^%j=+WnbNP7z&=YtT2n+8>CigS^jB8@ZW<o1Xq3x zmHe4LPd5K*>!ydQyHlR^oqZnhG2yt{1@*~pJbqC#=34Ez-5ROIzy89%m`fsZ;SFm} zCUYA_BsD%<&nYLfFU9+Di$`+7Ed2=H-@Co9SmjM!@=W^vD;B?>JD7?K?8^AQcO^}^ z#-aJU!Zpg{S?-iib0@u0>u)~z$Yg_ucIiP5%N5$(txSixPfH~~5ff`$@+nJvhQ|AO zb~8&{_jO+}cm4F?S)=ZQiGIBzV!^!<t*u|oJSRtdVeh{G>E_P3OTU_3t3)^4j(V|_ zZ(-?omdj3m&iz<3d*1bvv3crG_`dG>v&WD9eZTDd5+&o4N?C$I{hq5<8ZX=un%x^Q zbN#A&;n^QzR)nsKv%NTlt6gx`?zR_f`zorB{aceIn5pHzQ}k)sCD55_Yu~Jx`Mvhv zKlg>%y)(A_PfIMj5yBn(^-1NFdCykQJL()`@vk#zbzA#aJ=bls_6vLxF}`El!g@G3 z`PQ)@>%cs2md{sz{c*f?h&SnAfQ-VG3u0~+^((rItQ&;atzViRv0L$Q!mBmMwr@IN z9X?m$?%J<AAFS0`WS9LRKK2C<_nXYpg`b-e^-33R{=pF9|6KFx*N2mxR2StOE(}}B z9}@epTB_>5H~)ciw*3FT>}$JG^_fBZYnaBDd2RoKZa<h-_urPc`9%YB?328XYfOE5 z8{RmGr|$i{$4^)3>+)BVjdYa`yq=UMc=57XY3Ui;^LfuSv#)=)ntC?)+7B<=ch_ys z``LE9@bF)7#&Nm~$JWhU@fTLceyF?B!T(%t#<DHdu0bxB^?LcA&wCQ}vM1D_>8$CV z4aejSm$f>54djwv{^GBp^HQNle+r&dN=j79u<tyf%N8}0QJIaeNK^IGb8XeI)D`Js z&(*aHA3Fvly?^rXu*9Mu)x(;bL$Xc@nJU%E>sf?fekv)^9B+SOX<S;VrFTTogb5#n zrd=?LQOObH)DIQ9)Re+`obP@$r?>Og5XGXoCbyO5XU=&&V{846?lV7j>M?)Z%&5B0 zBX`P+T|6~sm*wv0mf?=O@G{=%gPG^UGhZd=osPS(@;Zlj<+^&u<sX$}p6vL`I`<m) zq)5((pS;_izZEaZlKI%*)uQayTd(PVTaB&eqLs3j=%Qo3>N8%wsF7qTPIyx()042j zwx#<^TZS%ISHK5zt}80lMJi2?76;mVPY{23CnumpqU&GvhVDBx!V}!Kd^@dTb;R|Z z)JzMZ-UBIxBBzwxEJO@{>q#{(-1bdC{OL5E184cHSoH7h{wE+Fm?!KdwL(JmXZxZh zqN<EmGOF8)IOjIJo80Gk@`KZZDGOMpUr@Z2(y?vh1=pHLe$~r?lMIEH&v)RtAQBR5 zaLIMb_qQ^sv*(`*UB&*w!oi+licI{T1(!{ej+Lvn3i5xeh`W-x>AGtz+nyD|U#%Xv z&Ye~n8<d(cbCK8tk<hLkr_`@$%~RTZ%DKtw`07<FiX_4#!%`k@GK@=YTd;1bNNC?P zt$9h_Q&%2Un{LlqI%!3(*5sY1+_I<oKMq|da)n>Tb?VEQ)K?nZ(~aE~Jua8}+x&i( zx3ZwiJ}ID^<=Iqqt+`LjR_^Yr*1Prn+a8}^B_WG;zU{s?&D!ds<eTn$S+_Fej(2(9 z7QZX`blaiR3mlnW{YtwOxj1%;xB8<~MJi6rjh}C3bj%71JKdBoxBq4DEZ1}QRj!&X z=*`Mo!`y$+so<7`xa9|?P3A1g?Mn`5KP;a(*OG0`<;cuw8(Uteq)u#9UmaqxGTi3O zQLPX2mzeKY6E_Ku{pob7u&Z^+x~hL%5uer>ZrG}0D&BN@dGd{IahJrH8aMG(2QHr^ z9I77u*7B|E+@j<ECOfefCSEwXtMxyhr>p7qljWP)js+ig64`p;?wQ43BsBu}zDeWV z`zAIycFOLyzo%C3Pp@G5AbaHDx$hi_1#6akI4IU@eLt|>^LcdfjFNrHtS8s7q`a)T zF3-lgnMwcE6}4IR%PaG$0+&yBe5SYM|KY|-=T>q`uUJ3z;>uIpr&WBn9^y-FJshiI z^*&+ld4|P%9~)P$w0HA$s_S2}GUt|2!khMk%ecbluI%-Hv+r)T*;BV1FO5qNx{rR? zxBc>zu=(DzPQBw#GylQSBi!j#pJH>>eDX6HMdzx2o?3hUo%z61?YP`Kpl|!%)}=AN z3+LG|sVzU_9)D$}^9@tIkT+X-=jq2^dAVHq%!8+~T&IdT_rwKV_x~{WHUA&~`}0oK zOk8pBuDGe^(|@6A#x~9ib~1M}yZkl0B2%F9SyySTy_L?ZT0X1g|LpmWfB4UT&iM$x z{v7d~53Y+!_Z^6-@z;#E*sHX1nZ+wcEvCPh`=*=>610dZOj-1>;`aBGOX33sm}NgD z<(VeU(VQ#(<K@@1O0IM@&dX{}HUX0t*{P^Yv3I@_;nvT5d_a&*Ln-%;{pQuHj90x{ ze*MEY&kN!U!V)*_xR!EF+F0UF(A&=FRoAuMT$g-3vZSdf+Hce3eKY3VSW;Om!}jB6 zQ0c@azmi_Hu43*xwDi%LwN|BbQq(7uR{qMnnyGi{a@9%s-qY7rT=q{6xjo_Vr^L(4 z)%_UP*`G4mCUn8DVzuAdroY>ktXN#VEcfcmsf%N-yneFQD$`wg*X5&J>l3<HI%kAj znJM<kp=nDfx0}M6M{C9MxxOENBqH}cS|d?0yXKz#<YO{(CCYhu*h<}ZYh153QcHgG z+qK{?n~2#_?t?3?JXp^5DzQI2a=nhpt!tCtvP8b#xi(Gavp-k)G%G7Z5f0a$tSr%q z@o_1FvHl4zf^x}yj{BWO8m-c056}BJNsO_l=%tADOVfoOQysf6cD=VdcJ%)77@mTM z8~>+&oKm~eH)U0P?!>jvEhj43_&e@R6#G>_m-o2RmFmlCvjhJ9pYe36lgYDej&7sb zm9=lIuPtTqn-H46Y>&g?zkkE~N+<T+{81C%p!Zbj@$tnc_Fq{suhBdr?p#Hs@D76n zl@D#cM_<h~`*`T@-<5i=PM+?bDy6S4(4}o+k$+-~i_W?SiS_qP%F+chwM{mk*K}*M zp1WuA>#1L#vxltGUl#hOb1V1qodvPwqTN4Fo2*gO65#k+qA2b=uWyl<p3$_6VR|_; z`))s5zHs%yXyF&CYF}joG_^N{E?>qadi#0yyk~2z-dde=ooBuMl}SyTrC`1N3KmI$ zAkC;lp;G><{bwf542$ox^4S`?VqJJh{XE5xeqGn_HeHENn*01S<#G<XFg!5zu~>BD zj<V*By^C(#jmk>=p10?mcGGR^Rj(HL&0bY}#>ac^<@8xuvPwI6CoMYndeu4WYgV@D zGfVY^?yQ}(=-lj8eihSN&+mU+x=-xR!;LLD`KzsNZq_aBo5lP1fM#maob6M8=CR4m zahmcqr_nE)<<HDzK4tEUmHzfF5qKSY^pR|c@0#CL(`M@2YCX2Q%XP;YS2gaE%AZqD z*{;vL^ZagCRbTtIS0$$+yRQ3AYPvpm*7Kcft@fVwh-lweu+8aJWuI4L$!wt)t&erB z-rL>Vvi5CEtF>p)m7m*J1zR2udj85XZSIYt(C3VvfzP8tpZjOeJyf*$+*$3Ax#v|~ z=dKQ&yY;D<-T9-hdt#Tq(wQhyx-OuUZ*KO=V8ieK@BBWcT5W3Avh;oJBd?=@!Ocr< zoqOQevhRuR#q{U1X3I$Ic%h#6_FbjkrPWsso?gYn!@KkIRfkQz){Ez`-q2IOb!_i7 zl_yu4TDBEl-y)(~QLn{y!SP;aQ&yc@h4lBF_(cr6jZW6;<|e=UJ@3JyJ6&F;W~M)q zUUdooJ$Il|z2N<k3&#}7cRb(N;y-`FmF@G+yBhl)DbaZCl-hI+yoQOF=igTs@uzcD zE=2phNZMt{d|UCV`ax!`j@2Oo|Ca<_yKrV+h~iIC$$82~)t9o>cWPvprTJ&A-4rY* zxc2O)ko0d$iv82LGCF=<?K<>|=bbFSt?RFir-B9iUcW00_$cbND{_*ON!@ju|2vO6 zhBLqXZ?>0H%B}Rvk7EHm@lF|2C0=X}+c+WOz>T78wqw(t`yad<Zxg?7s_y6Kn$Ip2 zt**MKynT@rf6n!bcO_@XZN4}ocwywOol(nIcK@<_%#(bxM$Z0|>$GxJ-$|Lmr$fqD zac%UKym4`#oMn&OmQL0h(MnUHi)v-z-!k=z=IlJ@7~Jwm$20MSyRl99y5b8*F8{Od zTAPw5-qm|O;?0WH#cn(=qa${xE?>EA(@fDq{gAGlG*>kx^``u^gVS~HyjC{edGWr= zpW|zdpPo3tVzFJ)?oiu#fg|s27tFrqZZrQI*F_=4ZDB!{`+{!kD?1w4Zv5fddm&rQ z%66S`>z2(E-U?ju|DHXA<=g55HMbnR^LfI~9-2FceaF=J2u6R)TiafV><JT$P}e+R zv!Zg}ja8FxKVM{bKlkXjm?+nuEez~hO0%O=c6kQfoKk*zBUfpG`nGfI$5$4M{_3pW zC0?s{>KF57S?9Szf0k6X?OAg9zTI@IQ!{i#{3naBmpK1WS-e9?YN_bv;Msw}0fM_+ zJ>E?UiRy`S_Ai_t6WnQPn9pr{^iw>0-^*JXfBd(^%`Bg{<W^hVhu~XXtjb;+|48OV zs)lnk&R3l(oGI$?|F~=6oW_#BC3}U6_AU{-m9@Ot`c>HBbN8cyC-+WIU^wkrJFEHS zk67_6F}3~PT4xHv)+8P;_D{Vuv9?h3`;?<gK3;ugVg2~a-efoFDQWE9vres9<~8pH z!}HfdXErz~pYmHA(44<=|H6%qvh)2OIR}{R{P*(J6?SLurlmEGnlFtO+Qy3ho1@&$ z9IxrAE%csQtzc_OiMqSeLJuZ$W!ZO3@hUSMwk~y^E4PMIHQ;iV*~t|-YkVK1EmO8O zf3$kiS)&|Ii%SoG6(*<kJzV|Fc51+syPN)e+j8x|B>8*NbNW6QDGPAuChqxr`C%xV z)K%M~i7z)~DchC0|7eiQT%;p)n0@*5Q{pQ(ZeF$2`MI6?vUlc}=4jOkWPEqt_GRjm zU+z;j<*seM61sb5a^Y2j-k4SQ^|)J^e)!#cyh`ld;iaPM56b)G8sFSDX{xD?`kVRN zw#_o|=l_+wxjB?A_4c~hrCGniZ(P0}lHOl*ylGRetdc?6z0An4UyIn*efy{*^{vt? zvrGT*^0gLr3#62KZt1wiGB3UqANA<pqRN*hZ6B8H%jeq|+-Y-M(62r<@M?$s)}xU& zA;O(UHBBr+uBVq(dUs4qn*AyD>S@u5+d|%^*r@63pFHKcMLOfN6~~N3te=J~uKJfc zH%>Qa6JM*QjtuuEojEfdIt6y@`MkW}hihMlrC4?Afv=O)R&I!D=4;Fne|lEzh3lkM z;U{#SpPd!0So!Fq*iogVE5Do__bJ*4x(lzjPk+spUbMlgZ{m*6t5>LO-x!uJdVF10 zvRJtoll1B4oZFv;mh;Q@a<8e_Br;j2M&E8_YwPQ5_fxWouWlP&@jJWd+N>`V6Rvsg zdo$fd`{&EjJ>F8`W`|wm#Ph1!7PSg1x9J}%H~jg?&b%`(bK}d3eJzZZ?y@^pSXsW3 z;a#3FZKv-h4PoK+AI+xj_MT#5E2B1x=O$P0l*nqMxidfd%La8{sSmrPVrA@joZV_B zcloO;Y7+P4(h92d?yS5VrJ!>D(Im-@`#wskKb>v*ac`ury}+{N^_dSo&uN?;xGzHX z?it}X_e^K#x+#?y=Znv4%~1%6c9!1ya<9?L%~Ik!ofE&NFvl)ttxCQ0z-rzrk%?u! zW}C~^0`KuEy*fVW)hf2T3;y2Kp6s`x_+gcE?;NN6OBa&#*B#cHYnExTuHg4X&P&QV zmpD&o&YYhxbN<KXhTYfvtoEJ$A*3%E)9v!%`OJGZDcLV7mwmTOZ~7v0SvshHc1%ul z_>6l`zb?4WQ+44_tl9^@4OuT@)e5{EIKS`-?_jlbeW0v1;W7i0Xz8MhWoiXU%&#t# zseLd^Z~9^?Y+)cV{Q<jLL8t)BEnlYnhK>9Uq0aja8@mKnuzJ?^yeWG!g=P0lhd2qA zLv<4${NcIr<%+?p3qK_{yiA|Nd1~2-j?0gQVx~1(M3h_UZJGI1|JkqkbNZHgt}CB7 zw`$(w?kiQ5)Bk1JiG|L6YuaOO+2mW`cEIe%RmnZK*ImD0dgkX*k2%+?o?TVv+SycM zy1vW5YteeUtQ~&3-68RR``jYK-(6Yh{ONtxJn8aRUt|P+>R#DfB6^`&#WkXS#n+#? zs$n&e|8K9HyghE>FOS!sZ~4t#asK!Z|1)Yj8=klLc0ZXPp5u00fxn}K<AJE+qE*$* zU0;-gY;}J6uKMNs@s%!9h0`ka(-#ystZ(hu?kIS7UB{O!lT;4w2km+tTR7hA;n_I- z$@%jM)pI4)AMt9vnx0yc$lDmJ>k_3>->dYgbdE<m!?kk9Bho=Ub)uz*CjIwaX`H`t zrC&EgS@c!U`x6U~$GV7K>h--HUwQwHQK<C+?dh>IzkEr0*RyBI%6~q5F`@Zxm8V;e zU7z$S@t3*z$@z*(sfXUCI{o+b+{!C=B;c`-c%^__xo+q2&u)1&_jNrlKc9YlIs4)j zp&E4tM;0}{N-!^Gx2{;3CH<;mWn1wVlXAvf@7O7#|8}#Nb_mYDX0!U=JIBA%7S3EI z%XOn^@yggA=OoQ$yVq}2_`Ya;cKY^PFSexK`085!pRK!C`;)0-Rdeqb*`iZ-)^B?D z`Fz8cE5|;?R<xaX&bvCJ!uRC$vSas7nzw1WtSjf+aOyZy?Lnrm*1|H)Us$<Iq@J&s z`_sODS$EOlMC+HliN9LxAKmz^!y=b+P06TsS;{O1hVQEK9Ou`oxW<3ld2{-k*3jcY zyFIM`F3?+Y?_^MX#k5IP>fU#cS-whG*>4lQ=9;3=y8t0Q`|9^=$|R<8-uGpV{SZH~ zG4c1$&7%KBB_k}q*s)vQI{at)+~&30E>FGsFKzYKdC5__HM>4N`NY$=WiG>;R$sp5 zVH2P3dl-A7g!OdElbsWkJi<5loIZbdwOI+vR<5Ih?B$L-XKL1KPnkWls8IOFh5Z#e zw=O(cbVuxB8FPKh=g(@vxA`u9b6c8w|7rE}MkW19*BPzt5v{8h-8RaqPQSGBbZpAE z;O(CzDoz)PrzTcC@6{0guiw19^u595Ctu4y?OCUF$3mE!!Q^V*#1EJFgimg&oZ!<b zpVqxNwdD8&`Tp+3k!1msRwkZIICAmL-N0b}zbj`}%iP{nKgq}BpG%_5)lIdNdbId6 z)Sq21-eA@+b=IfJ<;;^_tLV4Cc%h}FbnEYg-Ys%l|K0fCzp1=`+v6RtTB0|q9N#D^ zGe`g7N81L5`Jat;g>O@G6uPv0_pdqE-cDb&`~2Qhv$kq=tz~J{<@8KEWYCiQQ}Ji6 zB*(etgHH~&^f((Ow5Qwn&2ZrG<CuJ=iIdT$li8S=IW3~n$Z_+x#k+prDK5Wy(SF~) zUHfls&Az@ac6Zs^Te<Pid8HE=cPR^8tN6n+_x}Dr($iUPH?ix#<Ui)#7hC_QZSnEA z|Fs1S_p5V1>~W9ZV_U(SeU$wUyHZ}!Nj0enEGuW^xW9Vi{ao~|N5S2l`L_gZUh}9i zJN&zKvSHFJ{&@#^3srA?OLAa2Z(AtDeX&m7<M}z`0>N**&))y^`SNM;!MY!>+dr)T z7Qg=W|6fHvUSIxj-8D~l_4PIKwtt<kK9qKlI{5d_H{R=KHvir0-WMz1)3{#zPLsdz zI{v1Q#p~}dz8CT<xShab?j8K&_v{1-4*q$+8KvCV*4!!OUU%helXVr(rGGn4&%b4R z{cFsR@?vM}wuEE7|G57@neI_=>o!}Aec5S+C%^fRD9tf27vCpnT``ICee}jV52yNG zKluI5sl>P!xsSu<c)e&iyl3{yYs>RDD6ucxo5UC(BmeL3@_9R18}mL)kKJ_eq4kTJ z+e~6lt7j#Zt*<(1FmJ=mtks(tuU@xU`RefhO?I{^NspS(2d{7Y@L+Cu&PKEPA6Gsm zAN;)U)1<ctS4X^=U$ovQXTx4;(LYsZuj(JV|ByLvdH)^(xj6au^tI<Lg;-vnzbR<L z?l<Yjm#Pge8x;56+uxRNpu?_Pd`R!+)Z<MLHs-#aYTdLu^HMqcZ8_)XiH-NJ>Sy)O ztvbwE5mwNmr()>x?@;y!_YYEPf71V4UiD$3!jDVacCWu9ShMBz1Mlea54)uM<?VVu zmp@U-{50`_=d!Yr_jB&qz4op8ZhBqbPSB=n>szKjvgca*^R}lSD$i-()gHfBbn|Sv zdwiT;>-Xe_7yNv9eID1n_WIx7ZYLhz_p;=J_U-r&v!}BheOqw1?2AcFPU`P>&);y! zwbWY(vFzU=%ksDV!|ncq`RjMpR~InWS=Tikxc~R8byN79yP`3_gyZi>+SC?RGi1M( zy}iEbLAQ0YyzOr7if_^TcNkaLGwl1<I`?3<-^JZK&Eut5rq`W5Y~9@M7ua2%xBpeO z{5?sV*D^6D>;E6NZte}0`m+DQe1~syZ?B(av&VRaK*_g<a}P@Y?6*H@&-i8E+wi|% z4qG>y&%Z7D=Ff%0*3H3x%)48|Lv}aK&9ARWzy5~D`Gx<%rR#6kM}AN-{`TVA>bj!; zsRy5*d&{-XYWM$54`#o+AsSP9|KI5*v478Ff9rGCJ>>h4t9Q4~b;EBH-e<A5*H^v# zrrq?PrQ`Lsc)>S&itm*y>RR;B=3wye?B5w%*36uJweqr6gZ>xWbGmk??YHm!YqY~^ ziS&LEo^N7aHrr=C5L2m`G}}ITe`>>tIUG&jA6#DX;8gO#ZQm0t7VQ)^+c#PLVL3<b zoaV%@(oB6>!pkole{tMjo^xHwuj?PqTb9}X<hkHz=UOL}`Fm!&(CytbqfXe*T-C0* zjZ4(r>XiLXL(dOS9~}NY<G_1HHuW8Ung3kA#3-1wW2Vav-e*tBs|1sNyv*Fsu~9hf zr{cRu%6~4Gm1=RwDeh+4{FS5Z>)rC3H>+57-`c@Fr~V4Vhq)Eo9<bjs$UbFvbV>Zz zzn{52s%_{KVYsJrua7O-`SzFaY3dtWe>Qs+$tQ5#|I9p1GUDOws>3DbJe!Pt{xjA| zOD)UKu}r%q6PNKd!Cct>w0-1?>GIqK`4u<sz7XK~-sWh!v9dC6rzgvI<A<{P+ZP`& zZTrWb!?*puZ9zfZ<Xz1FA6wTm8%gZgu57<6BtE=I{{6H0HZ#_(|Ib-_u(;&K59@aa z7dpn<bMM^k`2N&^IdR2*9|pS@eXvca{P%R5Z~fni%I5!WTuiQDsCe&gW^P-0`Jr_F znLhdIy;iQ}YsBYmT={e5Ykv9WM-1-$A6s8Ab-w18mzJ&kdolUu#mnw~a{C0UZ=G>r z*NXpr*nORS?~Juoi|h}-wuxw)o^y~}QR60u!R_q_RwSDX@CaIOb5J<^(6hRBcQH4| zzpd||U(!{0b%VF#P~N<qtPB24T&(^|xPATd)lW`ub6AmVp71(7_v`k_58Mwb=->Ym zzGlCd^OjAwY;>7D>^sh0Zc>nO>c45DyS)GZiHXT)KMLC>6^C~?Xh}S9?lb>>CArEf z`ddrMqQ~ZUOC(<AgfT90R(@}Ndkr)51kv+psuB(gmnUC4o@Of1P_@on`w+vG&416_ zd;kAo@bUh$^Z6&~o@ei9W;y(B>iLP2d27CW*yJtuX!X1NO5-~d&)?pZoWJAcR@s7Q z44*D9<f}f^$ZGbZ)W3cI{l??9iSM_*kzk)5Th!z)w>*Alaz)f3L#2xIS^WPNDq8IL zea`#W>Z@x{tz<d>`_sF(2ib2F*}b(}=kQl_rOxSzlhylW!yjlo41Bu2uF{(2%eGgO zJ_YWw%dc#`tEk|#>+L6H?StG4ON-C1W03zD!`uB$=TF<y*meItypI?9Blf52$CKC1 z53+6;{^P52)qk5+aB1J`d$9+X%J2Eq_25WmSL5CvtL*oF>Po26-?b##xb(7g-`$cQ zE(P|*pKpch6?{FRm+-o;x}5dT-gWV}S!@6E{W;w0-ghwE`p5i#a{oAQ{@faS;5_%c z<T|^fe`*TEjkeUy?^aBB&a%1iI)7AILiL@ppC|7gWVT-L-THm=?UPd<G|C>h6a2mO z%-rMl_W$c@R=S<JXfNAPZ&mo|$4do^{`fg{!ha6G&TG*({Jj5<*1yxd->&a(iqBnn z>$CNOiqG5U-WQGe>GsF$fSFSCf&C9=oPQj??EIYPhVSbRe`A>O@20H9GyZwyJ(B0y zzkiO{f5P4}(nvsU-M^2)6WE1q&VJDUcs@7(K4Uwx|K`1p2VCRJKKS2$w`uLyC%=UA zSM<+0cIfwl>ET=(0)t;}()8UX)heo_+9YP#8FVD~bHJL#LWSo)JSY*GTG@BO+vY;x z!j9u_<r1ctv)p;e=yz#GgSG8}0x9E@-mFhlynIbKyPZ<q+Fx5JcusT9iPr8-@Lb?r z5GR%yEEFg7MnHb5>*CWqFTF3xKH6Ml<JWEYNLBHAYMNW*>RFp|)H-S$l2{jn#j8Xz zEW2)(DaT-XVM@N38e>A($9c*LTQsjv$jxkcEvCSmeVA#9=59`z;)pWwC4uq$^4Tm$ zd=?cx>2+7U!6(sqV&&!I{&Osx_AR+_d8NG7FRP;S^E5f09FgX|ar5yri=AtN$`fZ? zQR6?J*%D*>_htQ$<jmUE!l2o^)=rT#`EhB!Cll{v-3Q__A8wu5`03^D{NHPqSRVM2 z=J)T#ndp03o94|hSS<QsZ_@#WpwO9Fhk8s-x~^Vks+6g7A?s6?m(kQ^9Ra3`=e>TA zo&42mj%?=E_LIww{{DaRh?`aKajuh|VfsEkBFi|F_PH+;X=Qc#Cod_q`o#^wpex!b zQ@Tz$nR>j|lAO9ka-**9Is?O(94l*f`>2L`y}iA6SJB<A9e?uJFMU$c-obb#PUVXZ z`@$?{#Yve=`$}b$p8e!AD)ssFPmg_B?=p|))+uMAT%<p9%L<fotgM;LbJ8g2U4F5{ zDUBturwo%TJq}f_^if~GN$Td${i!|+XHCpH*?IG6OKPU$>*!DO4za9wIcbSXv_i0o zO4I-Nck8(pn_kPwe9k2t>}&P6zOgwhbgIhg{E3e2m$feO{uI)mlxU^a`7fT&Bl2?5 z?mMlS(oB{uE6qz>n|}Gt3|hM=Y&q}ByPIWq%<<TJgHba>)7HPuM6>wI|5G!zd3fI9 zdz#z5E9l6C9sAYNqNWS3ymPgv{B>gBQc=#&(Wx`;a_SX3-1uGF$#U}8vNZ=xUOS6M z#!jqkTD7RX>(Ys1%ffm&LnUT!Sa(_@IAxv7X7lTda@9(IWl8Pd@wupbO3s{&>5^${ zXY@av<j4K!S=5wP&u*iz&;L#;@2~oQ+~wfQtVPM2&9$#<-&3s*-lEdN`*=e7<2e=v zZi{9gitBn2V-V(ECM{fE?Y7CkxWE5=8_(h$!N!)WS1yX+G*7aByk`0BbBaF~h<jvx zU*O(#QAOt@N7pMi8Ml?THFtDY87aTkQH|Yo^SY(N$-*5+nR_2=s4v=HxvSsU?r8UI zvyGMo+uwcV2ws_XNIjB&wrxO6-ks{%?^N%5f4RglBjWwTJ?$q|ybeu##(c)V`k?4f z75*QMD&KzB9<Wf`|F}#(p#4j4hq2rPm9*!lmKRLkvTDWq-L)#$-&XCpZ#?mV!umHi z{exAiq)c2J?ryIZoomd`%o7{w_(5N<+ia>|wvomAh+VyWkGJGF&DvM4ce96|<$aWt zo%r_3??q>McBk?GeBe4s?4^c|N1{vqyyXjTSzp^y`fpc%f#~Myf_CxD%zH1LZyi2e zE0-V^R${mNYVyesPZG*^+^z{Zy-BL|P2$~GjL%nH%sjGhZ^aFzjbf|pb^f%q=PleN z+<aVJ<5bhKSM&8NwjDdT@qwWKcNWvR>_1oV&wmybX!Ar*e#+SgXN=RQzT-2LTf%qU zn!9|r{ORadk@A=3g}?hMVG;XUQ+IW=?FlQ@??2|ayL^@UT+z*+@wa*A`sX^S-yig_ z-`pZF$8E9I=P!O2_IB*O**tgJpUhd@cDhF|`^HVW{54u`Q<?BCf0@wRQ=(gV&wF{N zZjo+RUc2++DK5q%-?Ufn=2V=!!cDt6Lx0Mb{4GVYffN3mxOmF$oimqEZ`ikJvn89~ zpI}nq><?T2G;Rs=?+kwCC6f<ioVW9KE>d*Aeru!0$324H&PB}b)ib$YJ~672WVKcm zm3+HhWQoIhjWe4z>72P`BJZ;5|EuY8HM38ItXWok@VS2dpFJ-;`z|SkRdMUq{?fPm z!dh5$N$KS5opyE~zm?l7XoXId;7azGDC*Mlf<^f!dq?NV8=c-PRi~n2-2C!3P5WCG zSlb{}tuw!<Z28YMKX+KjhbEl}E#R%cvMe&^(v6ad%$gm1Ulti8Tfcl|`s~4>JjE)e zDM`CHrp!rh(9mWNkI!m0pYc0(h0FAvk`vD>tedH&DARr-_R{>z2S3|?-fAxxZX{s3 zVcIp{duhCLO^&2mI!q5<S}G-c{@liy8!S=|>Bx%j)XLaiT3)ar^w)$y&qa&W=IUK; z4ht1?YbnvJNXq)aJKOioj+^-*cO_zvq)mFauz135?jzTIZ)i-;C>02wn;rCW-pN*v zpY!gTKGn>A{8;Y-<0f-Ij^Z~_Q`mnLo!r{M*OR#_w(K>->QC%3!UYP-PW+aRGWLSs zpKBSif9iVfZ}Ola>H6KwyL?w<K9+m$PP4RqD^hWGQq`s2q)5xPXB%g=KHaiQWJTH6 zX+OVD)+uX0=Qz#G=FOI4k!hz|^pw&!-}JZ4DLNM26&z4mvEWMDs*<^z4!^oI+vbi( z_pSxHWzQ~MjS1{Hba&^e>)zELA4NG<M#b<<&-67HSeI+5pLuDHv~OHc%-x-jil%KY zKKfMm?H0Y6qBZ|Y&eboR)yTBxl;QuUFB&ut#gtY(on)YX+d@1ebhVjY_4<QLHG9q; zKBc1aLiOThgY3-oqPJDEc)L!UL{2}I>6f)>+PZzO+NQ0mNLVElyuI%J8qVW;qo3W{ zFSU=MZ04M0F~=C2dd!8EO}@tVw%Bj4GW&Vgwk1!bZnS;fGxu9rb7^3lf0gdnN;gwk z2iLmUYyGtQYhTT?KH{vu%^`j1dEQ^Qg6lt+ojl=^nCLbqLSdzNLdc{UD%_f1OlF@= zo5Z4P(R)@S_XL}Fr<&O8cGKK=alM!w3Tqu$vU78e1Z)ZvGjEEqJG)s$>nuaAxz5F1 z5jjhwjV4bzZNV~Y!}s#(i*mlO{d(vbHp%Qa3)35i#ae5!Uq^bomGl|!O}yqD`y+_w z`7M*#Gm2mJTsso8+3@(B{AUFYXKjl8N;m)2DO{V+o}8amQ}Vpzp81V6Yi0*b?u`|y zHnfSqV$|r9^j!0(7gK}y(j_+%j;JThFN!jdw3@#~cb4Al8n2!gORhXQ{F9~c#hM-~ zW~J2ZGn{SGGa@cdn*PpCT*}qzm6RuAyRP)rny_fEumf>2W?PKC0#CK(t@-55c)XQu zl}db^msE7dgk!tco66|dY<uuG_hLrs)i=u&7Kt3cv+CmMGpFQ2O0TQ2yDbWCG>N;L z8}=<x`K0CTMa!?&rcDhg&P?5WP~;`g?i=10HLhi7dY3Nj4SVC^k#{gmH$x#vqimzA z^U2Jh3z-WY&sr&4F6rjY5#N`)b@s9D4c~9D9g2=TIsNCpSdQo`zNe4hSUMv~{?6-M zg}2=g;<Rr>NV%qqytliO@u{emZOcXJ2|PB2u9??@_jP{b-I5*R?{&A~vmKXojHjJ! ze2(R_AJg(5>TR3jR#Ne8ZCu{Wv%D8yx0kLJJEq|_VU3l(S?{5yMU8P=BXY7?Rp#+M z&0E`Y<i@cLFI`_GZBCqJ5}xWk`CSpir6jJK_j%Km4sJY{YH@kjlXTm4k+vH))t|4s zVm|%alZS5G&+aS>I#hUUv9QF=8k^~}p7X|@*bx@TxO)D)<egSq&QFVBy7thDTY|00 zNpSt|#9gI}pW8-VPg*}Y<(<g$Pht$WcQ0RecK6D#7izb+>YH5Evg|RcpUQdLBKMhG zsAuHC!1ilhYxjS#S=7Gn^_GPzT54+6p3%@=Y#O}1G&R3($#hPK%8-fjs;Tps)n={8 zSgUn;k>lq0O_9@rCam<_>G%CdkP2f!p~OSJ7keLUOc0p1GIWLVrua{{*7^jk6W?>@ zY3Ev>pw(@{A~C_U!vuG16A_v4_c&K$?fFgjLeq4gM!Qu03{&HNeeA~e>3W^%T2FMU zU*<A%7<zY?G3!;`Qj;m{@GiTkHMxBv=VP0tJOB7y%vV#XFuoge>Yh*Pr>#wgPkeg0 z^w5Od`#aw}6BK%P$#?A+lc&;FKR+DgEs;DHcw(Z@&1nL+r0j3nuJu`3lJq%z$?-%3 z?yXru*2^#b);iW3Y5w%@a;BoGs_M7%k8JhvySG9sSbo*!Nr$p7{Z>eM7hpR>_T|rZ z*S=Ge%F<uzwz9ej=Pvw|$vm@Vb;q>Qh<kTAq9T?~5c-<)JygQ=$ciZp7Zjy)-#0B~ zDdWESu*&Q9>MteBomcJ3G1cBs<FVYa@p7~E8X5D1gkp}er7BA!r=CAEHIo1O6wb{P z_-igHFVZ*}wQrxG@12P0xm$OA*zxJ*^16Syi_DT|x2n~Dei$!M%XjCk!)~k0j$O9w zvOGa14L20RJa2reUA|+cVo>gcXFDptwm#?#ixW!?7Ms)cZrP1Q-;lOh<&1$xPGsFb za?7;vvvbYT(;ip1cCHe8l5?Y{<F~%qC9N|Iy;iTp=C<;sxo$}*-qP+Y_B}A?V#}0L zC8;VW-wVfYnD||6Two?WA>y!l%yyaCyw-pDCbb$Zl)GN>k~RFylZsRBM{*Xjx&}R& z{4k08l*P~B<2s_JT!c?d>M|0|dTo3*?USTX^+L0)S!}v8!Q6WpYJ-<Q?(}7BPM($V zaO3m{(?pYv7dvLwtZ+8j^M7fz0>3urVwuTN8W9(lDqe0~#rLM`9>39n-HZ%(H)&k? zWs|sFf@^EuedTj$#{I`cvhK<$Gzxx~U_5i^!{(Tcl?<Esgab7cZbx$TD~V>wzB_Nl zX`Q1anD=vCzS-w9ZlYPU4WC_>X*#!hv-_D)sn5Rq`g`v!{BW`5=@I>zwav4m-SghA z<iC1()@T1TIh~uH^LVzu+QQ0rrpfk2W>A(@>Q9~1Q}QBHcu&99dh^vaWmC^#*8azf z{r{%_Y?{TpDMPz-#`#pYx-YN$|IFLLQxfdHchRYA?zkJ%1cDFV5fRz5rh;eP@e2$a z7uEY+aGJeG&b(b~Tlb>x4h3sh1(`eSIX%5LNG8$uyZWLXuS#M*ysrDXN_O#C3!#gx zZ80aN<xIH~e%Qanb-FB*`i=f4s>dH5yYr^*tMc=s?TH(uwm!D};yi!P;l_DRo{Yjz zHwJ#Ky5%Bl@mRz7*&12RH^Sb$x&Ic3v~A)&zUst*%OBJ>-(>sBq3d9)xmkkgU1FH8 z(*<^sxg{lCS53kXyZrK>Q~hP{rCmK&w`7T}KJw>dog#<e^M#UIPb!>#qMR;Q+wNie zTskc{Gj#Q$my0J{x+eSF!9MVoO766;6&D$f?rfYL5WJ1~)uzA9JG`b`(=v;C`gBUz z&K%(l2d}hPnTMX-xa9Z#f34O_JUAaKuXXBEzW2zT-(qK}q)E!Tg+h0pxnEypm>hb@ z+e+(t!F{3JSr^uQ+U&i6J@LZrzaPF%n9Ol*=f>o#Gt{npNS?t|b?>g0uEyuIz^5+W za?c;cYQ?t9e7KQE#Q)CXg^`kleizJD{58+{PP^9IDSG70iK2Oh{EQ!MQnCvAcQf8e zJFxB2`>09$LeD#1ZqjpQ=WkFwCeOC0AYiS6=k~mW-s4%fwokNqZ}EIN<K3FXHCysE zeiat-vESUGv&q@^mSSyG^ankr@H1!g0+SMjUDZ-2u&BJ<UD&st^Q;D&>04<F9i=^X zM>NbuF1!kQEmO4U=#EIczMT;l64}42l-lqvs(4q@-Tq+XDi8hlQEYc(_uk*gGs~@e z&z-l27w4YH=Gt-hwcGYAu2Ys_t(OuPw`t!=mAGXl>lFK%b>TO^k7@00pDrCUJa;F? zc#6@(jg8KmtqfF_C`V{%iaeLy!8JQ$(n?KrD^I`JJ2M2Q8%(@&ZAaq{qi@<;G0Bgn zC_J)|n!JRQwa8V{OzrWifJ=X!d9G(Hk$7||%P0J$`<=7Wm&LMM-_O&{JM*i>CjI3t zFIQoyDM$L8w%2#3ch&!_)a+R*w4>+b;jE<f+^U@`Rpz!$2)(nM-M;kn_5agL&M(@Q z@c6QQ@obZ|t2$0u=pOTKEwnCR^3#%cJ}MY>$}{iPsW?`TU6&Vr-q4}8YMUfS(3wq# z#eZF0v3=1G9qHCOQ&tPtz=p{yOkVD=xXPha+xVeZdb?n1?(~P;1rxm$tTSJxsJ`Xr z@H+X!f-`dWt7q$U9@Jdocby~k;H+A|h2zvXr$binx^j0MU6`ybaPy3EzLj2%*UBC8 z3Iclh5yuQ9{ahoi+s;1cxc>FJa&uQ<)0rFfMdy5O_n5u^)f1tK-%d{4A@p@w`@b|V z#t-NJznectv^UP!aBAevy!&=Baq*V=pBf!BzMSZkdLPMV<+!STFUuDNrSzoIwsrqQ zrLLUsd|G0?WMRfWi?wAgZIeQd?tE4FVN%bJDccsytugDk=x*E0@9g{Sf&5Xaa2JtP zQMa<D{Qn{v$UPzYx6$opt(QH&_~g55&l+pW9J%``AWuA3y72ncmfG3*XME?~2>!L! zPH(o&`cneWpL1^15H3!5ownm@t%#Xrxc%<8W!vj|v@Sj14oc{=dbes_?NQ%z)0#?O zM~aBagoyuT5&5y<z}6|12Tn{AcFYr(j}+Xnevw+`?4HL(ea7;8r`$KV`;1v^Vdu{! zJ6J-3tzOMcUBLV5oUUDlua(-<Hy?Jq7FesAd33(*tGLc)uA-n_%o9VmeLw$8<JB!O zubMNP3pl)veag4fnybI!ze>{V!rjOJsl^<8o^G?Fv-b0cwN4I`f6cw}WW(P3&U&(j z_4cosSMA(%G`>vV-68zi@(GW29no}l4q7(b_CbrzhvfOCui3eSd)^mZzd9wz;{PAH z?XjC#r_|rwe7CIi#F84tSLTlo-g^~kDRS+3#hv?AJ|)u%W4Ef?yz<_9HfLg?W_PQl z?$1ZgKKtGk#&T}C>71AOEHPyB)ZdHRug}STQG0f6UZtg%wCi?`OH)M+xt7l1o&MqP z4EIGHxhLiR?c+af{ZqV#d6v-!Z@C1)t0h@KR`H+U46T0>c_7ro`*|GyUacHU@fUN_ z&xCyD{obIz^ZD{stNb3`S?3oTs<w@lb>D;J1*>?bUlZV;vtvH<hGzj^gY6bYwd&S4 zJ^Eg|>CwqQ>hkAiIc?96-8xsYgqeTYQO8fy(%%=XH+8t=XIed7-m~xTo9TBva_?Sq ze>z#VYj0o1bNkmDi?`M)*0iVRy=r>fDDnS5-Rv2gW!`;U)9H3m`nvt!9ntl>i|)#Q z_bFL?*yQn-Ld}J<Dz7|v_hI7cx6J0IvWw<@`yur@k#SZZchIS{CFia$?nvmbWXZex z@AjS5WrwRG<3*qD5bWbKJ+*>K=!EaW8@Y8+c^7ol8tZ=PAIYs>d~@@JzK1RG)rAF@ zQVexAT%4fhnYs4lD>23szvcg*Ip4{<;7w@Cq%$t5(^^B<_V97*-Bga;y)Q88ikEq_ z+NG~0_fvdVa!qCrY+O?L@}n!Kyj4)a{(~>COuG2$c1W(E@0le}*e1<=DZRzjmh)@D zn<Smp`Cqb@o<4X%^{(%sMLCzA2wX|w{dj8bd+7j%Ny}6d6Ay7*iV{>iee%!)wTZ=j zM@}dUon0eYa_NkbaB5=g>~&hLCC^e9vAyr?xGgWh$165VOjA`>;19d)2b(L|749Ad zhWy7T>EBL^af+xta4G-%lFd7ELpA5}N1r*8A+#++>TG=C#IG9{xQAOb^L<V5bhbFK z?AOkWOM4asDW<;6;K&i$c4<OaOv#m}t-O1tWHtXyo8IO!Q`bdXws+<KO<~J4eqT?_ zvt-zH-0J5WVON*`3>F=s%PbbUeCe*5voh2zs8nxJgy<AurP<RC<vIO$$ESWOF_`O; z&15l8PfgCkLzS!axEs0ltrS$#N~rgH_91Nh`*Yu(%__>8tZkP3?bJ2X|5ujiXk3=s zS@iVZA(sbP-(6o>C&W0Fh0Kgx{4C1CL-O)!{;R#ds->KrQYHPKN2DkB%`S<VGC}d` z-nUb}JMWl%EjzY**VW)1-X6k1I?LB7#Q#{yH)X|X1_cxSXuY*hqG~x>zX()ceG^s3 zu}nnp$wAFyo1~{nAM;FIB;Q}8w|qiN!v5$d(}a_Le%w&~?bOSHx4VyoOp&=W_0$)} z>8_DI4}P|Wo24c$<(;0S_f}WCuI%k4O@^80>biG297tk6I+tH^YVo#RY#Y3dt{r{j zSd(}2YRaU~_6-K=Z<>z2?!A5{H7ut)q`d8P=6cEe-Z_&?kCm-#@=Hx9IK1svrtd8! z@6RGZ83`XJ=s%uyTCRRWXpEhI$QcQ<CwY%twfQRfeW#1*p4M5IZo4SeGg<VoxX|v+ zhuH6buDlt)%Fy-jnw8FKVWmqhuhM)d$Xn0uxy5+)tw#CDOQiq4Oq|)4xu#3aH^}rw zz?4S|oVVmoxY40G_eI^MdyG>rop9?8`ZhuHVY2a$w;@4H7d7}^9AMvZ!|;Vl$Lj(~ zwO_~fnr!$OWILJRkf4fE^6I6#mV`}M!9IIu*Vz{V?_*+FTDNqZlGzu&a+9-(=AQ`X zFWqfH%6b;vQZv8vN)|ae2JUuMdT($ikZ=AOuE!=iv!>-N7I`}_e___<O}oy!D0lt! zI=F1D^hZzcT~DJkwp87XOP20ztIl&+b<9or&gA@4tBkyrPwA}n6+PDWI_$@jy%+jk z3;$kLzEHMyufvuIn{BOWQxXd=E`DvZr~6T{RN9_k5B=BM9|#Bi4{3QOY<k1Iwpnpj z30K{slWsR>iLN>S!}IJ;t$pX86&3pxMHX2t6S0|AdbDn~zHWDSRDSo{X>p}R!Eu70 zZr$Fbxy~W(^`=WFe0PRdemlA>+5g}rm%LZ;f4TQAb6x-R(-(b{X4@>@H;Sh^*|oK2 z@7|jl-Q};o)pOyNL>c~<H~2OG-4T|zQ+C_ELN#UW=jTd}s)dIS>}3mo5j}s$&uiVv z2UfS~i{JlqWVh@&kxlbfZ^$#<cfjvw@uKbZkM^t=wKB~TetzOpv;Unp(x0dGDlX4_ zaB9y{U$!S#e(V30dp#rlHjCLu)w%n-Zyo0Cmb00vYQFBM+CAIsjh*?sqjDbJUMUu> zv(fXc`K$1Cd+Z&5Smh=xcRyOV=ZT-y8<jQcYG;luo7b}XdZ1a$o~O6u+Saa%{+J_} zyZFJ&$IsR;{ojAT?#X#qZI*eOAvuq#_gK4_niNKVTp6#{He+Y<jkiXQTPM1T$O`aU zNl3m6nIf8$p>)KS)u5Jf+3eJL$5v@xSFC9e*8auW(5$~<=B!Ek&s%C<i@EN)DyQ+u zhm_PWyJp_t68Ub?dCu{Dk#lzH^Y4C=H;!hdcqSj1+w)X%X28rN3i5TI6h+Ow1;Vwv zC!bo)TM%+5O6$&)8I!hnhWSru_PoVb)@ye}@4V5Y<Fi(0osR0V_`#pmt7G3VqiWIH z@{_LV{S$1vH*HuLBFZ{7_J~xR!a7ABu?`uL#(!+wE_;Gm?-Vr7DeXI;C-BmhZ&GxO z!gs6AbGj3D%U<X-bv9x<_4x6fQ)0_rUhB6J%x#}oA|hXv?7P+OjK)jhq=&jzBFnp8 zwobV6-Dca<7&%YbXBQ@KSm$*q$J3!>{*ha8?G`VWZS0itWm&qko#pb4kjf>_c6xQ2 z3tY1{ehA(EBjGf^*Af?g7w3lNm2ngPBr`2*WwnabJ+#L!Q@h~N1}~-`-KwlMOSIT; zR7MvtZjTdndGsMx>x4^3l-@rLKSqV;PM;T5F$T4}o|zvoH6&YT`O?l(tI!2KJG|-~ zt;EkI`<{q&{GaCeM@7!!%q0%?TAx!FYot9J*>e}%Vp#iiyZ*t@<o(VY&j>K^l;>+K zo*zHymn%=gnpqo_tQG3M2)lE+Z?|2&P2s<+pC5y?TWYj<%xj-NU-I3pdXm>>S+RG` zzw++F;%#lk%AQZx#>G9FBh+%F|7KZ>=7rPh&L5+{%gSsjT9D@-RWRwOrM~`$m3vm~ zju5f;xKnyD)KX;s!@JI>OON_9zW?&6@B-IUGyN^P4_u~*#mtWVV^?|nL={Wx?ts*z z{wI`o$Xr!@B6;R??4KL<+g2_-@*%}`X-)HYi=FLBB6}}&$-h_I#bp;@7;vk7?~-<} z-*x&`ewp{5x8DBwQ&qpnk^BD3T%+}^kG@~CK3Ed<<8<65W?d2c>U$U0UJ_!Syh8i` zHSgWQx8grKnQN~7QTd~9YU8DU*7{$2TRwXnUH?n@uG{jA<yEz!r&E;n>28s7j^)0) zy<+_dpUC{Lb-&;1b=;phFC_Yj<-dc=|2^S6sXl*8^3{~ZJG0#5|9yWhKP@loQlPD; z@GUOY{mQ+cvUZ8v6#tHk`lNQrL;T6-PVpm|LbHw>Nu1-5rC%v@sqJh;Xr`#6C)*Xv zmufQ)Og0KX?5fwtdGo|!C7IQ3Per#lev&)(nPXo@?Sd!lmmW!Wy%0}5b3Rmc|EWh> zypHy<+xED2nSZ~sV9hKO|J`?^K274_S;>=_X#DQT7Xha0AH;O{c8W}}xTvvj)~ebN ztGR80t~^Inn6elb>3uD_qS&qfW*?{aJwK+0bM{-R%j#Zc*<a0VHYKjn;>he78!``N z7<srAEWW+$Oz51pC3but)zbFe*t$lz^WdyhkHC4+4!O@l;=DRLz2~;ikym|wu4&C9 zXV)2<)iuPESyIBcI{B?+l(4CJC1<j;;>-bMqi?nC!5=CkqN|_Cn4PN%PmJ*qWik`A znp1IIL^RR$l*;WHERJdy6{}}&`sn2oc&lB(Pgd)c%Gq6wyE#Ao<}A8&H{ft{VNlDg zd9{7#&TLc@-e6UF>b#Iln4+O*&E*A0{x3ST`$*)}T`PAA^)9cDEsGB-^;?|R{Vurt z9OKo<6P4BH9-1ibWi4FkcR46%Pfnch0SS$_jYfs~PH}pAS;0R(y;6B*dv0aIWh3s{ zQ|61*d~<wx*LRkVAiwAFqaMKr!k*;CxSW~B98|~~cv0%v!(%7bOSm4ZJ!u>pQWLNE zYGG|>gR%0b7zdV)PfeVaj=Qp$R@k4mYn}3S!#Zc?Je6;?51S-zyt-JHKV|7{K{*kn zf2*D(@LkyZTw|$0)Q5}{n?+*Z9+W-3>2LZxcjkHjxBsY}$++wJO~buEW++H~crzpA zSlOcA&$;8wl#COc@9-qH?3>Om#^S!?-DZ<>jk@38F}%?G_(nl@T2<+VgTMAzR36q7 zGrF73cfH9+C2c~{UVZnunH-;k?pdvqYxmXliPE3EZjRX8^kmToO(I|X6$SUjH4Ctm zzfWOcvN)`?aDwUEfWxXm=NlU*l^Ym-p5wUDb5G}U*(=k_&mB1U#yX^=c(2CsUlPkc zSnso$BQ}Gn&q=&?LDEm3cUu|)T*U=Sb|{M0T|8YNxybg=)wb5&DOsyHH~kb}BxLC6 zA?o_%{;vreYiDcKnw^-`vrx-8KUDwwhE>O|?6$BxvrOxac%j|<$H7-!imqNaeIj!E zXxaQ7N;4Qg>?yAPRNLA9Wk!DJzVpqqr-WvzK9vrW`lvgnKbWEDXV3fZU*;F3a;==w zCtG9nY@ciRl%+?W+U`kSDWPGNC~GTslG|4KWZ2Kcb^l+ywqD*^cun0+!>Ez_F`xaW zhvB!k|9&#rc>4U$g^^QOS>2YlFAQ@E_2Rrz|8{YPx2#8G*|Y_J8+zWX`f$gg<7C~< z-$&=Yy!dsB*i%!v1lf%(d0##6o{Hg`7-cqdUP$)cLs4;Dms~$EOkXM!Wv%|%;%;_W z`1kdEz6;m9=9xD|)UMod?PT7h{&zX;FF2wLwq84M*ma4{YmMM_*Q7eQro{+Gi5WaD zP3~_Fa<cox*m&`Xm|ck%--H=^R&3Ey(&PVR7<q7c^}^1Rp>{#L=55HG$R#FdoNK%> zrhB8E${jORn^UKpsx|)Dsw)fD#B6*P&KtCeA*ii<#)j1omh1_N5x=}w?ON*A%90>c zStZ*Wjj7B`6D!t=)MW5i{#a6+FgaqmtBWIxrYE=c{NTsgv5%$l>Z@Z9Y*`h0q;mR+ zRbLdXQy7w7sp;^QPPAHiAhQ41`zea4%de$q%~@r6DanU<iT8(j+ltS9+A?EGXua(p z_H#E+{50P1s8aIR`PpLG-wu?^6c(H>=ah7wreMrrt`M_r=H<Q2KbAa^x*K$g*YI*0 z@5JY?qi<e17uepQU!<(MU*W4$u8FW-r|P``#)m=6AMeOJa75v1zxC0W*0V>teu?Tm z<mFxSro!+0EUo-o7HgH`*~E;j8H%^uJ-c>k@B9k?8q=QVyETgcg*9F7D31-<B{Q-8 z(VZHrKfCto7ev*qUG(xo#Yw(5CHhUG>b4%P-wS#iM0Ik%JG>S4Gi0hc*KzW>?z5zf z6YGjsa!%N1!P_F{tUHB2<jm;+;qaP0U!uR;$!|IMLuB2|{vAiz!~1Ww%zR`u<<m>1 z2)P9hf8Uw8c9K@@<F>Rd#g<FXAOEspq21mymR<^6-`Y;E+3DW-VAsV=7rxoi>jit| z&lT7Ut8FuHv#~ZW*lT#j_PLtXj05*e`PN=cWSoC%)AGCaC5vu1t*P41-JvKsBd`0J z?E_BrmoMWu&MY|P`Lt@{3pc0vXFPwt`oa20C;zE>)rBvN*U!G2{-yTqmPP#HhyO9j z-_Y^elX=MSMD^CcC(8e?jnK@tUHi<|r_z19=qGmR((O}E?b_wKy6tt$E-6(DhvT^c zTbBxJ|DMRA-k39O@0XizwiunfFke((Zk1(v*uDK?fBho2KU}qtYq6;x+g^ouKZOzl zwM{EG-OntVwyx%KNaOd6=bqn;eB=1@YMxH$I%z5Wx$x&1u5+q>zcxQTy6r*zDfP9D z({4?=|LK`2!)95*#T%V-mjC<oGST5?S8!jMQ&1n%ex|1i?~9iCOuD1?FK&WY`BKqm zk8T8Scm6b6mZSYuNMh{mN$WP1+dbcVs*$~cmzjCf{JT4AH6)L(DSx-{xvkT!$w!Kf zFHQa4H}Bo1c?I{AIPG_^>py1w{zA1T;mh|m!P>SA-kfZ!uJ7EkiMdB*cfdrMvx;|? zJ)Y>ieM{G}s7AL5tdpB%IdZ+4JYAnw1eD!8ndr4SXL*j<(>cATc=q~V(fs)#`3-~2 z?+0n;J?%D?J$ov->1WPL1)=v%C+2;5rMuRwI_#5T$-!75{zqSzy)RJU_P5j7#B<MJ z?p4?7J7%1=r%HctNh?n863+k5r{cLcqUB3-{FG&Byr=%m2yhqPoPIw}rY&Z50mHrB z{YHLIsvk32u>6YolxX&Q&JxeVVzEC@woiLdGn4;1f5-BLFK7I`A@pRoY}T_A^%57( z2Y-usqA!;3Bz=x$)>#$)ybBAswyrg^o$c9vPjR2p*Ewg8oL_Q&vGv0mw_EOPKdR2x zS#3X?_Q?Edd%>>cS!eHUZh2t-?d{uiuQivwuROFAn4`HsW<}e1p~ZUM`wlJOy&`-? z@w2M#1IB&2yYgS|Y&USf!FzO;Q`M<fQ}YuKc2%wvjoZ~!+^anG&wJBSj{RXF*K^nL zuzdHP`zct?f40V&LxHoF`koT!4Js1-F4!8n_jb=E!z+;yZJJpdF7)bX%W!S&x~$?k zb><44&G+*ss#jm=)9$(v(UsEoylu+uDSU?3DSUQ6c%IL)TCzJm;8P3VkJYyVr@37a zP}TT3r&u<N^*49u*T#1nmR6`qnZzz^e~~HT^i=Tvq?bEl3|)C;oZ>$uO)h(&y6mi( z32!~;G4)BEe_zbc&oe%HvU}3{ql=d{DJnmT`1C`ktjSX0+s+1&fCLkp_m5w0(~nL4 z+_|VlZ11`UOFZ3|I?DejyfP(x!;=LDcDk?BbY@-2+Wo{z?~rel-$dn;XW!0Bn8|;; zvE)#i$vyk4tJ>D*M<*ug%iTSh^K53iWeImE*F+=v2IJUA>z99a>V5k`g@5kJu#k8* zZjnbDmfW}_aDHmNfWB;K+mGh8kJ^=kuH<yI`JYqHe7P*2W1iOBqEN1v-})X#eVi@* zQsLS|z7-wE)GGhT7I90f#cnRmUG`K;H&rv_=!vLC?yjZ@=kCZ(+Ok>m;KfaiahgsS zOtT&^3S64LH#+9a)~5bNaxA`y*^O(LL<HV%%)AtHv)|l{(S|!;`^+Qrj}DTy+qPvJ zhj^U7^x{@Y*0YV5W1AaSRbDd*(R;clSaZ#x#d)jG@l_t%#drTU>(9k+WbGO*nuKoj zGWy|vBW=l9zRPyk+1Bq^sD49xqxtb=#@9D#_?o=*TIBV$(XqeHCTv%W)&F8!s}{rS zVXK?hu39+jRe-UqwAK~|wg9W>nWe{9&B?y)b6~-l($^RE>|B<+_DhU&JDZ-_ovzH| zOvertMW1=OU&u*RL1u;P_NQC+oV?!gT(qW4LNV)nzy8IHZqJ&<>u-iN{qT%mth?;& z(&ZYRtv`QV-xs&xXxN{>Ef<WuyUPw|m};G7)5@Ho(W7{&hiQt~yKB7}@BjSXzW2ZI zq^VIE=A1WO_Iy6`{?Fbg1-e@fF{>H<*j@hb`gbp@Jr~v-T3(atl>GaS`MG_mFP9Z$ zaQu|}wf_Ac_f2cLV~ea;E%-KbbA3hsmW6s-)?DYU7nNuK^>h7Qr8@WIb-HzW)vUMo zymwCDuk^OIJGbNb|9`9Ht7D8B1KduCd6gt_{R->TxN8(;EaN;uM?C3A;H(wCsgW}^ zF1L9H-u<({hj&8rdx7)!9<?57RKD0{v&^{8Ml{pq;Qcpl%&8TZ?_ZMqBxZiwc9yg6 z_MIOe821L$#0LE5tLN^}cKNoT<XV4!@S2|4L3%GN>zU^*o+)oBaCT<uMV(pE(~nBq zFh5?tYQrBDzu9Znr?w`ko_kRLa&G1Q5R+Kd9Jjwd9`}O7k}?*scQJO_^ek7?GB)DA z)F>QScysEVNqzfzyuEw{vtG6w+Og=RhS$Rld^ayn@ri5K5t$x4L5*SJ-KZ&N7#A(L z<0ASgean(!CdKQkjn-CPuJXF|QefAzkWjI^4NDG7CKWF|&LzSf>UD{`MeM1}_DL(# z)|_+pT3)h=D|!2KIn8|yEbOY=RDwM$SfAI+^lW*pxQ?w}Vv+ihC0pzjw&^}ws^ZEe zuxDOc<Z{JH{bx>i@h<bUYI~O0%2*&XS;vPVcSF*tQ|C08<L9q5nyvLx<1E+S!&|<K zt6uW0YkxDp!%I=9A?YG_U7&*Zexo0IO*hGXIubo&CU?ZiOY03Zc~!Mm89rnAeC|rR zhNr(B$2zk`YkE63ScG~=Ogg0-BqOe5xUS9J_sMtHreqri;oZ$<mp%(;ZMKa6z4V+M z$KDIAU!HaEI?*m^v3u^9?K}QWy*Kx%Cr_sFQ>&H+qu(0tA<I<lYnd!A{7@_2-71zI z_N|YTQ+~}PzGUW-dkfQp_AGGc_wa82Drd7mN4CWJ!>dwfw>?|JtKH^(X|8sY`((5! zz2h6#lU-A<ZuP74@3JlsdMv|KbD(?r$Gz9T9XY!Fv+T!O+n4Hl55Liy<@!6bGVV~_ zGS^)jXK;SFf8@ARH}8udUqZ{fkNVH3`?hw`My7cRY%5G;UtNfbonT}o{@}=k+Mu?~ zI1{yFS+*YEB`5J}N-Z{9H6?yg*RRI=O_v2DvkE;@@1$|%&;9v1`-9IM`%|8FKU_`b zYW23qn*T42xyBvzr+?Fx*Bd1r4+yV3#VOs;{lUI@z1gA36@gA)CH5K5Tw)gK{6&-D z#*1wW8awah*&Y)y^RabGi?uzhyz%RV__P!0-Bmdup8QcqZb!3Z?)AAU+n}asqx6`6 zMPq}D@9Kxf{vysrUWWRSl251TEC`(<ke*&1;wQ|M5jlxfWa@gk*<PAfN;`k7FWKq1 z(Ea+GtmqaGD_*DiuZm)=-i%eIg%h*BEc9G)@@cUqr$)!wS*3o$43mRyZ$HX+I<Eg? z^4`G6i4ihK4L;p!2@H?rHF14*wdJc~ocyObiEOs(c-j}7U2#Px!~JLXp0)<}>&ugK zbNpsb*m`tH!odec(<@3mFRsyy<<YX)VRAp^;cEVaU#F{TuU48O-J=-N63`xL@YBMm z($^w9DctWu=Jq#omfCWS*?S_7t$RA>%bqr)l)nW}t~O*|DdBw|FlSLuu<e590)7|k zub#iDJ!gXS)lR`VVINm8#oThq3b1JZCYE})Xlp^ckf^H5AJ2}>H+{ZL%MPAex=ZlW z>FDK~c>O1>lfCd_M|H$wiH>LaQoD0DT;$5CDJ(j3hWTxHQ_i=ZbBg!7OTYD}8ykj% z@%JBDH@Q0ZmC5Gmch0F=tT^**lWj?-{ROw397-kk`hGR;=FLoBDWlD3ARODowD6Zj zm{atD$M5u3JbhBM>dT3JHN_`ZbUyr?vC*iwHPQa_m-eGZk{=>3=r#JU(F%0A+O+-q zk;9Y9#8x%tNLHkoR(Li)`e2rsT(*44h8e2&uHG>5%Ko-)N#(}e2e-Ktr`Jw?v|M(Z z4wtB@Ph{7FvW(glkA8Us)m(6T>||c)EB-M^W9#8pM{hAry16M=<F1n8*U3$*WGk4n zvM=*Jkxrh}_h9Ndsp*PeI1N0vMs4rw7kRv?rYCfvNx0I~X+HhA6}_`FoYr{nUYI+{ zDe{QL(;oZzvzA<qn|$GW<`Ri4_Z@v%tN65X)5La$^(pY5-1397Foq|{XMKS0G_h<o z@7Hdk&;A#DOWV6m=6daphW+-9`w!SVs44hA%HZT=`tokwz1>B-cK-@1pTCzs{+H(Z z%2U}5#g@S;s&RbRMAVnKSeFU0EO}coVXem!-AHEJ%nu79zD;HSd22$hX9*9x+nEI3 z(7V6q{9b+QOzjTw9lyg@hp&yw4!yc6bamIVnY$g;*WO8&vhLAdEUbItW>EUEt5-MA zG1$GUaM3=ESpvWA?7Uf$XS~wr*@M@oFIu*KT*zfTtAUCCv>%_YywWFwbmJ7JX>GCZ zCR^w?|7=cJEgvmmC-bb)opD}AZtC=|AVcN8z8e3q*M(R2%diKV^Uf?NOK1x7I>PZ? z=lPr$Mh47*ENhLE%|!~`yjJiWJfWjeU!li#Yns+^4aOy_na@8jif71jxoaAI|GaBt zpYdL&V1x4)zKQq+AA8aLsVAt${e4O?o9WLe?cxQ}`@Fq17VqBnre}xFi@Wb`Wli0{ zmRh?u@rTHqv+K7;)UvJWFyjh!TzEMsCj4{Z#tMrS=ecjRCoj=?xPjS!WqZqJrOle2 zXST#Ye{p?}VRY}&1D@;NUE}ppug)#Gbmqf4y=UiIKCt%~8kEg2JIs2N#j7w{^C0Vo zpl@t`yhpZ9(mOskvr2jQ@+oVkvt>=oN%8bN=AXfBB$+ogXw~br8IPs=ii6!`qk>wM z1;bCNF#qx}e5jSFx^bPH<>~zs@(+~W*mcs=YSp76=d(^;k`)K^7*9;O&-Frc4a<hh zJLS~=ZC-!CaNF6~5B9a}Qc06PKJHzTlQ=n}YiGurZI`!Nzj1S3A334-rO4zBMy-9H zpCy@`3(w-L`0T6eSCPLq`$YeoW4el~7bq1dNN#&GZvn4i-ciLbu{=xCudSHIwK_)X zmZdHit7wyEP^-@R$n1)iTRZz^@7BqZn_WE9GQnnxa96E-jX_VAW>Lx7cL@nLz7tdh zMfcCU;PdMIE-T}bke(h%mk%4t4hGIUma%73LzAs`O--Vf;hf^VHbN8Arf%Yourykf zKb?8;mx`i~M$2XdJe(O9_af<vPVbl3YbMJ~nLPLBXHL_3uWwqb8~7Ajblu+b_uBe> zy=pSy_ufwW8ejWwqyHkwyGPxtFMQasi)-oEK>wIrX-ON2-qP5|rQ2LqJ+%ry^@~As z%4=VDf9sfTtG%BjO=Q-U?_y~>Ghh0?h<N$Tq`2SbUdJ~TEzWg#Z4%378N8kE_M)F% z4%II$-W=WY{pN@DQ#Mtaf82T@$tuJ(&3y3%iFog<ZA~v#x`IEN{+Boz^zgmfnx($4 z7IABvZMkgcbnfZ0>7o3a7HEHn<9fEB(9+FaagppV!#gZ-Mnx0-ikNg_Gd@bKKh)j( z_<X>lH*aDuPgwMM!$E_eu~L3>_Zmv_8b4gT`0=zaODyX-SN<`KDV{o^QLZ`PV+q6B zsQ!a-=3W`gb~MFtbIvTuakBnYz-+$QcXg;x)G_UwKX$ph6@8j=@XfNq*G}&wcCoEl z@-iY=w$kmP#&!FB*QW@UJl^t7ge&Ux_2^Z4JF1$slLOaI|2caShyTST)4KTe^Z%uU zto*v<`oyxd6_tvD2PKc~l<1f1U6i}7>qwyCZ;J<#YJF~2i_e*BX@%|Dxxgz($3}!V z)NO^9K<$G0F4rW(Ui^$%@9()_ZT*5ZVn=WOImB(n!KoYe@I=q6i@c0=to|J7ITOmh zACA}}B<V0w_-T^O1pe;p(=Sw>Z0b`?DlysM&@bueEqgRrVrsj=W$UxAlQg*WG)^u) zzTiS4^VA|q{b!e^f48yt9%ptX;_J&>|C#2UzSOtKD<Zgm)`>Qm*LMvoT;*4LWE9=s zd*uHr&S_5qCw~p!u>XnL@{pf_jmJ26zXj~O`dcx>@t*Yg^CG95Z<>5DvMG35ySMR# z^fUjsd<D+F4L;|;vVGsEb+7I6jjeWf&hsV&Jg;hI-*K?q|9_Ln#9y~dnqMk#FS}&g z+so<vav?`<M&s5!M_AUj?v}gtrB!}y+6RYZ%Phm7T}d?pqOSzEK3sZ#>Y0xz;ZDux z)(U;_|J5@4(U*yDEb13-zZ#Rb_p<Thl>ILi|6eLyY}vyss;ansz5C^p>jHKsPD!3# zH|42D&AiT~S7((iJEQc^`>?{veMi`05AK>F62hV{d1-~uu|sDoFZ=3>uAe_$eb+;- zNp5rYE3Gv-H8b7oVbY_YeA+QQGP~ZaKGRXT+<n%Q^;fbzg<4N{Yd<Mvc#u=ZdT+a1 znP9=is-=zkEd1%;wST1c1ZrL??XFyYQ+GLseGK!_**iq_9XT&eQ!1Jt${bMfY{ojC z`l?f%Eu|(8qn>vphr1OXZnzxSeeIRl6Op%EtBSfJFPJ{wsndIAn!%N-BR%GKTzWW< zwXHY(=(;-I(v$s#_P&g-+Uj4c13h=7Me#d(bo%G~WWMv&_-a;)_zc;k>*qIm#co@@ z&c1R-jQ#hmlHP@1B5Rj>AN%<7^OLTIDKjo4pJ+Mn6<rkaReqXR*v%~`4+&{WNwwOQ zocr3o^WN!B$8X$S_1oh+)@k0({>O0eXmFaS<A;l7o0ZinO1~^GEsxAiH}zeif2q-W z--0j6n-`w@^dW7faK!S*Irfs;ZF8q8CN5^#tnBh4_^Eq@`tMhJ`qe)uS(W5}^C+pd zyykz-^xl+n;h%37T+E9(tbIIjo>l&~G7kR)bx}voEh4;{vA6Z|v>spWllmsy`g6K= zL4mQys>1Elg3GV9W;J?-Z_dl%bG2;S{_}(8$F2QAua>c895GfobR=AInfLeq{zkWg z66cpT7_RJoo#%C0;;Dz9@9VPN-S>VJTW2Wc6-n;O+s@TAZ;h4i-5-4$|CTSb3i*_^ z>{#tX_QQ?y3!)~*?Okgr_pMB)e9Qi%_|JNF`?oAHTDR=&RHv%Yt^dkorY~ui?%I}r zX3t00@ORJlWxk9vHs<y{`t8{ZpU=hm|6d#~c=NkR?{-<K{^y<lKUL3fvTokDechch zTS;BxkJsjg>s@|v?#*()=@0%tKBwFB?b_MD*St9dU-2(qa!b;fA$MLwrC@b<ob~$} z$$90M7H{t6b+-PJw?y_|-HN7kuRHA#7j`A?KVlv#A-y<e$Lqc>-mH~wI-cg|)LBAo zp8Dmi5aX9s+;ryQwW@<biRQ-+6$>9b=em32LnSUPkpiB%J0JLNVY1;kQog`GTCeP| zOk$(V){GU$#LOH1z2DKOzh>WL7T#OIMGJMUR!uvz<f8yvO7ii*(=F-}=CYGjv*S!X zJ_LSWHuu@NulHU#XK}t_l6$l5-O*Q*TQqN`l&&@GU;8E?M`~`Mu+YlZlGO8&Wj~&U zzh}Ny#@H<$C3wg3ROm&f9iD{+;&*q-ls)!#;eL5<wo!(=)7&lXamG0xr><`Ov|+xl z`y=6*Pj1fpa>eXvYKLIa$6{Tv3CmV_JXA2e6Y_ucotw)Rg}CK+P1wM-J$c^jfLWn$ z5}Ybr;@&j4GMY;|O)Z_(_(8#tiGS1Iwv7iFQalZOL&97aUOQWA%xkUu$hH5M=BzHW zz^}#2c+zVVo8C<`<jcz!d9JZ|j=l$1Y)Gu*$J*4cLi32i=$VdkK9cc)?dp>bu}|M> zCcXOk^c69M4&Rr}c~PY*dQ*Pyn&u1Lt8S&~Y>N&KeAG8TS^CS4_>9f3o5Pb57oD<V z%xm3uF+j5Ftm2{Q$L(q?w*oJ|VwEitNN5O2vE*B{e8rqChnDpTN9{~5h<x#Uo5|;y zSI-zrWW*L2=^I~d;pY$O@B1qBO623R4`*3}{Vf)E+{-ePsk)JMw!9|cqsTUP)j3Iv z>{*^J%zk<FTFU&B>Se#0tv;>p4TxQN?|g0GIoEj6bT^mD5j>hD!V3&SgjVD!Uv1GA zTxI4YoD`UmqG0%fWofS2+baDdwhP;3s{Ngdu4l6DUAo-2Z`stA2N_Ry&k9S})^4!L zN82D!fvGgA@%D0tm}R0N_1+7^mrecN{JOBqZdRDkD+i_w)ps3nZ6RH`*5Y;Emy(=) zebzWlzIa&T)Wx*Q8Qm`xUhnKVbF1v$3(NYXeIaT-;b&7%nEGZs<&t|WD!F&ziimQ* z_=H9kF@cFcetIcLiYmQgcb@sw$Xj(8yXt+hO^wa!#fyq$_+LyHn)l&}{-H{N#b*+Q zPKee_sXCOa@%&Sx#`hyeA678V58V7l*jRRJ$LnQ2@$1*l{^xn?MBjDanRVZ468)ZC z$gh38Q9r#RPJeSk(3*}vr;NC5KMPzak503l@a{$I^vD;biGR;sO%<zZFh0<K-S@@R z4p!M~zLKT<uhk@?#U<BW_toTm?<@JN{@R`?{Sk@RL!*R@^~@vR{s`jhUU5C-^qY?P zQ)Vv}+qPO~y34c~%HI{2?zpn7_;JjYt(u2Z*B*)LlK!&q%$`NwLF$)k%yyjH?laNO ze9M>F=Tp-g=4q;5dfxUnZsLo=1Ika{?5Yr%eaBY#<L9((ch6Py)~GeJbmjYOmuY$M zy{NiAB}Y5fqvuitPx_Px!RKSR8&^3LUTAgy{{Q&0+O>CI6@REX8EkT|EV^j_B$ri| z5uFRAb+;=>%O@#iu5xzX`AoH0=1rMFbfmS4j+^hFD?1_@_{(-*6nZhiV)e(sh=11m zzg@a~q5p%Af%xNy7YnURIGdKJ=*fBSxF>jLRe;i&OQI^TIb*YA^x7u9N}Q2*MZ9Cd zhQQO!QH)d0t$G+^wljK)`-hB47tXKibPqF{^!(oB&r_}**0f#bY1U?IbXeiZ%6SU> zQhc}9R@yIDb~!d*#l1~GJm_JPlifyBW#g+^Gw00iPy4mUbg_B#&AA7kRxI%ixNBu! z#q{)GUT(Oz=f8IU!^gE&$y+eWPqnIh+PpLDPr;`7U*^2;l(A{^dr+diY2hQosNhd0 zWqgmnlGaq$s?jXmKFdF^&dhj0-nDeAJ`u~ngF1CB)thGIE<5h`;bNZgJl#WA+aEaC zZ5I5n{8rbb`1MPRuSv{`;fa2pl0IEj_wzBalWcQ7hW=tbcHH`D!LHO>G1rXW^&9au zc{Qx_eY0}Tv$axdvd^UM@?ER3b;j-*rZTCN_sr$xw}Q*llKhSHdN)jL?YY7!9dW{k zf0f=7mdyXMLTU3(o-Dk(&NNwY_s5)lOKUyCviqMe{K8gQWAXnJi_Ok-IaLW~fs6c) zzFeJbU&i!w>nz(MDZ8pq%Qsit&XqRqaa$HBQ4sVjRq^oO7WW(O0rTYi;(xqg-x697 zAsKCxHO2n+e(w5JA$QjIye!CG>)R>z*nHRFzt@YDF5LXn`|iU7(+}!)ACJ#>Wqa~+ z;<M0QC-3j6d%b@V=izYW`^h`LHHODkzntG}+ihNE@K5ji+52_>mWof<{GsiUeaWib zHhbIKd^a9HpQ7-5*@M65`V6f(D;4kGxE2&DW$StN;g@eFQf{i^wmfh8Yqs^}>m1z2 zv7zwk%pY7L^Fk7=bEcm%%V=G3<6PFk(kJyI&)rYGPV{wmoZGQvt%`D>hyTRpyFq&= z3g|dF-fCDDt?wf?bC!Jf;h2!;Yv-{!y;X~eJm<Q3s@%fX2@Kz>^7_lfdRA?9vplNl z{rub-4~E>YOL{erX)cjDP^gq9>bcYW<D!~P<qI1%pY9M`b!}Ev$^U{o*Ip>fEOz=E zx7bsC+6SgB23ebmZMALsO+!kZF5UiISh3(2%MyNOPSF_?Qd0jsU2?+d?zSgCwk>{Q zslr;4yy<DAKx)v3I4&L5V#i{=?}z&PvV;rW7o-N4*FJO0W|;fB`2K~7!W$D8h-+=t zVVl#=VOc7Yl=5wXwCbIEWm6{y)pM@4Ul}k(e!5rpizoN{Q+-o!`C9VD-S7_a6x;II z?a;P6vt9Nn9(Ly4=q+<RB_^83oXK&GeX4DFl!tEMpShg##CzlN{Bv~vMx1L^318^T z@3>~aqQZ_uWs%s>HG41S9k{FPxV6et$oQoC#`WtguP({yO6-`|qqQ=5@g=c!!k1Pm zt~~jDSD%;d>kSG2qvKbqJBMD~XudLhy29jJ!SYM<9;n#(pXgr4!MZC*Xtng#bxZ87 z8Sg!@PhaIa<LczSBEJsE?VHx%C#w}QsbtcN2aANt0?wNlM9nc1oU3@zM33d@%hM|Z zb7E7oSHGNiL++KOj)l;#=;JpPcxTNj)I8<Lvuw%UO@CFc_Qj>&Y5#7WFv-rd{hMQZ z;qs-gCar7A>iPQCA~fvs`~oh!z<069-WL}v@n4&spJU$hP;u84j-O9={$9c-xHV>{ z)uX~YKNI*p8?z2ooLUiX9DeBs|2-bPU1>S1b+5iy>+>`J-`D+fb7MoMy5tBo@66jM z(&oo2w0*<hpMPKLr$i)G?7nckY*Rq|1y0TN4<<j0RAG_4z`riiU*f!!yz@oNkGKE* zIanGmY_<Ku`@JjIPC2yCXUFWN2bJc^%$Xy1-Yr6KW={H>u)fI~7mF^C&eb*R`pc*6 z9x0pnIOn<V!pNVTytA|~UzlwBtL>Go#x?^kqYT?qxv>vtB`r=}xUVC8hR@bJqEX9# z_#2(j$lvoOy{qN*-d`8?pAg8^*5vx|l_yWqWlH_Rz3<OROgGs-Q+1ccZ~N1U&XND_ zpJmt?ApBa@%OKoO+}C~PW=07c2Cw$eJ117#|1<H*<UDaznn7cy|H9|Kiqb3JFZ~sJ z`s2zuUQ1*S$DDt5EW|`{)f}TwJD;^{gdKGZ<||&e^4QIrSI)=i%sDz?mi!K{43i&I zHqXh{k`m3|q5gcrPP?K?&ByCCb+jG^*UX>nmgv2nCn#a=@0KDrCZh_@DYLd7(v5rM zz4GAF_vPXnBW~^Y*|Adh?lpeCr?({+g=%j5pDih4De}%ri+yFRQcQ_W{Y*tEne+Ki z3*57VN>ZIHBld{gOWv-c!qz8kDN<p4_|UDTwW{5*F}LPF-*9kgtuk{=jFgx4m4Etr zysBGS6ACglg5wIel!*3!`z<LKe(wGJrJ^No|6FL5{998}ci+F(w&n0WzecOg@xEVQ z98LVCr`RmGc*}dyJj0}jU)~pE@=nbD(Z{LtMD)YONe^GY>ry$L%<cPg_c;T-s2Al% zkGRBsZRJ_F`o+Grmw7tl&n<kMC6Kx}YrcepkBQ~SlC=G1fe`_^LUBGK)7-dkBrMuL z`E^)GXoQWg=en>bFSsTviEnl<mlD}A!`A9P&w+$*owY)p#fHD{pZ-#v`t8N9$#vDa z-(LL9Yx}iRFY0#0Uf!8eb4us+&0fK>&tm10xjbs;D)!HNbyXpM)kfQew_A?9Na$NW zG5(Ot?z^3ikMi7IO@AGd?mEcDAHi@pZ`p>JXUDdS%lz!9FqHkxx$Nbu)Sa#7kxa3R zCRJ1)_t4S{<OzFxprZZwgO!(0wCH4R+!yq2&71s>f;{)<-#?-&Id4A8j;XE>mw(t7 zR&b5G_{RsIf`t;ccFqYgTeY7at|(x+zH#sA?H@mKCHL}96-|(uA+B;XqE$t%KrNG9 z``9;b$)9s1FN^ouhHt9)cp@d-)n!ALLC_)FL)!Pav_sChez24~yem+L_xLNxXKc2b zKaTpGuRVB<dHzJhor@>WmT}cy_3<T7pUn0)sg0(euKQatCqFruS;$vYbaF@g88_=b z-NI+OpDqR-`hPGs=!=kX`}(wB4Y`M(J%7JB<?tp$|1TnuzRnv>ixe+rC#hG-_-<^@ z%(^CQk<a04b@j~7AGNlZz13%FGsvFUH*d9~jScVFocQzBx2r3kGj|pG3szKl%>K<V z_t?H=CUQ}qSDjoG&ECVa$MNai>&D{xGo_<1-<9;*FUB`Pq<z!U<FQ(o1AQY3V^_Rx z`C7L7vMv8B>w|s4Tmb<&8ZXa;=Kb9~N9efotn;qy_8*`1Rao9I-C3Zo8>XmwW3Or4 zy?3e066}2~E3M;X?#5UAUYl;;H!1MT)1#sDt{cDK^EdTLSo>X*b5`w#^tjYMPhnpy z!fc%!8yOOMb;_a})Abz;IVUOe>&(AC|GY!#tV*lS+dI}xa@m|zsrGNFiujcyC8v|m zoKL-2GOHljs4DsKQJs6UkF|LGT&q)M@HkK2{P_9jAAJk+`XZ|o@1773-8%8`(&?W) zwE4aWOQxhsE&b^wx6;IZrb}4sxz2cBzRJ{DEAq`Rvz&b;+dlt{U}$Z%TC;V$eapYQ zU%rPgud<)4_S*S=zItKWo!)bvEpI=2J~0#c6D6hg+sNx?%IZCH-(0xXGk5hNW$pW3 z$>r5uB`=NH)-T_v?YMW!v+LJZmCir=cvVKI{Mp9GA5VR*e6l2TUY#EM+BU<Y4-aK- zl%y)Yz9@gzaiz)5GduO4EYLpxX?{_}?NGO}TKlQZZKvPgKg+bW?rZ%S=Hnk4zV)YU zoYnf>i%;U}ubn!VdJGT0cx+j)pXWgR`NW&in=}8q@L9>_nd~}MYuw&Dabx@CwyROM z-#_{BJ^YeMboSnflU(9Glz;Ej&-7Q(`tjF<x%R&PjV-%X-t3%M`Kmf|)y3F4?X#~6 zu5nJ!Pc8U3>&;_zhN;hl)g9BXpJ@6lQo*wPX2Io(#nLTrrcaK!pf-u?^4#`2v$r(I z&-+$y=2^zE`SkV68x~tW*!pA!-)hST?UzFy%Y1N?+kS>o{&eH@cfXV^KRjAjufra@ z=V-U(i&L@tXC0T@U-*6VPBxJ>DgGte?L6=PR!1(_^?my11269VcNSay?ys<ALdpC2 zXOzO<{k{MEfcE!)mo48sx)*=iG5p=%=|wkQ+>`gbedbhVy`ish)fs!AN8en<<ye0U zv2FVj<r$r0s`c%vzwI|ekr;_vhx&^Rd-F6*ym;^X$8C_&**@22&VS)|b~Cq4+mmwk z-(8a{I#*@u)qC$u6+bI8U$xqpcUx|@R&>v^j<@TVN}IaJH?*r?a@S%!?bv^C%HnC0 z{#DJgGhb+MeM7OY>gEUe^DaEulc8q&^JlM#4d07!;~g6%wk|nre#xWPY3JKr^Y@o} z&DNIvn|mkCd7<&+@K?Xf=Qm`8&yzixx$n=9<@Rmwyag)OdD-SWl&eal#_rr>_&4O$ z>yZ6#eVPk39-LFDowzSpae-G>zhiRg)0;Lkq(3o8M~l46H5QNRdZH<DQZYce`xL*r z<1dNBlQjb(GbA3iUtW=SGPosu`jN=UlE54T{|OC+0rP!pcNnEESN<Bom414g{dDzx z0nd1AL-^Gbc+Q*7*>kG7#ptob6KzY&pAM5&uAI`Pr@u5Hed&wy?!gO|P4qdK9<<<G zkF>F;%7>Rc2J52jX0TlQbhCengZ9xnrOwwYK3Sg@^FOd|=I?#wXZJ3Cb31>j2HWoz zc4e1ytLN7ldcJ&6{qj|@=8YMpE@y3`mVQ~NqRRWBUWe7{&Toe9*B@0@2zl1ZG~ap@ zu*+`~Q{uA654OxXZ{^UxX)~L7c$#si_@RAar+=P#xHdV&Zu-eU&4pjL3nwJ+FuQLf z_oAeERfc%)+GqPX{7Y3Mc3qkl7jW@1x6XkZFBBik+ULaXvWuzotnZ%JvQ^evL+<n! z_ppqQPA@d-66T5Qn`1ri2zP8;V%!G#C4Ab2lMM2o9`5zBxwtl3HcWepaP)=gGxTlF zuiq!o))f6fa%$9%U3>o5zTZ7xej?|oLuZ8^Ey}vPdh)6k#gaU|a<_O@7xh!ki?fvN z-mMGplYF?R^j_a3!zr5#ccdol(EgB+&)PF_0?Us*b2E=79ZS6DQ+l?0*WsWn<AwXm zGc$KDO?A3<J^I+`f1IMRTb16Yoto$>Bp2zaadB1Z^Ig1mQ|BFTo^GLQV^s3GY_H+G z^&c<RzhZvL868_&_~o)d-;9N~zV+&!jX573eR`6hY_xmIZ0*w<oL<cn?DP0hCBUaG zA@fr@*ziqX*q8Ese=Tc1d41(v@#sy~<`2sEYyMsi5J@(ad*<}IW`8r^Q&F*4j#G!Z zc3fQlS-{WHe{uF>L)Lk&t+9*zw_aQEWY;;p3!HkoTD}5@uZxEzT%P)G5&tX}eStMm zH@3~4rp>SMJ?U!0Z|mO^#L9%C=Po{Ub&a8cc-hy^;#Cfs>-44;9x%Ek(yVJ)>``{_ z{ejO_jgw=!h2rD_Q$l9<NStQn=xyJ>H+1?0u}yE6Y+&0HtaRj79*>^d;_@$-Si9w< zw2QAF%S&m~m~W)XvUqo!c@>L0=Y})!QB{+@_^oW;u})9;u9)4g$LRdWHT+UaURr!f zv~jS}g_tV~wZyiZ*=>3|;ORCW^>y1mmrW5Xh<JA`NpDwIWNPQZ%$G?PpZ4DWf5}__ zz{>o4Ch6)uS96j-TCY*qyw<$ehj+R``s9G8etq+W-X(7<O%-j`&EB?k?bW0O$LHnV zEos!%cz^TS+7n+tna$aj9$js__we_19Io7Ia!XF1W-;WF<I*}fjX!fkCtuZa{V3<7 zbJy~>KAI$dTRw%oeV(+U)9>aE8LbC7fBz|{#@Nk%@lT*e$?Wn?zJp&jD$jdcV0S8r zw|e%?cg+e5x#zvL<1jK*=>E7&q*(qaukGy|{zlao%hRekbe6to>34iA(|xu&^6G_8 zGf$~a7MFdtX>G>+_sP>VgH}COQ&boA%yZ25y?((&e*R^5+vr&rOa%8g%(=;AZ_ZsP za$eT^w7%5Rr9n~Q-pj<^h&#T>R8gDa<hg@Y@<_z4R-P#yFPZEM6ug(mA9DG%Q)lNh z`OiINo0K2kx>;r}@vZ;BU5mDzdD(3h7e$tS<DSx0b9US8?zH$9$(o*FOC2xhr|i|f zwN^Axd3BWIU6XTjIe!XNe5um)GyC59_4F&YpHHreyTz9<FZH$Z&2Y#L$$Xr8??BY0 zV6I&sivy>*>91RuQ@cpw)#{G|i<a|VKd_<n8QTlJSAosOwWrzd8rm}j=d`d-dAZnn z&Xtx)`jRb58ce6O)$cyjFrCfwt8Y{KoV8n0*h;DxZ}diW%D)Zfym=!pdymciIhT%Y zv*6ja!Q*8@w9L0vw|CsKtDWxU@iuq+o<lu)4hB1_5-y})`n2JujEiZ|Dt!^vUE6k< z%-B?vdr7R_xL8nV%Y#~vwCL5Mhb-eAQ}mBs;kYjE*_&-GP_ZG-{Kft`Mmk;>bGB>> zUX?son*DO`7pvuAGS1r{b;Pf;_iS%o^K(T^yF&Qa_W=PD8tzRvpH%h2?*Y@|&82OR zUM>yqVo@!=clw@OtYqY6G5sl%rWsi8p7%`X=BmY6EZT>3Ow*+AR>d`jv$h3XnS7z- zUEJBjtsi<8ZDy2ge9_a?C?5O4Ipgh_4KuwKoXdKl*&3R{QLxPB%#O`>V|+_YjyE}7 zKi|Q4%xs%6>;7&>#t_M_D|fsFS?oj;KD{v0pKM?7RjTvjpT|d5C1tfpaD5as+;!mW zeLklj2U#2?t9H#^%6Yk>@W%1SELBXmTXxU?FA%t1``MxMN7EDnH;61)=3Ww6vUFj2 z)C)ho?T!ED-xIRx-Bp@u9lrR6%`$h5xo;H~^L(=U6Ck=)`}gLFSEv1EnCs54>&FC^ zIc_4SvLEdT^!w;3V^DQ-!O~xT1+TqoFSF;*nbMz^C>ho0^z*6yG-i_%9ao~<BR18Z zyejFugMS^j@`m24VH-9uU99-g!n(DMFKV)p?+p>rP>#5c$BJr?Sf*>vSF0D3zLOb~ zy}(YW>TfA?+}7jy2fD=XEL*ev^8?jzW^LoWF843o?4AF(dP9L)+~Q=RKTqG5Kk(U* z_u!S)lnwSTnGKh)_q(_3dA)09-h$9P{m(KnXN=5Q)a^xT-X8Y!)!4gqR?pd-=v^+J z^ZMG&S00`!d2GeBNR|B`_U+kPV|=pzquo2rB8R9OE@pmA?cXIP+en|E;whukwdAhg zjk(hDr`=Wewp^L}KitpvQK!mfraT`HvD!}}TZ;dxiNx43-k(*L{3qvJ={JvWrRm#u zudbSuQ{cM%o@bQiQ?rTDa;}V%Tco$|_p<Svy)8;QxZ<&Rt7nx>R>I1E1=@EWyj-F$ zdFGCLYD;L=4VO-f<tO!cH+`w(Jo-MZ^-|XUj~+o+E4p?Dt^U4oiJJ#^{;xF6OTQ|1 zwXS?~B~G?8McBwO`r_h}oamEv!e(#o*-cnlwWwNsQFp%o?zm&??o<UGmw4k_S(m)d zXZ^;1?w@jwGuk$abN%abQj09yRO^xSEA#S=#O1qRsLcM7VKnzfVN1A~WarX|_;dGq zwpc$B^t<&j;g97~pCh{))ffJ>n9!+ybJ=HEW4$et{pKII?#6E?ayV^ImQia7<BWqk zx;2%lMlJ08P4ms1EB6$8l_%YLk=D9&@)!P=rJ8=njCD_B3cGc^RAbL9sg0Scy7E(D z-rE|UH~T)Hvo<hqIJ$BB<8_}}*ELOwv3as<s`CNwKl?;7B9zvN*Jh^u;O74G=~I5< z8H>8qr(O^4SvR#``l|GPiNwtQqwNkkx8-Fm_Wua>cUl?nr;%s*#@l*!M*Oy07nM)t z7jz6M&v|pR(f4r79Pw?MX1g}F)@eO5KYP<=n^0!k=Q5YzRq0WI;x7!g&Xvx5ujRWT zfa(2}mUV3z+nBdKxRDfEt#SYAv5TLB&)99b?@_rx{fV`sbG3!j`FnR}oKet@UZJz` zq(#84Z+o<Bw(6TSDox#7c%`V|YsD3<^N%bpoDRLdf4VrU?u3Y}pMDpoZmN8fyH(1~ zW}$0jfw5oYv-b(j9J4lkUw3;_ced#Yp6Z9)pMHp5x3Bu#J-=o4xyDIXZtZAuVlxc= zx|Q2*{{L@_?fY0vJReD1y__$#R;2$-qLkUxhbyaR^sN`DePo<5W%<QzA;IbAA1UYe zs~J1)3cH{7=Xd!B$5TIl_uskIzA@U`+%81PQD)w4Kjxwne&-(>&+PdAc^UU|{R}6I z{LH<^)3?p)_PfL9`IzO$xs^{3$>}U97Y`9U&sXKn_bnsy;*Jj$EJ1>-mv=pMxmG#V zCG+IA<ja;;-~7M*I=196|4hmIr)RZPZR3Br{K<w1FW*jQcjIjPQtPj;csuXXQ=^v9 zlKHs?$?thHt2++b{JftRyJ$v0&gQ%i70Vd+-U#|}t+e+Af7+f+eR7E(Mb|z$`c}DJ zF``(a_x-!Xi%u&ILmij#`>{rf-!0nHUJ|~bMBq&7-$!Rz=Nwhl{qZgLriJba{&Y#l z;0<Z%R}_`63T3?ic1(C)Dr0(wXvHh7wYM75*jeRQvtRR@_Bo-ign69>i*0FoY=_9A z&vs77j^8@{q0Gxms`;Ko--9a}e4jIJ?bEl~D>^r5@k5igmv_BQ?L5ww6$j1>3|KV5 zDZ(c2!#R_OKe;&ija46ZUHZ>CrTOhT6M?(`JytdPP452cc;7L-e%p;Q$BFa3CzYNH zUS?AM@q6)!mEAY&J(9o6x*9#dHtpV2#h70;t9a#~pNXlNHS_0X;e$`?b{w3qF1=$% zRZ}OM%FeZtl@BzXChbo2tvJp4`-|m-_e(4|PVCH5UG#B!W=ZlR)rxobwom%tr*0Xs zX7-Gy^K{oU{&nqAnmTpyTb}yg)BPQfH9C~1&um>OIo){o`KK?8OoZy}EheZQnmcdZ z!N@yhRpDE6mImuDx4YN=@X^2hlUjBq_8cv)*YEtI#<YJXQ&AuD-jZ{Px@nB}RxIy3 z+SnAl>8*rF$$RdV>xH+j(p_}p;>N#SFWy+yDt+x<9x&}+;r_a(B{eA=w)z4Rmv(3S z{O>RRq8wTxB)QVeZ~F<2$!|G!cCb7Zbg2mM`8H?K$s(0s+asq<XPfxDe%1zFsSB;L zQ+BDpX<2F=Q?WsfH+e_Fv_q|j=D4%E{!_cU`$m&_<P?85*JG6m_v^&pE_=ZD|JM?? zt>(`ZoqvnXWdDENb1j>(-dCS}<w29L{`vX0gjspc0j<B)yJ9lkY7d1qt*@V|W*M|^ zv5xP*7c5KGc-qZzoMiv};m;a2kL95}f9|U@EDrl0;Iz8^*o=L})h{n?pPVA9Rr@PL z=$2U2wohAC)|jWx_?EBQ7`Oe_%CEN!#A3Hj-1&@u@)Z`1zds%dPYATz?$6BqR6ICg z*Oukna(kw4ocriez`mKYX0|?iwD_J!V`bohT`l+A7oIoPI#V9+RjQ$&yqaHSi(b>? zp6^d5XRKegXZt<Z<`b8jE$3aEd~fa>aiv(1lzyd{Wwu|ITrn&WJX0GvZ86Wq*=5`> z6=fFwkKC8P$ajt6f2NNYEUSFx8%{`gzJg<tnCbEje0SEAd3Sz1@_9@3sg~EJzd18~ zWseu8R<;DN?@W2)d!pE3uD}BGTH$+>T2-`n{kYJ5CrDx4KJ)N@H9HHtzFl$&ez5y* zliRui$K%X~Q}}i@?ecnVKjp%{gzHHT+|yZY=j4jb`^+@+R~Of`z+LUe?5p$S`@FB7 z&yD`FS?1YEo_S2`FE0sZ3W@Q_^j&39D9CruC$RE))G=q@=WNm8*Eidr`8c!m$y)I* zJDet~F0FD%d45h}*Z-<Cqw^;&sb5>O<XGkn(R(4EMY4339r0yku<qG5Wujxd#+RR^ z_X8~E7kr7eDtamHEcyP9|1`5*D}<!4Zp#$2ICZ<bKvH3qL%~;Xm8z1Inx#KKny*Ye zm9!&2ZvECJdl;FQ_wl#-noi%n(mGlE;3SXU6DBjVqb3F|UMyrdLG|+0!&?t8-~aEV z^?jF@kJ@)Xxc_Hk|GrMQrwe~)U0?G$c0uMx#p|;2_iDe+^si?PlD)MkQ%1G7b=E}t zkGJ~wH9mNDulMf0=eO-YG6ziLy=y2f(UkXPW|H^$X#%1pzNd4#R;97*@=G?mTyT(M ziQlq2H<u-GNHGWRoW_`;pM8W;c=d}<%@ZfHG%e^ne8n;A#j^H?lkFBYik$h-DC&IS zsaUe?e?Io}d?oht_BH8o&fK=GH<80A|8h{CqVt6%S-U(~@5-4>nOAl$<N~YmWy{OG zJdWpPD6j_`vCZ7@Qs!soD^sgaMsuaB7B;<mGvi!u$cly&3z`EDu`n)vQ*@|j(e@W! z(_ivgO*k=s_PvUW(NCW9h@VtW3sgDpaZSoGK;l$Ic(>|e?YU_k_Z_b<I`iPPY(1NA zW|~cp^w!jpwKv|}y%HjAXR0u5;eCsM-WaC^+P2Mi#iZ@`J-7Y;lYK!A=j9*M3{Na$ z-@Uf_u)vba=DY8f%~Q;n!?t^??_q(IA1p6h;#1gI3Yi?WjBn^J=L*<9(OdS-wD!pc zC(8NDPP0F3ab&i-@it-G^BzZL(;II+ynIR~*a{vKS8{lA+3fqC>Hj~h`L7c6?X2y0 zn}YY%tX~S)R8}lEc-8o{S-xRKwWP|HdB;}#zE{1!N$%i+tL*a|SNsl>uMt}uw#AMk z@ca6VT8_nXHSd2<`RT5h@pbQUgBR^`Ax`F(B_=+9Eb!%D^?S}K^BNXB%Q3dua`jI0 z`+dKR?l^N8-C6ka-fI2B#UFi(!^{t-t%~H`-|EM}@vQs9OMB15hsyt3Fy5YJxGd`4 zxyN#Q=KuU%x9j|0FPr-53cJ2M>7TUX!bE$Yg)tLOy;l!xyk;J(alie-Yk8G~koDFz zU;K@Ce7Kpvyr4ViZli(uI}=6bYjU@EggTD3_L(`0Udl;$u;q@(WS6=HjK(hWr#9Z1 zVkWd}&mY$ruLAXUMio?tSG|>(_0mhXih+02m+u>y4&PaN$^GPlt#YlqTnu&?H;4GT zN_Q9iP)uX4oKaLE|G#h1snjizJrc3|6O{^De0O%62QKvBR8h>kBI$HOQt%a@atnt{ z^r4bTPv@uXxNa<Hab(%LXPXN5tomO*|L^~M#hj*-C(ONeS487Y()(G@LRPU?-3{)t zh<Dm}ZR;wdp8Tt&S6q5FdT+WPZ2!;Z->e5I2mPEXza5v~*V)r?IbOwBwrkzHbiFm+ z?nk4ePeq=69#{QXUGZY$I@|11qU}fBJK62Ntu~iGYjSJWMCI*gexBVP*XX=ne&M8@ zQByDN>T8QIX0rQtP5S+&-1kz^T#NPhezlsm{>!^l?Z5v9WH3$;zh9+%ea5+f*-rQW zRsC2S?ss5)_0C6MTDQj?zpJ9QHAXH<P}}YKFBPpNPZ#n!+Wua3adVEythFm&pAx!N zqu~~LYJrNZ^ij{LSK=~N6Bkxz)Q6o97T?vrE$qv`tOE;t@8rGm{#aY^ASbdU>Lg3; z$ytY2<o6^_ca*GGuXH~>ZSzB3<DEP&WOmLuxNDQaOvdxirU;zNt(VKakfXuaqLREk zXueFtw*>x$7Lq05-xe%tezf#+|L=EqGu}zfY2*+){!qR_crU}QjJay>mQI-ZQtyYx zbXC@+J}#Ml(V^#B@2bAJw)?Mu{*A+uEem#c#&u0OdMI@gV-TAy-w|$irAnK%hZYKJ zq)z%({MJ4uSa4hU-zzP}ZfhR1JpFY}>C%%0{0~`E_xNR;PSaC=uQ|<QT0_ayg0Cii zRjWB>Y973!RV{P2E;crIjofj^);F_ucsbmE9dnl_NL_$yyY!8$-Hl#yMe6cDy*>Ol z<lUFFZ?~G+|LnmO+oe}8$el}k`E2sE#9f>l*J-LprYgF{UY|0ls@>(ywWHe?&f2jv zVhc-Oi*;e`ix-8tXRrAStX^ZjRK+B5b^%xMuNuQ`Cfloy%lzUspY1%OeZr*OJL6hD z7A<1-eYm}C@sAYaj8Emo;YYPUUiiLaXR){M*V8KNmb|d26_R2JTs>Vn@rJ#?hbfX5 z&ekrx{#ZY_`KkZpJDD20{(GtG-nn|(eW75$!vB6etiKY?{N^$UEZp~D-K3W?mml7q zeM;!kf_p!z%$|qL?RzH{TGsJBE&tFji*Tv6a@D(Sw)V#5*FNI5h%0}py>@j@?ZMQ{ zw`o$QJ0HK)e_(J=l&RLy{b-)lZ%?0}EA?%r3*4I+Q}uYQaHW0KFY%w6pMRV?%f9b} z5l?bV;?>!=r01&1*@Z4KDfT`tXStR0>8iH$ig`A%Qde@m@|=3S+`A=eo!bM$Ga2_U zTYq~TF~uml?$<e8n+V33cU*x#=AT})azfyTm-A08(yr_1$bBjPIl)?>)b0Nt*^J6F zU#6vR{=oC%{hghx>UKwu>;21-+r8)57dN>*Z_4*iWDh=P@cq4U`3Jo?OSLz(LQ{U6 zk(JSpSXgRa!IWDi)Du*sBJ<I>Jg!pWE#K6d*Gn~ihJUX8;_m$UYfbZvxPQ@=Zyt91 z$iK6*()jETho{Y@k^g>LThuAumFZjYzbq`7-HU5cx@Uz?y{Uiw#Wm-jb?VRhy1UZA z)_d9H!};?XzFE!NU%(aC_D{Pq<9hHf1@6bE%L_iZx5~!r|DC$$LEqF@*_B~^qED~C zztghN>EW{gX?f9)*Ef8Bm~v_7ho^b(YFDZX1ST~s()@P4dU2v*$&`I;@=8*&5A<I| zZpwOoNO~t{yOGwG&g@kOduClfk=%MIVGAScSFvw_A}PFaH{2t2xqnIh*7&JtvhnUV zLvIiEr#h@ZjwVC}CQMmg+%l*2pMjL-g04k!`!=qXeeR^v;nd!h<?9{DE@t*Aoa=2& zjKJa*aSq?&l)q?9S>dq$>byXKE9GC<cD{6bZxZ`+V)(|7Tvbb!ED4zS{n_e@-iNza z<@h|Tey(Ei<HzcZwC}N7O&7mZx_dhO;}*RK9$z1Zf8=>-y)QL?)uq?Ho7IDCY(l<h z%gG(RuyGFeX@9NH2kdy>x40}>lbg4!(bxJiuko^<Pu@lsG$pU{^?r8phI-!pCcC~3 z%gUeTDgJ%)w&lpNpob4nd~e<^EM+)t^H=i~JGRc#w41x|><foqGkIRtsXjhFc~SC` z6F)YZY{)#Jx%o!k-DBAtuOt(hSD(Ls>@r8<-Vmd-UH++Uebc^#L^mCjKhbk{%cO&g zPp&fzO8MX+RN<H2C=^*`$lmko$Dy|^u6<AU#DDx5Gf7Li^*sO5#`Gmm;@&*md3(Le zTgL;5JM2n+i)IKq-#oWC_pHIc_*3_EZcKW-<NY=9UvW=3?0??W-*))nhSWe7O)tLo zw=XWo*7`mE_A={B(Yw7>nf>W{4V*mh4j6}vWJG^sP~ez!>w9lg8Rr8gp_r}R-6~zH zkJPgJ26b<}bW77qN%sGlHLvWZpLny6|M}A+`m3|gt~zz<l-D-HWvykV+kLlR2@_ee zd&RXKq70AMteL;{v`f5Fn0$$0&#GTrK7EN!a`^MSYvQ~cA6K6LpODb*8W%9_=&bd3 zwerR1+ce)XpO~$FUN_fsd#BBXj~o8w)jzykDjWB$a^Km&<dC-kmUi0JPj=s1lgFf) zDAe(TJMF`VveW#lC(fL(;{9&x43_%kom)2s@V{z3bo<%qzO5W*uRUyxJA2FZ#v!#s z$8WDI<h&no@mYoq6K|M~nw47rnj^e>x4Fp0d-1V}zKy%%VYV(`*45gpc|sZYgO@Ea z0+;k&Z>@_E4ZT*`C*<^YweBkA=qIkCe>(mxHWRS*>O9@F?vSwGo{B4X;vLNGP9}vE z<<4c_aCU>Z=;S@yjxyZXe%vBme`QGg(sYxxeQhev28K#oz2~fzzw|LsN_UBibo_d` z+j?7?q^62H)pc778SrPDf9gDRYwLT9<+qH)*V~x)9=UsoFF49xB=ptxfKQocf3v+c zdpc$9q+J}xBEK?P*tZ2PQp()jc1^k9V^qwO5cw2;_nE=nkHTM_mE8J%|AraLG4akf zXBRm9<Y6wqZ81C3{B*R8{ga~CukXLetlY2l!9gwjjQu4?3EoQ|PW4zld|<FRH~P3c z+pKhZgXeq&=@V`}j+dTax~_Bn)prL9s(4*Fo-h3S{;h~J+t#_7LOC_hgKt{@^|sxq zc9->dbZ-02s)a@OJB%l8t4!PS<>6h~vs?#drfqzaz4Y$)83lz*Vfh>U6XQP}I`1X1 znbGNcp!BQahbyW$uDp@>@#~^&^Zlo5l>SeRdv3VL?NYgPc&Y2G1Cia6uIH(5trMC3 zt9<7>1Dk|pv6ewDFQ=NEY5NlUc9|gO^or}!8$Z7MW%Kd>HI2Bbt$IsmDoxM|OrCy; zN3EwX)@w75p?R6+SqsyLNz<#k%bcuRou?ZK9lM^nRbtVORnpBTn?D51PSjn+7Gt3= zV6^!O^QM>pbLPo=e5M_J_41pg-IEm0PaEg__k3^b{Y`aV()3{ako=23o<@uBulEe) z4!fYL{W1FLvwpqEj|aP7rCw0gE<AKCEI)QrSDdcaWViLx^25IK$L=bVjV_blrZ}%y zZbsvd6#d#ao~7!(@lQS&tv3_O7XGwp>9fmM@}_TF-Nrj#f77HWQ}&$vznR@!Uq3WS zb}auaelDPW%4heSuGe1<N_M>dlG<;(@|N_jEv2!iB`-Js<vMzDZ<3j%-a%*MnUgh4 z^yho`MgK7^%W{utxqdES&gScqS0=6x%4!gQeIY5D<wUA<>>l~4ZF)av{Cjz5d1gh~ z7k8gCtmTXI4L6#uOG#4x{OWj#w3OZMGTHT&b*KFF>%R9EbBe8ee>C^4aM>)^*ZaS{ zo_F%Q-Mv3E^0F7#Ond2gJ%{_e^ONSXQx_RNy*0kWxIVcqZ(TsCxXh&bI=QE&H&;J% zT=RM5)O#uEn=|MCEsb}6AZ&JH*D{X^)t@hTG>>oZKc8Ut{!)wa&t|i(bf5k6jDFr# zv&ettR6X7Gs(fIUzG3j~bt?Pbd_SLfr*Pl-V~3t@dB1fd6MuNXJG;E*gBE|7&CFV? z!mj(TnEZCar--?WQ{*m+9iJ0+t!VSAciBCrtW$%(`U-oU+m&YUbIC3ttq)fgvfRID zHmyNRK1Qtm(3%@s8K?72H?o$6)|h5=%Nq+He$}+<O?s|QRczhtvpdzkhU&flw=ci1 zHGbEXPwrwHI2a=W^6ag=x5r*ye&@Bl4Y$15rs;M6Wp3^A)Uz}5KHg$)(5}Q_njUT} zvpDd!0pq_VbLW{HcrAa~f4=6<mrK4)J6`vP@vWcA!QYc_wZD-$;`!D(ZHGm;t>yHa zg-Ml5=RQkG`gu{Z$SC>GgkG=nzx8HIJ)ipC@StqcA<qLV&0jqEVY6c0k6&7f^N)4@ ze!?zy{qD|P`;x97=KSwC`}n5QZ?&$UH2ZDbzq)n{`yO%aFWcqJua)$Or>e8v?YCX` z`=4RVlRc;E-sfz}sZ}ifxGz#-X|!dN{Z5X1DZM%hc3V28Pj9wMJiPWW(=yE^R(5}P z7}ix^SbY7??X<{s&nu36UU_rPgsszFJ-NHNQ~Z)%e!N59a!2{OOEsqmd}Dh*%T>F7 zdLPHXvynHRTRu7_{P*@}6TQO%zt2T(EAy33`m{HBn%vo5`I)Kew!i*<E_g2U==RZX z|L$*odsntld|}hR^l#jg4L@H|s*o|3k2`XD#?Kv$-&Zqj=b6;^{G7SnSIw(Sqc8ZJ zOTYhRirqGrQtgi-^&c*>uIahSK5c`m^q<Z0bs^#XhSH36F9Ppg)i_iu`r-Nc^wjDN zlbNSP``3EyU7?$4ew)GGAimO9^g;W)s>|G4Cm)|s^fv6u>v{VX9-68Ac@XS>Zfn`= zU32wcyCr?w{~)k7Y08={irW0=(^H?H-r{&cUt@*4+|~SD-nXpd&2Asq{~<6}Og1x8 z@s820+V<Uy4;@9`I+Q%OIp1>Y;k$F5<`)hbGA7)fy-ogBZg-cKlXdrf({*_QE4j8V zU29VQ`Td;t0>7JtF8Xzr=iWD3C_DY#t;2n}Ik$eET_^0lW0&`x(u-ZW21ln&7rn)C zLpF$|N~{0boQCW9K|7v43}lb-6YyWkKL5g0_bHa&OU`#sJK?kM;{m08>mM85UaMa6 zIO2@RyxR+v?6;^?h9tfG)Ta`+Cq!va>emx%9BK}A+I{$-Q-99$&(CQM`I{upx|+7i zEPc4tJhwrv^yI3gZ&tdim0XGrsXhHr(thpSAA;dO`|fR75>PSUFo5siimF9BRMyvI z)U{mwJ!M`PXSyd}?vLkMTfL0V?SIz9qV#;l-1nP;Zf=ul|MFJsO29l*ez}@eJDVTd zWv$arxV33<iHW@Y_EURVR$aLx7t=NUjrVcZ-|VL6U$R}(4*3$U#onX$HM;Nb59unc zeXAx-&A0k_@BQqQ$3<2867hfE@Nh~i?fAdAXr}k3Nr#)W*jJtTQ8F#dy29M#9pA5K z?qBkM>3zQAmVbGnbycF>dZUW-6Bg+$=y^3;vgK>&<ASRKPv*bml)JVsqt^At;agn? zL}woPd_|@;CU9F2@B483um?#;X62<ShSx7_uDL64L0&3gYJvOjIj3ZHB$tPXfADfj zde!`kLAuJotLh1JR;bL5$H(5<Foi03mcA-|nky|ieHQl;?flg*%*=!?-LZ}Nxn@G& zGvODf(jR{0U9!lsb?2rCRn66t?gy=2trNDoCA8sJg@l3&*TVCA&wFLfmJ$3C$DI}Y zuKNAOg<30Q-fp-z_1^U_nrBr{JapYq=lxJUz_)&VUGD95nfqA22F`tTdfkl^?pL+< zuk+fr?(@8R!A?!H+UKu6cJf31mHx0incw@918ef7<p0=m&(7+&f7Nk*h|IRg&nhKT z<G)(6Kl;n8Ja>z(M(`|YLnl4;Sf`$62Jf}%A5`%DZ~CYy<FM$@tj~R`Z6eDKtXSu{ z?$e!Ij^EagixbyfzatX+RQvd^_}J#1r>?W_NeQT(a^S%03)kn|INocsb<eg7e;NdW zb|t%fKE_yd=IpAS=1Nf}YQ?J-+8Y%$vu<yhdhJf@#IOr5>T^}E?hxtk35sAhdEmTi z-MSj~=@pCYa#U}ATVrpR=~x^3!R5c-)K8{%H?J43RQzB0l3z3Z{e{WRclo)+9?6BA zj+`IOvbtZ{>XqG;ThUk73vJ%N>R$`5``%xMQ?u`w-7WZDx1IH8-}L*d__cyQ&7I8g zq&|De(df!A6Zl_*_ysO!w2fbTuk3RFtnd9-w_Lco>u*)HSNrU>Iw$L6(|5Tf-TlAx zpoxgEwCaxqUWx499cBL;J9whQRK6^)a=Mp4`PGiXMeqN+s8+}5r5^ndZWW?B*CqaX z&CiF<cQ%OqnlS5J;JxX4ribL$h`tWJA7qh#_Q@r&*CoMeM^^MbIcgQ#kg&V;#KTqP zWgGQu1fwFVj#zycs=S*dH9y$u)?r?c=NlAV_Pz*SbNiiwzNN$Nw0PxH#T$yRI`4=` zKIU*T^!U%DyIW<|7w_s5f4xpy%gg;ME8FAhq>%o1Nq5b&okE_!PP)7Ota0bXp3*aS z+<MZ?bGpB->o)wkwfj>p<N5g|&Yqe2mRpYg;t-Ds=w5ZWa--d;+w0Zc_Wm!q!?&Sg z!c{kE%LcyLPI@mgqy(m{SN*a0SpZi?O=!$lDZ9Lvo0Ok=Z8l>(mB^yvWgOe2rMz@z zt>Z<HrkmlizmC5R*!IxS>+Ebck$IaIEirkl?>NKBSx0VbwCwi7Wj4AOb?zT%3SV;T z_k}BW8`2doRh)M;KGtt=NaP6n36sa~JIvR;mfp5;b+}2NX2@EW`vIXR3%kYrC;J?F zwBnSQ%vV3g(9*6?yH#!no?db5<&LNO&dpd=+ZVk{NpquQ2y4q3QPbNwp}Z~k{H9xL zq^}ZVnwA%1CL``w(|BcJf8OOC1t-#a|LIDKUw9wd+H#~|?Yf6WD>idj+_B7=8C)vP zwW)Jn<EtY_XWZ!wd9J$5DL*KE%Hy&VPnLLnek9!HKjF&i(2T&Aki}ZT>zB!Hcb*!u z?`ZI9m#j3G3E9_0BWgY_+_vpM`^+hxhwm}pS|%E@d-}X>y39MvVq-Uax?UD@ASyi7 za9wAbo#KV%5A!xwIse@uyFr>~mj7+O=EK@Cru|%JmvQjid~FlDIjw;0+K!Ux=AV|$ zPxEB%__87T_<{>(G9OGxcwjPHYR3*!ho)JzTng)Sg_o=?W}NCO?WB|KCX`SSdU!ee z2ED*ZvuXn)D*W8TtgkV2yTpd8vwjbI8_^WtZk;Wm6i`%pwf0Wi9k!6oN{q6H&t@N& zUGS+u*5CR)!<OzTQs*A-SUTajP_vJ<WTKCfdG<0Phl1i~-@Q#9i)!4;TXbs6T!{sC zX4BL5F<#xZA|rJ5q=HBF3#6v8c&9!JGrxZ%cbg=C%+(^coZIV~n|D-IsmDZrybw@+ z=#Z)A`8%%;PAXU^v8t0fW?ooO)YUp~iMh-R4_=upedtlh>UrM<*_hK^LJ#v_Sod<Z z$(^rZx_%0uZ9{CQuz0PTGIhtE)nyWjm!0#(zV2a&*>$kHXodXOBMcFCMXQ#|$2gl6 zd->a%F>JTxm>=4&&|rGIVekE0j1SL>zPq$)DaXo*Cna1%d36_5-I<oYzU*oO<2u`C z?OYQVWX)men40@%OVtSuqq{k(%T`yFFzU!#UQJ-vyW1kp72<5Xq2@`5wc?JVCn38f zcG$i1w97QTb!%dB+xg8;W@rU&tx~$U^|i$dsfDYiJ2?C{i!3$|`76<|?RYNFmIYOY z3`e9U{8hg)Svo=HL*J$q^G-BG?_M|k=-jF!%<F9Py!S8ra>cl;Ap81SRokymWMZuA z!fjs)>MdC=_n^r+WM_cD*B=TEimq3gxHpu>$~s)N;1qcMc!NXO+qsN9js14nr5<ZK zmk3>5T&Z$n*SgN7Vg1jPCA}8^TGQadY_@Ou1F=^ptu9>Km~L9`esz;h#^YNT99Bt+ zYaF}YvFf?G!^%Io8q=!oaZU;5eerzFE%$1+09#RqSsb$@Pk*V@_Ed77_3Eemr6XN2 zv*K){*ruzMMu@DmGdpx}GHY;i)fvvdUOO4_x$Qe&Ju-N7!BsMB^^|?D4j6Dvm|StC zcvbch4Tk^j>fKgfuW(uDgsI;7`$SOws|J5Te^2ndtBa))ZZ~_WIbNO58gc*7<i<(W zjj7*T?DrlwzV~U-6=ktI?!`~Ib$LS0J$~;h=57|i7@yPlwov<qd9=hakKi9_Q=Ufa zNX#nXs$b1H`74)_XGiU~+|M?jgn#DGf4(sB<HCI+&8N!GoKDDice3WW(oDrEwGGnT zY>&!gL;U_s++Q8HE_1@!g)6MCzj@;K>3c*{@`YRPO*iiAx;_29v13AKi`?(J$xl?6 ze>00&?@j&IET*BaIAzoNKZ@OJyXU@dJ60VQZ=bVKLOjv<hY+_6v)liU*16nzYpX6e z?^n6`_CmP(mGZhPUZS5BkLsv>Ij&=J_ej(K9Y>GqKJ$+VnRH6@>ijJ$zWuR^?%my; zl%MR-Jb#<e+s@?bH|HY~s%Kmg>iv?QZn=|XSzLw-n>4$A*{ZCsa*8{ym44XPGi}E7 zS@G@JVoZ-*|D=k={g}nfzo(|{5cib}w(B=o+}dl!vnXzzj&0&Y*U*ySA5+EV?7Z}L z`Cl#W<F|9z*R71{e5-rDz(0!V&w>-zj<4B&Ygz5|7RJ9)-D`?s4&P<{wpFY<QsYKd ze0pQ)eb*0P#b!LwT{&-o>ivX+vGpPc=WjkBaHXf}$oXiM+}@iNpSi`@Pdjbm+_<p* zqEqyBS=HF%(;mieI#I;`H<kPB_Y3hGFMR#-dw<r%r*gmCKmz8G8a|(sS@+y@`F?YI zM9#kR|7IOE%X?j(cjn0Y=z_|5|9HF4oxhyF^@X0)#`?=g4&7b6Ju>88xsm6-yN5D( z+v8?Du{4qBZz@02t>AJ!<jLG+9ltMy^WRJ56p^c(boqGs`3W<#cONT0SD)S1n#$52 zv61iZTghE-!*4gKZ~cB|LCwYHk`vXsBCG!V`7Qc>GmF=I{$Eum)Ev|A=YRb4s_=2s z#NW)^FV^)u{9tF%pFCOmCx4`k><Z<C)zYt0beHYoj}|&OMQ<Ia{Kkk^VXkHmwt0Ne z{;@*!!iLX}?x}n-^j=UJ&9mKyugWfOqow>4?_>6f3q3YZWMwOzx%^pXq`uI<la+Ix zY3*FHqHXr^rk>B6%4FxH&z>h6XlMGLG44=6nO*M13Z;Ju`rqfzJidJA|GBOe%5z_r zKYv+k-h6*E%bmcB4+Fz4y6n&2v{m0*N;*XIRkziOlC;A{ulU!b>@J-n_V2=@6FrBs z-uCO{U4L{lEUw_sM%KK?H-F8KkYIawEmvJX^8d<K=l^dX&)@ptt?c6VJOAf0s;j%y zn>8=GoL&&MVA-$FswIzu#O1F2>XvLgRqlFD_)`421sfLc(9>i5f9eo_fn!Doqu#$; zNedUJuKi^$Ha+EL!)o~(e6{D8q|4pk-_ko&pM8-1okhvJ^U*O66ZxIw7xDbL%VZ`| z_5WVVPS%WIH_iWtg``RgJ?33r(W|c`n7K{3Q$I@RcG6vyyCrfV&Ho*o59o*R`O9yJ zaejFu>;QZ2hEFpa_#+kOTXU`47tm$%Y)vss?G3LjMeQl2qTg7>PCt$~;jS~Oe`{;a zLf1zN!gq*A&Y2^}{VR$4s9yf0H|Ehg^1R-w?k7$9@F2^1Zr$qEYj2oN9@O4{R>jaX zaQVM7?$@i!CU=~ER34#FQujE#_Vz`#zMy}8-V9Oy_cZ*|<B$<~y=lUPxA${Z?^wn) z)%3G&Jsx_h`-0laCx3oc>o(pk`}FtyW{(_Mb?@8nw?|0nhGyK7-w+Tde<k2i$WHr= zgSi{mO)`4E^G&;s(B-(v>6fQI=a06@ovQM1dPKs!DNFnFOzo2vD)L|c`ev~=%d+f4 z@>^L9nzBAh{x;<15k3Ac)jn@wkZ?}qU(U%#A|^{ci%_=Dd>9#D9P@VmCXEW0&)e&7 z9a?r-uKstr&Ym`|<ooOAn_3o$rGGHw&e^pvdi&9xlg}R4op`eO*o;prw(q|pq#%AN zA-ra$>$8(TLl2x5e|Y;t-eql_J<kra-h5}9xNt)p|6F-9=MCS*RCI*sF1QiSY$ z;mWD1hop5a<rh}fTspK+<Y~d@t7^|z>0JAsowI3jK-2oI8@9eK;Ai(<b3IaKUEq#w zvSoj46w3Es*Pk-u%MJ4gCH9xo_MWXjx9Eh4nd$QXdtCd?wkF*8+gd5}ZA-)dMXl|o z{HvSeH(j{4v_iRa)vxKg0{;{|r*<y>zCPl`wcPLO6XLgK+}n6Su<p*bJr{*I@>TYp zY}&wkt2OohY~4-yv6IznCDk@=kN9vcH~gf;vX9q&#MF1+VcDNoxN?2}&OeVYJvP^2 zymoTVr)b{^yDcW~&z!{muHwdS>#y4)=R7NZWsyHW^|PB;;`<E&7U>^WzO~j}^MSdh zGGkwA_OabMdmJl7R!l074v9^%TyrN>t=O*9CM|ZE_MZ#N7nH7PCjG6f5q$q3*Wu;< z62bdBOxLMzIB{A>aF+0{fSdZ;1EQu~iZpooL{x0{c8?i5)N}HLSbj}4v#k3SY8D~> zXwjD?M+<)+&DnP=>GGxUzUd+BXFiNFmz=tOYeJ2c;MCt%+)w5_`kNisxBp(#<G6nI zkJ2IEUe#OgtV^%{{#82ticeO!`Z|l$SO5Qa?OqqZyQJ!Rc<lbd>&EZukDYyfx8|7n zoVdOJSI^K|KmT5<yy}X(XV&P?y}NgBiM`>k(*{Sc@y@f|Y`Wb(i7QezBTT5t=y-Fm zwz6Y~ceCUAPzlGv&r3pC0v~f%-l~l_B(R@7{))o7D{t;S`t@{kw#zGSuB@eR?!CLz zyW8*Ft>1on!s}y&4sSdZ@H0)D&nHS$*nH;Etx;<0S`F8~I^@h7l`32vF(vh>%=h%Q z$94w1{d)R)%&wxRZiQ7v$7XANU8fa#XiB<qTNQIrzrWvn_tIs*ncqMB`!e+1;a$$3 z<l^IO_ddV1^!eO73;I`xM}1FOHF<M(xc_@a&aZYK=N(ady5jpQ-q*HKhe}pm@BIEi zZFxrFiw&<#U)naBJmhrPE4$lWUDf*NtLxg|KFHqRA9GE#&nRxa-s0E0_MPkI`nv6P zT-dHf&qB{`dUE>qu3bAk>LMC;Z>q{H(|32(-@ZO)&z`EP>0jJ^Ba3&r?%iAXDSqY4 z=?%+WZpm(2G5`0R87pk1UU^S{Z)w@RA@=kV)mPQ$*3G+DS?g)$=YMXV@zJ+y0@m%` z$I*HFYxI)azjmHKxjxQ%a@4ku|339j*5>|nXKCq~hC1dJE0?O5C7&wSzFno$ICI;y zcDt=786wpBXJiO7&e(M1rlqLMZ03fgp1UM%uWq$uNV)rC>Kv!6%X%APHM!hp`!gQB zv3XV-%a+v<-C2qn2YlkSwrQ2k-tzDylP(YIq~?qD3(AF`H27ZL*l=5|xAIC-U`TA= zTrJb)TT!ugTh=|PIG+_=`g4mw7I%rI)T<7EjjK{Gi)`-LOwr5Kn)z=lBX3yJd+wFJ z@)LUBJKL_luCmJRaeHTWh1adn%jp(9p5`LEcqY$_vafBcU9qEh)z!=C5pAcwns2T8 z#WQusqdhA{FQ+@t6X{^+?pe9@Qo8fg$!*W2zTPr4uvo7)b@KDGXMQ<X*!)_vOs%VC zq8THbtzb>g7CSD!;|$-p=B-FkeO==_vmxrOE!#R%@e@m|{Dd!-&+=m2zW#*CUZzP- zfyYct-!d8LPd1I#+Om#mmE?N6fXNwLY&p{(@Gf=LT6W@et8auF_w5Zn=R)_G&3bX$ zmG8BgsAv8uBgt*D`*qiCPntO)ZRwIPW;&A}@*O#*?vsDO=%B;<9kE}oX-`)CdMO`7 zGv;x9tn0s5rt!j}GvhN;=$*uiJB)fXa?=!q0{b7C8s@HaODQjL*L=9--^NY9)%9F< zUMv%4k=>QFH}UsGvFRNa{i|bQKbTz+QCv8~a=YE*cZ*upyHa#Ei_PyiajMk$>Y)!# zu}<AVE;$p`QzsTIzYx~X685+3#?vVa#8!0di0$*~Ykv@RK&dIvbL|}QOvP@FJ5RTK zdsvedZKSl(MQS>0)CDD{$u%9P-E{K=8(*vK*qxc!%`v%3+<N-9eDy1`r4iFUT==&< z?D!h#{kpfd=pPY`ynQurQ^t~a9ObfAkwsY>dz9|Id3Y<wr^3pT>w=TCU_`#agA?X= z6;2<lPn#MrNjAtvRPE+9CE4DS+uL2I=N)3-QsI6ixo2VFsts0GAIS;YrrE73)cqy+ zxnr_*z?zaZ>01Omj;xFBkFIlmol<wjC+2s_$FFPTw-k7|T<7F3*A)|TJUDHEtCLcP zpVSJ2C>51MTID;!S4WwsG<DbXUsX~P{B1IM@5y$)E7uSIIJH*HyK|p_%7rXpN!>L< zCl~CvoI6=8uJb`|;L)G4+#8N06p1+M?h<OOxRrioRaAkh)8tLF^_=z{ld6fZe!sS9 zUh<`l>yxV+Gyc8!6tzJ0l+TVUSxzRYG9pD2qvL(1b1vq{bDqCVJSp^DyYj4L4zuuN zmhGvVij&>WOugx%kmeq}<l(oPSJ~!YLN^xo9@%#Jo5I9AZqDf|@6~l`l*ot%mA>xL z(32KgwX4`|%cpi}^>_KJwAEu`Hk^-1YVFkVdwBG<b7^#M!Lt`fW*Oy9?cdyZdtyt} z)8vvnv(8S}D|w<{_pv+vN?5(`_KeqzIp@S!m*rLjuK!wO;CiszXl-%x$3q!^CC^yB zyt6Rp!`Hl;yqoLanoUUPy>K>&A$K=p-s@e<JStnVr1>6w5OSJZBApeTdzf+3qq)w8 znbjN)zkkQ&@-t4_#kDluw7O*CrD?xCvSu&e^K9vpEl=%E#2)1Gi+<6(@=oFl*IQEZ z5>ie3mal!!xKqpbRpX)6i=S;#t#j$TbnTnV#cYk3fZR-eJ)P(+uC_A-#NDFLHox38 z>DdVu*_lPlbzeG)n<jLAU!nVoaa+W(prTt<av@@xxw`jDt{VO2$l0<fBUo{g^wjC= z<X089amRXxREsIc28TR<<FISpinh?1OW39LkGaN1E;#e0O<<Yes+`!wkc=I^8z*^p z>^iohVC4n*prT#dR<u31zJ8`!z*YC&>{`u_(xz(<wToTd`u6PZcl!>pFP)_~V}ZK4 z#8o!+#b<B+dh+Go>^HL)lybSP&OUila{0=wO3i0)I&Ih?tZw%3b=k}=>&e>Tc`RYs zvgaHMpD+D#>FI9&N14;-mK1NB%5`Cjsrv0Xq3Yk~->v;?msMrWeRb-;XD54Kf1leb zb31ayI-S!_)qg+Pa`&yX*njlp*UhWT?ateNe=_B6$Q2urCq@=qW;@N}xN>5N^qp<* z`r0kxSMAgCG=CDQ#V8T~Y5$a~^_O_3%1_$&d9nOu-N&zMPFuIQgvjgrTFv6?Kk>E9 zLyhtF)~$t8PSj4enzT4=-_m&kE}dt>cRY{kY^d^MVC<V=@nqkT+nO^3^D|{Lo5Jg~ z7G$QH@X36No+oql)WdrZ-&<SWjrs3(s@ckYQ<+rQx}wC!C8_2cTus}yU6eA~Ai75E zwbD_wX5+O}bCncJPwZLNsrDyO?cbMKRflz%I!jU%OAjBPA0;-CXP&&{;;frYkEQHb zuW1RLar>jNm5U{~)xvvL?pp6#%(s^Cy)=v7<Z(lsWBdOtRyUVxA2(hUX06=2^}*31 zXQ8b#{yf|4T+wrHhk<iQ;FYplLC<11Cw<-3IrGx3-!D^=&TSDrXStAz|L}s~%-3@! zx?3OK`ZwvS^wMeJg(97;GuJ=U5WZ8;zD16C>ACAwQ_kPHyllZ%N3XE5taz`*>lYQq z{eDq7(LiKcoe~e*+ScVSgY)M;@tnP2pG({S86`2fDFzl{2QJBd+EP@+v9NA+_NmWX z*G$vcuw&z_obE~e+3Azd#aJHP+9w{|-u_|6u3JwgpQ-57S!Gt9U>wdCmiy{}(wpWb zt9hTC2zyu1&HPc(CHLw!-9V+{r~6vWcDr<Lef>>!%U&K&op;6>dIw{kKa@F|b464B zyxW;FRZa;T?#?x7X4)5Lujza)n|4@eukSGp*R;z*dsifO@`TDU-<_rFt+X$0iCogr z-&K`Me^)&UHjIC)_+9+WMGdj&@_qI_PDUTIZyq*jTCKdX^s4Art>)~r8?s`Kc^mi| zb9Mw+{avL~k+?p!vPE6*VN}}d$<pQP*3C-|&yV}1f8B3g&CTljzn*3tIwZEdeEyvs zr>u9(OnJR}`SM3PD@zMKuiUNwdCUCHo?mx_qarg-C2_@D9_*V|P#Suwb@7(<b7bb+ zv9L{Kugz`UrN5z4Z|S<c9p7F)I%Rrp&#wDIb=B`x?`>Qyt<HG)_4j+;mI38{OwSI! z4S$=-<aK##`t%(?ugqQh;ho6dL-Tk2e!8q_*KV=Kcb9y>HDBMp(n&7P%6ezv`=!!N z=R>1vA3ynYb+)?e-d$D4uFuXt8+t#aCI9A~S*txve9K<G5xV{>CU@KPcYEL8@|>u8 zeMk7+S!?}DK547Zer>+=*Yj1uEZ6QA2&syFJND($*6iu;_mzAtx%4{!?yS|RKjTFD zl;2#-$zA-)N%wrHvDLR5OVeKO++R5<Zg<^Jxr@8Er@gk^TUS+9^gr@<Z}@q=`?|R+ z!?$J^#eK<}q-M?IXkZd*^Jwk)-5uGdDvs<{^NfAV{qbIi>GK(Lo$YonWXgEmDVQ&G z@58DtGqpWgF+~Q?T%!GYm>#+WM5_yV%5gFq#eR3Lnlr6PEh#KTL~GTy?5e7){_?$P zt!{=^cci4I?>-i~vgYENTg8iRFUoa2v*wY;wya59?<}5w&JO!s`SN7=*<12Yf0aco zKP|=7e|MUm@Il4=nyZ$Dwil*V{`RfZYYm+w*TQl|Q|hkHv)zT3y6tLz9iy+k=Wf$m zE*tjd@*Un&>1ifm)4uLdIPA8iyTqLHRqe#QX{D;{$KtP@w8(cAh`P2tA|rJ3=C_;b zjv9r2I306seT2rA3!CO0G1}K=efDVd$?MFqmCK*)^$4z%so!<yp=ZjBHF}rQ_VuOi z@mT%vY~QBE9wtdY_IcEcWNL7n`ryhXog%zXZ2N*WZx#E`T{<25hBY#mpIzcd!Of@^ zu_qgCmw0$G@7!K+QY?+%>h%g?{S!}TMR^^Yc%4gs@~NiAE%SqaY!9^7k??!2I{CI| z(ZLy6{OwD0u3fzrdUs2g7|+^o1qHYF`2-wW`GV=|9XY<p{dYG_%x(<J;}=|%{Gm4d zyR2w#V^JReV}(n7lRc+y=gj-fQ}p=Ko4}?vf%Y`}t~b+4+ix<m9Gf?%EB)HS100K8 zHtb?QTBBjmG->&4mvd!Td|$XYP22NpQT?H|6IQ>J>Y1*u(|qD9UMp|<@b<dGlV(rc z;~)6D9BK-=@8+8j8MZaHV!PXYZ{H<S+w%%*`);3(d*m&BL0rwKd)2psJ^YDp7OF`$ zFHZTAW&F)gc%stbwSV?-v_^&;kDVOy_DO42vcBn0k<jQs&li=+)}|8`^FLacq-_fh zy6$=2_~P2st!KW~O8H(3E1k5`;A-UU%ba?1c_uch?PGm5M_pC$<Oc!gFWwQ0R=AXH zo?TPic}ZyJ603O}v$QAvJ>w)Gm9Dhc<*%>Z>GPBQpPY>>`2X5<>fHakEQQ~Bt82%s zYS{0xCac)!&UC$1wHH;pV!C2~)&>^G-T9yON-$aD+4VUx=RMU@HM=sW#2dwWEepK9 z{rl}IrL~oOwr2|-tUq3pz5Vz+w@sgN*3Pd9-yQbt%cVWKb2_s7misIFJ)fd`=f?3p z`ZHUWo-=D-VODN3@!Fk``OhBjIBQTB_Bpmz%JGHVr2_E_GHXgbUNKcA9}fJiXZGZ! zvbcnv<M9c1ShM7d*2H=goec80#ak<DJMD>>?>!}n5H3!=DCJItOyOy}9KKFgN?gfp z!dSw=9lJm<Kz5B?#22Qw4YyjJB^=~7VcvJrNj$T8fkDJ4rQU$bKryAN#%Ud0PA>Wv zWE?#^p0M6ZiJ3WL?Z&3;GmcOBD`jSQPYg9K;}5P5ShP`nrs>wK3#=<Do}FU4&*!f{ z^XqZWzPpEG4A)3$F#g)L(=5WY`h3|8ZN@a|<0oh7wmBu9>r*vW|LxGe<m}Jdt4HKE zq{uC2t=y#b?68^7@+lf$b?hn(ty|YvEb57IGplwl58+*>vnaUvC*y(V+m$!3R2I_R zy8q_N315C3+kJDHgY<P%o5?ei`85tnO>5nAGkul)qRCdC-R~+SdM9rCc3Ze>8B_Fo zN42c}n_N#Kb?x5QzP`3L`n%@3vsX$dSe@=UEx!4V<)QPX&d+x*k<pNwx4NLZacbL5 z<$WPrUanp>A-(kMqRtgF?9ZH2)Udo77;f~t{ldbgvwT56rd-=TdCS>PW_n+J&2pSO zT2%`qodRZDRn$CwB5&C`F^Tur18Qe%RWI0{S#$m5U(w+7Zio1{oikoVyA<93z;yJ= zeWv3FleR58u;%uP4a>O1Wq6NBUB2?riCwvE<?&YC7Zb#@H@3aLcc-mWU{`}kW4QS< zKA#o~Ee6q>cZ%LVG!W!#Z)JIC@I6Y2{r`$>euqx3vW#(gvcUG<Ivahyv$9h^thSmT zbHsdmkdom>;lIM(*E#P!{IlR2`yIzEuicl(x+-O`zb$$&W$L3(TASl{O`FXBmb3lG zb?+<3<}H|hD{hg~Hx_40-%_F5>6I_tChq!}z3a5I?NyzdmWnoAI_j-yJKZ+AF6Dgg zxykz9`LO?-Z&Lo(dha%OiB;k_;`?vy|BWhtP36KDacz43Zi3Cn>Ycmx{V<-qCpKD1 zMC&W#+GSBk%2#fxXj-Ay5p`#c*M_DCi?X`r?(kW6BBNN`+11$7|FUn3QjSgSwLe+X z@9t;Wu6x_2_W5d0vV}&t-=<}{8>03t+nQPPwEEiLD9v3``^4{VNPcdfyI8_--u*3% z;)Z<F){0GE6kPT_Fy;Jhv!d(4x@V`<g)P`0^)j{EL`Eq8)>~uWIRz(on;j1O8KB@7 zcy|Y*Bm3b^!K-&3xSaafvG2u}U)%-nW*=UX_;gulQiA2f0!8oOosH{kt0ulr-nVt4 z0L!Yn-5>uoR8QR2v|7W$_gR^9;M!y#`^8>b?qA{_RV^*JGKIC=UCZ&J)0H>HnPOkx z-DG*S^^81!p~%-?seRmTp0B1JeX&Y&lc~0D?o+AL!S5{?Hf-C#lkn`4mybvl`?K@m zwtMeC&|H7((uPy3iy|KW-IaP({^i!yDU+9)JF>54%rj3(T)yVJL|UZh*`+6}yz&G6 zx<jYjo99#b{sKE^;Q3v-KVGe0R&`}rV;0L!o?CTEOhtxY#QNtyo0Rdp!?P<!VsG*h zk^OC4i_5z>iq>B#<C^SWue)?bF0a~y>w+tM!-YTG7hLg{#qGn)J4UzI5}1lkXL$eT zJfXXI?GJT@?LFJ>%x}136lF01By^OSvEyn?;MX+~TT)dWimos9`ZNDj43}2a$NeW8 zt|<z2Y}0e~np`~df8LU<xz~4yo3Zt7oWhh~^N8)Vpy<&{ybZ?TeH)f4F@(P>C|SMH zgf(H0qiAh`PAj8D@l%f1!mjQGPx)rY<!H=uWD)IY`S>O%;cH~;<+Cx8k=})hcTdS~ zGujxjid)0p-g|ALrv8GN+Rm${{bD*LS+Ml+iT~4tn9t4Z*s=6fL$RAuo~Frzsn#*x z0U_Q?8Dn?rEtnR>?Byo6%G`T(<C;4Q<2On#SbDwTk=3#V)6$r`qUSY5?c&u~&UHTE zrO33tW``T^GX*<V?QvdnPwZMlMea?BpG?QajE~hCB{1&J`74y*5n@}u_2-iBN<XE% zT#JOv7EW_hR5g9#%x1hZ=B<J8A*SwYCt{UM))ZX1FSf*{ZHXDT#?1)~wQiikE!w)P zj#{dfo;-Ozeda^nH|##ME7PpPjy3r#t`5-Sv6bhts62Wn;7cQ)Y5I$w4Q0no#m`;% z+_<z`c-_O;ISXGi%QtmN?y{3KsMN``Z(3O%aCD|^UqqH@(%ECmWtT3cy%v1amz5Kk zK6ksP>O_}U+ZC@Zy4k{VeV^_FQ{PDQ*%J$He0gxybH~j|E$wZFw<mbqtdrC|AtRpG z5ZU~Fty}5*$sNa=+d4{wf1V2Z>!K<kd23zJSDhCoSAtGY5q%fL@A-7~<J=9CB;^&X zzJ}grEzJ>`^<dGf7~!Pr5?xIX3bv(h(JtIj%og3hb>4yETY5Dyo7W^><hZatue>O1 z?W{=V=-)*RfwP{eR{GtZv0StEM9$r7r(f<2Jk9xWX7=Tyv8=2LjTQQJW`CFN74Xy# ziFA0(lk|m0?}5e4S+BS{A1yq);$?5#5zc15hrfJ7TbC>>JGR9)RP^J79V=Hl_%E|v z>|ea@VvWfG-qfQ@G)$A@58f%6Az{tkcKzkQ2-9z3IvbX6?p@1z*)dgmHW%C9SKl^T zuBl~xC9Zvc##_ZZ^X9z$rBXKWpFb0O-`}`ts{M7YXDzMyG(K|kusvFyYi+II(d4x9 zQmERgYi|xHEZplhPgX{yX)0@NVp)lRoYk>6KNN1Q&&!YTJ9(Z@SV%*E)9kL;t|fX4 zz8v#!UHf2D*z)%dH$saF^TR}&(k!2Zg*0AdUZKpldx=Q$3{&5Q=ikj-lwa%EALOg; zJSoCz5{F!IQlE0#-3(E4?T`aUEjeqX-aVUD*;%qBXXea<3=wmLn;y@}OluCf!d+T# z%zd=%^(Lj4)86~Ib*?G=!?kqgOwRcw+(lcob{$?6J8%Ah?cGJfFJ_c)E@P5iR#<$7 z)k5!c@5X5^zfNrCGuiTHgVnn^`9{}yLZ9EfeL`xE^1hcUeA8|^Deo_-=@a74wiQcA z-0OaCv+=^%j}!Ucrd+Q%@>uA`sy`*s{O|arJj0eUuWm8Un!RJv*}ZPpg?r5ZyFKiT zE-rFg$olw6&mlDyy|=6ypEiAC*VN4vnYCYTYfETO)v0>V%?3LbBnmlB7n>&>7gm!t zJ%nL$<>T$$uM|^ueOAsZZNBuodEYz<{hoQVSgJC6Jsu^-ZdQ32QJc1YLd)V;23Bro zzHCzUKXTl4tD#lfn;lNO_8sHB6WMEUsdjGb@tZwSoJ@-|Pc}?;(9e}U$@^)4j-a97 zgsqEjdNg^fo6q(LFsZwvCArs3Y%0s5Dg!AkFQ4yr&*r&oYH1bTx_YOwV8z8cmm3@F zC1+1u=-1~xKgBtcPkMSv5$oMqrA;nRcRAme@j2RaPWY(Ro#^AyLcxxUXSRRXyXEcn z&)ZWHSZ+^_c#vUIx46cvc4=Ph{GYuoX(nD%kL2sh3M=LZZr1UtoqD3MH!v_cu4W#4 zZw6o2gz2u{GZ>Ox0_siKofoXMT3mQWhQC^AveJylN&XpcJ|3%+eJ_-^DeXY=iFLgC zucX!run3$nv8arEd{{Q{*FL#jTfUj<PwDwGSz+TA?vw1(zA7{(3(t`Cc;NYT_Fwl8 zu6NyUZ0tPqPI*g4+2-3EQoEO4jBQ(c_=|QPW0<s%$8xEa6*r`LC0-=eXkS~Ay6kbV zmm90Gq}+a^G;OxMdm2(hbefMo`5L!4OY)m=#kQ<&rGDA7QcWRy>zJaAMGn4oEVy9C zbDA;s^rM3Y+Qv~&7dy8n2BqzIdC4gA@b6h|zB4~wTe@)~?{1^Rk7T^6t{Q0v$4)uF zY@X+a(gQ9ZR%u?)Dg2xIYi&Y>L&B{W%f555ylU1n+x2oklZA_x(cW+dF;}~LuZ?5F z9e!)({(K>5yVEsg=S#t8e<jWA{K*MtFP0qMQXO$>=|ScD?stz}e-oUsa@xdHdq3}u z4|ET1x##u5(tBghq%z|>?^ZQCEm|CTQ+0=J+@s9ql<DV^Slrj<UU58>G3Q;6mVik{ z#bU0OJHOWGKmFIbFrhVX?wwlGkTnY?YhDT1$aMT+vc`>;-H$KbTDotxY2M$|`(KXf z|KIfgz4p6b^>b=tJ5=6=*5{l3{9k^uRZ+a@*pVYg`hRSkeRrm;@MHH?tLMF1S-xs@ z*6LO1Y4I(K?%zC__xqfm{^yTr<_c>#*jxh)I2L7mQ1TGyta#YkBq+G&#M<k}q*Zr* zR22~5Su>}2-uhSXieF_$mgW8WUTt^I^1S8pdFP+r{PXAJ+^0t;@78}8w{Op$>e_u3 zjBTq=o1S)GRIo8%wNc=%(jO(|cdhPrr3D;5sUG!IXx7c6Umghg+IY6fZjYUGl`DUq zYr57IzJ!WxPPb1v{Jr*(+vt$cUJ1n+@(zc^PcZCV|H-TV6z4Pc6E*D3ou0)k+$>uv z-vn{JQwaOJW1q;i$J^#7coyDG$o(6XvOVE4m*%G9xzpW~r(WKst+~Q^BYTysPuFw9 zvvY$RgLL|D>sieSVgJ&o!1`&&+j~0PMj4uQ$8}|wZ(Ysk^rnwN_LZ;0($W)$yk$&W zHop6JL70te(c0@1+dSv_ZWj9_D74Syo36-pQ4W3H`a|q~lLak8cC@TxPJ5l;uKT4R z?8S6NR`Zk%k0aNzFV;xPYT<Qhn&Nw~F}^5d!_4hMa{^P!9N4)1-p}B<yg++iU+|<; zu8gxj`94l%oHqGE8}l{Q?M*ii#(ZQsXShr<)<tETcvo)M3NEjDhCdtbt`FTD|IB2g zj<&2{@oHz+<-d=za4a}y<ucWN&dSIio3s};o7D=7tk*kuL658Nz`B!*7IEIWl6A?} z#8*(|y6v2)xgyUjb<6@o*G0|E;WLr-;x9G5A{No3@>$%s|A3zs<690X%@Dmem*@DF zimU#-%2j4pCb{PM*%zF#S5`c^Yr4F5^|=cBg{#^4LS??c64-S|T{M+ly-d@EeM`@( zLy-mh{SWrGe1BVg=D{?#lP1OQxwC(Ev#oEH%u1HZbMCyb{6vGJOy2J9E7O_gDVd&^ z^loBHNNPxuW{|vPd7$kp&x9}UB%)SdSkAHWf&YWP*y^`CRtRg{HT<&n{UME_(u8gK z+Jb*~taJ%3(OqQE-t}4H&~X=*=rB39)3s8j{Ck^RYn8-W7T;dW|D{_h;^~WhT~;d| zzP<lIPM~UUWK#Gwk6p1z@f}aLD3mG9WVK!3cKc<T=uz7Z!CSI6eiX~&3+`O&*WYxn z^=KP|81n|r$Q<^!r+C7qw54CpeBkk&qikB}n^kHDHd%aOQq|NtseD7~$ia7lUqW_R zTu|5gGeJC|bmpQfjNw;PbK;9cU6U?ut6$`BDMx0F|ADsJJxr72E;&r?;AP9XAmFSr zRq95{{KRV|EP?9}tYv6@_FF{awAmtFF59<K3JYpl%v>X!Z#`6Q=MalZK2Uba$0S$8 zW({A$#zQt!G_Q38%t$Z{uqa6rYiVV+v`}<3&S}*8DjDXu=ugrOImY6Ov?jjY+FlnI zS8%&5@_K0DobihJ#sS~w3|sGt+~zr9@S1ta-FD?D4u!Llz8Bv<ycJ*YpHo(Yk%>L; zq?*CJ<=nQao+8?ofpRTZZy&kX!?a{k?4B8K0uTP}bxu0v-opF4?MR}}nzGB=6cg(# zncUW_SuU__y6uXoD+~_iJUF(I<ML^_E7Nq&7)tN>+H%Nd^Fg+CGSWNBpLv&bsFZxR z)%Ueh7OE1rm}Jv*UE-i*70dfNp@VCGuoPcxd%@QIVdd^5p&33RlkYuRbm0!i1_cM1 z+0MLQ#l%_z`CZdoW3(-H3ttqO@nfq^W9|V@$qgrNyM1+OeP&e9%Xlc)LO>+<;MRRi z0V1>V+{2cLNV^{NJ^cRoA^*!}_xN*+rpjDNYHBl$m_Lb+_nefRS}HGJ*5+e;vz}Yn zuTY!dQx+Ps{auOe^1MUpTJZ;qwbX0YKKOBjt2J~_R<|104Z~H1Y3cmeQ+ijIWITyl zm8f}k<>ub**=xF&C*I$~7A@;D=jVpACK*5W9btZ#xrD!Qv#;3{i*P|3?h9J(Le&lH z54B5ZUTJusbGFOnVpiJ?R+qz3z9xYcO9bvNzR@b@x;k^m&yRH>B3kAw*H$};J1|Fh zXUykil-B=tUBTD-$h@e6sB0fDsN}7GxNLjD4q<84Z`T)Wf3?~Fkk*m9-!B&I`_9q6 zsx8}TSLT;CyDMs1HOA)JCgw3>%kC{(s<%nglw*H*_B>Jc9aCE#+0IT}FZginc4wuH zk>8|FZss>T8K*4!Ebt*ui|;1$&tG;t{`7X4&&Ljz%WjvowAEs(@6^}MHlF5t<ev4N zI}MS_*R)NGqVBuAxq8C0r1wU0VdZzL*MXPCdCk=~9$T|`vEAgo#woo~VQT{we@7gZ z;*87g{=QW{DSq7zm(BThY}$-c68_S&%$}~F6lZF@U~BK*12gv<R|yN2)tSCH^@Dq^ z`Nvhw*OpcP$@Y|9sc>m=ZPoR;^?I4Tv)rq;9~YC^9sA;IcG-ucm)9LtylwhRvhZE; zq4ucR92(ann9O?~{|dZ1ts?mDjX7-(+a|T^UJ0Bsc_YgL;i=Ll-_O3D>YM(Cr}1{q zQ$e*=rWtw5x3ssNmjBwVd8aIspZB`vHo>DMdHYQcJ}wbzRWXpe6*He*T;+kq1CdvS zS*@>cSEl4gObYEjdr9SnOwZSXi#2=a71jx)U)^`_>xrF{OXHS&iDr)Bh+lrdUGR>7 zo9+{)A692ICU@U^&9mM)W=*8J>pqzs5BB<5uXu68T71WKNx>70sRzzZtCZQ`dtYov z<mS+>tt@%>a;9F`&YZ`VJ2iD}!|jbby&CpKOHZ)nmNNTxKceAHw9LKB``^UMEL~oE z^Q6V4#%p`uq{-aNocGz}gBiO{+2yn6V%8X}sLpVm<8P?3>+;NEB{o-atKz!D3tl{7 zeXh#WwXW!yOta&~lRW46B}8J^pY5$}UbK7b&VzXYB^%AmxADG`<D4&jfnl~=sQC3$ zZ)~T2VF{?!lFR!kc}3!Zri0a<CDsSjxwh@K+_S{>fWL_B(v8Q(Ra(EvFPS)nOWR}W zJu{`1q6NaWyVos=SYw%WTVvS*wUy!<J2ypmp6tjKwGlU5EX*@$onWr&GW*LG=W~0K zMbGcdJ#d@r(h_NVBh!L9X$iM>Tc+om8W*VcZB7VipO^Z0j#<ElQ2unU`#C3eW;Gm2 z7uHJ;Ne$Z)8~JkbqB*<S&h7BMtL;8#vYEq#wDs%fG_yHLo3b~Q<vzb-yufivRfU`D zCbLN@_PkfNguU{e74x9)yrb@g=oc=G{MnhpkNPe!%5_~^dq`dDLUzIN7hxQ6<}%ZF z&$(5w^>|^6eA>H><}7cEWF9&*F+R_;ssE|$9FfKM?bl)FhzZ%}E$oC2eK7sFn91?s z<=z=>0ryV%sx>5R3cFfoZ?7i8qt*7bae_XV#_dPBY3fI7yZc^QP1+vxjq7;edkg1A z7Hfv`WtSMG`ZZleZtw8AT4-8qJE`fk)=ASKF4JjOw*=NW#BrVV>aw2Z!XCGG)e?@B z$jY~+o?Xti$5!bqnee3J>Xz)+J-fdA6UcVnSenX}I>FI<;mI%-x9iEgt?FBPTx4h6 zu3!2hB|G!fj{^crZlrJ)ni^MVIz;{{Og=rmY4TC$<^``ajDn_Y;>k7gjlMRqj>(fN zY+Cs0NN4$f0qR+t+Ol1-8tJ*#(y!#Y;ysQpmW-G!ayTcrP(!gsqb2brug`7kOdeOm zCleknxSHtd{E*wzH~M^%hy96l?Mf0wuhz`yN#COB*Ol->e4^lnCRVwtf)4Y!zVSU< zJ1=FT%=X8!A*GgTTRnd6SAMW1QT(;>%t^1~p1S<%$~l>7-RJ!^z<ko>vL%mOmf5JJ zzrCQhBtdl2<}#J!f9%OBp8Klih8#<|G--d?nm-&xnQPoWU9N4~c_-%6<=SH(L*yf- z)NEPopuQ_;_WmaeY)?6+KT)mBaKGT>cO`LI_;FSDw-sj@eH<4bQSpDv@wcB##Y^wD zU@3Q?MA_xFPj<_SUb(g6q@0~iN2u4n+mBOAd2UY=@!jfScYES2=I38F>YWU?j+!;y zJ$905Z&&o*KU$T!?j}W*SB~hG`W4;pyB_Ys&cE}v`AU`acU}gj(?2%uyzW`_qK{+V zu{}<jJByD;bTTID#mY`*T5?_}-1XiCmA)^Di>i6bSFrAt<`g{qT;uzdB_cXCTpri| zf18lh_Du2U4{pu43DX%KuFBxL-617=a!JEQ_0~6wzb<+3Q(QA?LikHjy`x-r^8-%j znV<X8HAh6l=GeSs5645vZw_UiJ+#MOk(H}|%XMYXjfa%qmi_p(^6exMeVbeNxO}@L zXPJ4gy1OpWHEG(Ur5hevRNMB67=_iW6wxu;;iT#E;#vh?Ko^%(@87kdH5tFOU0IIb zd|kMtVS(iao|iw}HN`UA7DvrjWM%xYxJ$+|HF45&^@3GhVg7$T{q8mNmo8HJ`89K9 z%1h;X<4sR4e@?sQt6XLC*!j?O-K`91aRwb;b}`(2ZU0@iP7T_6_m||0bKkFZTq?Po z)w{qTda=pdCxRP~$py}8vhvd^nD=b;kL0E&1z~x!^QGKhJZI6U(DbuZ$~f&(qUmJG z=E<~rg3}b`h~NoLlbav!3)YGIHKCL1m(29As;(f}^eX9272ftqDh!t&|C;Uc%7ZKY z#p)>6%D77j6IZ#F#P}^wz4-Ji&u(4wMKgR8^$VQj7ZrK$X}`C0#;h{;t#<=dewEM4 zdU0&Cj{li0J5&B-eqXlAyZpYy?pki6-jMC$!PZk)b{BR(xRS-M=dE|{>|N(KYPwqG zR=rxY12<c5X5j9A=o@~X>kd~(?lc}D^{h2ttW4M5O4N>1ly%z~w|>H#tkx+PE2cgT z*1o}QkS!Wl);)LPssq+73k*Lnw9TD7dG&$cS;kY<^VUzBQnln4Q}Tnv>XgSVkKOK? zXsPY=`qH#FXO2ez>pR_}pV;4At}l!e^R?DaI4ooH%Ww57qdk@<R^_=GtWV|IBk7WL zY#RTKIw{kN*)cT??>PmM50oGHqaM28t*;EHrs<03k~j8t8kB6wUQj(XQ+jWXwP)m( z*eG{l3$7O13Dy@@v_H@}{_C_yS;LO$_a&dczW%4%=E{$Xu<NTb5)Vn=7CMm8U(71K zM|$nX@bz(ffB)M0rE<!xteAi&^KQ1KEj0L8q&&mv+Ra5zCfGQI@wjXA&(mn~w)*^_ zz}ZyO_mt!%J+FH&UTvCFmRYuDQr@KtGc_tTA|`1)TJh?WtVG!sL$|DX;j{gheAK#q zEvt9;-6d-LO0#cT<u6;Fn0h{~($zDl^wSiZIZI#uyZc=yM(Cy0`kUv2F5O?=%3^l) ze$kGNr9TA3XXyBNT}Wbi@-g9Ko_PP2BO(tUTWLM2?TIP9w8K18CC!#iQ_ht=%dM|~ zq37bk<KAYW{kvZnoceT5?&Gw!c-v!N`yP}Xjt!0t4Jxy_(6dTUxO*zw+_=J*$2LC` z+_R?T9nYM}DW}?|r1;8Q_3T&Yl|9_-vY=9U^`uoB+afaFD|%jTIvc)fNkoo=^wqVl z-mcbLGCM-d&O}}AXx^FqW=Tke{Jm7yc`vPv4r_6Gyz}C+4APzy6(6L3OEg9_<=Ocq zCs=D&P2KI~bLPpzXsKnkyLajCuITwbalw@(|Ewn+QvPbY=nA`c%ahoz8IztyMcv(I zJ1J%VG?Aw}6)(P+dMWO-l}_m^Rn2pYzBcQ|hP~K1-{7OHXy;-UPQG=EyS?XlyiJO_ zJz1tFS3&mAs|P2pSe&0#J5{1;!UOFcTmBU&$IsH-a8=w()pvPPl$uET#?v!+g!nQV z4qcQ|t=`UKlz4<)vTbwR6A$5=+xU`qoS2ZdQJ2|-ja@Y~QRQEqVo$WxOsg<u-vsBE z^MVBRZ^}KG?aq3}VRDM<YU6|%pN>va4Q4KwC3-h-%GNF7(VKMSeYsmF$udf{Nr?67 zPW0ipP#E{>dY;PVjUM3}QY;zT4+nmmGcm=8<J_#U_y4Elur9ceU@WO2$&tWa+TyjP zN^;YL%o3kz-h30HZ#8UEG(7X-#EtEi7bRnYRSb7*u;^bl<$P`XVuy{LRz2#mBFFE3 zS)kFLd-Y*<@jkarVeIXxk-t<X_)PfFY4zsV&Y7`O+WHP3|1Eg7)8lNT_p&eBLU%2b zdK<RtK=PE$+hz$SZ|G}|WL>u=JFRfdm&3e47Y=3dWX^H_c(88f<Q;6$=^4Kc1zHD- z-#M{L@B8MBe3K?wzudY>BYMXMe|f&CQ*JFjC|mD&%|ZL*Efx98$>+M#!a^r_Exe|Z zfBCU!YWRvAC+V$AHeN_Rc!sOZ<F3z1_NAP<!8sA70#nyzZg}PpeR*T@qC=*t1@EV} zUSCzZd#j7+Ypygty;au^REu_Kz0Kus)I7T)FQk0^!jo$fIoEueEp{&;Cv&6d`#;Bx zTwXsvbzr^7lH$4RZY#~da`nSoWi}3O%Lt|AVaz(G%)B#y&CcH48ddx(By)o9SuftN zYODFmck683J<)=7R+6B7>3rim5pN#K&XI1Z-Ldmgr*x%U)%kpz=?q^!#68}rv!K1a z_qkA`oJ^kc#qZ*Ul??~gwe0NoezHEWl&LLnis;hxJJ;Bluek2sRvaI>nnk%PPwd<S z?$1{Qx34|O@UYP~g4O41?RWW<OK;`wnKj?OlyJedY4h8(_hQ>;A3U)7@1}sY`h|Js zhq(@OPP%<2fur*u-;-~K5;A;CoVFH5E_tE4V=s?f;(GT7W+oDcOcwHs<!Lt`4XwPq zlds5His#O~RY%^Y8#w4(f3LW`|DZsCiiwT&sTVtVdE}DUpMOxMC&4DO?&kH+)^}P8 z=ZS89tk<^myw3Ss?i)I}*DqPfzjFQmYuopo+*vZs>+rYL@jr#jD!Z1xTTuDu=lh!0 z<<l&JuOy`1yUCifZ6cf8*;_*T9fzcL1SCEz;Exb?H_1NmGp&1>$?nq`cbh(|=Y}1P zopWBwV*ZsQsje&a#n}bprgVPV5TlYKXZj(J^~}~OtaTRyZ^k5DJAQj{(;SiC9}ea( zDz)%wUHIUz6@%%8(m0PtTduA@o;jWSjrNaC8K+_n&TWa{7QZJcHj_>KiTUJ$seIax zeO8@6{QJ>|?)H63I~MG3G@Z9}WgN>>r}{;Fiuv4oPn>O^U3_@X!5<NyXXvLtx7!wA z!gye2XTR^4Y`r<9KO**=K5R0}xZkuX(=uH$o8@xNIjw6P%lPM5t`VH2b$$MZIl5~C zAFSw6Y@Oj`ylv8>O%D6}(sG_2QEk*NP*&Arj%k#ZEUbLMwMXmpow5dRR`bH1ZI<8n zb|&vSl-f4iVwrtR*^Xl?Z`Uo~;WANm*1SSZWp9(akB!`?W&ApkIzju0*)q99=Q3AY z>#d%$W7+bUCe1?M^qn!mk7oTV`uUt|drxxB>s2@PGtFAOUCciuxLs|v(lh+pxytg? z1Fwk3|99Le5kAf_<+NX|Ur_4QMb^i1V`KVuook+ZZf2Iw<kJz?XMXv#Ke=dk?)8}! zEywmuxpw2+KZ(zGpNS{P8UGa5J8G1`W4mMjc8zG|a|J?A*3K~GX^jf+&-y;`<GjW^ z3pclTf9JsMl9vsR-urR;QQNM|%4~C18E(oxHn;XkZ&qvQhMr~BOj@yu>iu0At8SXJ zwIs8h6%qOn<GW}^+S<0Pw;wYe@b3MbRF%DAi+Dqp-kEn-FI3eUrIcrIE}Z-I<gZ25 zPxvD*Et~fEm(2``X$C1#%UI^j{$pQu_i^81wSe;r%MVMbD%RO#x0zdgmFTo8=}|09 zl2x#}wNOIE;dtZ4&M6Cz+z1r9nzD6~V%wF4A~yrMuR7gN(7F)QV>3l>{lvGCJq!2T zG~8@>C9Bi<=Vs+3CSN`IYO5)3>y7T7p6<NU#Ax=$<%buR+%kBunP1v`N`Q3Jx1&$y zethV^gkSYWo1a|eRNE=}(%V+<>D{}kT`1rATIly3&YU|QCN1l)uGqNU*|MTPjr}A$ z`y+?`R8iw8P2VH_YP96ao;t#NKWL)ql(y)wH=U^(tmY~wJReK??%-K^&+fR2le4CI zQP1r56<x7XUfZg5^lcO?HEz3Ynm+ZCT+70k>Zz@vyiaegR(U?FZPQ!RWu}`J&Z+M0 z^f!4C$ESKPJ7t!&iXiXPd)X;pELY`yarI4E=UllXyvy%j;1%ba-?@4(ZR&65Nj73# z@p_`UgWX15(W5->MQKsj?Dyqzs$}o)n15p%hi>7N8~$yRH@@}wwNlsfO4O3NqUcp$ zx7o{{Q;|%pwco6JI{Wqcyt7+-|L!b!l{n?RhgtbHm7QmQmTylFD`2<ndJ+6E<?qg( zE!DR#O(?C8p7y!TIy(4k+KszwJ1aJI?fRLJ{Ygdt_^+o6_pUvur~dP2fu5Q8(bKZq zUepIXNa3C|r^J49YN^|f{Tp=i=WKP4T=ZPhZnti=SKDj1Tl#HN66SsWwNzk(r^y|2 z#jbDDop-1${`u)b`sVe_`~4rrzs=$N_dO)S_`>GJ9$Q0KBo{Bda^Vi+)+U*C@l3Iz z4NctIO=kkP{$AC#m)+C2=E5Nf)2)qM2aXmhymsch9nx2Au<h9-|1G-r7oDEP>FX9Y zW0Op`Usr)K)6u$?*XF<O$f|QRWZIv+$}jN!t=83c4HM=!0_Mfctlz#D6n*AxFged# zB+T6~(`BvIrRyn0#T*Tb4Ra5hm}e#|yuq8-#t?8Lxl80u3B!S9fywXs9`F16c*TLg z6JLHXEoNKw>Q;O4EW;0bbXISy%x${d%XrxA?K&H+2bc6;$uCzv>>+$Z*y?Jh?sDDc zy2WR>?pA2c3y$9W<I&4cpRZnRUBT`2^z>(SC7s?$&NdP}>DB@#zj94<?OZ-f@I+}x z*12i#=gd>+o86>v=gX&)mycf&)e93gsCzb{XyVyVPu_m{d2~|Mxy02*=U=D9%O^g$ zpYrk%-#5L$tRMYzuD|HoF{{_OcClVHmz|vcx=Tl2-hBM>&!@K^AH53JP&{{j&7w?s z!R)Krsh6)Q`psRPaZC3|@0(DQpXq*ER%h(8ng3fL&ULalznJ3PW#{Gkqjl%5yPCe; zW$hvRX09avly!%`y8eE1^1P|d$|<(b_qVAp-IUM2_Mzw6J*DdfJvRTD?U>CH^lo)R z=RM`W`?#jByjHLyU~AvurK`5e=v@14k{924`s=>1k{t=}vqRgxzwHYv+mZ2JI+y+Q zw|#--8w%b_*S33K*QnwCC^a=-F@Euv0><|eTid-Wj=!>vIAR_4@u1PYjkEa0KJ|sx z?wC@(=F{P$dy=p2Z=JK2F-I{Yo$=wMGBp96SF!2L2RGd-braBg6PwQV@Y21~HUYhN zvFTh7Ki&J9qZsjE_dLTE?>n2@3MN)R+t7N(wwXix7xQ_QLrec1FzzbYX#ZiYQp7v{ zn#~+yf0*y<HL3nTVBS-3(f)&PGWX5j$2PWpsQrAy>6h(q4zd4n=N+1!?!UfyLIUfX zuRbl;8EV6}o`0^MKR@p6>wE(}&*S0S4aNU`Q_r)FoOky8{5z3xHamYmdiV77>D||# zcZ-+5(>W&|9{&0CcK7Z1eRkzWQoU}A-~WGm_F`}J?2pUE#aC&BUhK=e|K}IKE!&S5 z`|W<-o7mE?78ur(cmK~{hng@Mx1TS*on8OqN1&lp@4fD?cdO1{{`vIsMgDt|zSHDw zE5H4Cck<KC&qr^*bf2&D{_f80#n11(<6zwWAb)-P{a+idAHL|l{>agvS6?3e`G-fP z*vQ^`clEDFU!HvVBfC+odFRoM)l=)=Dc3#a&)@ORW_G;o-rv_Ay$V{x%eY<l+qtig z{&1aP6#c{df4b!F(~mEIJo@#~^>d}WK3%{6^IiI0p|k6&pE%e3=HLJAs{Oy!|NqRN zE%!vSx2yi|tbfnLfA{$O&;R#$c0J4g@*1g`-{1dzdH?_S-T(jj$NysduU=HVzW(v= z_^R*c_kG+IuCVp-*Vp%JzP@`Mzw_y?y24-Qmal)7-<x+|##U+Jz5fS(yP3P?-!F68 zyTfjOl#TV?*RS2CynlN7^u@QnYpgGt+`KLO_n`fKnZkyuF8lilKfZ>1zQ0*=!rPiE ze(oJRe}2ylGyU<q`se*p-uJhAR;-F({&zpkVe{vi{<6uk|Lct(Xk@LJyxr`-T<jbh z-{<GW()BlrxCNbj`TY6y^zf^fr%%87=j6(*_rvAy#$<`w@0mA0KGxn@JN?P*x$$q` zx}|rkKkrDAvWT6tT>NwTI=^L|+KV5^|9e+`|KI8N|Bk*lT4kJJyXfEB>iB;amnX00 zz8$@+_3O*YiXNZue4RYet=QDQvbxB2e}&CnyWLSX_R(`Lg~&F~*Lg0k?thS}{;%|! zR$+~*ZxO%QHtMw4UKdJSvW9z)!co4)drJD|ESGzw+ghiD+uCz#@+YP<zMZW%FP^Vk zB4FXtRuleHx4HA~$IZC4;=*(5@6J(Cw#zkB1GT?C`t)RO;!6dcCAS}(YwKpd(#>$= z^G{a?c>%FYI~M<Z+Hg}}fALX=!_%f;{Q0>1>7$Kz{yj}K+W+HFla2D~rl+YzhV`3l z{{Ojodf}r>-Ip(K*JIDVyMLd=k(BiG>*uF2Hz!s8Jf@%&KPP_jj)?tR_UJrQPj{ZH z?YExkSD2l>Eq_C3`sd<b-vplA(>ec4y!)V>obEY!{rv_U)xp!AExWKl*~shJv9-NF z&;PtC?z`*jYW2kzGfZ~<Z~Wey*YnSuf#Lsu)x#mrqI^7^7(jq`;>!xgH+!pRq+Or! zeScV}eA)Iit^I}>EoOd>PVAgcOJpC3BwY^<4cW?f^<am9RPqstyS7c7PQNy?D>^E3 z2+u6@XpvmZs@%LVRmoVgZsHyzzMXr%R46~1>+@^xwaxqIZ!LTKs?>hB*42A4*JuCk zPrqCJ_U~T**E_DqRonk|$;)ZZzEo%x@$%ftuDU=`t3H)G`!=!KxP`kYNa|nd6kQiC zni^X0=lZGmx4+VJS9kr}pSzaplidZY?X}UN6Ta_B{d)51y85^St;J7jRpxdZotzyT z_;^uc%9~4_%N#!Kn|IE&aqA41w;eKXUl(83`nvAV)*Fl6Uk5K<_kVq~|HL=JQ~8Wu zf9||>=(@+H|I15uuI~D{$|9ouxMu9TW2+ZwT_~UYWnIbN%iCLpJso{>P3>1~zH92$ zJgalnkF9+1a#wxWGiA)KypdROaO?L|N2mI!pZL+>r?Z~bvu4S*$iuHhQtz$_eo`A$ znq0Y}J=7%EP_<?gPfc&o`zrBHTi1yn*M)1nP}lsru6$KaMSsxysza_>yK7dl%v-yK zIpwH_?puSUvI_Q-9Q)(roZVyVuWy^jm!Z*o=2wl<o7nQ_J7rHEF7?dGv+6V9v3T?= z`D0R`bg}x=#b<VNK6PO-(*ErxGDUex)%E7T+Nr%e{(V-8yCPORsp~PX*Iw<!|7x8x zTlWW4-kT~Uu~PTv*-0G>?@g6x+ah?q-tkEHt)zQehS#-CwHOyxPU&%pWlugY@<e8- ztU|XzPN!4R>=`a^Ulm2?2yIQ?+)?)NccadtueuDI9_JNTf4Qycq`Yqa+cV``4b(Xv z-?e<CB=}V3NRV;a_nphmdaYWh#=h}NPUgzWuLY)-9ZS>lz0bP0uRiIhV`|MmPkIYq z>g48#tUI>PR#kp0$S)G`KD*|MjmM*z9=$vMd4ABpY?&Q0NkYSMlc*W{#x-}9O}|f` z$F9YD?U21!<-MsJB82st|F15Yxx>RmbBiPAq*5*RjdO0*p5L{Ef7-lut*?1OF)BLD zOpKCN-e(xsMk>wt?Ja$3P2?ly?CA^iYVS=GOLI$DY<$XbO~(7k9kXPP1a1E3Sgd^E z;A{|c@lv&^XLlSD@7y)HJw@6{`jZN$X_p%N#yLB8Jw3DRVb(N(q{wX#FYGdTnY>R{ z_qL9nP$C=C+Fo;ko9C3-UnbqWytI8P|Kjy-ymx<pX8pSE_@YbR$=6t#zh)Y8$1K@) z`m)vMq@{N8lIi@jrEk1<@i5!quwXYYe-2*~tJs;3mo~?)6pT<Px$Sa!_r30xv@aj? znnL@{Urs4aU#XFMWvPB(7E9Uh=GO<DJPWt|(p5ad_2yn{^tKj()0=L#pXt5nZFNP* zQEq{S{K_j@K8`!SWZqSDe|;%j)AW?Q?ggfr#)cBkn@n?VZm!Fl5^rL2%Auo3s(w?g zpun;Q{psOuCmI~M4S1N6T6s*l3zpTqxHIAJ#4nPM^ZAk@w=G@Tmg?y2%a^qB=Eb1m z;}7pWQJ6L>R@YQ^4=7Trqq26G8a7P>Me5;aVU6~3a$7)wxo_#Sdxx)rl-`^s6}3_j zEVy~;vx|rKg0zKizcR-p24twFyxn%Lk^oSuDANavMAo~!J$qPWjeTfV$pr@mxqFgZ z)!1JqNw!G6ma|-b++eNECcXpCOP1ReU1JeGq0C|#x>C?s;lkXu{%w{WqQ=aVYk#q% zF*4rx?Xf6CxHv=kj5o(drUt21#ZjVX?7Wyi-MBTKtwp-S<4z)D;?{R7cO9CMmsfe^ z&IDUkr%mQemK{(3zndoYj<I(V$f2+MX1zW;4dm(Wz~oX!>!@WPP40I%@FmSmOW34) z_JG09E|*YagYr)CZmWcM>%5h>8unkAS?lE<`~T6K;G*v{c3w?b?%=kjSU~%lb#zwT znsdbyXXN}`(7tZb!snfzbc70-4=Z`Mf8|tIKVgH{%8S)Kw%hnjR@=Xk`?Rj*@17+Q z{+9(0=RPWK`M9nnw<9r0m~*}$^WTV`z&Dm1p)K!b+{%2RW!NUAQ1RJZaMIh;jWSEU z=P$Stk-)^HdtifbYog`(-I`Bblum7TI34dLA$?)b>|Y(5>=s@LsM-4H+=^*d-`|`v z5qM#LRKj&?bA;)mSG>j9M<Qjfq$qR;Ci7ai&C9XRO}KV)v&WULxBaV~4@{GrRbR1; z?Z4#09zIsX3vz~TjZYQARCvz>@GJUA7uK>Z_jtQvgORt2TTH!}ovUheuVHy-llSqu zZEv=|<ClvUe|_6zORew9e*ZN}4~-|Be{pHOM(k6yRg0p+bXRk2`WLu7taw$p=#z~z zi+wH3<8-xG7fg%!7JXN5&Qq0{|8+MOFMRUu^3B`4-%7mnW|m*$TYXjUM%3$?WuN@Q zs@fM6?}@r*Uw`|6+9&&kpX*=zl3t~hGkdN6%lJKOwJd%{$C_N<CgBojJ?%pGBemmi z-pu*n?6BrpLc*rWLd=ujMjWt-IA-g&^5Y5#j?dHYyPP<7RqI5%_ScOwU9*mVjN4`6 zSn)w>CGSsptH~n#tu?_>9_-dumJ8Y{&6&OLO2iyz6kY1<eS3|StE<T}j+V)p?EM$5 zrZ8-oz;$$5hd<|=$2=NA*7x3Dn8~mC^`m9}5;K;lj?{=Rx{cNm-z;NyMZaX+#M2$r zntV+nQ<KSH|5u(+<Aqg!#l5UU&bm5S^+=196yNDMD7ZD*<##g6%-f8UPYZnde`1GI zfW*INY^^cBR$4m#+;5@v&TiYhDIZGo-v-Qh<XAPU(f{D39QT;{cLgS{(DA6-a<1WP zp3FAIG%>rk-zD1rcB-_@oOi<`H#Z>pE$=o(wd&j57Xz3l=Zfa?+?F`BmgUb(p%=0I zV(soR^-^;E%p&^|nY3#s7Tq&9xXH<q7W_%1t9<f?8K#eZsoJDfnseU1Fl}zxV&3<G ziFfuhG)iSzO=p#OJdfS<NMqLfyFXNedKVviQg?mwt1=efY`H{@Jm(w!B5Bh<?AiAu zmcPtL*=>b+xoG{KOAM{`t)KSHZmF$5Bh~(Q$tEVDe{EC34?XtZ!6&bnweaBu3BJ97 z3h$RCp6`x)JYTGOWzhR&iT`6G7iJz1?mC!~Z@*DOS!~I@vj_G$_*I#olMXm?WVd9* z9eLjM?+Pz;xR!a{?{j6IoI5dLo=*7A%ci$D1UO7(SIMe6?fsM|v`z5(pF;+#G~Eu| z>pg7t<jpBP1LfyTKOGvSSBE<+V6E`|cv+<;i%aDFf;ED?TVMY;xymj?L|*=|OO`W- zNOAo8s{7ZEvSb~3`nzII+S=xd(hgz2|2uoW<rUTE#vXIYvfdC_P-9*rSDkfNc2oD~ zWT98F{NIW^xffggIX^Z1N`=biH@^>S?6ir=P&;*R>IRYE2&dm0^lq=cvg4@p&A(S> z8&_3^--~_G_T<fn9tn;@H|?{IYyOGzEd0s-^7VrUOi7y_?%0)~c(1^8&Phj|^ljc} zZ!djbu=kc_#$5Lo4b$!SO4m={6!!A(or9%^+!nbh&*5GBJv6Z@{7~Md-%Fd@liyGH z;60VkXmLnj^bcm0FS=fPwar(^nTCn43%_>OtM|~MtQQ75vs~=hUkX)nm?l0^<<I)< z^SpMc-irrky+vPK*EYRncTZ-+iGM+^UtdH>+?1}&Y3vAUKeQlg?v=aAda4m_6Uut8 zznyH}bNTQ(Ii1h9E=VWYyL2kCEm|q@@2Nsqs<FY%Q)vmGinU!?56u;vUhlPWdAR$e z+O~ed8@q+R>7Lr8)G5KyBY0BgNY|3alWs+2N$c7(x94+qZ=KfO(Re^Y?wP{0b~d%w z592CN96Go#>*m90V#SK9>?B@<B=VFu%u(d&Pui=UIDf%D)idQKp-Z-<g@s%WE`R5z zyj9WX+%}Ki@~5py`xjn$VX|Sqq|ybx3AeSa*tJb})ojr@wAa-^?BQu81@40S)0<yi zW0O@pwj$iJ<El@Y=h^w*f2Lnr@^npZ{KbQ!UTal(Pwou4`rK&gy{Q|@Crmk5^CERt z-=5Hy3c+SBi*70X;o{s|Tq6};=lSOQ6e&?I%?qqSxh^K`FNFd*Ot1BD*d9M|(AUd7 z=D(i2xPOZCJ<d<x&U!iD3l_a+A1L+dp2okJrHcKB{-i3u&uC4We5pY8oAcX46`S5< z-PrXlf9mxOrEfboZA^T9tMFysja}b(o|@*wR!{vTwQZekn3-8lY<F+K?Q8olcWyD8 z{`R~kml*T()2i>QDt?uv@`g=Pu?&hfbuoPra64k-BhD2U*C**tPby_Uami%a=BpF` z`>gEe4xHF=;tgkM|F@XAmaZ$yRp0neO+6>C!nWv~WaC-KHEB5sn?AEMPtNsYQ`@b~ zc1Cekhwakd^<M-;vzZztIDFbYHZ3TezeG|qVE2ukB8z+HRlTV!^=({X*p_J75qczH zo}%rFja9F7bua(0Xh^)(*XmrhRl)qElQXx#KDG%8H_gvA7JNQ_&N<ot(7KzuQhpRL zgkI6`QHZI^_@Ww}dgoab_m{sBr#J1+Z}<~7Lzs1A)uh$_b5=idJR}i#&T&oFw8Lu( z|889M;{H;tU#~yOE3uqq3shIuJ$@|C;QB^s(J6;o{pHx!p6YN(maTpm^JM$gwX-j8 zmO0U(EU-$Z`WKtC7JF<J*Ujq9i;CvGku9v9_rxvh_lfV1rDo*xy70>@xZLk}eV%tl z@#ilE^Di7odH?d-+>q|ath1V*OfOe`lX*(2^zZrfbompN(FP|hw=4g#cpldFw)AL9 z=!B2!CcK`b9TV!qdv3yE<xQavZ?}Hc++^_Z+dBJL(dOK;#OJXSE~_y08Lko9;B)Op zYM)E?4yQBm9_+Jcg<p%eS;J*?Zw+_XuOk(q6PlS<ADY>zHAjh?``V6wo}m}(y+Xg7 zu33HO>!V$B7VH;K4*998eqBKBO0A>7>Q&S9R~o*Vy(3^o;Pw9%+uksKJQd7baxXxD z<;1JB0G39>CWCL6ZYg$@pZVhG&-c^kb=QZv=Q~$DnDKkD=sCT4+h#3ad6wrdAHTz| zrPohg5Y1bqRTX;B@T_``#g^$&!tZw%SRVhcWO%xH#;OMe>o<k(`uE1cMzUtg5{Wq+ zQzy<5X}qQ2+2Jz#upmb}+eGbEP02D*l22NNQywTX^cfm5ojBlhGbZG7PM^S>1rZk- zHwK)OEO72F{x)UTu8j)Ez6W;&tp7DVZ!K4m+={1Puddr)o*g>By5p1Fx{#?;4nLk^ zyJE@Sn)pURo6}D^qgPm`h8Fy&xf*Dva^~aR(*JvIea+{Y_V#9!=o4G7=U*;{KVlQK z*LlFY*#0u>rUvJ-x+KQq;vT27%zsFId=Px>$=|T&2Rw?FY~vI-X#9(7&9mqwZ}v>M zDpR*h)V#0rV%GKlksY_+t^KKV>ucApd3Pe8ZS=pjyD&oS<UQYm%k*1%>H`k+Yi|uZ zwS@bY>a((%Nw;Rre|q3r)7dxL+0Pa3`N`d?T9?Q8bI;L$1=XQzC#pZtN$lNW_j|r_ zpyL6D>76r$#f$_a=JO<fjR>7k8Gn}X^<>vnwk>PLHi!l=ANKWAxfA{Wm6gh!o{Rt6 zPT5S{mHh1{&#x(+T6u4)nps{I@!fp3*Jh7ops0-BF}1++g%<y+UDHBsk8_@FTBHB^ z%BKs)VMo80RP<hAbDHLoRsF+z?#{TE3F}NlMU6up#a=$RQv7Vrp(_VhO1WP9{p9K@ zgCFgFyR=U1(wp~+ciBec(2v@w8#nHl_mfSz<Waeo>F2pCxr+L_{M<8-YrO9CT5Mu8 zZ<Vm8lx6x0pTmn!PjxL3_Au<e!lzf#tn$Zk@#PPHo3(yUS)to-sj_9=mvt?wGZwF_ z{~E@(Z^pXjqYEz@C<F%9X*BP-&Cy+c>=wh?MH9rjZtd>fAYt_Cm;Itrm#%wrqqgh4 zFxSq`%kVGlZro$~abHwog!MxCB*B_1U;ihsc=~<XQT||1WowbzyX)V%H-BW^`>uEW z+&rbqyl~r%^_sG!f9LaUef~Tu_5akM_iBEVWuI%y@-nZ!(|1L0;`hu+UlNzz^Nmse zwjkwvuk%xxC6&kag1X+RLM9Q`9c>-AzR9T6U(@=Um$dsvzm};vv*2^nRqx9~q@Hx7 z+9qE4T5oh^y(m}O!YjKw)4OYXF9lxTpSNPpWYII%wZ5)n-|ZZ^cwPPF0LwQ9yFAZT zm=~^bs@oJ)tlROUCa(1l@6vl;6MjnU-&)o*<MYzb#a?@F*Mxi8guPtxI^O<@u3BW~ z^xJ81%O1{WU-P@*Ol8NSPby2b?{r?>boQ!I-~W)Gt7HF*N3TvzoHA)@=PlDuyNlkc zdxh^f8(NqYTCm4WC~Wt^`O!T8Rw#R}Ua9+e;i^;q!Ml`vSgJw~emp&O|EjnHod44T zxxKE4aq8~KR(*2hcGRQD{d?aXzxn7?*PEj7Hv2~p3ih^jPt7YZ4-rlIF!TE&yTGuc z%Ard4L!=Z_Vpa-sI-M)jn!usR62LQYO<L%C-(3!2#Xsay*OxR~uUMt<cz?;F75-mV zHOc&mjGg~7|9+I{led8aLR~UE`HN26)>tmoH7ESFk4b+|aH*2xiOR|6TsS1tU%50* z_#8a9Q{bnZrg(^)pw<c*p*u@D=j_(7b9wk>ZK$K1VNC4x$p<YzbtDP9%LV2<I&pZj znqHM^mi70rr}e%o%Tsr2Rflg|oaMVBL^OZO=QWn?zuP{p(g?Y!K9w)hJmUMYU6!BT zPQUK8%2Jq-W#hM3v7Eo(d!CEDDY#pgeZs~hh9`!T`u9G3d8U0z`-}<godQRj=csdC zo?u+I?vqr}rt{4c565g%Ouu{d^V5`kxrv{15~Ygx5|gVA&vX93p7onGP_A27D$II? z{IT1t@6MKnHbopU_cCUW6rP{dnx4+l@}aa{<kN+Rk-HA;{^Xu?Ioeh=+s9`0$8|Q& z2cOM5wR_S^Cg}%zwJS}VuI&C)%=B+^(EF<UV%1ZF-dAn-Z=E*vjOPD<wU>8)%1hdL z_RG4OX`Ak?yt4aK%tmnyQ!9=`On+^hHuZ-U3#?|9oRO2w=<NAX-r?TVH34R2UBMmx zo*xgZuZR_mTQ6**{wZ&gevyrd*GavA#CyM0TEnyV%uXtsxn#p0;gz}E^Fx!;CzW50 zzk1Zu>zk}#PNIFVmaAQ;=*qPff&yGkZ|}>l5?4$!F#BiB7~k={J$2W`nGA{*@qH5( z3NF4SBg$QqaU^f+g!Gf_WuK*fn{`P1y&dLgEu#AOe)TTr7xMPkWQ$hw8vQjkUdbo8 z`2Vx7fB7avul>z`<;Q`!>o;zkV6}~d<M8d^C2tS7^ay^wdw6S3y|-;_;_bNP%R)Ny z*}ty)Uh&nwM&aykIsZ48zq@(g`0{ePoUQi%9T`7*T?3j@*x$(<k=ju#kvy-ATjBLn zUjHjR|G#hZda|#nZTp8KpMJ)^uc~oxn)Gp9`I>b}Rf5ZcP9=G_mD_S@*4|RGUVA`E z!*P@9q~-|KNrxp=i?|hP{{AzlOr3J#P4sKCO^$nQ%2n@8RbSP**9+7}x;;hoUfjP) zKjJmM=5c*9H(VXFR<+q$>+8Cfz2#?4`R&;7DIoG^#!@$THkMm*TT{5r*f(-rJCO4H zM8Xg5$>FEm4$oeFKTiDe+Y-&)G1d<64EZO`)mg~u6}8FmwBwo?k~4D790=HYx!%zC z;G`;Z-q|uI!gN_K-(0eORqFDE4m(UTCAk_KbV_HK?OD7|y<}!d-@U1CBodsLeYKNG zTCn{1w`prR9B$|y{mp-G>IUAkHyz$uY%Xi?m6<ZT^t~d7wm#>fzJ(qi?Ysmu95;a) z>YPmvyB}q?$h52GzVYxpw&A=&(CxY|OUE|0Y5I@UIAWG;6PuR)Zk2YAAAA4x7eQ{N z$=YY*rXIb|waKUX*n^WM?Eb;a$}ev?{$k>(2UdKSZeO~*VSQ%Y@q=cmQu134=rOq^ zR$OoXJ7LKT{>u|ScTcN-7<jejd)lpct*4(w&;8q_bgSZ{bnss3_S}fM^&-78Rsvqf zcMDCEXuG@g!wIuA8INbTZ*_dm6B5gOs3}}CX-@1NM<e+v*E<RF=PE)AdOXagw(6QZ znY&Plb+J>o%WVhoDQ8Z9Q*gK?nrQMSY)-uJvRkH!1$X~+*=?QQwXfV>)b{q7jjv~a zdRm#4-R%BC=Fd!{z0&PRr+|7<S;sjaihG`W_2K#3P{SMD9{29QoHLKF@JDv)dXDq_ z)u9JJ-VF)y4SaGp`1v8_$#J1F%MQ0|g_^ZjG_{qv%$8czzG&OxDS7c>>sRehudXTx zi`<hZzD8qp(_#PDUlNZ0W^my9W}4zzy=0rD{*{ii)2l^-`69)SC--?aXYO5P;W9a` zj9;UA@}~K#LvAjA`JrU#sb?QzPr0RUiM>+xP-y3Y;@y0;ry5_cowmyUsqVaE(kqMX zgRJ?ym(HqRZs}C`)<8{ut(Ir_m4zH;Tu%ClK4LE_rk!$J^;j&_#QE#F{p*&`JQHTW z`i1oB^$rrTRys?VAKq))KC{Q*T}61VL`kni@<Ii6!}Mj6rq`k;-@YF^`<S*SZ+^ne zm+hL3JpC?P-FT+VvrcnACv)V}g##)1Mh71})GbUunOp5ITl;U>q^uXQoTtwv8vhbv zZZv!q&X-iBVY;Sw(XmzW$>~?+oZj#m`Y;#mFvxjk?wh^xVJ(+4ufQwT*N3J_eZRN! z-UqjP7w)cM_`X;ty0F|!^1k>(?%Zo^KX~7-=3V0SQC%(ZssF>2^zP7w0?dtuhh{cM zJY+e%Jt{CcbcOAw33r)GJnv22utz}joyiA=MTebu<Xe+&9^WmO<IFQjdFxS!gdT^5 zl1xdgTs&)+H=Ycee{=KeRSX}MdJ?1fjQ+e$nQ$lE_&;aqRQpLs8z!^|3VbYie#Ugy zVT(!4JmykMQcD(lJ?pKj&n?-#uqynK_3Vqio=iatJ|C5MI<>i^AXe@1ugyz!rts!l zBs-kA{L*9N9+mdz*}RtR8!j{4caQlmuuuH%gt>Qxw|Z|{_&>f!aDq%qg@ePVqnuHD zp8o0Mzw-2)3+MA*CpM;!p@xT-%cSh^SIF$qc>PGY-|46Lmw*Z#v(2R{Pd+L|I+ty8 zFt6FrCw|1-k@tD=goo#zPnh<(I*RR6!^Pb~)7Wx*=j9qE@YpwgxG`1f^E&q16}t?$ z=c^Qlszhl_%6u7<aYrQgM&xv1XPw+bJH9e8E$!96`Lmucskb>HLf7ZM_KT0UtR~T{ zEfQLlziykbxGVKIENGkcW)}Ml)+2?_+h1L9{?j`xu(aWW$i)B4O~RNOK9uU+Tk@7+ zXTMJJy_68;EjkA_td4%S-1<k3=`L0Qwa1y|Olxg~j_&__xOC@*svms|%WZE=&tz5G z<HcRS>Qm3O=9Fb+9iQ`<#4;Zqtl8po#Z17<wd>@>TLO9scfPOto^bQ*>p#aj+Wua! zY>F}sJNQJmY}!QU$*uqAJt@8L=l-rQ^DfCO`}=`EWS(N$;hm)yH&u7!A1Z4o(A581 z*5D8?nB0>2X7Sd<nyTjKO}!U8-cD6scmBDMQs5cT*ut#u9>&76w$v|UKizKRv|%F8 zLP<jba|Td>X?SLb($ga!BDoJY-j!eN{5@ajn>=V1=B8nuWrIY9{q9dUW944yO?R}o zAjZ6`nOQ&}yTIja+SN(}x3zbQ;$+n|-p)DwttI!w%R^G<o+wN+ekZ&&)#kpB^g*wP zfB)-_e4WYes`RC!@zUg<YnpRC;v)_plsQsVuv}(O*rUq7w|5Io+pe%?SF41Yo=;|v z6MGT+)ybMm7pfjuysKFv?q%hqBN54SYxZr|bDh2P>7QM44(2beMlUwGCGcx`fYgT$ z!~4^n1pHr}ztVhcgH7qoxVyPE|4nuaHOt7kn?Jepbk)zIP3E^xJj`4YF0ofTQC@QM zvWkcwlV*e-+NYQ1`*B<8<Lv#`o6gr7KDcT0>#NsZ?fYuec9dvblHK%Z{!~7@vnG+E zdlvjLZmphRA1o?!f5o2<Dn-k*EdD4*9(a0u#WI`FOv4|2mnv_sYLd}9sAc{mz|+Fj zwE2bmhdCz_H<w?Y(OUO@S^oqtkshnZxmGUguNiMsp28P5>v_U<qrI~mmWJ`Id)%<< z>D?pkU(`NUNgD6q+rMC2ef;!!>BpAms=ir2RWy$8yH*ps_S=A4f5MN&do`Co<`<TF zyjQzkVR3#~*4>FK(k+6@&T4#J$6h^iliOkLTRV8R#y)pc*56kCfpgIuXMSh7D`AJ- z{WRY3W$`5}{hfUDXT;KDt8I_WuFhk670W-(qU2R*<>OkTRp<ClTHA;7Gu3eYea*G5 zTvcaw$6<wKn<pj-dhi@^IVt6BB(QnO=NW$l6wj!qM*m`e!+E2v{pW6n&cvv>%TAqH zthBZH(D#+XD!Ug;=w4uY^ur@jL;3x&#ED7g%AUy2UNe&^s@G!zb4dB27zaC7r7g+g zSFcXH@p;DS-IM-*TmDOmPl5H(di|cL+07AK#dtmk+FieW^x*u{+t}2sI~~vepVJ?? ze2oW7(cz<|3O`k@d3}9-?`y*Sbr<Wee7(Q1x0!p&%h>lx8?S@rE8Tf}+O@ytJ!acD z^-|-O{ud9pmL6-rVkoluod~aP;_;LND_+09u`QB)IVjYAFPmY?*ByO~N18cqv88C# z-q}rmm$t1qxTK|d_SDQT|2yIqGS2aBxi__D(HnNFr?EfxA4&S0;PF6Vs(0mvre6t5 zAMz@0>~*@a^R1F($3a=H#Mwy&@-rXZwa^JV6vJlM+VD+kWi0<o<>{Wy<-!}j_n%Tr z3=}Z?duV-3DEId~p>GPQt*0Mc*E+wuGx^B8WhOCUr#-H0`|NSkz4S{SlbAEx?m3no ziYLDPz0&mkoUrX?`HSgB#vND8Hpcqzv3_nlOJGgV#Om^Ms!4`dUi>x?xHb2Ys$%D@ zV-CDN+lt-!xu@!wO4?cq{JZ}!y=!6lQ~e`-M_vWXtuwH3Tv`5f@=?3kO!n9<L1lA6 z#mL^Z<xkVEN-n);Y#o01<n>d>zJmgBp;p7<DP<XlpL6-fzrA}*aAEM*1V@da-7)(W zH2uTRKZ^ZXx6$)dK>sz4NjWd)E?r|{vSEhY%3SVq!BfxnUSpZe|8LI3W0O}nT)FnS z<ZjGfr<LWZI_5W?$ttdTzkXKbo}kBlJSXa2ZSU#1vh5qgw~+4vlNYI$NZ9V2GVQ{` zi+h}+gj#+t@yMO;Kb!rb=yi|W6V=Zh&5mZf=AK~ed6OI>y>hOsQuUTB<*l2YQ+7_d zwfjcbAFGwizLf{;?daOWaiXruYijC}vW0qAUw9v%v1?0F-FK@kAM3pDYRfK>kJDa1 zi#^fXdC9i(*UvZe<m%1881T_`soTWe-mjv0%>HkbzOuV8;@z&j+KE4ZXJ%hzJZW=q zU;G;n?}%v^4zJa>seg3!VA>1WK%-qsf<8Ts4H4ySY;MJ?U2<(DnwHm{ob&9w|IU6B zGo=R%+m~yLEDwEnyZh><tjN#_uDfOh?=9hzzt&Rx`0A#UT628&UBA-DQ2lpePRXPj zJ2|dTvpZ+KFUp*w!<s4i)!y=l6Fy~gU)$t8p?+Gb%|tUPE&+}e554)4OsBk!pa0rt zI)5X0&TH+JH&f-xI5$Y8oq5)<VQ<pZsNVAP?R&$|JldG7GK(>2fw(J2s9BKoq2K@Z z<Xt!zHck9y->bVU^#O;D+}X{UmiE#+IQUOvf|36Jw53ibH-Ab$#rQb2OhG4nnXZKM zV>ic*Gq*VloSW=;()L!~lCl*yFV0kW{8@QYtk^-@vTRjN7Tcg`!-*c%)$MLAs+Zq; zujtpeba6WU#{8L?M;s6LX}ga}7Y%wYhD&5^I^5iNQqOXSclPR^JCx;Lf6rr@cD_C8 zext*gvP!;dy9*=aF7@vCvzhJ4htg~E$EL*|T6gtrm!<8b;0p(Ltu%Oaw{62mwUSvM zc=^o^d=@-bAp56$Qm6C-L&cc(NP(+Ma-ErtxBPjx&|_)2+2-J!D<ABHQ`1VA9e#Xy zc+}|bi}?*3?ssQBh~=Lq(YB2FJbUvR&0eqX2Rzl3BzB#M`V%zuWct*yj%z#gmNH5R z3miJoHr<~iOXTsc{HwjPNt;(KROLU}w{`QSL%UOX%<p~u@%_8}>865Wrt|T#QmXw= zJT5+6c+5rUNLIV0>9v#-2U6st8xvPoa9y!@zg<9P^>$~TcpIyreR@h0Vr^2EO-@^M zb0x#HkM)(A%~@pv?1#)Z?Z13*X8!f9vn*xj_nS&F322;c`6TKY^-hh4oAsEj(u8Wx zNwaRNq`F9R&R=~o^kmJq`>sg~91Q;dJC*(T*yL?{jpom7uL-vZS)-q|Cuz+mz5iDw zIva&lq<6?1$!cU%vlfz?@up+<6p7@b#1)nb@7}DRplR5#tHe9!_X4eRtzNxy>EFv= zyLq+xzt2qF&7`m=^+?T8iM0)9{{MPxaXNbs^ZUCNpLeBrZ#$|u!`gn@iQa4R?^fFG zi&5rV?=Ss~*)qEIi@(?2*M-K1(;a&PlvxikEN<GSxI^-mMvc+3BNG4G@9mz{JN?h< ziWlJz3>7>MYjkAY*tVeYq?w?Ba+g8=j0*91vxnMK6BjZ5cZ<EJ*b*|U{L~%3qi1Is zH}5K+yk6*6sn_1wPmI|$S6pT>ooaAPd2930j^o}_-2VHoS<&iQc>PjOSjVknI=)Ny zrUqS$58J-;ajLT5m%LXSUoF05zjXSBD|Z9ZS$kThd$b9po2-&KlEucRcAMwGhF#~| z53SuEbnwI8V{B@>leu^tpYng%Gke$SrrC=o#lCXl;^td(O=7L!34hU=Q)gGabEwd6 z_%HNo0qddZdPk48cUC0tY@W5W^U)=b#O41_C!e1YYooB?b%`e9y9b8T^%m%eCEj@N ze)?h2e6w^Oo@l-GznA<EIhwTjTgU6oO@f{G*m%mrGG7@pR#%%^uD<NVvt8uP@vrOt z=vy{OB!@ML*a>{fo0%<QDe&*@kByg}#qv-4y2rriu<)!6ZYnHgRpzX-ea`vGUgZDi zpX-)Cw>jeM{R1i0*#=+gZMZ(>{o;S}dA`Qiyjcb+^D{qAyj9w^J$CYgl|P%73%m*G z?b#>NEqJ2-Mf-m5X-mVkc(ZqZ(0q2&wNAy}@57h28y6<sRXrgN8ZUf0-(s(J;>MNE zQ&Y<o|BGH;n5xfo$ib2MWrBoD%<hmg%wZ@01zxKO&urI_-B8uZ8(z9SHPgza>dO@c zuZUUU)BWaM<23uy@!`v~yVicJib1=p8&<f<?mBXtM@C@p)wOmq0qsins*m0|$@#xJ z`D(Pmu_rD64{cOa@3`q79kb4n)9~067ESIMa~KvD*EmTzCDbokb3x=GXK%S}#G5a9 zTxK)p<aWMjzp*)U%MqC)Qp`L)WkpPFiJgl34J|7>ogUvcN%XKwS6AmSV{25Y;5zuS z_Sl=ZDoq=w^cnBr((<lfW8&lYAo$GY$-*l3o*%@uP1~{*#9v*i4*-q4ZkiE#C@Glz zAoq;Sgwsk20*<eOPV+?^)JrHi{&eZfz@Ce9f8AU<eTy6SF6sBXzS)TInn=v}_O^SS zvq)^a-BUAWc9*9+ueLM43OI6m{Tlc3d;9lz&OP7pdh=nOO9~vnzq#_rOC<N++|7Bz zkoWwx7k#h9y&WI#w{d56?=jq^{M5uV@qfd`P^O3d*7Az;_r5+Dw|b4iuEWAo@2fW4 zczIVO$H?-w&_#Y(&HUbJGnym*u4_Em_b%bbd*3+AFL|@vHD2=7fZ~4hV(X^;D$Ai! zf98I$v^PJ8Tf(gmHs`Eet}i*H^Dy|#=Ec^NZidg0d4Etz_l)$Dh$R<-m=vEeMSiRV zjpR!I_hRUN!gqDX{y$#xJCkn7YTgj!h!$gP<cOI*tNZ}h?6t4<ux75&eemhh7o(EN z=Q~;>4h5y}{xT<|QY-sK+Jl!(yS}@L@QQd@34nv9?ina(Sn{_%yz#fW>PK4nrxo{R z$d>-)H(J|oQjmFnAIGhAUT5TgRhe`Cd!dqkJ;3t07xVw$FYbPst~x7oL-}R(j49E} z=Wa{%e!lo<{1Ov|qxan3pRF|Kt!~|PXbF>CbFck<CAS$f?cUuz>~rnWp4%+94L)mL zKcP0IZTf?UlNoQ8-7r%)n#sm2b?cP6hxEh`dC!DW1v%fRC2UmA+1uuCcD5#n^=IbN zheo2|O9IrH64izGZ?R8g+NP*x{Xp^A)gXsEhyP#F<~Dp5ed5HzywCNQ7jACZ@A37_ zZqJB0{L?*Smpn*SaB+Ug`uUCAR+%GG?7Ti@Y;4b>LciVDG%aIePTcycXy?<rZg#Gs z*=@%Emh)PgpIMzd`Ry&UA9c-FT(~Ckw#@nMb<S<+G45Fo|6J#`^iNp0b?WRn{*1DG zjT+zdQY+><l=qwJ{obW>?vK}mFQzx1?{TP`yXeaCy_+UFu`uhd6)~H&-)`3Y`zMwe zrV0vVC^p8v%6qi<Q-KLjqs)cX)!~OO`SYwhT%aN1ppeA)aE4*)ufI-atFP^M%WS`O zemm2?i?W+Krk%MkPwM{##%AyP0@BAXs2#iEkXZKeOMSe=iv!nYMsGClHhfz+Yx#$@ z{*V8aUpM`FXZrgo->-h?RbybeB|6)o?9O?|HHL=|q#Um`ke@CtYqyw(<<M-sWgDkX zvH$d1z~o(R{sg=CYW3>h(w5%yy%+b*-eu*+lIFiWYgg}fmRsO`xqI`?hdjCxQ^Kw+ zugE(r=Kemd;{TV`K?!%l6=wL)JyX)YCusV-bz7Wu;~7%E-P)CSp?aR^RvY$d`YZZ& z{X4$Bu)t+bmK}SU_o*O3cdo<93R^a=p4p#Ny`57mv-zbRySwW};|<4;ukqawer%28 zjjOMe_Gl-@OK;w^erbZ*>Dn95?(*nN<FyMoa`5X(h8s#*uMg@SVE8A{yXZrnl$hp2 zHuGbVB2Ka#_I$y15%1L!q?0ynPCsH(IB&+uza1-I2RApVHVPa*9Pae;u3H3?WLw$~ z;p71GI=45eUVE(>uN}3>U$o%8)Mh`6kT-%}dvCLNGt}fTO>DZ@XdqLSJY|wBXTuzA zZ~LWJW*lbzx#-HD)j{v)aeF`J&gQa`)|8OqFsy0Z-FVV()qy?nz5gW(S4c;x+v#v< zo4LJj5&ITa6SD314<X+r4?GkUV!R&ne`WsnLn7+e1`kJ<1(Bsi-XV?CPt1xAUmdgP zhR(zp=^0V=i!Sk9%@UlTs&zv3Q)TCx$VFLOL(je{St?t#&eg$h@)v)NuX)0Ezttw` zpT1o<(P=iH%kH?AN9A6L&Krx*Sw6q>Kk@yW$Ew<=tm93~r_5taQBez=c-AAL$wfG! z*CQa{`Wg)>|NSv9s^8y9T*Un-x=FC~XsMpt_sJTD)^o2NaO+)MD13K?cUbt94OX*H zs6R?mUUc*9Y{v51-bJ4UO<j1O1>fDDfA!_N=<{pe-7Y`CZ&!b(D(`D$-0PLgUtL)l z%x>uD#IR(-;lK?ClzAdLTfWyQvv4v_ICL}L-0NUd;mh--n#l_{mbFc}?Q+Ou>4N&X ztG*@Zm@bj|cd0<=z)ttA&)+PlUtf55*1iL6doS-Pd>+d^#d^MhLc`%(8#vGH5kLQG zc40a*XMD@9NZkw@zZRAovh7#j>``=2y1UHr@b!fii?a7@;N!giY4Jj?7&F$B>(=ue zW=L?oA0cphV#GITlbhBV&1%2>UZ!REP3E8BUwQqB_2QG-ck)~~Disf;sT6xT_!d3U z=TKrgqx5XTtr^j;wn{vfz3^W1I?uZoKb{AkQoC2WPvZ;A+<7lz*}sT{@_H=IZCOyn z5W=8fbV$cNsdc8~WM1QpisPKY?B%`IDKfwKv<#Uz*w`9`z11wP?+(47uVNp+`<T7@ z$({GsD)B%6^(UcaOYEsj9Ut;oMHri%AN^G4Ro7PcC<sbO4QhPj#K={!@6__89p$1L zM&dE=Kh*u(84<L1^*fQSD+fz=h;ppsZLqOBHT~S)d#43A&A${<a`5ZEUGwWF?0!(S z-CcE(_%|h+pL3S>b2!#8gv|J|^X{R}(y~0p*QcMlZWFKEUNNodS*upBsm_iMC*Du- z2#Qsnl6kc0_1&HG>px61+;Qj^Yu0A*l{yL!FWqXNw<w$S;BLkVhnDV-(tGNe<T`8f zybW5)OeOCp-4P03awXuNZZVU1?(@4wv06_rqzZ96=AV%=UmRcKVYj-jeevnd=C5D0 zub%9A#f76+ib1HaZ^>+F;}Q=$g|r-dZ3V_<nKyLbT$#M4yZB2=*)0Rb$qK<1?{v?$ z{@~@%6>nI^@Zq(f)c$mVV24i(XPe~~UCN*HLw=d}`G80VCZC6!bkE%Dzo2noM$iP6 zlX*Ve?83JHDt&y!WR*mn*{>(s3N&dRP-DvS{(3X$nY~K7p@qnqck|SR-0n7AoaQZA z%I)#P;P}@`X(=}j$II_ce3Cf7v@fRb*o(!MLfI{58JjlOH>*`op1D}lpgq6bHvI3w z<u6$Ts@xft6x`>}WaOOgr`YNpuVu=kx&5x86eG{id!Y+#w7I<Pk|Y#wh$YP7HE9zs zRtXCTxi4{bT7Rx|5?{~6JN~<*RoIVv^xdBEWS8M2VRN;el~t1tD=kcoxczxu)pnMD zonG%(8Jni>aadZed!aiyl=at~h^tJ#!F^m`?k``hqT$5R%%H(p&^6=X2boS$t_vR? zX)KfE6q+PIRZxA^7O{s<3i(cXd`qAI*0ITH$2teYcW##or`=qtyrh78)~>4V!?nR0 zIXA*y7cBhQaA6ChfDeEDWW5d3QlGkbyxAbSpTjYu;b#%!vW|1rlYV`^*t$LHlJ|9; zRCkVf{0-mJ6%yNZf38@tn{kVur_zjt-9^8GUoDcpW$(Ox-ITPj5UGAnzPfCSeQPH_ zu=M!9_)~AW==|1)ESc}47Q9UT&h@2BV;^f(>Y7y!mk(aO$<r{2Vf`^_PCNIct=cB; zo}NC&pEOiznw!dbdOMn1`j@Ex-pnZ{Byy;fwaa(ngNd8`veI6vv>s~9-O49Ei=Sh! z4MUTsVg6NCw<dY@m8w5V4~6|vVp+)e>@@2PC991;<}LVFQ<AvyRm#_eO=fc%pG<t@ zXQ%Zdk6~@_B#yKfi)4<^-<4r@P`K9k%d6Cz^_^bI2jA~5p7B7E`-a@x*x$ZUt&cqN zUYBv3-I4wzTku{hVBVsL^S=`hf4ge3J9mG~Et!B!7rvMqX~&Ag-bXFiv+-T*?BhRW z*j~kQi(K8Cz29kF&1|ptw``n$K8^^ztNCTm5|tO5lS5xGbt>E-IPdYYf>~?JV*|c? z&*Pku-%)v;)pwar;;Gk5*OYtDI22v@F!vFs>gLD%UY~xQk)2p*wnK4e;B>V~Ic9Av z9`Yq;-Yq>bF=DRtEXhZ2zXtWDeaMqEsXde3*>FRfT{>Iw#i8q8^CvPqzR9P;5T|80 zGv8Tev74dUW97DalhoeaOso-B@#)?jxRIARn@uP|YWi8t`+s-wR4?{Qe%2=a^61u< z7Dk5|hglk0;^Mp`Vz^pPGdp~8<_g;6=;-mM%VU|x72^pECesYBAD<?<<c?DGz7>~F zT-jcmZZUx=GuYqE_Nm93eOVV$!WZ(+dZYCvEyHa4)lB0_%Wu3g>7K!SUv`^e`QZ|c z_f`w;hb`fe^Z$7M@Rq_OyU(e&<XxX^^xkU0@s(K)UJ{H8gV`Kd8I+X&6|02JkTkhz z(A>7xZ-&*4t6xfQ_U>!;>S1VVWPI=?_isb--e|VJQJ+3<&9*-Jr#-Z4dymbWY@V4O z$q&T@@4aPQ>uJ5?n7!w%d7ha!WlwuNHnDJNQ7ulbXVKYlFElVp`&L-t0hS>4>nB46 zo8*}l`W<Bz@2zdR_T=tX`DG^y@2qm?$YDP4tNe=Cp1_QrxCtN9ey5&Xbs|ncg{7gz zTVG%O;U(?Up381LYffTV7<*}bM7bi@p6I09j+x!%-YyZR<h@I@k`BzU&2!s-I_1il zUw=bOESI@~O2WS<mYr0|QUV39(ay8#yJvDt`raw2A1<oGk}#`nu8-2|<!esnZCl7X zdCl8DQ>s3+oy_2#%xusryi<FRC+Ec(Wf%9%zq0hde<~xVY+qoN!MjiA{g3~6dm$vk zU<cpk27$SZ7uXwCB-Gpss@*o_r`2QqZ_EeH89$sq`bnSp;I$sf{py<kU6+15cFM6f zbpD;<ttQ{sJyKpeC*VQsQ7+}<J1eg<{cV+K6xB#yTydQ#we|FFu9A9{GxwYqt9)pm zs8T(7qVh&J%?yj*T$g*?nw~gb>WFP}vu}A)GEwFCW^LaI3k23_2lOO%@T*E>FY-uy zG}&(R)ub;T3pXT2uRYxQjM?cpN5cd07wzV&O|DrT_e3ds{B-uIudtVomFjv`!W2?g z%l7`f!=X)j$R&?m<hSK|r=tH0Iz3@M@K3U;)H^6FRBCV4{cXqjRn|{_@c;J%cJcEv z-`72|mK1kArhB1eT@CB6M<t>eRV9IqVsq{{PCBsggvIO!#VTRDoi;naF+6)>@q`bS zI_E+RmH34Ej`)5{7q(H+XnD;gqI__F>^^Od*3uy3`2NQ~elmT&_E1SwsYQdop>oNL zEgmy``5vom<=N?X!>zMP!Q?>APKIC?b+P-xSLXNY9bf%^&Z0{aOFsVNQIQdMvD4~s zZ@8xE$zoh`aoZceSd-ZgHrBVro#pg?`C{1{z9V;Uw{zCbb-!5?{v&N|NM!$}#AKUW zmf_oluPx5GVen7Yd~0RnC-bGff|dn0AGzPG`SV6OEBO7YeD2zsAfYciH?I0>w9w)P z$N9qGiaOip?l)(As(bl9_VpWRaiZ~i_C#+pN9Rw=cbQB~*=(qCz+1%sn37}Pkym#v zoDs-R?cc=NQCqZOqeQ1(u+6D9mIL0ZYW^QJqz!{@PTgbST)msMf73)GW=@0N)6b^7 zUsf@tw-KZcTvpuRY030w@TiM$^fEJ$OLhLFHPL6{igX3Wj5SR<2F+9aPfpt(o|*Eh z*`1d?=-9nmc~8D~|MJ#a+bCk@mJqw-NILJ54T=2)R+D$suHEv3dFn9-uIZJ0OZG47 zIiK(__BUJT$3(vOQQxN*oz~i$-MmjJY-^ml2)nom|FR{GC4y6O-M88%t`Vu&-f?fg zYU<wTEZ>gve$PIrxc3)1ZMryLVW%roet2YpN`b?~q!PX*3=<+Avzi#Y1l<(y4Bq_w zyoA!l)GkFKp#-VP>g^}qtl=;W=9xHKA#snl`jWKgaUL@pS!NtdEk2d9KJ-WNjU-3& z1*fkC@v<=_uHkO*zvk%*Eh#xt88<Z+ig2jBNt~<1dftKQLIi7M0-NUc(|=lLWN(tQ z{`=yg0Oyj{Id80D`;K_|v-y@u_c>0!`*y43tg~;w-RgOwSyp{k>6zJ9L+)cQFBY+X z5$&3CP&x76PQR)5O7*TxTNl3i%R1&?R-wEeoXQF%rx;c+IHVk@?>oX}+^i;@c45P9 z?qGK5-Em5fFO&y#3OX1VFwD8}wzYU~G-K_?m|YL5uCM$0<-Bxg)%GTvIoWdz8cfQ9 z6%OqVy)bJ_bM~LfOV;{%C39LhZO~@8bi@6#;nKSIS^+FPWrZL8Z`lRMYQ-y+7Q~9E zaBN~y=;xKKvADK-?}Cnqg1x)H9X7GltM=et^K;kX$cuk(M(ca*TjRZd*`<iH?JeH_ z7tT?M(`py>n3=R)yo<4=%ya*vi!6Z;?i&3zoUyZFyHCr~KO23PE3x(Xde6R?U$p)D zlwWUq_0H%0aj@T%Y1BE3LvaGLgVE00+SML{DwB8bHB$jK9Z#xksyj97O`7y7<CC|} z`LATppV70;z>?vz#Yg$6!v8&&8p<xK?7!sm);&aQ!i9$tOW7am{a>Pgsx(7OM<S*@ z(xKxV!wY7I5CgmXm3GmmYUX|v|K0dtHp7qSpHDsFVPRc4(_4~*%fq7fRnLsxO|cJG z#UB3oJCXIm+Mt4iU)P=AX6903`?6T++qy#stdAbQAf{&<7{B;ZN215QmEQB^mTqyl zzjW0G1@^ktul8g&E?Qphdtph@w><Wr2Z~AxzU8UAC-F|dVB^@?psR9Ew`tSEL%W}? zE)_i=%_cwd@%;JIm-qNGcqISuRCn0!@KDIExnfJsv)g40`RW-IpD-WzrTVKe|6#@T zCp)?}FWvi9k^M%Do*8>+Rd{XCi~Fm-th0)e$)2-$K5L_~_3dZpe?DJw){6I=+}|g$ zpO0VhvDmd>r-8oVI{{91<;Uk#l4n^uWvpQMkz{n_*^wFFbAASSIl9;H{_`nz>b+9? zW#{JX$}Zc<*PSO+`smW0(3lhJSozw2bt-*bSG)4U_EkLc>8$=c6?Z$e*7-g89a?pr zrS-Pi_1E_n%>U@nc&>F$vJQun1&f1YQd`Y~=hLOOP564PG>fn3*Zfb@3->(!zMXsf zhSF_1XLA;wi#{=nv3hpV)QwMkJYtu6SEi)de7((b^0rE=Ww_%tHjhTt;<-Olen~IY zaW56w@kDLngnNH|nwgpGb@N!8o*P%EJ^E+C%XcqyK~JG;@lVTM5p^%#W%p)gS_m?= z98_Z{v{E-?Xw10NcP{eN9G)4%vvp5IZ?{dTc)Y`#_uTK;v<;3&*50dic@z6pdDmKt z(4~csImGv!sIGled~-+Blk+7n^<59@Uf3TH+7VIE^JyJx*SveCj+0MGpJK1Gj+(i* z&?S{YNPDK!;oA%^PkvN-ar)6-$IrS>Hw8>~6h4_BKV^B3r`n|sy}lbC*RgU<-?09v z%*{RSsVfd!C_HIk*zoMwME@VG>%Fs|@n7pz&bhX;@Q-w-&-Nu(IVaEAT)wR!F1^oE z{hUnsR=?)I`kJp|Cp(uElsFbY+;L=wv&xdai{^SBGbwJl5Wx81XiCS~&X2oO^k?7g z(d5`w6Y}u4z}KwzyY}pxwJZDEK6bq~@4RAWJ!upcIm*h{ZngK(&40WrkFJf`QOL4< zv2-xUm)p8#d+xoA6&A^Rc<kfmT|E3EFJewu9&1u)ab^%vNjt$_SGO{eJ2F3Zxt)sr z-6fZH6#n^F_E2owxoJyh>Rzejjx<h~zoaMqj)hPVd+E#SP_dL*k{)(S@6PPx+GOUy zr8D#O8THGbIUcVL+I2wnv!sl`5C5l-=-cPt+*~fIksNa|rp{0KX!26F;P+=t=5R0` zN)T?iFi%*z!NDX?=DAL#AKRJEvtm!yu9h>|Q&=;-X3vtg_=3Is>+deDf4BJN)dfM~ zZX9oA8T{V-PYYjHzSjHd?0L&C?Y<`JRLOZ_x7drTzfSIU4d}D~xQ?~U`)~}e%+uaG zZPV^dj$L&!=k3RXrkifnOi|mO_{MXhZ|S59{i}Im+5dcA^?BWC^Kg5W?8B)KZb;lK zzkGg%MBe2ChUOEFJrigV<7`;;M$j$sy;eZf^<AaSyoraF>o;Y5SiQI~_rk8W2R2I$ zi>xkLT$78Jtp2I^@OQ`esrR{@7%jpB^HRh?)seEY$r|P|kvP_GpKBkMgwLt{%c!|u zb$5MyYNJSkRI<gV(&(JeZ}_xLe(#@j_~6ZZYhx5tt+jgpPW=4zQ^d-@B~w}BW`QcH zjm7Vl@0n_H;?AGRyDkf9%q=dso0A#8ZpnEm?Y1pXts(`dGb*;SHnfCWO9uALZJ#h< zlY!w9og2)KE)8cdFl;Gvl$z<f)m)?Y&q6QdgR)kI7TZ2IO7pdSU&s2(_v;?V-RnD^ zifXY>ox#dt&e~w<K3)09?rUWi=dZgY<&k*!A-m1#uj_uzD_OnKQeL-VfhWU_J>m9C z{;rk{Fnii6_{C)7v$ux>*!v>hTb(&j=&{3xNr~kp<1tf(yOq}&kKR;dI+%Uw*j;(O z?j#n+AciH3Id#TOdhSWRu@@2;6CdAI(%^q{$c9J8drvgW+MNXz5xKlgj*JtIP104` z^V-PV#VNx5_y4RTUtVRH)%u*=x$HJWQxRjr=a8qn(pQ;W>s5GIkZmXQHuk$&;CxN% zJ+J%N=WMKEcpT1aQnK}P>L%$JGe;(YbXCX0KTE!xWYRyY$vvSvh~cc^Ls2(%IcBFn zEDHqRUEp7u+1X;49A$Or5yPXU3i^K?oEZg~?iANnw9J0P(Rus(g@;=>H7Yud+>@4i zHmm(Eo9#HcwzJ6CWOj9Dk@2ME6`e(5hP(Zwwi#+??fLpFmVJuun`U#}AMNJGdtT33 z_OvN<>b+72{otz&Qw*4{oL<bu;NrH*C7VG=`wY*loEeUXy{F8us)+x@B-#GKQB{iZ zvD>Fx0_VQwu}<OL(>z_nvi|$eU8Nk|ER))cdtI5nl+B7%M6KUX?_1NjCI3W*%ayoA zE(abw_4wzx{9l;8_U#E)JN(`#_5A*15qX>~@BOzXrKAr+sy>q+Z_*D;Iwd=+>slF; zt0~LlTN`+P1>|hx_1y7g@l%zUDsRh^BKm<zb`BZSzi7uTQsLSYZRgATMP%wdUExH{ zdY#KFI~bESlZ}5Ba$9b$OV@Ja{-QivZSsmv13A0kJuaQ%%PKmBgpj&D(`w)AtXh1) zL-H_crEa|GOR-k(RjoOnO=GL3pJEcSl3DyE;m*_f@x7Xhor4n(KCn8&bWB+Ut>g3f z-_E#ysR{;Sb(u+esR|kjiN00QXWVYCE4Zq8c&F@vn>*bOKlNC{cwoUvzcq5-g%__f z43S#()I;Yg?90M+-IG?PU8u2NRd##E^&dO7U0h%(bUK^O_gXgFS>~5g47Ilw z+&sJ0fzkKdyekuoyKSXkedE#m`#vNpTF+QF>XOZ8!OaWVmkDfsow%5@UHz0>%`*=j zb_tWnY@S&;HF4_2J0|Wl+Lk`MI@Io0uI=i^v-?FVlY$#RslNCiz&7Xdir$A@=Nyzy z?u(qDY<cXf)L*y!@ckF76YOtK=6QAZuiN`8b&JcZ=KcDRx%lD1%eu-oMJizxA@-J9 z&+ebFZJQy}sru}}42ixcW=EcQ=or3x=$!pdUHjeoOB~!D4eZ>8CZ{J($zW4RyJxcd zY2*A!3U-Iy#Y%r+I(zSMv(*RjRp)NxGNy~k&3saMo#k&XC$Fr`o^OS$7y4fqy^mU; z?)K9+V4eVL+5=BtCJm-!xvWDon|=30v$RHgC_Jz@bmKV7b9HaEXq~;U4J!6J*-M(c z73>U{eo5<I>A&Vx1#`=F147K@t{jx`kas`zY<qLRM49*`UB9pEUUi;j50SFv&|^5> zB4wK)tfKKnv-7sp(RXJ3HZf@%PvrTu7d)ydnaeM3Ca^*J$P11Y_N*rx=Sv;a{*ZY0 zzSP8N${RnebDTWa$nkT{OSkxY&#L(MxRu)E@|V7u@Pl3Vb(>YJSmPA7_wm>CP4De~ zdE4~f?w{XEddthC`10>PS^3fKtG#zz@As8+Dxx0OuF~r^SZ8kFTKqQjw3<*+aEql! zqU@|Wk7BvM*e@;H$@51)G@Zrt-5mSpug)aCv3KIz;k0xikII4ImOIzK_Pxx1Z~kL` z>8HhPUqkmhvM%OlS=8?!EHf=nWxe_<u4FSt&gpRheoO{TGSBbb$p0es_wB(|2Aa>A z5@LKA*uw7b{u$4Em+yjjSL-v02q%7<B8@L)o=-3HAKy{7|Ki*H^}lXM|7F{HdX}}U zY~53Lt()woUS4mPPTX>A`YF@DJ1&1!zWse=-{n{RyhiO(Usu&NXPtXyaqsK5uhM(B zum8IJ`#SFWRdvnxf2f^Vk#@x{zBc!WhF1I<d3Vp1Pak|){Ms$>WV_@mw_2w+`_^pA zyYlld+tuZo>ay>v?$#~-elFpB_2K!SKbIG7Q3)ux6W@14W}}D!L((?O<Re07i(RJl zy+}-wZscP*x%o-w{m{%et<6u;Vyk>&RL<ocZc1_7DCT{=`oGkFfjRd|9XQt<EDbC_ zxP!B%S-W<M-fnNX+KT!KMmeil)Yt5ZW>bwhlp3UTXop$4#;0?EnKmBov)x|@#o3$N zg>Z8^ltf%sV?CaHCFbRutA8wXgc7(kjaw89T1Cp(Cxw=`+_`#R&dq6+M){}U)$;Yu zmohFn@+a&`eHmI{)|M@EV$19AM=$SSZD@%*IKg0*^41<sWy`5vZ7qR8S(3tTMOss{ zU#<8$$Ev;2>6yDk`P;phGCp0k{Iv7qi}?=}t_rfTo@Bj#>Cj?FN8Pt}LjLXx9(wLN zBkHzw@wAhNI?6(f!X;FfPCI$XV@t4S-Fo-mf-@ZNX!oYs_$;qYn_nsXwx_+t@!*aV z0kb?JF7z18tIptUN;2tNp&H`m^nv5ZsbG_vkCG#gNlE4<uXZ?C{QvTKxqEZ>1m8=H zKfQu~#oqlwS3CGu)NH7eQC&K1>Vt`S9;)*#*Ooim{XF^n;Va>vdHWqrmp^$Ub#LyR z`}glvXVq8lXo|Pnur6+sIg?|fbAz=@?wR#PD%Vu*FF2{^?RYr+h34Vv_y-l2cB=;b z5zu2ku5PzZ+(n}N$nvkJbG3RMxmX)DYrd;p6lmZ2QzmrE*Pk+_9#XxwAA1b)ZfSqz zj_LV%N6jZWUyh%%M^hmv!}r(S6_HmieSF8d_ai%>pn&3+W9zkBxT{oUXMQkT*5`5X z?UR=mEtX7kF&1hs5C3JCZZR)bXaApFUhi)$_;GOev&6rr5;mRvs>k%)H9PsPaH74k zS$}BeQ^A+)Dw;a~+ylDZH5pW%eV8PeyjD@t<mPh8$0j>!XTN^Swm+n+dOM3(aK&|& zzp}BS8V57GK3tL5lUDo9k|Sy%<JmW{+#+QUcUoGk;hyzg_R_Tc58^B*^L$lyG;gkY z6Y=_Q%M!`p{e?m~obN<RTRt9$S|0jnYg3`nn}5N7;}Y!eZ(YL5_2z%!&NmaaxR*S* z>!)&3kM)s}l-k6U_uhQAX)|JPC{5T_Sz4(#<IL-(qC?M`Uf-P%d%EST@zcPL>_{#< z#k7Mrm*)6rJ>c2n+}(Su$ILxxsZ6t)^<vYEO`7adw-hY11Vx^=T#fqhWW}4CdIkS% zE4##3_j($s%rW>VH&N;!FQ@mjJM*L>*@b-6uI<Sd+H-PG&iz*Iw2iYO6Xen!K4AL% z!9(ffw^gUlOMhE6f9mH;moKPk#Mf*(=ht-B{Ak+lAcr0A8UIUOtqgB0(iYKU{y({7 z<_uQ_VI^LN!;jKCE!nPZcFC}iSSb7TiJJ1E9rNO^=~o=jC|y#pDMIPwvmg(KJ%LGQ z=FF0vxMhZ6#G<#Wm%htirK2$OP;a<xoy4^Rky&dVahx>VFemFm%5%mG8_qLsZ_zD% zuwPr7NBm&h;#4`6>cek%W#l7HzT;e+_xPme#@j_aI@={pBDXsx@8o^TyFK>b`u1=0 zH2JT86KI<BeeY_AoA$YH6k7}@cx>7(HDSZTsnXK#Z!MT|Pq!&fh4a`Ko@4gwyz8Tu ze%v4_bZ58vq!f1xk9q67Z|;kGP`l%^)N;f5PF#2D9WU`{wqHGVK4AI|m!;*p=RQ7q zAoV`Xv2tbp!LRS`oVU-EtSEh6+dgUa;<lybtMvtb39PNH++MZmg8S+(g~tRNH!_*_ zU*-8YQEP8@lbv4JRzLSWUhk(lOiv1Z9qDv`;icWG7tSA9CHCU)lKti03zi>Q#r5S) z#8#H7yfv#FKDL&7o4>l=zw%2S|BLt|tCs#g>1=Vn##<)daCVa5k=;*|%Xw^nYfU|t zbMnEboTDDU@@^RQepqya<E-a*v%>f8i>g<z=lI*_^?ugmeK~f~>mq_*#ul>$cND&J z*qhxb^t!p>nf$Yuduu;2R(ZV-`+nkz`HC-jPd6GhC4A2Nn7eA1-_r8xvQr$34(_(i z4NY8LUY+(r#^lid4yn=$9S1n){|nQx^-gu<sd3`{$2;|2>5Gn&ioO9?KCEM%6?FLM zlC~umkNQti(+_(2_>)J=N1Fr7-S}LCXIp<UdBrpJp6N!rt;e}uv~IMW#&Ko(u~niM zvOzOf?i;gMr-(efEA&GAQK+cK#CLbOUd(-|bwNZ#dx3vYK}C4uGL}tDRj#|ZOukNg z!^^jKPTlY87w4Z=xj3b5Q*q9Y3tGpIsl8}g#_>Xck>kU<>S;0)g`CB|=zpE;zf<Yv z)!OzoeqKeNr4nsQUaaTu^;*|prxm8#q_#Kw!t52F@}7z>y(1k`wcW#AU1-m3=3h5U zu9{x@T{ZPsm-)G;0*m%fPCdTEZ~sP%8yz>_nXgFw`uD|`?EQ|aPcN?H&iqxl-0HjG z%j16g9p8TZRoXJei_hV{=A{kSJFSwE+<)Zm;C+=P>HGL<UDATz3xXWyDwl45VIK05 z-FB|qmbOzL49u<Cy^i&KFev|#yx;LIv#Z6cuq^*e>vwFGukm|Y`Kxfb^fnKJ`Mc&{ z&R1>;G2*$-d3BfIvBTmS?`4vXMM+L%c1T(~Rnp|<R-<OM-C=BJSwEdu)#{yDr4pzS zx8%}pF^$7Nn_mCDCH4Q$_6ph6?*xy{J?-<QGmA}r`6a<)o_4EyPJW9`uIgi~Ut{uF zbn3mW4)@pePT*cwp3qxwx5Vr5HIZxU#ZTw2{*otH^488-`jC_8kKZBB?<&1`yNb(u zr*jj_<8AS}n({%G6&%+kmn=!!@2Hzv#S#8n@U5HY%A?HIu{SQ+o{_sUSHaa?Q-0|! zuhbLFfs3_6JAX3wS~ccwzQ5qp^^%Jk@mcYU<K2G*{Y?8S@rgTb0pI_=O}_hN5AA5b zdHl>y)q7hVUY_M%S6=adg4IC_d4@$zYd*@meioZ*?Nr9S@0IzABoC=4>pukVzQ3T4 zG1Fvz&}_FwiKo^$om(;~QFX^e6JOcGr})ez4l+q5vpsftyS)1BiVsSrLb|~d+!{5V zntGyke{AM9Xg^TBauesxrQ061X`R~faM{iN{S))ttfjWf$eX?R_2uI3mBq%}kIZUX z*et#3v*|052?mVLv&v)BHf@!(c+1|i{c-bE4WFsKS8b0eojLTiteK}ScGgN)C%wKY zvrlh-apvYL<wU2a9gcwpTU~A(SYYub;PeTxNmqhAX8vV6{JU4`S+>2QS}%`Wpi(Yt z&vwbljnY@k?&&_7o9R{=;x^Nu(Lu#Puj<a7WOn9?FKjxJr>{%jx7RH1`8Dy@#Ebh~ zZ}jy4TfF7jhkn%qJ6t*b-QE=yXb^J1!j#SbvGcoz;HGDcK`n)bI;k5jJd2&Ywe1#@ z^lG1%c2>LdeB;|b{_oqqAyz_NPg-rFZuG<_YJJkHnoQSfF8g)$JpcUW4<8qaAA2%8 zSHNT6@@jswB5Cc96J+$?b*lVcB%{J`U)LzD+*0VZ;1tKnwJfO@QsSSOW%wO;X*gk? zA$NA`0gJPnzaAET@^QiqJDoX)uQ|`v>z?rVvx#Y0MT@@K2EB~$_QL<a`0Qprl^(Pw zd-45v&xZ2cfDp5`v^_`f^_19Uicg8od~da<;q$GGaCVc-E3S*bL>|nMbFlH>dfBf3 z+i~?Z`So#XYP&bxH?_DU^DQ85_P?GTl6mb<WMWSlZ(ba;|Kcn2U%Xm3zFjH!>vm($ z{rrH>@vgfLhyHQ7y8X*#zw5hu?yvaWSLe;M^5J0*)3#k^OdH#OF)VSretu%aX~%W8 zB|0BWp4Mk?S2I!XeqpG!_w|Qk^|vCg)Hc`so#XZ1Dqdul_0hXGo#Gc=+I{YI%d*)M zr}nRUb+t?8y;V)$eE$;_+drlFwqMFVs{Q`jf`6)0@0IS;`*Ob2|9$LpZKwHK>JqYN zPv$?_H9K`uxoCWlr*7Ea6<?lNc9)C3So`bbZoQ06>mJSMxn-W>aM`7nKO?xU`Z{B6 z?wVW7UN2+WO)9g_S3jBNzk+8Kmu=L$kL!4UU5E&6oF};YmhVFOke9KnyOekB;Qf`n zaTU|kjg95G7p|Dy+&FU^V@KxV*AAZyFWy;tX}22p6aOCGsdIe}vd(ZaU^p4}HFANP z`tFu)%N&{7)g6c1b6eDwm;Cqmr?_En^sjZReiW=OzpJh>ZQjC5H(DEia9_P?FX8!3 zs80R(fh@u7I}DZErysD=WD6@>)T*=VbLFP<yUl)TN8X>eWp(ZDUpJ=)p8U4DrHKDY ztn_+$@vraVl)h+9-MYH3w<?`I=F73U`xR%h=A|y@st(%w`cHJEZ?kwoqSusd%<CFH zncR!G>h|}#MnFXUr*)sxLia{5{m8(pXTrT)L4@PkrRmzodVX^)5m4G1m~Z4_^<q*{ z<@1J=8i%FjbER9B@d;i2{Z};L-qx^IJNKpKYo~M9F7<l9YCDJT&ONMElj}aM+w9Hg zWdCK|XOmDvx3-JU2XBPGjD2pyntW>i&D!~o=Xu#Kw~Al;Q*-LQ&<d@$yz3n%NAFr7 zBl#%SG&5G*dA}&{J?^fD_qIC7>mA;EoBLG2^H|ru#F)?P4(akF-3xsp;imI`m8XBM zMbKJdcKPX?8qo&gGoM`gyJW|Nd3#<znlO3sSLrm@O<t!CNUJS5-(@X%zT$%0jz5!{ zbW=a@F8$N%F+)bg;~|TS+8oxprN_@F2L!bB9w?DlpAZ@}Kl5*+-oFW_nzkHc+5KRP z0L$ZklSTOwVk*D%kDQxR8`U7<v93quZFH-ep0pLGUT2E)!8MncRNR@+vh;_lYG(3n zt7oz&J$8m=?e$!G|Ax}Lsry7d%}Pwa2i@_PI6wCtpSq6LS%d$Y1&1c)EG}Kv@al-* zF=2-7OSft!?FpNp?74C7UB0sNEf=kfV<d$V?<nORSw4f&c~V=`8sSw-AI@Um?P{@j zTUm_mX`6?(Ei0BXq$V;uB;QGU=CN((AID#ovn;y{PdhI!&@p}=8+qGh!Oj>xkMF!U zCC@8%GM+fpX1Y7PZPr|EsXno<>%K~b-d%Aig0F>pLLB?aeUm0AZ;Z;mKX2hChWEnK z-y9Eh>2GZ>vMRW|^YQLE-duT@EdWW==mmf3<c``>DLrkpCrp)nAJOKW8ppz!S<a z>G(~D<Q)g!%6dI}^ZLK?yn9<6`~$Y#e^%w6dhFcx9nNz#lw5L;{M!1f)o70#OCQhs zTMKG3>|@x@3A~D}b}WAVIJ7Ffu`EPX<KX<|R_|9$=e#@b%}w^YIj{C)H`_UcHcDHp z`><|rpSr~p`$Id_`z|f%{vE&g@q<0{MNYPAaeI7wb?NzP9fd^JhNJhHgcj(Uiypag ze<kC-Rht~RDkpBv?Crd;FP%sEr%vXvY?ot4WQC4ZwJl>3S|B-hj&Ftsqryg^TMN_q zdKs&vyH8F$qjao_FY&~~W?sP;43ABgxG6Io^Zd||dTyV0Tik9ht~t_s!x}%GtM^#^ zVE-IF;Y1dmhR?jeS`WAP&f(*1V03eDY+3Q#Sb#O?UsTdN;oOsc-zOZ}Xz<@jWh-xD zNZ>Kv#I<#Qc>DRxnoSPpef+TcN{7L_1NB$*3%2c=6;;f3c^P*@z1sVj1v=WRt@rPL z#l}4=L$fmDOpmJFBW~7@ty1CrNd_)o?zs0}ZMZN)gLj3qlgVV37jmMPXD)d8$!~(o zv@#Pd;Zzl4#z6Pw(-kvP8gu3BJeMU4DR=f?^I`HUuzbDy`TyVhf|tL#{r%4Et7|2{ zzq*=!Klu6n@BhAiuPgUtD07^<WyaQX!MU}5{6C~wb;Be+e1A1p*hj_Qeg3nvQ$>Sj zT<+=&i&s5#u%q#S#mTiSA2;1N)OU+te!865)$=z`S$_Cgaym)Mg{LIum9%*GMWH3# zTc>-SfB#xCXNU93e|M{nMKqsZ&M4v@y|L}J%ZqO;uMelCo(?&x@j<ER<Dy%K1-d89 z?a*<wx!#ai(R-{r_!`5*CzWb%<{sI*T~uOIzwiv9BiudBn)&M|WWL$?Y)8#<Kc+*9 zqOyGxnVFtSzD{z|ceG)*n6ZfYTkIaIg>wxp`KKw&%j8STy1eIUNZ&lyJ^X<gO^K3! zghM1OW-@SSXYbRP>9;AeBK2(s*JN&EmT7VG!VPr(v`6uj@10Qm_({9UCiTjiQVaWt zDwBOvrpiZOWs|Qz*+2c+^y_b*uz!w!tpD?4<DaKzt$%(@uaaED|66yjRP)b|%&&}k zcpn|-{P~o(CqqSP-z~iZ$10|9+gv-sB>OMeNLg>SK=(cl-zt~f(vsuF{}&{^c^uR^ zd-K%PiY>=n^FEZn*qvZ|qm8x1L1?S4L-9HuHL1T|nK?dThs;cZ71Xn`mz_U#bMis9 z?OsNVg;uF9H%^|4@Ub?zc2^+(@s$t0oob;y@oKkSX6*QS$;!Eao6|kj#P95l$-!4k zt?w_C*T3j?^8LOK=2|ZKmyIKiZoCse?f9Ic)(@9AKiKm$!Fxlx#);WEsqg*jVpdH* zI4w`SdiArJ$M2t<;gVY~pIIBjTUfJdLDh!kC;s_vD?01P^x5gGe_#BvfR0;@H+2`j zY2ez%@;gM;?Rx}=Qse0f#gDgJIB-1K(AFJ#b@o+N?#wC5fwx87t>XH(*liN&d|qvk zZ5y=mqmQlijEYHiPi0Edv*xyxFOju(USXycEw^gUtMFG=&#q6+j#wqJhW~WYryCD0 zgm<1u>S)}y^wQoNyf;cK*UsD~yY)_>*{t<$pa0aXbdk&b`?313PYY|u;z=o24!zvN zSlQc>>ap=7V@h-Hvo6VZJBv55iW#s>>DALySi3Ib?aN}3dkLaC$LCeO{d{!pR*75B z|GOsLIXBVZjNrs&PP@9T1O+);p5||V)ji?Y<IY<GFO;>6=EPY<y^yrkQ;n!H?7Xn) zwQ1=O#Wv18Cxo8V9g10&zTuzw5xL1C;;)037_9dEZWGaePx8{7zSwB4>5c5G-%Zku z^s;2ua9L3NX*S2fH$0llBDOf(^gQ|~<$U(*8;NBv96r7NxnT?c@#8iMQcBY%elGsy zEcet({#)qIz-{U3_Uo>lPLP>DZH4Zy_7o}QGqMWvCvD&}bYb`)c{fG<KSO0B&+gds z6TI%byxrh%-|ygj3Fr2Uo99n^c%)(jS6QgH)ar$0D<af-_9TerC=0%wtMUAA_8Z9r zf9G?h!cX_4_s+RzRdnhxm&*qp&G!+dLVedSYref;<a}!JH1%8-Yx!w0;${lW@7A5} zP}jWoI=)cSaia>8lj}@=t2cY&CKgSd=XbMPM8o;iPS<Tl9CJm*xuX<(?tLsdrr%KT z+9@tDaLz4;Pl>E)T)HwhIJYz>uAe^5rfTnzvsydlB9kI7-qh6EwzhX}uFzDCr&T*= zxk~@cxbbL*=mg0>$KIw^9zE<5Zk#h)@u=IWNA>H3O|wg0uG`IPow(rX$+OFaj%0H; zDNb7FGcnZXqJx6Y>qTjAvz{)R6&rrlFF9_Os+eCSdyBv46j_BW{5y&cyk2<1;N7)z z6OtOYe&sEVxS=xpeBk<o|89N#%TFGbzp~rHY59xvAI5y2g%|}Q*>inf{o85Bajp5U zS5@dix#?5BUfAvI)%>+C^3Ch7JYfg-neLq>QP&Y*QOn2_==tksm6fiVf{(CpPr~2G zJKN2~x>A{D`A_Hn?6)lGKO;x=*86%ZCWqaguqJiUWBn;#cr@Em6PNm}4!GAHutu!$ zjhNc}n2<Af9U_vy<~YuMaGv4j`VAXCz7X3wJxOiyA(tm|20BgEEm9W^j~}#mdoPnM zSiDLkX6^Fe4GfKC*)=uYvDW+6*3_jYsvMnpE6v#D@WgmopFgUqedaG;ZecL^c>POe z8_$0q<_8gP@2%{S;aF`QQN2X=ms0ENBwOnW_Cun<t`BaD9yNb?Q}LkB!%1(iU)lM) z?B<Cq`QslQ{&^Oj`zN)$ou|de%}^kJpOt4)UtpsgsDw~?b#(icYjv^r<JSI>Uc>%d zaPC~m=iW0e_+6Ri+}xmD*!(r2|8~&gM;1q(p1;z6`K!$SHUH<W)SZ*<Qtx$)ZFPdQ zTby~|xn@z1vN!Cj6QqmsW@tRGu>2)r+x#{0xrkoAoYZ~eOFU)~thWV%bEYUitFqKf z$xbM&)k(ZPum63E&y_Np&ti8LzFLyHW~Wc#5x2X10_T?0%~*6?ll{UckJ_q5^JEpK z+NoAr3u|XIdaUtV%yr^+=rr2^Q=bj5wjcdBao$0#8@s<|O<wzE=8RW<Ase^qK0j@% zqu_C+?_m4{+v%@wDq6kMUcBzQv7b`>Ies1fYt6YVN$-z1><M%#^jdRT?>W0r^VdY_ zL;3SG{_Kt7{7~aN`-tS@(?1LQ;@Dmvu5(&{?7pga*R6RqO?GvQ=FQGYz5n!&L(-h& z3-_fDefJglH#=z7`SOJc{#Uj=H%O}vx^dMj({ItvC$XirhYp>LpR(7l?ar@vQcN2& zv?AocRjHP)?{VUhdf8z5E9rd5wEkAZKmM;`H{A0+VzxzeZ=V@QPp}HZ!xctpWzC@( z`}QB&c{1!?w2Y|hIaRIu_gAKGko$3L*NjgqG>U#5+V6TkLG;byrxRyrmn;2oPhB#Z zOQ5#h<(amCn$;Jp$|=$9RvDI?4Eqx0CoPoaX<6o>!ax1_r_j!)|JHuupFdA)#pOAy zMH982@4374&i<)leIHLxNct~!V)v4#YPQxDJ^uDrWSL3}J{|nNMz1h#p4Q={<I)_j z8+Neiuj6XCKS{>EVQ=ua<LB3fr0t43|ATL_BG=b#R%}r+*UvKC{P%nH-w)q48C>l{ z{x5FZ;5p^owrRQ_CO;N^Cp=yE$mjbN2X~yUv3k_Iz<CFQZ_XE|#le#q7ViArAu{h# zgW-gJuiAMF^{0hY|6fqX=<=()t8VwkwhIUH&dfbLPqXmguMIk}{9y<8S=F<iR&rL) zTrf}ibfc9j%Y^DBAJ`{rch@l<(s-NB%6qN(Z0!R^9j>OYGb~PD4AbeoaCCy?r8!47 z{#|CpSLc#8cR~6FIq8ivB)G#4USi@jYe`*Suz|}=|F`_1XV2VOPaD4T^Ji8z<U2j* zq^W{H)GTMkqds9w=Ocfz7_)Eg5EPhM`TBSE^Zh~fH&!nfUT3sq22-QM`rt)xpL7Y` zC_egc_Ui;&S1HSX+BX=cE#)rWcE~fK^8;T}NA8A$RU3E>kJ=`IT4Su2dF59yGfZSn zZ>X3Yq-q*#V9~nivgQ^(SKiL9mzv8}EJaMud@)}7bjq#vMQw!**EN&o)@*y<ym~T& z^uOj<Zf_-?u!~%(G6%IH*sLDMXxFSW>(cGw`xPj)hTYpyXbpe&Ip-_=C*yAA+_vFu z+td`R9_(_~B+DbAM0svYna0AzhdS-T);FIWXn)o6O<}30-!5LWhNqG_oYUUicQlxy zc+{m`S75@<EfrS6OHCxSEDiiv^!}W;I>A5D#83GpL*u68?nXy$6V?`&6U(l-mHi9t zEEeMH$~^q+>u%-<u0<7k>sZo0XIQEqy2{aJwB$vL^pmWPZSQK|+`9N|>dzwKjE9Fy zWj0P}bkdJt^?qG_E-bFEMq{Rqp@774HC@L&la3#Jp|`<j#y0O|g*<Y*&o+Hcv|NyP z?$l@Qj)z<?|Lgf4)QXt(IPSWbp@168>ICU)ZyNS{=31&-Zc}Cc7*&wVqn0zr^!e!z z;V&g~3R)w0N=})*`5ozaZ_V<{?F>x0H4_%p`%c=gw)puZ=4zfxHoE&Sxp&@*>|i~? zbH230GUM@_tzYY}s)_~wG>L8gnh^O$e9eC@$pfzrCwOm=4?gg?;zz60%BWL|gE_og z%ncg&E$S5``k%QufB9s!XnNq}{&~8;<Z^R%{Mhz}Gwh(94tolFja~Pe^IpYnyPCh& z`FFI<y<H!wm*Eq7Z&mpG3zs!(4JIGX|FmgBk}3NU&d9_2WMBW-cthO9<jd!-u;o@- zj(c8l@Vr*?m~^megUY0Y(;IrfG}Q)JcRJp<e^eqvipM+SbIWa)%XSL3l_v@g<f_!K zvS*Fn6|CcVRnxFQE%^+Os%5IJK*lVE%^}%}OW)pWJ~D6l^2>g%#@QkBCMYo9P+t~) z^kMiChs!to=l$tkvaD%o$;W5h-)pz%xh_1v+NGe#gY%K9mqE{i=SwCYv)0_0bX+uB z;S+O`!kV{>q-L=dPuz2`?rVZ=*rM8q`XV9rYx{!cZ(68wgTZY5Asw~8z1y!Ce#u~M zc-_+!x?%YX|ATBBcD`CIQIPz^EW$O{WB*mn3xWKG#xGSS2p#EO`l|m`z@@n<wf(^X z42jxFZ3Z@zI=(QooYK?$w!&+IUSngx<HT<#83k&Cj-OZUDBp1R(Aur@J$-Z5a$IYF z+T*3KtkkxyCaNs)C|9!MEdMZ$K=B+0*`nG1p14>A&0FKYdCJ2m&7(H0Q<krtxM@om z*Y*e%B~wM#Lxp}*rYgjpvd}ob(Xesuse`{Z)GG<^(QxLme6yeHv5Ta@BA(KNY(DuL z({FSdEfGkKJXNrEO41a?R*lm^)2?}Gx=98p$UJw6<b33M^I!kfojn`bj9MS7D)^*4 z`|EzR%~;^r)ri~graW_ySe;Zq_wo*=L(405y4!M;jMxOYLO)GeocgdoyWjA}l*QYR zuUp^0O6sdnWu(u=8%8NwXK&}PW@dPrJ&$bze<;H-_fK<H$m?G>zR_UKK5yQvqUPt_ zf8A~8va~R!OxTlJ*J)~4xg?cyn(UItTf_dGVAEM^n$RiWbi|5n!;9XT9SNr;CX`p} zuIGL8DJnMPW#_G3H+cKIn{%DT6?wMhu3eX#U3xLe>&i7(-Fq{({+*_DKIqFAfj_hU zXnBfkWp(~3k#6L-$Wvgwfl}OyfTw$B9W4_QSa)W(*Ta%mTnhKEEVtwh-4K%@`CIwJ zj?<c(C)8}QsaiAdoQTE*^Ou*ItI94Et+lJ2KTmecp2&+wzJ8Te(4R8>+{MkzDP0@h z>|d<cJtIZg(sC)gfZIBWdu29kEx`&i8hOlG&br5jY`8fsDYQSKV|D#puU#x3KeaY% z&b#>{p{x9^rG(l=p^4{ZeJ*Z`oxdh|?m}}er~J>7Gq_U@F1obXnpw&AME;w7%}-fg zMuZ-|{IxttWYYRcrVi;MhkcuR9X8e|3G&_FzQ0V)<+xe|>uE;+$EgQu*tb62aI~XU zBuetc`S0A}>v`^2&3ex2_3ZfcdB^v^IPH?_E8w9%eG4<^k<+RP8Q&5!HYmQymhNQx zXSKI`e&^lg%cpO<@U5LoG+Uy1>0RqPf5p?UKhU3+v0TEn$g53~se6m0s&ZSjt+hmP z%ABeX{;#(9GPhl-JQo(naem^;gTFS!JP@#Nj-M5yy{NY{?_DULS;NzgnF-7+#;fkn zX{mG#3VXw}u!nhn#JA@rAq@uJze^`Y?Q~*l`a3)LmgJsjvwh+I%e!2;j+|IKIk9oW z`i+GO)<FwGbpBMz-jvx;P`YVr(qV<uC-+wS3LO67^;jh1!TC!mUOh%@8g5;?v)0~5 zBbxcNMWv3|wT&BYupV&on{sOUwU7<G7jIt|+&g!|ok>dhNe=(EGkr?6-RrY%#*Os` z^={|+CPaUESyO&kA?c`Rs0171$5o8KW9F{0I5598bYqqqpUacxL{Y=3>#s9Skg{9a z@W-z4&HtLWsba!L5+ZA)PVTOJw&W2@ue;V(3qL1=Be%HKr!pkYnV_6K=gIF{zLoFR zB=^6SFVE_Zuo3_BN&fP?S?RAV>pV*wp2(;;hG%du7mii!n7;C00q?)wn-4x6i*{JP z^2h&5+vczTz24Mro>TqeyJ}YZM@go=KIapz&P|doD)av$Iz4f(?}G$ey?su7m$ei^ zo^$qcKF<C3{YC1u;G78x>{cx^UJKlme!9EV*;ime*%8Nf^Ns*^-QWLrovZJ8QkXUC zSKOZ`|8DPk|8>tr8-0tr`S%{ht^FZB1=O|?@K8VHC7@q#HRF*6gM{B1Z(Ggie_GF$ z{aSQmhZ9%(mQ+pe?4=^^E%`rgTRGK5b$xa?ConD6@3Eh$y~SdUh^?{Po+W<j(o-}3 zn5<!67k;1p^<kqti5!m85B)Zt<^11v<=U&m_f~`#9uWF>Sc_XpWZvx0bM#o&Ra}({ z*V!H`cs%=7V$b_)Y=t|_I!?c4Db#j6BfCoVM!0sz!M63H-X6)j<>pOrvwpB&(chwd zop6?4w9<-$RU14y=jQ!*bN<l{%SkWyE9phBc5ktazR7r=_vyh^$)TPLb?TO#@N}tj zm;PdsIQLInQ^il≫MZbe>N5D?fSj{I%&Dy10tn>so6su-!QS?Cbi|%O-SPcge7< z2%mfR=IT>Vd^RZRoU}h?_NQD$<A%UA-iVLJ6>4XicoSdB9X+q25_o%s_zV3Ap*8Hc z1%iDTTX?JH7<Er(@)FQzZAg4#tY5cUFPLSI_xXgY>__T6{FgH~BxG>?o_XzonS}a& z{ko-oj2F(U9+vp#@A7Ag!o2BT66!`?6C$rvyv~-fJ<7?%9y~{Z;j@e76d|)44O9Fw z1#%8#l*H{ZZHWyNIr@nI-_a`Z9FEz^n{IqLs=~JNf<O*Kcavqb=DB|gX=~4VzwWr% z=fT7K^clyb%$rJjH;i;HCB4-<ua>nu;+oCfMf!8@w5OGI+?l9ww9rO@XVdf5eBGsw z?ybMJvwx%2x`jN~7Po(Y5|Z;Z!9VP)VV>K^$4Prjs(dnb2X;P9vrQIe{HOm_FG7Br znCqW6Ws#o?GP~bD*my)T?*P-a8TR3~j!iG+bDzEX;<Kk0ZSo5bGQYa^_ukyaXSMfB z|6lF)`A_eLHPa46J8eD6wz%@h=Sg}8vNZB5*EM~bG$VrdsV?8{`#;unTzoe5^`eWn z-9P_%c=^+#EQ@^m)%)`{27cqzj|?kX^OWJ?4`Wfy<jL)e&nBmTn)GF3lzMsdUcU=p zW6s{UD9D*wk=pH&Vwv=7?l0~_sT|H}8a=tC6|;^XoOY{?JvuA;(G-~%?e`WlSc&>^ zJ_@x+II*KeH-i6L(9(|<HCrajt6WI1y(D6ITakHlMQV4D7rXt2Ma`2x%vscLVEAvI z;?YI?Co+vRoYll?FNg@b<T_|x+Mzfj+~zXx!PASsm@B1~`aRIqEZjTi!2J^it5rWt zYuT+e|HG%<Q+Opk7cP1^>4ZzL3y%rwlijg)OE#-b@pgWdr;;U}DX{QOke$I{A1&)W zPY?HN8AM9|ULw4e*>$Oh?PjaY&(n;u=4@H%KiMZR+Jsf+>f@}7dzR+K1ZR3>DIE#F zzv8x^KyZg2Cx46UzMdjhZ}WLYMt#9{N~~Way{x|LzyGZ#Hq#^Vy2G?f$=B??HK#4| zIQWKVq5edU{jxbf-@cu7Z^q`S?o0b!CmZywmo+`AQEG7XVlaE~n|+oulD?lk=u;v+ z{Zo<Rk&D-)&+7M@ef}Nt^t#2Hy(YK*g#Arf|2**H=TAHDMI2jLksqS^rYD!_;hBH; zy(T18u`-!XI;&GR?}hO<ho>U3GFy(BOuK04bM+u&fU?Pb<!Q1D4>(vXaPC|7-Dj2E zGQW9?&n~Wvo4BFBw?3-NcH%{w_a1MK->~3!iLgFsu975wMQ>uAfBlKwQC){cRD?6S z6t*8OtuXrV-#<!Wj!pVy@wKv-9{I0ak#gx|^qJ6g-}$^5zo|}L`G3K3V~*D|%r=@d zN%h(3%(yJdb1tydt&-#1#FZ1$40y7B<Rl3tlpj3%sX2J(o4vd9r1GvU-~EN>TKnH@ zUiTh$)*lC_On)5ZvRV4g(plF&Jqtcy6PLE3;POdrhXv-IzBW@b?paS+!SaRefvk^V z*nth9^{$EB*P8#%ee-B``KQNwt6qMs7p)dZd@sbZ=&{ky)y_UnmsiYG`?mdFw7Q$d z{59co9%wr5>08&VX?FMfX_IrkshxEj7<G2r?!8!h<bo%YbPmUDf#5l1E<C?8W+W6m z65y~)ZQOLZlI4@#QTH~H=zG8S#U5ASon5oQAYbUBl@-g0(<(ikcN0$Y2dd82za6_w zaQgLDarO8Ot^S)IB#Dc1D5lEK34X@(GkNCulY5WfFyNbVJWOqUh1}`+RZpF-37j_6 zPMG_N`2^1~;rR}8Kj_t@zj7($n852Va>K}V5kph4l?s=F^c)QVYu7~#M$I3CRl45J z3R(6dNzEvtznZn!xsv1dj<lDRAAa_+Ur}Gw<9PF=maKqyj-*&-VQkQ$?psOcuKwQB zJ7;cq=PiM0Q5z23KRHF>^z!dhFK%|UaP2LyYCfj%k5}`|^9Q1*s}*WyZFlu=DY$hn zFSGcS-j*}3FUwyvzF`(owx9j=!G9J1v|PJa$Xb8DzFg+ts~x|V_<l{|5EBS+xBmLV z&T+x9KF1|0hl~uaztESzVjLmAO>tSwLeUWGyP*m?A6@1OA7GxHJ-^1&ZBv{6y^qeD z)dUq;SZcLR%e#AR70x7>efe|D#a71a2E*H#9KY;0tz*0u%_2Kz{oMna!a1>gW+~3x ztJ#}*Z@!x<ZpW+nyFaX`<W)$C<B|mRByWNBEB6%S$f}gBEJ_dBz}Q`GS!u8L(X)oj zq0shxlZvxsP|v@kx~qFn^3Gv=6Bs1Z+qi%JJyvNMeY5*TF<bak1Maa{U9{uqc>Z9L z)OvRIw&eF5D=vGoF`4>kmsNg~3Ew?CxJ>dB_qFDyyBybh_e+@h-_w?!V<g5gMPE(- z*FKhyHSZJDtXczXA~dz;)pwh(I%eI(5cq51?61ptExY^0_bD#7xY{i9soweA;vF+~ zD=xRVllFbBvUKxJrRP^pIV|AOJQl?H=;)=mNVdN{dmc|I;pQ$)uvn;cUUxqKZ$aKe zM_dy>`1_g$Y_x1oNn2}qNI!zL+Hu++n;+U=K{fHE9g8{^II0M3S-N>=(1q#^yoS~f ziY+A4Jg!XhsXcOXub*nap-IKQ8GAx?oE!JJ{<`>Vi(}&*-Tt%cx<}n)CahoE=wtFG z{+dHqZvF3rR=$P)%$si<{Ix;GMO0h9)k3h(ou|b@f4-+NpToA`JIsw1K{rntJ9KC> zJzm1`d*LnB?U`Z!va8ruC&({at!{qvRQiqF*&07xiu|s5JgKZ=lRhMOqR6iN=*u_Z zS9)%%MgP3x^3Z>JfC^i5Q!dB;&s;6{rnTO;47BK;Wcf(z21D8QzMlIj+U#nl7D|2& z{}i}kbHabUy;i^9AKjFyej~Ev<^`!YIyZ!;9D6)<k@e4yX?shGlr}n<i#RvU<?U8H zShc}^V%LhziSsANeNCvh+G{o2L7*wYHo{3@P1DhT9~IO8SQxS{t7^L;vu|^KgsR8B zZQFJn=uo)Kr#|OkRcGieY5%qfVRo}Gd;Qe%XmQ?Y@J8{=5}iNKlJuD^zsMK(ZdiIG z&2qjU=dQ#j%hlCfq`rGD%Jt3>t1Ga5$ga-S?siHlZsn3ij7*PAB@S$8v|XR8Fa9pb zn7L)|yE*a_Yxuo)-AU1&Klg>mCby!^bGdI%*qp6y@9|Qx*G)BR`sycVYdT-*%xp3) zmpa`z*)1^oqm^W2yjqrX+o=PNM@w_&FYR~DJ)^(os0h1DM<y4?ww<PBd+sh}N}jy- zPBE+fhULzsC)9QotEfl(m)huYJ4HKw-V7y$OEv8|M$W%dJ*Hf;is--e^HzwLf6vQ$ z->&oxqO+8=__9Cp_$Km~R4ASgvVL1)Yn?LvaM0B^f47%jp0aA*wH%oh4gb@3szmUA zV_Ev+hvc8&FXtrJJ4myyu5q1|?9L!3dXQ~HM#p^4i5*6K7w2q0wX)dnu{_6-&S<}% zflWKEzDatU)KT0dYpUuYZh9wU+Eo$mrLK|3-n`xKX&5nQ3d5(8*0$KbgH;=PIFE2D z{Wzv7{onJ%{fV1?Nd95}dd|~H;(*NWc#oJ3T;FC_iB9IO;fwkzr+M;}TASFZ36D<j zesgr#vx2!<y6AWEqSP9%1#{jRMoCmZW9j4*Nb8bQzRoIrAZfF?O>^3@>v|qZT6&AJ zIuh@w2u8{n)_C8T%OF#cG57mC)x@v*pM@j@>{2hB^qlPQW6Ec9Yln!h&T?-B#Ln$J z8`X8#T;doD_l3o4q|Wv~``Ni<@iA+ytL~>;{!e6Enrrs-PC!$|PR27Lh1>qOSZ&*3 z*8lTuf+%l{m(ub@u3S?TkKWn$HF0aws`JMdUh!!Bpd_%a?gVo*bI#eIwiwoPB5oTL zb@on8VD{Q+!`#O%wDqd9q><qS0RerX)$P~&ZYm2bzjEyMnyJ4nOF+FqmimSNo*faI z)S2Da&tVp`I%h}UrnlKsdFvyqEI+8;_>i^t*6Q>P55FemU%x!F?1-0!b5~xTGslfW zG4b?uIz7+A<t%1B*A3o%y!X5E=kR+%Z*;erc-22qeHhRCRJSo^?X>MJ$)?i+oK(!3 zj?5ChRdMj#qdT5g&PDFj-}U2!mEpwH8*UdZQWK|#uuE?!=wJLxYWcUqkM%j*4n6l} zyQ;4GM9+5aHf1$O$)KM5t@7)g8J}*}R(ImCU~2lBDH*WETD0AkE5>&Y)2k`B9cD-* z&F-80=<UVTTLYLZqqh0;-&E%)U${ZtB7*hwff}E{4>H^2rDuN-nFSijoRpNz_V3-4 zo2!px$*6iY*SplY8!HIjWPJRS!TZsTvsP*%$BQQvZ#4O14w_{Uw3Vy7I$wC}+sO0Y zFOvhMo3{tPm~w+%ez#R>z*$Sp#Z`B%Ops=Is4?yNsSgRFI>OEylNru0*_-_TQRnL3 zlc~O}r~963L{<quv^*lPcKtPRKW#ydDbpoHyL)2$<8$Anx0KG=`<K(SWwu3RllU6` z-+aBNd;QfP9#{WzNwZ3zS7sV#?_^c`xVgm=Z{nZb*<$+9GuE(h(YqMWluzY0+=u#Y z^rGh~naV7Apu*(hygd8P*5-Icg}B|Eruo7e<(H~t49ZeJIdAOk6zN-XR9-fTYu2$F z^%Gq0Uo^h)Kw{_fja62mn#byVUkD4eXsHNBa&nmTZoFV3;m2Ar-=}1iqfhi~&lS$X zTb+%Yr}cWO@ds}31L>TZbpHBg=Rb27`0sqbsd=*5v6^Y66K`2-#6>Uj>6t6JCE<d| z&G)Wa6ZNhc-<S|NyGHoKk($D37v?N@IY-sGYVN_Xj9GJz{A`__lDOeuVN$VTjLi81 z5qai|E?!kUwlK4&byq^3#nN>yH<!<=mS?_E?mh3ihXc>uJ@LZFGF7%HMm$J~RJh=q zH0RVC0fm^hQw)V0<WBEg&LI~oxaZ}SRO1^}Cnvvp(R^>ASj*#yLPu8L^PX{dNt^ZW zPr7Zj5o~eE@@>_noFz5w$_iTAP6wRcw#|83`sBhj|0~m+H=g=+t|I1GfysG)JwJOZ zz7!t!&wuQKKNg47#LI1psqvCs%RjYl)6~lsn3)X!zHzyz=wP6cerwTle#g@y2k+nh zB=L38V?%`t`kjR~WgG%LyHqkYtKJA~d0@E3@?d;T*4$$Y;<EOOS!X)jTe#{y|Cc)v zy1VBEN*|lLP-bJ9e0THz!xwGzHCkU^wtg1A>Y~m18^XJePIG^}`Nm`U%AXN4Yh!HL ze(sU#l2<6Qc^06epc=tHZSk{5KV%A4=(cUr<)5}+!Yn=^#z#tmSyx-bZ&t3efx@)! zA0h<a$V40x%aPWZKJ9p+d<9R(B?GQ3_P>!@e<JE1sYv&vMJL}&@H{zr#oAVen!tFL z+ibI^pPa|)eM61q^J631B?o7?<o=CG@Tw}D<<K(8f3u;n>RSC1Cw?z=3G{JiuUm93 z`Aqxsm1h-omNVyVnp(ZmM@TT^i9uD#=6xL3+W*E>ZRPpB{blHU?Khu~9pd^nUvy5w z>0+*nf3JpfUu&;j5SP1Y>fNJ)&SzRUW|yCf;C!62RVc;Iw>5#`$~WaJ1{-#mE?KhH zdqIaxnf8_GVMjhqH7~jSEZ3y|-4FSEyQL>Aj-8X+Z(nY5Uc0aN?4yd$ty>j#y*(eu zWA@}B+lsepXP(cxqbt_GCHCfK<F<zBEl=#q;%aQY9IMkeJ+)yvpv_d|^XKxmkMWhR z9$_yZrSioI?KG=+>CeKg#rl4yk;%6`4=#$l(J=`rTCn-X>@A;EJYAyLJ>n<iR<)UA z&smXcFRiBG)ijse+fOcE_9;7K;k8{t3~p;Cisu}VW%ekxn&9!U;g3!$TkxW?84;;- z6rUdm3OM@D{n6y@=RB2Mb5Gh%E$-kBJE)|4PdP;A&Z_$G=}!g3HCR?B^oMhGa(13q zIOE78++BHTbJO2FOrp0f?+e@)h|T$}AjR}pWT|S$^>YOVNB`FGJ^MF<d#mlyiA>8+ ziTYiaGv&XuJpIMXC7}ueexJ+wnjUgZU@r+TPGK@`PHb1SjVrqKO6KssZ#Om^y(=&= z?vO?14wjFXHZ*H4OJooBIn4Krkwx|p>+Si`yL+Ey*&W!~^fx$hPZOJ=fW-01svXZg z*@M44xi)`|^9jZsyHk@lFp5h)+j-_ufqsy}wR8(c9mhQkRx>&oTMTsb@}J4NY+2l{ z`CPR2LCO7w4X2n*{cbaIuq^3jx7gaS)$m}Pk4d3JhDY@eA;EpEmtT9N7koF|xk+al zTfz>9u6HRL>{d^TUzTP2&PMR`x<4OHjO<^m?fw4#W3yJO4fC0Pt*Ouc_ILeVH7~h< zYvu}BhYkj-mlHoYI_$YoU~u#c$FV}GRmc4f%dL<-Qu=7|YHvZ#t*)E<8LQ{i*)Fu| zxmF-zxO@l8>xoa68%*e#tawzgSy^CNY4H&s&A-)wLca5>LnSQM9$lM$MOBDfwJtEz zC}KV*+quRU3awuc#FW0BdOL{c@BNhQLZ2NhAHBE??lewWKjlsFi;D`G?+y#C+&G){ zyon#Xd4YhWwSm<RM)l;74G+U^wg#RP(ObAgDDmf<%g@*k89Clklx-^Sf5bD}TB2;a z!q2>CN$>9e`eWsK$@g6L3+)KA=n7x^BMu33X02c;ygYqPYrx~uxd(CrI5K}exmt9T zE3Mfiz9>!B^}_m&!kXx&ihZR9N2C5FoHkjvqs&L!(dM#@&&4ga`VnupW?x-U1Q9uN zW!c%tozd-bYGp+QU6Ilp%de+%L@EmUDk)s?_Bm($y0oF@ycC<@AIap+7Tc<W*864X zMEz?LVT*1{^>y4}r_*hB{4Yb@on=-U5%S;GeaV_>c=-5gY2!ApNTnp9)e3!kxxx-E zD&5mIP5#cWry+|v_IYf&S@&3Fl39z~^5DOW51*K?%jXC?n6%k^SF^;s#+uqs>bV<S zRIfkGU;e~_o8`#s+U<rer`e7PSYIpR;l1YRQmwAG=$A;sY_(epczLdVOPqVrZ*tD7 zyM_NJ%F8%Pui-y^tn*{}pC9f^n+yNXlK;7Cw_T3^)nh-b>q>UaV@O`eqiMFoTVVYG zro$Bm?L8K?5ehpdIvm|tzozwsP(s{7`v<oYn3p~9pYEKT*7BU`(T-Zyc^n=04?eD6 z&e+TPJ}TL9qmj0=#B-l3e9t$FN-#Z|(w0#e<7|-5WAxTG>qdh2mD84TYE_Lh|M6(9 zzZL3s`-g(;kME^oZAG>LXGB#yrfc7l5Ua7ec%v;LQrz^%Jmxd9rdPYetRGyTdSTA4 zr7VTI(Q#|l<ojd36*E3sxp8yY>Yoo!F4T0iG3`!YALjh6bWT`PN#N&%rRUmTzBCEq zbLG9FXtMhWL)oNflM)zy-!A$)XYGbYYr&<`NiTLa@rE5VJ0kL1w4-v8h`~phHBGr4 ziWQx=u5Eb7owLC%$Ju9N)*-1bmv@)=!VYeldhz6RkH&lY<yM|+SUygYm?6FNdHk0W zEjxuCiHCaXp-c~h?^rHQf4OPHsrAj$<{qCTyI3zZF0Opt{o1H$%ip#qH@Uvqdqw5+ zzF6PsF{PvMQkk=lVXpGAkIrDaEm8E^36|9f);hYbTwi8?YM#8!TR=azIniR8?EZsn z8+sq^;+j_+Jx8bEiRLDT3A|kleFy$EKG~J@a>v10mdcG!9;GxgKfe~q@Q7zZg6JFX ztFu4072QrcU~zIO%g0IG&6<33EN47Y+1{+Fe_)FG{53D^d_fBr!oO<ey*mC-pp`Sp z;<((J%a+WC46jOFYR}EkQ*$~}AkWD7azd4v$LH5i<k!4iAgQ!bE@{`?K%dgOx!rzK zEoC}H)-?Uq{j2#*sUmI9oB}1uQxlRF%ba*<oc37tws#i0)9WM5XXn3guxmbHlWUkT zCus%C$F7C7y4myBm%Lt~>6jMy*f(bCmoo{szH_ELOn<%P_?A4qZ@)HNSsb^sSK@`2 z;lZl;fvj0l&E;K1OE#SO*fV95R-WIlh&ogKgZ>vUYq|^Y>{YlKq~qRTP+2*1gY1?G z@BbM%Z<uKNFwV@)IQ@@+>BkI-)_?QAd|^`%WR{JZvf;~<$#>`a9{C?zej?OJjK8eF zOm44Irslbz2ZDBcmsvmIlXRP75$m}{z+L4s-^+RamT&elKiQBfT4XD^zFD{Cm)TN3 z_Dz4AcHKy{x7>NA^TSbD(-y-Udv2|6`nx0P<XJKCYf1AK=I^|(7r}a3vcz!mzR>xF z6V@dwOsaPiI8xH!P}!QcGiK6X0fF^ewhBDfcV38EO=E1dcz1oJSb9T<_|=IYWmYQY z$sTTSSfgFSGn;Xff7`~!k2%K1#@yHb*T0#|J0&%=^!fRfP0Jd@ZtL*YE%$M8?a53E zurqn7;$Xn|{Mu?Ilcr=%gUqmwl?{RtN#(+xKLi%upTakxabe<&24>?hm6;Pby?V19 zF05F~(kv<5)y8>*U*lSe$W+D6=dAzVxBPzh-RAqXp;vjW-dtUMf8X|Z_3vxH-F*Id zUbS6^&^PB*xf_o}URk*G$&RVwt5$M;e-OW@`j|)pPeOG2e81FHO=~k}-|O7=Qg*9o zeBFg(&mW!+J{xf`ZfW$wfCahdr}Q;WTDV=+!|;$H8&i^N1?T2l8`mb4@7Z(Ifiril z9E<Y{A<bijpBy^o?1?O8pH_b0LFx~iDaXZcyL|aG@z3^O+>icrd8)m*vE`t~!rk{~ z#ELJgUz_x;qpr7XUdp;XhVN=OhPC{7WAkCkccFqat-0=Ng%~D%lwIa1;oRfEH)Uq~ ztLw9Je%>}rTz_?O&a3d-_usGnb-Cm9JS{W9i+wX>w{yNxnE1?UigJaEbY)jcn{Gr= zzRT|)K0d<29ydSS+s*f8E!VQZ>wo3nT%XY4qS)?#ed|4D9q#w;vESb{7A=s<xa}aY zdHsevySb*hv`8L5(Kajdfi&;)JzEOoTXwn?_bpdY2>ZR^hqdAK1u@a#8|M76d$cS4 zgM<r{p!4>rQ`y~^8XZ;`3Pm(UaNem(^HEr8_<nu##Me3n20h?T?X{}Ak%5Ls_@=o1 z@)FRl{mg5s^X&7w&r&PXl>Haim@j=|ntSvM<HD-n&Y8wpZVDlbuBhGfl~p(SEWc-V zlBbhcjEt<i0WZ^E#$>6)um5J)zTx+;zqFgH%z52BF*}RZ-P&JY$FiROcky9~d6Jf( zDod@;m-UTuvK*g+ekK3<x~}QyiGYRbOBXH6>(Jckb|6`=WvAPhX$oOqq-H#tF8#f6 zMzMhPU+IT;TejS=*v7Ikh`B9N*yr)ryh&?YDz#0$ID<5}rZB%>mhp3C``+1B?s+G6 zU3<S`bwt61qX&MyoRlUqr?u_3<;)`;JPI=o{^3&o*I@APpp2IC<mcz#^>^nl+cM>~ zf#ZzaqZOTr5(3*^EP5l=c6P2XuXPmLM<e@JyXN0J!un~?>jRgRzRi1_6z3H6qIrhC z)rQ$U3k4jqUm4Cg{6*@|>tC{kPxBWg)J2}ZW^i<K=RpUH%e%S6!rN8}$i-KMAAEH3 zgG5dD*Eop-d1cd23Mq#*D9lw>-Mc!0KfG7Y?b!qCUC(1#Pv5)v(D0?Ni*CQ!y+X_G z9j%RLUjFV}GE>YXF!;1;)f8owLoFdcZhtX<ua<ax>eYva6;8)iaC-^|zrFbCE{l%W zVGALfsJ*irTr(?p?4$!^q>eLeGuo}My7tD+`06;FcV~Vlax*B)H#q37S|@ZQYyN=( zAJOi{ULFRf#7JpV6W<laQd5_%ko>>*MS91}sNcI*rysWnYneUg$abqdCQ~tgzK42; zoqz9I$@5grc1@i|x#fzL{@Uj6gSLEeHk4SDF>%4?)?eknwyugd@cFkkQDT$Fl`G5_ zFB&k3n2K{XKX#Jk>fgWT^?^rAK1kTC{Jie-{3#B1MC2wnx%e(V8F26K-q}z77*D%f zWzN|h^!aH_;StH>Tl-g^<O;kjk#_E3(*%Z!nhn`^3mal)^e&q`^~KHPFOS&kk9g^D zDlN-iy56Fb=Zt}>7|)eON0=&~dFhC)sp)k6B%msG!Z<u>V?u`z_mi2%RdvDjoo8Q5 zblEObds4;v_P}=jhBZD3JQuV69WaOsJuEWY-rz!%;j!>ag__`_F3BG993h`&x?>}? zGq>zEp8fmp|JOpGcska%H?;LvvC^x5%VZpC_fBgOGCf$nlK=mXy0txy5BFAWYtu~Q zk%+r~^T6d7=1h$Rr-J?-es%4?-sbApHuYZf)9x?0f6v#%$v55c^wt-drXThwSDUx$ zZ(P0IS4~_ZvqjA>x@rD&hMTRNH&_=vw-@1-***DL_>!b_0gfq(721N1K}`|)p=`@6 zLb4LN{!TtC?!s@Np60@saZSHrK{HEM=>91O!u~R~6*`v5n`(XBY2U%w{Aov))v2F0 zqQ4Ei4kim!UKe3LCh=d#am^bugQIWassrn}B-_8OYf6=m%zhXY%p;-Ps@gI2kgm9U zHm8Ztn#kV^=E&b$eMC*k{#uPiSEEF!!J5058ZIbE3zTPGDVoIeo5Qusu!O1Qvcq@J z36CB~O_1FxvOC)0U(n0+Rr4>dWcXCHwDr&}rbC(j{9IWMt4=REKBMx$^OVEM4i!^k zC-R;!%Y3+5Z|jzFQJsmxO&s%t<9L2gteGLx-6kf`;nyS>e)Z`^@0!(5pWIK-u}Qw! z_RO-g@zb~2N6k1+s2)o3Ftk~%doiMee`(k+E`ila44*GwI$R?5epUPx-EEV2^DeD* zS()_WTlDF=du>})J5qIL%%8|u!~cEV;d8fi<hHH3tCl@;`GJW6$I9gt0_L5FJM-mb z{pI(Q@8t0DZmbD9>t_1q*8%P|<&!t~EarRs@xy$Ntz5~^I!}bCJeKS0G+o7^5bj|W z^{r&?hNtyGidk8^LJS_bwJqTMwKU|h_+IWO@0Tt;`o~IvXZ7R2#mD(u-tPXPka|VK z;fK?->p4?avp=;`Ua(VBYg^puhBtDD<$~ij72JIhucxfL+x@iAr>94`PTM4|jW80o z6FY6QW%9c_f|Jr}kLGkSCSSSrIQ&a?+V9I^0)AfSLemX*T|Cso68Cux=gjQ&Z?@}{ zXLN}=aVCXsk6zLy-aK84zh@N}$CT**i&9lRq)#sp%eodPT3gm(V6y05>5Olel(tV` z`n$;Kd`G9y5wCd8%`B#DDWBzCr*Al<B%Ai=)NQwhg{3Ei`?YS&n(=4}(-DXJGH=`! zPG7MpF7+`9_A39h>s*^?*nTcUo#2$BDHp#mHp&SmKMCMWI@;H`P(giBaL}PG2E6us zkGCvMSfIFPTgx6vCZk$k9+j$Vl@r4^u489P_1IXZ<G6-n#^lue336qApYoWd9c&hO z^da9bwSeiH?JiNd$s1gb@ZGu*qWb>cvDrn7)L5*Xvw8}gxmlL<$}f;gGyT3`S?2x) z_7^5e?|m>SQ+v*=kJ-t4_PR{_nDzcHi%#@O30{++1=>d?j!jdT_L|q{;@5<G8j~f~ zeXKmopkJpb_wZ)ri4~R4pIWJvUud~vrkQlnYl6<-&JNxq4nJL&MAS4I95!?AYGvm5 zeA)0;g)76M0|D29-h?qnHYL7!+Hdw)w({97iOmA{gV&zR<xF<T;7oE|vD<FeF_~Du zny-06(^wl9ulxOVv&D;<!EdU}J;hy?D9lk#yeXmX^VGhZdzJEgtsAqBwa(3q$ymEl z?d3(4my_+JtX}Qv=bLh5QTwbVM^#onYdzXHNx{L*?~%nOh4<ap8)N4RfBX;`ldz;* z*65X*ZF)#s#^RSwt${b0f6ft_s(AEZN2&P{kHtEhwl{v=#l^;yyki~9My|$2&2OU9 zn<78UFXsO7Yijy-@zstB0dXAxYjV5=PBRy8>S=Y->AZQkRQ&ydHgA`oY)2m*JpHvL z(4BeV!KW{}#3p2`{AK%l?OLrtRKk^mpX+66&)@j=*VBu&dU@BWj@O?86$Cxq&ItbU z<_(@B#nCqLo7A!!C-Qb`&azgw{n@gu>!{QF3qPK(czy5uti>)jx@BJNk$Q2lae=Z* z-xSGO?k@&x&2Pj6GTdGoa+yadD1>D+FYLP$zf(qP;pUwuZo8K!_^-8ZcUti>&h1y= zqgdAJsZUz;L~SB>u)N>msnu+yt7h~_c15rI-Lr;fkN@knx_c-t466Ry_;jkNO`8=* zy^>T?|L(@iw;7Cb=D$AqDEq|^T|>q%e(NR$z1NEHo5O2*$X`?b<|KtX!b*ZC9lq@T zI^AYT*uiTq>)YL1%SCg1rPujhx$>>*?^E%VmmRNzWQ0u(b;PnansvJv9{4KC`E^~> z-~B(Q?QqeU_xt?C*I#5L=6qk*RGZEHTadX>B4o9uVZ%)q<?{h6&P{cYl3rbI^tW?{ zNQXYdwUQq~$5wpt5?HM!G5@vs+wZB1Q=>SOXP8|NILvcS)nUz*DOVz@KlT3i{K9Zs z$#7S0$WDg^?MEe6YC5vXoAZB)&|G;o<;q+ak-4Vxdpw(dsO71w<owB-$bN14Z0TK} z4&-gTAK4UPx%=C-e=1u8f}0|;#M;6^)V4n_FRavb)G>YiFDJuPwPR^oVFj3aT`y|| zVl4ahdEwP53e%*@Z(qpr67W8I=L4Af63;Vtme7%)&CmApu3g5m@yZ$g^&m>;PpS{^ zYSneYbF=q6Vp`~YXp!9FG<K$qKIb#mH0U^<-pu#NPINBMQ^WsBpKrDloa=kI@$4n1 z^S^4VT(?$TOOV)}?r`RWx55|33SXXlrB~ehHM8~PIvXUcUfiEnyl3`~8h1k;C)Gu> z8zt;GH|NhOm+h-a_L^BPE7o<LXUzltsTYskP(Br=@lso*ZF@tc<#CN&DhV7X9?2S( zMXoxmQ~fzM*)B=K`PLd9&}Fi_cU`s4E#Tid@A0uJ^Qp63o>&}OD3y_?(80g|Oo{2E zJxh*jG&;<9Kjr%0b?K57x_7s~osgFt@8YsQ=%kwBu?^+|R&OIxGj9HwIis+~J>kzq zE#amMk^h^Fu2oesGf!-7%WgDy%2n#Nq5r<5@aFwj1a{slm0)_H(43%Sv)ICI*2FV5 zA9N~(rZGQtiz&CQxu@BGo~x51Po+3Q<k2CyhgA~R&WtVR&4L@%tNe=QmhCmac2{&U z->-S6ngwq=RLocL`&P0!`RW^q>G6|JgeGn(GPOSTwRYF~<B~1Ob|)Hkp4Ip-zNy%2 z|D<D2HFxzEt^3KPr5iJE<#AP4F*POWYj^LyU-N&lx=#At%lws-O)9T9+Sd1L9LsT= z;5@l-M_5VJ>V*d!)Za<&Y-AQ;*ekV5{Pp$Sd^*)z`ggsX=6nCr{m7FycT1M0dihOp zpQj(-d+C}l_pZpvTS^zGh+Tdh@Luh{uK9V-xc4RTEsu8JJDZcRWZ6vnnnTa`-H<Oj z-_5z%ir=APN^7oLJu}CVB+bP-JM_Owe=|#DKfbu(i$nCD2@H)tObi09o4rip<gd=J zy#6qm?eW8f8(r*Nekio9ow8|0(qwiPr{0Gbx5oF$Ej@oh>HapZPem&iZj~>+`{{Uw zgTQ9(-X9rG7m}AO`{m`so8R(&lGXcF_X8@QUC4fIef`niz%%c;K8OGMn#c5Q>dvSg z$(Q9{Bu!lva#@(;c>;@y)Lngr*qaj-k6zDIxR5q8&0;;vMz>%dpTaygiEEn;s|%;j zo+JDEOkd&Oz?*i@c1fol-*tS-_AK{DLNU80>rA`lBI2=S&We}??g=dh0&;&REVx&i z&^dF%8>xS(nwz`kyQlwCYty)rWSPX7^mKir=Ck*=e*gB3UhlH~*AMm?KdY-b-o&z2 zFUV^Zs#)=4kK6JHr3q))^N&=PE9qQ1G@GrjwWCQlzI)~3u7{5AwIZG=voJS0cSL^P z{x@mHsce?ZX^!tEteLL)_MY#-ePP?3nE1rzhu4ePcPP)4<g7GHKl<-Q#)oxHYc+3g zSiC98ZUN7sePItb>U=nJeewB)d+Ij*xSHcAaBfDj?~HQMH@u&UGfvy<&a=y_@X2NV ztyguu@vQnUk-4pPZF3rXcIYuPcXH?&Trk~qV8yS-n)q+Vc26&}-*WNQy)T%uf63B_ z^o39LIFrk37C&Wn`a11$(3)lD_7~=#mWj6BaDQ9cLirOVoq^Z)Zn<%i{fG+dwKR#R z5*#gZ%JtW5Zsbb|FXBD%jIBjE{OlD5tJrNH)!xOj{?`0^ayRF<!!BD4O<EH)oV#4} zPk;MovOhFJ`Rr{e0Y5(J8DCWwe9hx&lQTZ$WUCM?9GJ08zAJNAWPPISdO_W6`96V; zxDN+RbWIxeFJsCo^Yd0X{8F{!>RJPXqj{_|4nN_%_t#18;PZ=zxxSTpl~wqha7p1_ zw13_HCxJ7$z7>WRFPyz>xsYPoTV=!5@2<yx3pdZZQkt(5rqJ-h-$41;Zmu$~qBZ|m zlHwLU`M0L7+Sw^aX65X#9h$szW=~M(6~DbA)!|3e;V%8d8%*zaom2fS{v>7bB}Spf zb!Oe`Zn!W$6lR)ytY_tE2L-<qCS5+9NurX>!8%54ZHtYB1dp&S+mRb4+<dsVO_rno znPeaH=Vt~tZCqFa?tk(;*s=N5?HMASqA_k|(-gwW&Uy2$e&pA2%PC#%+u@xz#81m5 zNvJTrzFT#pz3A-LhcclI>S-6HAN=3?PBQ-Vg*J~PTN@1awS>AgI&sSfdq#8XYCk#4 z{B+s(SJ%0wt0(O+xO8Me>qI*RnXbd?Ebo^k$WM6mVWwZ>#Ezz}8T_yHO?^JD73L`k zJoP!roQLD~@+Z8T)e`FC1fSjY+@Nw~H|LG$FCj1F@2>cAcjf&8{(pIQKj$%(MeiyV z(phP`s$OS*;L4+B@2xhtpH_SC*L3vGhNn&cqBht3GMm}7^`Wn{-0d2($+`}`vjmJ+ zGJek7bhLk&gGF6SFn`Zt<;D#OlUEpWiB1sh3hvuiyVxc}WYx^~N1RwE#=6PwVL5T9 zeDSpU2~uI5E&dgAZyJ7Tyl)$unme<_M2zkFK7#{!AvTdqr3@2hJQKcVd|<QH>D_!f zi*G+P{Be2dx!rs@XPX`+2#5tNHY`?|5?FDit$D!{+bwO!OSA<;#TG>#KNBz~u%q$A zG*|!o8UYS7K3{$`Rpx|1+uFZzJ@b}N^>RC!#hfx-<C1&K^nWQzF-;P?{?{y6mHQ}K z_%KKEqGb|U8(+@({xUL9IOyd?9!)b#32XHe@h`5Q;8EDpZKABJU^DCcI_Vi=ey-{B zKL$)$ebZ3y=bqE%wUsJ?mrZ-v_uDQlUXXonq5Ed@)6Aj%M>(2qe7dkgV)g`Pkwmwn zJ6KNKxqQ)J+0;#0r+0Hk^u68~=Q%s+gst-H#sIE>=XX_de2aI^3uIdG^I)Eq;~Kj? zjhg!=O*L@iV~SK<Zt!Z>auxArsk0XZ>TDvPKKTCSbu7D>=EB!4DIG#T&ScK}X!vHP z;EAlW0xv(99I<;p@63jnik>45Dp@ruU3OuYOC?fGmzgj%Hb^}TYKoYclW@v<p@!)N zfn~M+Gs2fWSdri#7Pz(PaeL5bXRjL(RZmwXe2kfWqw&BNj+WG{d6A-v#ZDF1bieOW z?GSBZTPD$THSVHG-;#vt_4l^u=*=*Cbyws~VAt+@#b4^}7R`3cbdmq!(j*ca*c7qO zz<@dS<MU0uSK2>j{a6kjGO_k@tl+V;Ui61a>-gsT7PIZX__aT1np?ki;e@@(Z2LT? z1s-g>#P4r@VK-;QtPLM-*mItGDSFTMz$Dv;wcP*GLwI__`Ffi4JXE*MIqk*Ha^y^E z;tk`OU)sbqeykOG5zD?!ZP$(ejwbUvJ{SEi>N_RHVe0mG6AwrHf$K}}yg0Dv#wwoq zmS?$FCm-0f<9ou-)4Ta@7d{DPFR2i|DCoddvfgXq!#8)iA3l!!_v>=w(J<}$X^C%) zOwYd;|ID7m+}arLeSJ-*!?_(Pb@x{lXgzxJM&f$AcGCB<yI(ga-@R=%HGQe#r0{C4 zt>vO`)+}l5;+d@2#A+y0bRb3by;>rF@Sm10KD+m_F*=_;(%f^FSxM_E2&7G$r+)UB zwYC7C)t{m!gS#4m#wVRKX8zwequ$5skqGB|t%$To5%Eoehffxs+4^Z+)8C#mysQlW zJnw#9*Ob~l;e*7V?4q*u^~-;3{wh6h@)VaJ%&UKVa^3qbmNi;9xJTtkLt?`96E+G0 zSv|A3I4<3vBODQUQdx~fXVJp6Mdgo@<yvP=c>C*c1lQI_3Ov`GcI;^Ne-ZX~>xN@H z*rKLQ5aUt0JZ;8vkt^rbr;F^}@y>Ol#>bO-`O-!LPV+V|?0o3D#UQRvY_--MwbLsC zXFA4M%QRbhh7~&TNG~?Le(;6xy4&`@Sh80J?_Hg+ym*c{w^5S9k;uA9X&k0*oGgdJ z^Per2VBGap)v)%B!SrzHunlJvwx7~T`f)1yut+Tv8&k5100-~&>n&fOs<Coh`uXB< z?9sLV=PnU>ETbvDO62b1`1fktyJb%&7V!MxE<Il%?DR=hs5#Irn&(M`XZnjJtzsQ- z%pURU>da8jE{`|(JMq$Y*S}K7`t~ce89KkLIc(GuE7B7A^nDOp%K?GYo4DUw#b4I7 zORKv6GyQJT%Gu?zpMHm)eDpZ;XP@_Ws}0MSM<3-AbaOhxv)Wf^w`<UvuJxL1J%Lxe z1YVyN<B`tzlzMwNS6Q6q$92rr%kx^<80rk~uYM5Uu|IZeWahq@{kofG)+Wqc{63bo zd*?mh14-}vbmt!^(&3(V`1+a<DWQwe2YuhTG+8W`WGY%+GvRQ-f@!zQ8$^D)L?2Jq zOk(0Qj^4XEAu?ZZ(i|Z*rP_`};Xrlno=@B@6M4&C7#oS5;F9#_Z)=g7b^TvTiSXWu z+cp2*3*AujZR@s4Zaj}2&IIwUYjyYI&YmH4j!Ek9?F>04qxB|_-@a&^uvPTU*;CI9 z`c(T>luQJif6b764M{r&M+ACx_g!X+R6MKwq+L}dVdkv#IN8tL_hh?Ytc_uIUO)At zE~vLWBWayt+sDMJLboM)%$uB!8CkBFb|7i$?yn6mqT?2LJm`3Bv}bCE5F;B?vdLM8 zi1eCS8}9jD`kZfATlvfM;*I&@vkL9ruc}`k-Lt%X9c#_KhQ&>()51Wty^3(IfQ0f) z)sCyojEB98<6gQhP?JiDls4UcygWKyx|r+G`?mKD7v$6CXRV&nywLcRkICY<GwWlw zyfK*ht?+Nv^~PGK?Q0%5@bGFqDx05X_GGPY!<4(79pXJlJ%n~0G1<I_%U*Ko!#|A| zZ*N)0<1=fWXq&iFSD`dd)d8uS8{dAtXi_xcQ@He&q^HxDN*aDioKbee>9fFuSG*S^ zejVJ}+iSj|`l|i2b3PmWocX3q{}jg?Eo{r%&-H#?+uF?8ZS`?g+coQJL!1;8!mhHm z>0X;@nSMle!qiXVbEBR}?(BXw_vd8O?G`#;W`AUHS?F6dW$l>{W<j=pk4dOruxsAW zrq5_pTyVwd;6om%_gXi)MLEKL2^^mC{m;LsobL^5COiv2y?N_8iyU3Xqnp0G*_8I@ zdD1$E$~UWT=rAPiD{3oAi2Nd!<?y5CMzG=H2|fkIY7+!^J1nR^B4Nv>Fvrlxs^<`A z3ddowrx9VkpD%@1|4)uT{Xli*+>S>*5=qnjKeTz@nf-h76t5GzxxSgSZdfX5xc%fZ zv1D@xg)0&>1Dhg5f1im?V*2m5@`tRinM~<jZu7#Ag?GQ?F@0m5#e28<-Im(LQ>DM& z-hJ|@*j<xjD-D_)KfYaM^1bYCR@s{Br^{{{=GiW@&s=3TeetFOoi~54F&8|qk&K$$ zx>J67>Q?)sB`HcJ8z#uz|63G(qU48q$m4IzItw?(eQVP*`SZQ>{BF*OJGTxOeT<*7 zqQTZVdga_bT2kU7^Hu-Jf4$9Iym7_sd!=sQx@O3~Fi&_`=+A%p=*A+SiVs{LEmnV5 z5Rbngf4Di&ec7_t5*rS+-V5DeYIudKb5_#2P$j$d_r(&AZrObLdtcDr-M=(9uD_># zcURb(#lOD3%VJ{v-LuKP!KAcx)AlH#K(TjsS5%k3Fu$|g+eWOV+E@6{-i)GU%ewm} zX8T=SAGkm9TVa)na~ChSKv313HGH2{?&<$a`dbx#@S0H789$+;4AG0y7Oe~FlhwNT zY+Ajq^vRzB%eR}bv{qXiW+d3WU#!(IcV<+D<n+MgFJZTri*(Q8Ot<yU>+RmlIjMG| z(-XtLZ7N<>i<ZrjzrLCM<@McM)3OxL{PL*?4Ca|HF>hM=p4kakIepzWu(e<IXsMnw zV}<4~^$^y7U3KoU-xv9A-nAg^&0QZQ7hliYQVYy(y<EA^Ty@P3mA;&cy>e$4haKNs zeR2IO|9i6Mv(9|JtXpQ{ePzr1x05aIo{E^-sXOCu{^V(m&+@~$ow}30yu7d}DDp3t z>CzL~f!pj<Ie7EAIpPmxPOtWr7T<DatJ|!i3)OwyuY)RQDy+zqtv<ihN5u4VQ9AE# zIo~Ts=B)a`dRu9&H|NjYOWAU`O)FZZR<O2s6{@~|QMzBIdaL{C)}H~dlJ0Uo;c|?) zoq3fvyT2!^V&Q|wje$W83GC0WNKY_zch&7N`Zuks;Dy+!8!j$f5*p!pM-vqlY`p$H zh-IJV&z9)JI4{^YTBYGUPbJ$O7M<qH)3zH5912(*zK!Pum*np`GkmlK=A15her<7b z;G>SfZA(6^W3FBucg`*5yTuRv7xT(xZ-_rBH%|MYt~56z`JvqHpM5*tS^rx;&n~?6 z=5Ed#{;O5F`)kAggnxZ~_lSMx-;X&{QdF~~4jg-_lky4F!HfPc>3Qzds^g`vmn>Pc z%jL~h@RVD8;yL+0wm%KyuEnd}Z{7Mn>HfO-)qfuQWkuhSGSdmTH2+Lem;R)VhYl7t zfdySE>RG?OxqF?tv;OEOM#JQ5Rj*5qzmo}`oq9S&NxOV@(gBIB)&g#>H=_^j=2Y5u zbef>Y!^gtE9VB9>|9t#w@|@*CV$s)A9eymD6&+>8-^p<*HKVaCH)=upT(N73dn~RV zmyO8Tx~N>TFMipxk0z6~gnD}d^BOj+>3G@gcH}(ode##LQEI<Vi#osAda*3-^O`dm zU5v>)SU9?)GP`xBpNNpVJ4tDIgiZRgUzd+B(Q)<`cIwSpvXo_`*2kZ1sat2>+c4*U z>fSPiwu3@t_YLCs8X&WwTRa~KOglSQpt$>=TitH$Gy?&<X4`ckGcRhHw!etn;1-Y* zE3cb;Oyag}b@;)!^%*AZ5)+*d|6Jf>aO{fsy_@T2om_A)bi?u$=Oyk5sTv6KiY9$X z;SHAg%W(L#O~m0_FUwuC740l4u0O2dxu?-1kn8oc@ra}%7mKdU1lvca)^lI}ZzSct z?iy30tMi+z<f#W;I}@3o^s8oT-}rSr=*Rx^{hi(}%idO<JkximY%xzxb@>;u?myYu zB?*yES*%J)3+9?w)-PU^BKl~Vgn?TJL*k>DZsWC$6P}$v^-3(Ssm*}rS7O_lz3Oh9 zF7dZ^Z#gUH$D_a2hE4FNuVF&Zo4bGR)ty!MTsAS>mqU4Rn^?Kmez8{%3T9aSdH%`! z<HQfYGc(L>)DH_y%t-mn_)5|C;a!$DoRK9TndOoqo^W2<&9zM}?e9sU%5`;nS0}8$ zqN~090^8MJ+cx|-uu4qe@Sg)x%Wl{;Mk>}jrd$;WWH|ClAW=8uFi#K1iZ;!>Z#gd> zp19(2_Qdb>UfH*+d(2PqWz8`3KPGwBO5mHBsuXwQ%rzpWy{!da-G7qK7?w|#%=Eu< zWfKdBNlPNn@&8L^?GuapGpVY!TJwC=ldFHN9lDffKDjC&xG~Lnjnw@`CNc_#4;^7V zRw48-%|S-pw>?|mTzcEr8M2Srj_>ZxJlucN@SVYvE!+PY8CoCH^|^7r^!nMp()l*& zWovf-ymND-bIPs2U%CHmXKH3eoi1_sBEdb|Q$hU-gJgGlX4uV$zSzjOX~%bo&t3h0 z$M4ObUVT1fw*BY%J8DbMm+!Up|HOQD$v5xtUqYtBRV%mLTND3YE5f92*E=QN^hJ(E zMXv<Qmz#Wf6U`$j`tPycaW$Lu=67}eEd4IOeST2F_vW45OV5|z-RZko@Mg1mv8(TD zdyS1#W{chA5sY+OYVvpX#yv;Q+Qhz^E|n_JQL<~!H79PCBU!7wvgY|poZI=cP3YR8 zY<sQ=x#>q1B+B<H2eXtUSf1D2v-!~fv^t-EUM?4uC2vhpIBHm?pu@au+V^#bmz~?g zD19^8<Dl4z%-2F&*6ew_>ibch#uX2Hoj6<cXO>*$)&E=1C;9*FizneHPv?9{`%={q zu>V=9&e7}VQXM2hPbkN^>VEd>e&)K&xUzQ(L*?8_=c8DejqHSNkG&8Jc(3*ARmqXH zjbcYOxCpLUSQ$7gUL{%5-ei&V3CE@<#;#qmUtSs~|B9&p9JY6J%RdflcGX3X7``a} zO+6oSQnZ-+L~hlTolEY8-oLPR`-=GwV%y(;lfJU~%R1)YyI+QVExOrtw#_(@-&So! z;O;33X`glTomOl-rp@E?@$HoZBKQ3c8ZgedYkFv9`KiY9ugb5K%G>h0|DP#yZ@1?m z1*Jt+)@>KHzqaq`+9V;!-Q=+IruMcem!G@n3TzhXjfu%{`1J9%sLsXgsrQ#j7_>b* zTKv2Bsmec9hcy$Nc^_}^VKL!4yzBEX-Q@PP!$t}wTXiyxw3HRr96NVAy!2dvr~AgI zl}FYD=WL2zR4yCgR^=Std!d0N;E0YQ<M}8KUjE&iwx8%p*KK)k>EhkJ<--dj=GT^n zGc|Ot9*c1Pqb<5iP!x2Pul)is6CQWt%fBAl*u?*7>B?BK?SSyLOt$|kUf**Nou9!j z{8jvylH1<)SNFrSJ~g<oe+$2QqjeR_zr{b}zE&=sAQGMYYejS1!W7A?Iuoj&+}VFQ zU*g5g8NB~@Y>use*?aZNvE)PbcWgb<jwP=9F#TTr9Xt2>d;0S%=l|<l8gTi#fZcb! zr;_pfRh7q%GBfq;dZ#;kN5#~nQ@c4M4z2kvBhC`+uOxnd($l@_J0-N@HuUBNMEqy% zx4l=IFf&qEF8L(4m{h5Vr04x<L6?=R6!{K*dzW=%vB-|SHw9w^&ffDq#3z`Ub8U8c zkAB$=kIU>Stf|L#b4GNXS@AmW?vbOMTK30U*j?`LYJRbD*^2<9LcuFNm-9W&tok-< zI>SzfsIuh02E~qRrZ3#Xv-n2(y=x60WG{3aQG0SEB#Aw1r_Z#jY-<uMx12t8AT>iR z_Dgf`m$iQnzi>_SD9oB(E*dRveBM^1T2)i<V%v-_>lRmR5Q>Nu33aaQKD{XWIqSmd z--{#98qOD}-_3N_NZgXA>Pno5#>MBJa~|$qCc>9vz-2BV#=mKqe$Mp8Csr-ZoMlq$ zcdUhv?OoFeCYSju((AqV>k1W2lT&@Gt8iv#(*BJbHi-PIKWXw_E8<#U+wm{gUCy%9 zxYjei1`Qje{q3G|e(N8-u(lt^P9Og{b*qH}&y&ebZ<OV?e&B6?y3fRsE$e1$=DpU1 z-{xcp9^Aw&yQ%t70cTX(iu_gmN2KNpMyQ4K?t1rj_Kt-8aqq1*$Ora5h-LrgIrX31 z6iwFbFG>k-+GC9rLwq6*Y<sZqW7Gd-r-~~0<Vqh}M$YhPOnf=pP5$JUbxmtK^}>Ff zU6L@(wCTzb8?|QVO%JlAn-5-d5nr9}QatB;>M6y=hggdC&WR2VephLp#IZX3t6IvL zcLz<E9e1wz{y<37X5AO{tY^}{Z|;dwi`v^YDO3B!oxU>`vHRV^nX_-GzWtqbBI?O; zfeA13bT0XPukGjAe2PVaqsLH!q2=v^PvRH(-rV(yf1!L(UNgIAd$5Y}RiVOrz6Y<V zDf%CjpQsW%Q&p*D!kO>ZM=H%ZySD_SJlveJtVcb|>sdzrxp?EW$#1HX<tF^UX?JAz z<L^@^-{4-<TD!+0#baaET{CIt_pP7&|Mf+b$Ozc+e7u%CXXgeUj@w^?Qny_=)b9Jt zK}v45oz!Ihk2`Pd=K3c8$<4alSXNK);FT%NCp3B;?ydV{>$`vPy5CRS^xj?eeXo}I zKA`k=bzgUN>E)~~Q$zR!Z_RTN=av^ZciZXqir4>MI)uk){4~Armf4ZV;;v3X_nOBS z=gfPY_<ef#q3zEbSM6WX?ti&sLAmIg^%>zS0^|?>?|c`j+i3HzLnU88<xTshiQThH zF0Y84=&Y7Jt$gwZaqm+rUgzCDarAh}X4ilkceQ=-69TXAtydPm{&Lw>KdqI^Uj+tT zR|<VE!jkKpp}6p#@1tXLbdUbxj($J+(QZ>8+gH10n->-?OlzzNuZ<LCNZs{4W)-8$ z*{+1$E$>w=7q8pBVPdy&>E#ut4TLQ1Pq^9bn%Mn$(wxT5SOL4`-IeB@vpg#z1h#DD zP&T`zv`|-Q2g~8O<tg&(;*)PLFTMWl`uqJ$zFl20z41d*VXw@SSk}{a8y6mDjKA&o zi|5DwQy12E#m?o?C_5J|(Xb_fp@#cp^tNx+%}f8ancpe>E6^9rW6bfu@>a!>QvX(a zg{7OBt9$ZTSL~VCal={mdB=i7ySb)KpD^KDi@51ghZS;43mtAn{LXz@xi2UqBvE^g zL)gy8`io7SJ_{TRyZ$}hu6P%ZxgO)D3l|PCB{*|W5I^xzGDt>+<&ek<9?h^8hZ)UN z6py|t`(y9YU%16A`Hh>4iQ>(Z%v&8~c=9WFbOqRFw0vk=uEwd#EqC#u<pzPJYc+Sb zY3eOxDqhl(=v?vf%32p+TknG(n5Kx^iEWm>Y`_`LvR!4i%gHU$N&EJ@nKB&z+g2yS zo~oMFq!1T%_{fe%1-qHalSC7Z_?-Gh^;kP3*;0Khc}_{(^AI+@du+MmvF_e|YFYcV z6SJrIx|MRaOg`Bl6)UB%#y9$Q+-b!sll2YSW&F$jTeL})OqNhKZ!CDgC7^A7aKRg` zm7K=@mJgSyEuV4tMCHm4?AFic6rbDu>cRix_jWc!hJ}3Jm!)=Qj-*15kZ63N$U29b z-s>$58Bczl`1;mvdG(g%=?xzRo261}?z_B|yWbT)_wh+ro`T$X#v|^F0}b8gx+M3o z84J4b?qm}#?mal;qQsH&?BAoS|JR4^K3}qS^X{33HQ$qK<IC3uSO32rwe{7;?C`7W zf<%7TREhE!-Z|Zv?6*<A{lYqnzYz-Qr;UW}G8Rp^RBn7UXV;8BSt|}pT@*T^EyK4g zLO{l6<ErMw?c)2aO4?W(K1`@6dCXDmnZQ0>CsmsH?oEG@4K60u9Lp}xSsJq8%lExa zJu_~vI(9#F;bjrVhj%{Z==g7SVZV7}?sSu*FYg5%2&q4F+~~nU)5H^Aoh}j05^*c7 zzJxV?(7fobdS!K|(KN=`DD~wV7#cl#u21vgW;wE2k8fE->?&{R>#t?EpT4f)&^IM_ zi>lwnTYHOixmUNZIk2Ht`}(GMfsS%zb>qelOJzQb_Z=6zfB6Y_Ny)1H;zF!}f4l|O zpJ;eE@$9?Au(J;~TD94|@x7G0{a`wGckI2|>AJpGVpWe7#&T{_<1w8!gVX(ueDLS` zlJ3Q99M3g2-(6?A@q^9UxqJ#qa{9eH6}5k{a2PA)@TKN!e2|~8gKySCzRY{y@2Q!; z(>YTgm{EPAJ9V*taq}Xfhq*@_5@hn3AL>f+SF4Kh^j32R=iKqDj-Tw*p`R{u*-PNO ziGkHzWf}EazvYGfI*XkO_to(PZ&)+0V<G?V@GO=!IUepxo0w0|i9Vx{$RnEL?PC}& z$lO>MW6qZt%}^}+hrNhPtHR}W?TY4O!K@p1_Uu(VpnQH0^ZiM&I;uC$vM6(_&%L$n zGqdl(N7BdnEcu@Fc`@C$s<P$HT=@1xd%akDPJ;pORK=&_wwZ}CO3oK%cidrM+8f*x zY~dxKfBB$Hlzx6xOko^Tq+=XY;;!iz<<x&S8n8@O<nVMk#*i4XeCqTK^$GzzwGn*` z{fTU5ueHRON*pV-+miT~vV7!fY}UM%VW6#H;Se@q>BCRU9;^wR{cVEH%$Uy9y|Fye z^H*w2<GlT7iS(8?uUtw~=Y(@ix$AoB-Lea1rzCr-7Rw2$ziO(jGG1Z7SMgbRsj6d8 zi_h!Ux5|fms*X%p^0n;fmL7GT*c+OX>%(>&6eyc>V}-kj=KUKrdxK}%*c|wnc#|cm zZ(9B&HKo4Gtvm}=oUU-yxUc=ODDTCCciUfIJFihv^(G_ny=UCw<P{11p?oD1K1tpE zRoZHJrsua^+sxu8xiQ(=>?xUx3iTcQr~RMfHe1EfVb8Bu30+^ew!Cb8Xt?-Z!RL&m zYfce2xDV?tzW3qD$rF!5+5N+ADCWOg8*SXum$RsFxqaM(e(f!(Z7<U<TC}ZMZdA76 zO|+J7h<3V&<b371TseEyC8uQEF|ymYYk$}L%fU{(E^MV5rK?x0TkUz~qSuj)Tyx{B zJ0p8%iT=pB-aeb1`^f6P$D3atQ^;w#&3I^mw7~mVe$$)_2Zj8Pr#tX%ob^h%_q?3K z@{erqLZlRO{&=gFY4J|Dy>i~h!ug+77@cn47dL5RT<uXmVR6%~_Q@j03>s{eT|@H& zFV{|VTK;dLoaP%_p~DGrFU&RHC_HuD^e|uam_c#NwT*Y<7H;-pi{7XCrF+t&N2fU_ z<a2Jabd+HCa8YJuiSlR@{k>GR<NF-u;(bQ_K3mK$v6`x0*V?k<_^BeH+`{RadGnpR z59egqL{9gTZ%y}=n0LTUNLSi#N6fBhvpE}LtD?;2d{FPozIh<dvGZ7N!hO-42<f)! z!{#XxZdWFT_eBP}+XZVGF7ErYMrq^XKAGi(&hu7F?_0mY`C)Q}mh&=yxy^f=nGekT zUNiOX!kIe*qblwl3Gh8>ogZ?;@sz(RYeu_Q^`0g7y&qQH*1T+W-{k{u>E4}be@{8^ zv@X9FQ=DD<QgW5w`tTdOmiTy>%!{tE{*Wel>EO5d{>A&abT4p4O%Js(kz0SKZq*^a zr`4iS^ORqjq_(@A)|#`2>qPF=o;%@*?OWD7xVh>7V_*H|gS#h(@+7U0Fip94ZJ*G! z(6affc3=DSRaV3*R4!HL@1B@PNh=a0c{jYZiC`;Qr4l_ypmW8<j<njsd52deL@!F8 zCKy|D*2N_Gn$Dw+g-yAgcG;2_j~ZsWd~Q42zQfOY)6-zzHb<Wvi@%TNCQq<=8~nz8 zibCA~#Q9eYg1mRA@hpFM)6}AkYg$qA_Jf<ByYq<lZ@RYW(xY_o?Ka%^mgQ_p<f#yn zJIpYx<aX|9l^qc#$A#}q+FUGYdGvb1lMT&3C$1_y7k%KFeeS7;AH5RwLPT|5pMDjV z)U#rHN8)!Tr)VMG`Pr_lw^tSTH>YzZ@`y&4ZP!U?v%0v0)#R-DOTOiNNnb>FO%=Vy zm-0Scs(fS9W1rIhy2n*j1*bJ;PEg#Vl>B3r{zZ$n>6gWp&G`MoGVoH=j78TEY}m5B zRdZX})#tOO*hE&%TlZl@+UtV4pqgtp@7=h%;&}e9W718%Tlmh;m;c(4bd*b=uK3B% zHiJ2mU)!di>92AzOwr0L>s)buh52&tWgRP4=wxuJyvm5RI&((u>%0Z?-|W0B<aXu# zi)qsgDpdq;cD+6(tdqKAdUNZwt1o50{x~Z)|Mlx12UobW{4JTe!NC5N;8qQNh3@-1 zlujx!xidWYe$i`LPO7nz%#pW$j#+!!3GU!_D4LWpY5g&7_HUYR!<agxj3qB`SkOA* zoy%jl3x|pma(J3Q`gA55%#;1P&Nn`o?N7VY^3sJB1$Pc{X6;{__SM~Xmh%-Ev0vY| z9q})!iIuRs^e8yV<#Fbt)oxxbmmeSA5Im(#vQ_rdCxg4E6kp43`BO9Ly!W%_lmzy} z|7Pde#x6A8wc|UtukuNWxO?}Fb32b@dAb`0E$|LexYo|?zBh5bO((;I&;F5#4`19W zO-=royR=7C;hc+sfQECI?IocjuP0i~c(g)9A}wljX3sLUMR9K?Gh4)e)!vm{dn4;= z<`w0pyRWMgcWmD2#PhJt!1UEyiLYtyFE7b!zJBF>`<1s#t<Q9`YoDYf3PpBGU5inA zr8M=>;*z=}rNxWyeVG5;%~r1_#(c|Dq2r1o?pLf{w?BTPA?s_nblblVHKkXSi<Wfv z-cZv#61yt<rfE^qqQd;mb~BG|KC6;r?C2vCv~};JJZs&@TR%U&<nLGK9d&Zrl*ujv zd0RI7emmb=b@_DajcbAV%l4HdwB6h&%iF_~e1F+t-Zmelle@OrJvy<Km*cGD$qjFX zX1YvToICT##M9mlE|cb4r5?LvxTo;lftq^>nwc^VX7NjM{P?CW7VdrisW|k=#{{0+ z$8&oUfA2{Bw$MVEvGbVg{6*R#D$6^gf6QLi+59QSXX9Qi$35S&{-3%!MPWOG>GIml zqkh_sd#=2R+&N3=NO198s6_O-tWRxiM)lT_2S0tw)n4>)eF$sE!ltJ-F}r=kg##a7 zVA~rv^_Jx3Th*n>3SZ7Asg)-jYxuJGTFXPj4?1RBIun1~pVlOybz^VWrrQrZw{<oj zH{0z$!DR=-W(zryt!<6&`R>9|GdBov|4`vrYsi0eqQ`Po71<r1PJ691KD_0})1{Fo zcNM6A-yXAB<j}<o?S?IEn^Kb&O;Jydx{-VKB2!EA_L~#$eV(ypL;6$ql=jbDEG<k2 zPb_5r>GgPHu<G38Imr?S<}LRQd-C9O`n99?bSEjknI9vZD#TLoNlIhF8HWu?muLQB zIOG&0Bzu@gCv)4EWMP+yH~wBd)+?~uU0rP(%bYn86LhYnwHUP7>3mjAv$UCJxqgzz zv(q+5Ec5RC+^Y7M=k?R|`?{Ypa~!#T)K8b`P($+Sb%%tGU1&eMbU{<9%0(IG^9GB8 zRljRbjlVeM*2GyqdlMO|CoQ_x_E37p9uJpHM?UqWEoQPUw(j{y%QBwa`TXT^H&^nC zgynsCORj%6%~@7h`+TK%@850QKV|ISDV|P#ad=<IZQCo~W0%O^58q+NBmVryMWgSN zcCJoIJH5?Y?bf&C+SO&(<8$8hCrZD$*|jPExB97{qP6?KbF~`gG|S3d>{z#*?a^`8 zuAY@U)TPShT@DBAXULWM`ljFF+bdg}oNT=tu1f2}(_|%IT~FJcQtxtq!D;UennCy9 zY@3=SV3PNNcNa7J5d-Gir*8e#6qjGsvwHh`CGr0&Wl#3YYhB6|(s&}W>spm|MAtmg zr9bzblHaXxb>g3lnbnW)><(qptO@(}{O3ijPV;3ZGMeYFMeq3dc#F(Vi}U?qGxruI zMedIK+WF<k24=Ct*V`UuKaXFrspE6<iUiHJuX5ocU-kzy_9t$u51G7^|H^@2p3U>c zcYEh`Bz}A6#kF$9R<i>>kC!qpO0neCujFL>c+bOY#^KisT|%W<oF?bkDBVy<3IB5U zs-JySBG1*#TWW7Kxsq4xTP@b`Os$PEXZ4M__Vru;_<BZ5w>@8;wB0hagVEPmbj7}Y z7N^IYf2YjaUmqxOnQczzF-7s0VLiQxT+^CDw$J#ZV0i9_R%Kb=t4BMzl4ty5om=}! zr}gWx@{?v4cXaaHU$Cog!h`)`zOhe@nLnBsmQFs%pOwV3a&KnnsiRM4PfN|QezKOs zW$7==tIqkIs!to%<<}ivnGm@yDcG<0&jRPm3;A8^r=%yhtDlSxIldx6mh00NH=Y}E z8f`1HuZI0we26c|@A8f^yEzH3xSiscZfKtJI)(dE(u}5D&V4>i;R+Qq@1{p4%wRoV z6VqhBc0==F$IF_%iCMcZybrmdc(+H|m|N;FPmrERP*=C4MwiFWZJ(6dZXSR6aW?Du zeGyFVT*+0!o+kI)3U`<$@+?1`rg_x#+Kl7NWB<=MbDObx(V3oyUzVR*^IWs%Vabg( zt9Q6D$8ITT`PMM=F}r;0(SU>7X6^m_{70exSI?vs(_RY9wcnU&ANs>xt7mfUk+2#3 z7nrv@YZoq=SGZf$Dt~Ro^S`@H?L8|ceNEz6Ecjj}UA>?e>b!klOd`+b^+LbZS`E*{ zX_%SYTl3}AsXhDtSyh$4-cP5meT}C@%GC|o@l~O*vG;U~>X%vUa5t=)w#%-hbxxy~ zLc0m)`SA9yce#=)Q&_9-t%$zA=0fCD_7u_3FNU|)mN%Q#zPRvYozv-juV1EI))|oj z&JN2h+E#9Vd9>?aM*H&Xt66qBCGy;^w4Hr<cVzpr_oYthn${CGS$f|5$hh&k#+wg9 z1qDJsjWz!)jMKGVlF^%2yZVqs*_;L9OVl+=&hX#QJ;uGzW@W$}iMy6;C!Jqtw^giP zt7;loURij?#LC#ue;><7pJIbkEj~7n%>LdEa)0jmdeQYT#+#PQS~)q6GpcqxZ>SAA z%yKLDOG3{={@)^B_rIF?XZh>ndk#tbS}*Whuhp-eDR&N^`K0pX6$uj`)h0H~*lHx^ zoqU6<`{KPtaYr0#Ox~6(I;I%!m8tivmh+NoxWbhR(_<G8*B$KCIHlSXe4?SoW#9eP z7fyaX`rcf$t#Z;H-j@vDdM71Ghu4Jsxyq%h7pbSj*zu41=;q0DdmS}bsaB;)UNq6^ zJm$LDUQGMU+)It70-6PPBAY6f9zG}{t!p4tas1^vzxnJOR}@XF>n=PoIU>&4$K3E@ zuKEV|uHbp!ytu24WoO=FOHW7-zOrq4$5WS}^f0D_HPK&nmMXVp-q@4=n&E3so9N*$ ztV|m?Y}y##n3rm{y;NUy@r3japZ$J;H{ZQveydlP(|q^B%FKdoH?*cI#O*9LI9l{P zp=+&>#4`TRTCI{1HS&jbbRysH$yA!b|4@F-qK6?&3Ug*vFK2mqKU8OS%@Kp&46aXe zQmRY@&vG{z{*G{z{d~B8)~(Wb&SbM~riQ2cHX8aI4w{kt;_=?)p3`k*9>y=TSblHe z{Ffis)NAW_CBHbF_BT3l<Kk4I+pb49d=-goO8s_LsAk)wtE`;`I$=(dL2GzaJHjOo z*aZ5oydRX&|DrHkm#=t1VexkE`;&^#-2BAixnZi`gN22bYtEnKoBL1IRL|mC<@<Y| zCogsFY1T@5u|I<CpUcAFfC+~#$(UJnCBFLXl&-mFxr&mj$HE<{9)*l70(LT|CnVkG zeA0O7y!szMTNb8`$=%<lOpaGnh~td@ar4rijJan%a#R}HPwIZl6Z9deO=K@e%bVX5 z)|AD)ZG2F1tZB|7HkRY*hr42p6fWF9&D;0bTj2c1-NDA!1XeGVG2N|vHr6>j!|Q#R z$K!sVEAOIhr_~A_TFZKDPJ;EF`%wwgF0K8#bXoN5Zq=iYu08km-EJ3`ZN6p2Ivw-7 zC6_bzE<0fI-#KcY^x=l9JLij?xwrm^nvtMe$IkuhKFqsb^)#nbbKO%n9+qP#_X{1V zp01S`w(yx4^F!}z_ou#}^YHlm{7;oDPcNS(60Z@Kcw-r_@5$QjljeH+{NLP_w0WY$ zuML}Dx!qW_qw?;w6Q?!{E>b*T=yNbm)6$HSalfALPrt?%pH+gB<X3$E)~?l)_(65! zb*+-NITM)X&Jq#NuI7BS_o>B<M+vRXntVPDKie)H-m&L%6E90tBm224+7E+8tlqCt z)xD>|J)?Q@;qu_b6Q^fZ<ol%@J;ibK&adnytEU->!NIKx-~38unJMf!_I%-6u5U(` zWxf)2GXD;Dbuaw2>_)!!mfH_DGagO3m&B8>TzXQ5?m?r>-A~n@*xPF7hx|--*2(vH zvCZ52O>$_*9--Y;C+43vFgw3hCoDv1b(P!i{<tj3+xM)eF+R-v=q~hX<;|MiOS9HR zF+StDds<=l<v@*<g+a_MX$_p=?%q3UCrhX?&fHd@u$$#4bE?g1x9e>W`MXc6GwzM! z`@!F8=yOnM{lAE(xl(-pH_p0XHA%j*)B5MM6Q>#lf6WkL398SZ^iE9j0EfWi3v(2O zovl_aQ(b<i(vk0roA1|3|0?$2noG?;H;DYzf7-EqyNyH<@BCXPlaHtv&6jBW*<$o` z@~J6&tu4vBem=TYDYB?FI)25Kl7<a8A8r;-NjhlZmT4KB*uLeD$M$)%C&j<<nLJH) z*Vm_9bLaW(C=M2$$z0LBNwMvpKKEaV)^lsl9h~Zv{oz82_r>+>?jPsvHuY<mvASGp zukLK=6Pkx=LLbgDuz7v|$bW~0k1dWk{505|)V`19<Eo!o2Hf8>f;cvQ-ST`&ayZY; z-9;XycY<_9_>ON7I`aAEqAP!P$ryx49DZQ6k>5|WzM$>KW)Z8i>`gZxHHyA8{8E`$ zz|tCAG@+<k^NGwnJ^_vO=e5Mlwm3NKvf#@~Jav9bwcgp_ZRQ(awaA>9$Lprr6U^sl zy)V!?d-{3LH3{<S^;NZoM+}}^JtQ(eE#bti_-S(L`x`b`=xtXQ`RVeEf3dB)31><= z!?upEe>mDc%{U(1RU4<!Bfe>Q&twS&?F*X<k|s-t{Vtd?<9a5;;Yg;0xxaQi4VN+% zkT$Hy@{(BohB1--HoN!y%d9ty({4%!&D0EwP{{E(A+d0Box+zz-&GF3n5ec%DQ(No zd%dS`NHv*QW-@-V<ovzJi9P7<@{5Or{P!I$+-2;r!&LlC(o{yK+JK(PQ)j=sEpbe4 zVWjub)pyh<Pf1dB;%eE#*QKZsR~!@cxOZB!W1U^b#yPWoo7y#ATfJr-=i##-WV8hi z^XMEGzImGYPw3C1sm6Z-e>t4cQvI{M_)gl7^;&vuGxIzDy2x$3+fzD0G);Mp{e?@p zrz3uy2tRq~xrZyy?F(W{&PIB7ZF+x_%jAebL#^kxxUaf<8Du8^ddS?zx1;w>$HM<c z8}q{@oLk@5DMm)tSS4PX@@1a~Xt40~lFbkPJ8r*eBbeT>cIr;UkPRto?k+P?H+nCf z$S6OnKqi;R_)UlNvm*wd9W^a=ex|gw#7~ql_$;LQN0uvj#?SSq=J9KNX`2<g=x5rj zJ)H~xJFh$+dFgrYw{M<*-;^H-ikrJ!d6&XBrfs*F`!B!l*ZuIvi_4tlSa!ygG7gVy z_m1RK(k0U_Op{V^n)cl-;oNmrEqC_iYnd)>oO61bOWF#-V?j#A7gr=qe-b97U?k~w zB68WMSLa;Hc3;#|_+7U)bCzW8?)fcN{c#Fk7%JvjP0&?0jrvj;ZFTSV%Swhu3&A^@ zGp24SV2ZiLso`^Q6X(k7&s}+9xuuRtX!-d*{5@Gh>&E;O0olhN+&pX5)+HPuw>0cx zq1Z3WX{oVIBJAZtE?=f|2EE~7+UYhSU%O-z%Zd4~uGKJP7D{Rg9539Rvf9$GNjP$$ znbR39ll2F>XZz=Qy)<4jf8rsDWr^_<dUeehIvUT39@E^Z+Ht*H8&niOa1!&+yz@iB zvO!T_zxlYKzTmZ2nl|oVj~F!McIu_EFH$t{TjVXEZ+*bVtLlc@m6b16H|W@G_^6vJ zyuQfL=iojcwi^npHr^B8&bFv<Q+@k^$uT2y&zwU{c1s*`c`k3zHQX|{bB&p_BHOOd zPrQPfDt0op86A1P$dD)DV$QyUQYUmwoz9&7a`#^F>^sf-^p0tEIlTDwY3{LE1~$TW z@;}0B92D9bS&tkIIA|AoEaKUI_nLOqKe8&5eJ1N1>^!sEjhjE}l~kEy>8=WgyybOu z*?Aw=ht66T`Xa<>iGxS+CzcbNuUxKgJj56EL&o~N5>J9*eXc}Z$vmwiyQWxu>R<YN zGuLa6H*IHTx=H-Bi~V8TYB=BN;-!kCf6kZ8Ek2k$<DS<0#Fow3thZLAt7x^^c~;I? za`ZyG>jy8cV_cuw=RUXGZqvr~O=RbVHZeWH{%T#na~>&jrb=_)hbFyKS;K2PM_sN` zY&uJaPn%%Tw2!;q`J`-@W^{bY8FYQ&m5I07{oCHup14%?<M*b+E8871qKoeN?+xWz zAD*Gt_<!Z2IfB+I=JF=38>}S{Usj)3d4hxEi-0Mg@b>?#9evjd?KjkPM_;$Tw%Cv* z$bHf>Ywv8?PR$83Gh5vLw9b-PX121z)-#bOc*Bd$^8|$yCug;!{Q1UpSKx5ijWs!o zw8c}8{125loUs4K-BZr%nUc>)-K}PCH4NFHYj|{4`;6!i&D}HCaq?$tNjpESIr1{Z zOyPUrv^0-G0cnozjmArETw`doFgRJMF-;-v{h5Oz>CE0UPTnqQPEnd_Yrp0(`~9lq z{q3iI>=nJ0`(e-7?Qw4kC*Ezlt+0BsTf~7JuF2(wa~OZ~A39QCrL*m50h><Qkpin_ zPhwJC!<B+_TYeRnwA!*I&1iIOv}K-n!z*%QnbNE&9~a3T4io#dvDJkqdgD&joj0b* zR-F{MR-*5DIz{S`!sp$BCmRBuU0I>qpApr5o%1kvw=8FPZ`Gfa<>%+kjk4IXd1A-I zl7GDm?WET4JLa}l=I_0IYPOwc@|1aY#)MDE&Y$u4laAkZRZymWIH7CWoVlk@XztTi zU{}(O3l2V1^1*(KkZH}d|0$+&hG+If>Ilmjp5ghTQ8VAETvI`_qUlM>MISG9qjYIT z%Yd)j?oaj#u?`OMsGKhHoaKz&a|X?$vT_qY*?InKaY}DCR=D70l+b%*v;4ZvH4>() z8!jw+%4&9Wxk1!|sVdK;z0UNDmVLOXrLZP=zhY+M*FYuh5I2>ynMxDiiQatcwp;${ zmb8HOx97bC*3Y{y!&A*^$p4h5^}dYhoBjrS8Png#=4^|<R~)rg=t!}Y#4)}9dMh>g zG#DE<tm&2ti`aN4U6k*|qPDb&|K@#OR9PH!c%8}mY1(`frNk^g{=K6ZbofNPT(Hiz zjB0sb&b$s;%Q=T4n<{Q{x<!j_zanBS1-@zP**m7EsoqCVuKh6M7-P8*O9oHyh89Dg z!$$XBr6@El<k9qdK2>3Fi4XH3h3qN44v+4yS@R-ZVU5b4_mf`Qq-g(qwRYE6wN^8w zk{&sW<Jvum4Au3nH*=j-j2`z2oSjr~EF$@Zaa{dchjZPgK?=`a&Ak-URB`moL6P{% zgMZp`s#^|8uz~D5m}btCAUXHzvAzJe{1;`X8u`}UUJxA_@v6sXQR}m3$(EjARnKx{ z4iueR-Rp2Lc-x%krw%30UUhmx+`m&fT;Hl+-M+PvD@SbliBoe0i+-3aV!dT9Sh8V? zbEW&vB;&@t0s`w#Sj|Y9sGB(F7R!@TEmxU}w@)7JQ#aYUV(yB=8@<BVIi@JO&Tn0H zv8aouy62F@uMK;y95MKs#rt>O`qI?0rpw;fSADg0S{Q76;TTKAy~52+fA`JGvX1Ux zobD2))jQ#^%EPHQ=bb-$H}Za)efYOEyob-9RTWg4oYbQ7%v3M<Xu%$yCpR~3NiF;t z67TRis_wg2tD#Tg^YYYup5P7VL!RxvDss_(>WR%FSsJbLGh))6HLtEPU$;#%Y3Gxr zi|_6D)|<HF__+t$vWw!CJ}(q>n;@J~eE%2Y*%cACu8Hi=jeq^0z3E8iLG!uyL_X^7 zn%bD?&MmOaTq9jp;ljz4N}{jjqINvgZ!NX*cUZs|waR$blgsmWhTQliqFh%KGtn?) zgH6k;-zAn4Q+Hn6QSfcy26Zu;ra39S-4B0Hl314b+3~xm&avAY?!=$ovS!VVgVNz` zJ0zAR-kzjtF;Vq4hvEK(J@2&Fgs=Sccx6}5!lt`xSNz(i5}MM~EATJ)sIgcw^M?;L zt(kY-E52--roZ@n=Cq5)iZ=Z*yvOiODKhs|O0bggjg0>@eLWxVou&Chf^Ea@ki)Ov zcmMooFDmKG`kT}B>i3V2-CU0uG^A?g)y5lnq?k!2iht!vPMXm;ciM|xJ0G!sJ8JM* z!!|hTSDCmmf9;uVi&WY&BV5+=9)5r3?Zl!>l83+OXR(%g-!E5Nmf!#BMKaf1nd2@T zwtnKvSUy&TCv143VH=xkEg0k-7A5n{VOq7@iA4J|)8+`ToAy1b=m(qD#FGY2d@h@= zw<x~#QQCc<gD0MSV(Z#Fchm#s&i?ab=@f3}8|_*@v)?B8)fH4Z@cjM1_H}$n#OcS! zZKs^un)u_aWu1<uyMNHanV}NSUm3o#YV6;dRySv*(_5RX9)IFBTPt;TG|8qWi$DLF zCjC2UMPKlJp0)2jv(#2R+6$gAxs@q<<frVb2@@ukF3Ist*_qMuAzAgyP0-lm`Oqm_ zH;ZTpB@}utJ8?ux@mEc%73-GfY)$<i#$qwulG>k?4{0_Uio3<ch<7%J`c>>tkz4Nk zD|2hbp^}WPe2HvUTWdUHqeGc}L!XP7FTcF&`<iEwr#QK{ShYvKuf6hE-Fx3FzrSBr z9Di>gXn9AVtzYKrE6GdcD>CBOY$)|?wVXILL%Anm=@a#m<G&1E^}dm9;<$GI>!B6b z*RK~S-m4&eNMw3P(z`wB)3?obNs0))&LnvB`eD-**IalOXPIVH*LG^C>0}42?UeYl zRgow6+RaNYB6>D4)7!c~FP8hg<iU}zX}f2xOHniWF8R=DS)at(u0*bB>noCOoYLf| zy!bTihV3b{<>I#MUjN;s);9C~YMTubCx8CRj`1?nm%TJ0_hGEo#HBYmG%svDSyPqR z`KD~KP|7C_{S&Ek=4|QknJ6f5ZSs?lJZ0e`t(iHVDMCFH4w)PB2;Ex!{mqKw=i{e* zzuqdV-2MD}t?2sO<*(FLH>7Xp7k|dT=2{rzYwnXu6D3ny5@$d8rmnH&yV2cQC*GMe z9TxfFdB}qKKKJ>oC{2xe{+KgQefMt`IaDRP{Q1smo=-6=ixnQeSsG>KP}c7#aiC<2 zj7!J&)(7j-^?lFC`}x&#J}SL-@WM&O^gZvF9{h0X`XPghb55L^aq(I4G`04APqTug z?<?FzQcIPmFLSef6cM@0Fl6zs74KFC_FI%?SSj(G&(h;dce72hSDhTi)wyW@l`sz( z;p4h9SX4JXk?d6$ToF9YYr@I3@i(si_#B?fw)$+6#DPr)*Y4eW_*vz}gKVcw4ti~p z5n-EeN^sk}4dF3fA2zwP(P{bq_L%~=PhaVt{#MY7#qGP!W%jaG37`C;gkySwu31JM z5<#0b>Tx&k5_gi(478jn`L)g3eWh$#Z^EVt9mP{_t?8LD{iRoK;^J<Nn5`k=8!ZgF zkN8iRs=c_-zNzF?80V$EIUi#sy?@OW4dp(c*`@r&cxOtk#J=r6ve-J*AKy00D4Zwn zQ543Z*v@L<aOa?zL;SRp_3JOloeo|sXJ6SKCBMvl@59W+a)KH!S+#A;T$zL-_en17 z{M#7mnRWj2uFsxF#98iMDEYp&*y{>s(b<QkmGAmrb-N^Wan1btSNmSC@k~v(8O)7k zT|t~iJ2e_>=4^S&8`YI9&|nZfZTeMF@qAsI{LGihi|2*3cUJVCS&^OfInPOix9*UD zBjZZ#ms3pbkNnB-x%Fj*`<1&><2`5P`KDMVw!D1v%YpgXmX!_)C6$sE&lD8m&L21^ zqVD<KcK=C^djg-h7Cq{)63}q&+Iv#yh&Qi9*=^@ubB3LtS$(r+a3~e;{bwSvD?4Y4 zgfr`Hp~J>{6Gb_dk2vg+wWy3~s)*A}3|qL2`AOQZl>gkb-z4mnNM^XU|DgYbpBFfI zl!e#MR5~UbmDF{!QR2n<(7khArYppiHSC;u?cHDb-o-DZpB?oP)!BVAI<PI>*NaC~ zXY%AS>CE;sd)+ds7wK)NJeIgHzgN{hGyQtxmn#}ucW1o6>TmYCw^y58@n@&cPC>R0 z{|-z(I`7FfY2gQzT-R@|KAdpnv3c9eZ-sBW#4ILinmJjv9G^33<MZ;_ZyImR-d-2R zQ~gxEobv|vVc*5~F5FzirlP&_My^6zX2iFHo2Q0+sBwMI!=^AZ-FUX%>D(UP2RXed zlS)q5WVV=aTrcr>_}<hn_0a5%LC-C@T3mOx80NI5-tUoER(bMlbjybc-YJ>}QsQ?e zF<HH5H|IV5JAy}4N8Y#UU-+(t)vHox#mrzy;f%W$Dr3j&WIy|??A-M$8Vj_egRLj* z)z2%Mq;8tuVHmQZKRhe9h;3e0&U}~GMcGdCANIOT^LNWwoVLZ_s2;Zj$L6FR0%EJ* z++^vGG~`K$zLoZ%n190!Mk(_IR)K3}$&Kcl*7PjMp2dGjr}~+y?>)ymFSfLSmL-%J z99{Qv*>tmIvYNMV1f85&$yBsO;kaf`VuAn0nk`a?8$Pp2nQmLNC}N_(8Nu^4b`N}b z-%j~?p)ylydxV#l!1>Jy_ZI(*{`NCkx-FiU`DIPlA*-S}Yl0*dJD+>Mt6VyDow`@2 zY>@Hs{>dUQP40BuTe>l8!MA<!G5U-zY8q!qZe|ZP^%71Ee^s>EAg?g*iZYw7bgo?e zmDTHgy=<hv?e)C;!;t5n&*v}kVK1iG`=ogvkxq@~VP;91vi9Vh8DE-Jp9jtSm)I&h z>4WUkSKmcWz5Zhpr7iYK@@&?X$KPc|?HAq_h`SoH%Ur{Lg^+veL%Y+fwBOvnw==Mx z+hj(2bo!QkHWEuWI$!gX6>YmNX|QnT2a~6V86WLDP<4q}<-|Uhu)rP<h9iAKUrlT$ zPGIcuQdqw6?*CcG0=I<rPdp=`b)!=C-0M%uY-gr=o?)0KoVnI|<?7Be70O3G%#<q* z@Vm<XY5l8bITN;?OU}8z(|6W;)xR|#bEh5r{O-||C$&O{^LW>MF8Y<`@FPOqzNpKe zO~J!H=H;6OtiM*g>Ivq#;H2KL^`Gpde#bAyuWx>Rc<9aw_cMpDUd!b<EWP&EjjigM zdF_V|&yp;uvva@rTBpk3)!yi}M@+eHFY-`JVLjPl;>dG9(!2PfM(?x4r@J=PrhNQ! zEbHz$f1TH@59j@qa^Ts<dEor|(<x6r&yKnHHi9#ftLIp7VRN29&-|RsknPjO`>OsN z%ei~*yyK_$3;dY(hi6<_q;=a~vt*a{lH|2=g8I{=b9}1{?Gny!Sh-SQ_DTy?NsiN6 z7594<CI$a}zk(|=yr$;3Shuru%FTq9jC(4T{>56H6Xu273wxMo_Qc@T$Ip&kaq;Uf zOZHT`B&-U1dE=Jwnx->G${`>3vROw*p5u43t+NYWRdu#GukMQSvZbe&1-%urQn;Ml zvd;NM$~T5XTF(VHSVTp6iKVOy_WD=f6taK6$xoY8bDuEpoNF^x@yrADO>fL@DeLkm z-t&KYLi<mX0nc&cbCo8{4{tP0KiS78VCTB{w79dp8UMkrk+<uXr_}u~OuD&Jx6LlJ z>F(7zWxU-B`5u3Akl=V)%&b0f_WA5jGk9OF57Ul1zsxSe_ocGvwTU;FBjuzNU*0cW zsG3=5>Deu;y7Xki&x>h>uYdj$S;)OjryyW`jZz!u4;IBHUhV3{+b0Yb%NhEF<Zck# z5+ASfYR8i0tCoLvw@pgBG&T1t$Cnl5yOJz;iuWeIkrLd$R=VnJ>%kT7Jo4|i>L_%1 zR(NM7OB>c}6i&NTB~sHaH!~+_)5dwGc@9Tn*TvXv+84I{gxOjjlgR5vPvW$gL|P4( ze6pD+%Aw4~`h~G`rbSu=Q&o4Xj8Eay{mq*C20?QhJ+90O_-DkxBi;I`p}6X{!;KB| zcRDUlWcjpROC&!0#zMw~`@iGEKNhz6UOaS=<+{4APlL)PqXZU~terVWBQNiKvtiw1 z@00r;IdlA8;VbVu!S4RFf?Gj6(Ki+6Z1-F)>TXaS_e_=Ts9~)_fO!1d-3v00DOPC- z*M6PP{-pB$uXX!wI3Dv_#vC={QHr8OmW;&m{o7w3I@VqD#Z&BPz`;%PZ_EnVCT4gc zHAyYajq`<Rm8wsAD!b;!GpDx7gq)GescV#BK6Y}}S#i@y=D)pKFOH;4YnbOR>$7v~ zq{f<)M`{-7?x~&Cvo~hp(TM^lqCAzK#H{DmwT!;;_W#z%bJMzyZcq8FSfn&LyCo;% zsNbIcDI0?}Z*5IJy)4Pp%|2RUyTQ>-Olj>Q&4s7DDsErebjWK%XX;XxFQ>R9`gS_c z+<uFlr!z0HYrfdKutgc?;#T_fENn{s`C^vAld~EVQ}+udDHsUX1~XOdD>OJ-wWU5W z^VweKX?`XfSibO-7-*YaSbas+w%sH!$K%-I(=V6m?5SOOInvJb;y$4Z#k-m{t?nKa zi4I~9UX!YNMzQn=m(i*!_sM}ZVN<rJd%Mrslw{k+sN>Fj%ZBC8)m7nsD;*@KDEj27 zZd!J91<S`%%o3N5WMuKwM)brbGHu(l`J))Kp-I`f*8&3b5-UYLOE(71_UB%E<NnI8 zHcxsMt?8c1*?A_=?S)f;-K`Dv?zV3?J$STQG-yFNN7atndkNWla*m3LD+cF>Fjf2O zvj?x~=35pae(-^u-IG}1Q?_#od3GjLe_8UmT_D80Z*t|)7rV+$Q`tX%>bcdhH}TVl zD8ufFhx|PEtCpV2wighww{X<Dv8IB}jC)=NH%m&=?KamndQQv3Jq~<0cK6U7R%V6b z?Sc~DqSD3H(=`@Vl~4A5vu;9rDf<TVRdzR|r6(JyXHPi%XakdxcaOkb4G!IlwJ!VB zyVpIiU$R5u*L<Ph<y^@UI>`=(`wbWlW#s>eefL0`qi56l)dI|sQoY||e7K|+8Cz|P z$k~;mIU!$E=64w5P5Wg}S3NoN$aw|J$1vr$)&7$u?2e|2F3c^t{6jTYai1E`e82Ty zKJQ8^OcI`1+$+G_Somj4L1Z%T>a7|DhD)Avv+G*Dcl21ue8XyK-}ysrCufITaY@@H z7_`7!c*zV#)sE?m2@(9=7q1l`_^{h!>(NBMlx0$i=`|6_z7qGI#g`U)pUV}QdLl(4 z^nLawh9sriCruS<J`_6UrTR7BU%f*@>&9%6)Z=Ug4*a)t__!4|pW`Tff3@{a?TpGb zF8d-9d5q<Sf4}F-bzI%h37Vv;>|V0vy80#q-alTcZalR&?88E8<vn-yhp}9l=sESo z7RiMZkGpt37X7S0CF05v1K!)0BJN4^KYV=h<yC9_8{&I-F3i#OjWRjpzh&)u#%On2 zrGWXWnz5Zag>E+-4tWIgT;7l}%XUgZ)M}Y2@*!F)*Zg&B)m@z=&&9LtBGXdA+i$m} ztUIA&=45i8ZPItcGjrDD9Cb=R&c383*0uj=qu=GNJ8oRDTjn{Vpm$+Y?3A_N{1nV4 z-pp%}*v0<i!X=*b4PC}-n<S2D|Kzz-#3ffe$K~z5J)Tp-e79DWF;|sssWR-hc)s<* zQ8lT9JcaYM-7P$sHU)0K=a|TT!e|@UoD((qTYfhCcKsB45ntlYbGc^LF)jV&?mZ$M zlD9i;rwCnmy`#x8`j*9=qnh`Z*JfmDJr6ycG^1|ujq_S1L7gHmq#V_jZDRQn#Mw5L zDSzrUiERg;wesAStghYX)0JqQ<M(>o=aR3=T*){1W>5D2uQgFrSXpP6NBE{+xyQW} ztdeiE#Rm$gb3fayz?amSC}#HhR^z&Kk8~dEgyNv|!2kbh#NVg~i|jtCvMEJsV#v4d z2h1NN(r${VM4MDEa6P?3|JAKO50~X!T3a=1P2ny>hZ#A{kG|eJut8{ftLC>W-%f1# z8r4&<=a7WfjegCnT#0=}b}a%<9EyMJzn!x=wQ050`{yf`TnqMgQ}r=8$R;Jsb0fi2 z;J^&Uy>l2gT~8`}9crGnm2DP-+aVs=$Berc?3Or_btt5tU8nJ&3FD&;1`E$V(BScO zY)D|+t#lwkU4_-DxwpZrS<t9$P3`;dyPxk{e(U#Lt<v%>(>DLFD4+lN-S+Qxzj?mj zDL%hIWrlX|gv0x$hHteDI9Rvpgyo}ejuQ?So<5_0Ze^2epXr}Xi&Tw|av1h3Y&x5I ztjN9RHoNngs~Q?BmDr5dx;Z?XdaJwSY~~M@jrvzjE4&n2HNBp`{+D+{{N+vgePLSV z_5NkeE6WcYDLS#noN0^Wzx8KW{&FvU8(y;YXXozY%uN-^(YK7ZRg^d{yU!s#W2KMX zw*txS_fF~9hwD{OS!Zi<|I`_)Z;i{QR?MGs@!Xy)?Go>2O3Dg37mwxbIVj9`V*1o| z`LAv{bK73IezI@D?51hD65BXEe(Cofe_=bVv10plwkNh;%<ae7Z*o5=s_e?iQ=cmM zvF7dq&s6TmjD~YIWf&iybu;_O2c`t$<F7Jvd%|md4n^s@7`%6lYJ1FRc>BuM_h%%O zUx|Oce$(v!+Id-KGW;Di3;x+?_s;%*jCqmV!u{JmUoWsxc(?t_{T3gk`|IqjjXEDq ziOP*nGM?D}m~rEMp%3b_zCQf6{%n`>uid|PS4^5A|8oAd$)_GpTXRTa;{5f~+fO## zd8d47(%n3kmi(_iySTJ?Cj<wZRl2QTb(441vvd8XQ`>yi*N0{;4&O0XKHuQ^>wBNt zOj_RV`4Go<{-LVlQzxSkg?9(UCQMF0(>c8+hA(Ae@pD(vY1@8pF{!euNf%V*Yg!W_ zr6~ETCrF6(=36%h3k44Dru7pX6t;D7Rc(+{d3CUAM)56KemCnse}%5uUz~Dj`>9jA zS2UHMds(|kic|VhbN#MIO;*h**IEktT>YvOCZ;)8t)Fnj{6$vbhxcJIA8c83-{c;M zF7V^t;L&MS-*M1s+VvYdPOm@7wT91%rz4R=M7T(5Q^>~8wPIq+qgFHhm(e_%$#L7W z-MX%YG3S1G+q?3^)A}Eoxa&qXnme6R6zwv9aZCBSVh=BuZIpsS;6i?bciGVmh0F6< zB)(5BY<PG%!~0pPGQY9M;<=9xzL=gC{(xO0-|PPEzaRQG{XWIxmHFWW<Ds&JQy%F~ zX~`&IH|=<T(SZ43m{wn?(yb|eowFj7uRRrsYIwtcVa=b+%VnFwR`68l9V%jLi&Q?S z8@W$fkuB+H!GmapJ6*fxtjbn-c6-;ekd0C-$yFR}Tu0tUC2+GmnR7&@ui(1uPXQ)b zU$5xjA&<-LUK=}1yDY8An%bUzJ#WbcZ-*{Z>-AGCJ2qOlZcT|yZ;?3`YE|?se)Vqa zqC3wxE#GWv+M}?&;ltLcn?Ep}__SR5zGIIh>sw=iU3N9=IA?W#nd!#e?D8hKB1$Uu zhoEVQ&c_WFYZ}k2cRSHIL0m<ELtb2eveoLw_u~DWV(l3xu1G1eFRGt+Svt{r(f31( zm6n?yD%<k5RsCb*?d7}-LQ`G&H?x}BDoFoiEO&h7rL41i>&;F7ZZ1o4JfpOIIoF@b zSJF4>sr)(ab!YB_|C^4)GW<U)^t_xag?aYu&o9nqo=|*qKG5^@%BG`2+9e;(PuMo6 zZN6Z)VwrSj%bAI85(kW5*{wC(udO~?@sec9X7*051?@BUT1t9|tu6YL>n9pl)gL2L z_F(F{@RO=*^f;un6>?-8wtZ``afv$dZ9%LP_m)l`2dmZmRT&S%xaJ4*Z4Y{}z*psg zO2p=EW;gjZtlN71Ng#htFUQH|HTxu&u9j}C$xl1v?$^EHsqXrwRJj6^7peyX8h6Bs z^9x-)Bf4^7>x-JK_P=YqHt&72Y1OCSb1igQ&gi;zq<OQOX>HKVXxhBUFzc!S^TMiV z+X)Z%GdAw_*!AO$<dt{@fmKb{E;N66^X$~`5}lbff+492dnOpL>n!KWH+okaCAG0@ zt?FqB<s$_Ga+X3pOBoj4)x1|I|K1@lSMWzo@W~sleGB&|tYGCha`RANm=`xo%D1q) zaz<6rjLuO%F3q{D)U}gsElbc0fsiFToToWdXln?R>oE)Dy-mEaPTwSwZKH(JhyCoG zv(_&T&YEGKaNp$E*DS`QivO$jzc8s6JX&&Q^W_((4sYAqxObt@$tu^$I}EnHE$@7I zt41|aW_$ex`Ha1;w+d_|?iOU&D16$Q)pss;n`_oL&&|?5nt3O6J0)dwocCS)^zdW8 zDfST(=XlL;ss*Xdwtu&F-K8VE$N78N|2WQnC3fv2d;C1L8do*vYX>AITA#ltpPF<+ z?oRie1$P~}LVPNcC-+}$5;$<i$}xV&Y$1gVZ>jv)MXU8qzqYp<+<y0T3&&}NcP}$b zE{jj&u#J1Fy?n3Fv_Bcrp$GQ`ur53(RJAv0ZSh)7!TL4Xe%%}LFSl>JeEEfUe8jKf z)^*oSm*3rRKQyFRdExKO(>-&<68|q=<mbP5^}YiaoV~*)^%QV^31|H}YyS-st&EO< zl64{rG+tOTB+l2r6aN0ZCj<L4la`~JUu#+R>F<AP;_@{%#mn#T>LZ`Rd5@}3_<5RR zw}x!}qBl1BS7#UWh3(&9BG+S=x&O~s$GewIvaeP+99i{mLtAFV{C$pRzJEC%xh*v8 zvv}Z=Oy<^bO-1?ZrOnYlUI*`5darwRm@iLU#@eII6DkjK_y<&<n>_iv-&wt>+0U4j z-mkLHJ>_dECs4Cz(d%G0DVD$`#ZGrZjb^9#{ON3*Aeq^+FvP7Z<bo4Z<@#6omF%~9 zPm8SGX%c1YQhRux)g*>vY;(207+!E_oxj=FdHK^T6IFyZwMZ$xoYq<TTi?MfW6K1- znQY1m%Wv7I$nrYGhH_qD$ysE2V5`WlD7ns7or7*Fv#zxqD?9!6-CD6NpX<%fZ@E8l z<(^C1J{NU7i2fV-wao8pjNGCbNf+NRnXU`B7AT)JuQ>g>!Rv}xJ2`=$pMP!Uo+7I| z^LCHHl(jB_^Da-guIH!1dGE>urj6aJq(qy}sIE6(vgR1`p_=8F+4iyQ%u04?T2pj8 zZSy3t<IIzO2dG8|ZD8GWMJiD{UTwzKoNao2>?|{bHl6J&iIU;xSnqXDQp{dX;K{0| z>MVund(&Uu`}iO|RoTqDD`i_snN*^-i_qflEzJLyYgX;qSrFnnq1HiRngP3PdnU(x zw=+f+M_iocPCT5<)jU6X!?lpfOef}KY+*Ike%&jtcy0~H9Htij$NP11F3gVl=e4+X z)d8ysbF?D9t=Q_Q$(eKVQd-N5_E+*7EO`^HlkOyz9&t!$GVyoz@R-ned4g|-rQ^%w zrh<!a>;v}SN)c$?wdd~c30pNeX79PdrB^xanEEQIj>ZElGYxqUzECjci@Cg{-&pfU z!0cdFZ+jynF~c)<PB9C1{)$>G`D@<{g<3h4Rllxo?UmAb>?wXGn)%QcfiQWt#lg`H zGW*vV@+1j`OsL}*T3Y|}m#1lu5UZsz@6JdywrQ0UPHg%rEp<9#?IE|vj>ViTzZT6n zu<7dlye$_DF3RO?YIQxcHm6a5d8*inzt;?T6%`%X{G81eK4n>&Z>;;~`fQ%2ck9A; zTS_lh5!%!~cOp9%R}*_@S4KnO@{J9NeBUppRQrkQ#NM!!mQ?-lu}J>S?kllt-YW-u zTev2{TJN*??F8{??u9DL6{Y_#O494?ley=xV?Pu3!|k0S_tbhSW_)_rx{Iw@>h%4L ztONVQPS!WBE6)6KwV6e3x!}w>-wy11-{(7V<$L81iLTGKJU6wndf^uP`nQya;%Z+v ziN<}!CW|Itw!5)hD@(6;oxR6{WYtf*npyG!Qv9x-P13(`>*btpe^*Y^tB<|D(`45> zg~rS0^Kxc;FV5x@`Vs2-YzsqmNV>_@?}9saxx|%EIPi1(YY&wV*<EK=-qQc(Uvf6{ z{@io93g5484RcB;@BDMiktshs#gBVK{Svh#z21Fg6BurWc&lu<_w1(Kr#E|5&##*~ zGktyLn%w1@&$rB$Je_~}d6l)wGlj-%(V3UuP4_-8S)P}2<-2K)qPDzSo#f7nW}{7i z_VAuMF;Vr@iF)0g^);OgOAkaXP-S}ZE=Ec=Ua8^zD{D>>_c)(JR(&@6Towc?_w9K6 z^76{suxMuSg#EYN-ZmZ;wA7umd{Mu2(JkiR?Z32_vqp5ij*+^%#iij<mVDtf4x7EY zE1Yixm5C>u&+~pFFij}NTiJng-B+OnJkPgqcyq3MuiWrByOYIBPv=jBYVVyD@0A_$ zt_XQ&W}i4UTX5%rXQmw6YwG1D?fU7-zFrkHJ*+n4Vo#p;^d9!<ee5mwOWN6X?JeFS zTxhTHzxJe^<vKYjel6LV1xweRQ2rCV>hA5}g8^p^_pDxhG44c;`JuXPUw*90JDJJf z`)&HyN~Pu92`5EX-)QHkaZr0&a<P4iv;5`K$Ip-PzI&h@_IJs=;x+Z#KW?dg%;<P) z@vjrJYacT{y!|qAyY1EZboco&Qv4mtp|{ssC&}keJI4HIMH;ho`|7|W6GCG}3ZH9t zb9K%5`)heNd*NIjn<m*K7nhV39@*=!r+U_Y<<8J4QNJez&-Qa!ZnCATV{zj<tsusX zlU|*Im4{vnwq1$MnxeR&FUPgRr%Q0s4Z9gj&Mv*UmEF_d{nA$B`LY)de>uQe^+uz; z!Nv9)gYtXvO)OHZ5^bNNHvR5p>e+ov^}r5kdv=MmLt9eHBr7F;|G0XONAiFM!^ZY> zMP>=cT_N>ToB1Tx=AX<nXL3@lUovaW+i$LCwSF%A*s!Dj#s1uvoR1HtK4yH_et)0f z?W21<3f)V#ep@cZ&#_K>Rz81Ae!!l6Qg^(MSJ=f~f7Wtbo4=R+$e*-IrW*@Wzt$hw z8}@%;OW42SS1F}$Rr`MJwH2-OeZ@8Ne$R)ytwz6sVvBdZ(M&QtXgA|*&9ZqrqRwuf zTz5J~YVG#9Gv4ObKUIqO#s9UI<(hZ<&t=V*_pN@fqnCMNPEt#9z<=Gn>`zJrb>^zS z&e@XB=%1$>9U<%;ueqExqRPv33WKfcZPDcByvy%+cln-{Uj9XEDxa5&?ncjeMen78 zns*O8Jl%diRO;QLY(LTWmOpN^$lp7#{j{+><MO!2)>oQq=dU~1A)Z&+W%cCC=C@NH zK6pRx;*W{*%KWAu{A3n*-@PGd%H`CGRhL6;?LG@`ZxlS;{@=r`#^L%Lt$UL$T2C~W z?cMzO*_G^?HTO>Nzn&G$`r9aU-%gWV8`mD2`{a(r<;M6a7uLU6wQbIW%I79OS01a( zv{6X&TeW@p#V%W)H~XL6a|-M3(J=ZxVawcIvo<}faQGnDC3mA|{>4!5$Bc%usbwq$ zf8zr$PtY`Sx;fc|tH>d|_O{v&HCO&iFHS7{rJ$WOZ^ic1*H@!Xg-!eO^MS_i@=4$F zVwd(jxLe}%S)uoz^WvTveMZ02x7>2R`E=5@=(cOEI}4o@i(3W7<iuXc?mD;h;zm`b zaBZXIif_s+ckEl=k$ZwgT5*oo!EVRA-I}_f9+ux%2d=+MH(hJL%BefE(rreC(!ID! z=cT2uzc}7H+!M|0lGZF(R^zOnSNv-6({GnEyvueAPPpCmQhnF!PU*^q^4*ndja{Dc zE7v$}Z+TwQpMHmp|IvC`7XSH6<w}<HJH;Q7o-@bKfp^+L-I-V2R;O=r*uK#J<x%^J ziJuiVUze^pk+CqSp)mgW0TbqrEAL)Wx02xFX?L*M__00l&UH%zfpgv0{2LOdKUmK( z=W>DHzI5ijbJ}!@C10(7kge79KZa@l+UyURHBZ;5eO`Zk?fHzYZyIf9w<-4C+vAcD zH_`HK<&GQfFQsZE9Hqa6IKJVj-~N;RNZh&D3BMhfSQxpuwz7A+sWbK5tL2J}HRdvS z*WuPsxSBzN?~#gq@vYM_RYjZSj_OV`OW7u+*v@<I6uUwBF(0etnxWsbRZ9E#C5k37 zv=_VnHdkU}5>9zE%f$G|!Fj!}v;_C~DV<N*rz-eH(rU&34YtQi(!HMvDVsPdES9}! zd*)1Us%^rOoTp{JY7RB~`Sv__Jf!tt#m%nFi@^$Wt!K{pzodlq<VS_TBBq|`#mqg? zi^HeNOiQ}EHMc^0TbKQ!YlbhJ6j*n8->&?;e7=Vvi%OAKL*ZPZgfuJGS2v!S6~9P) zec<*<Rx^uJZ!S+;^GJ%Z$*L>n@wNQ>A`Z{qZcN>1yN6FWVXK#)sLt!{uYLz`%rtbn zeCX!hGut$p-51PFSF+jk*6c@7)^_W(-DT}+nmMj#)>(gB71PVk!tdezIWBLufzamw z%b#mZZmoW+RGRNSO-s3?(Bb>@lJ=KUee5jq3qRGT{f*j}ZKLpL>MB;-sFbB#hfdsF zyPCOYmVrTz%XytSbF_5a>P^mnV(I?5WlrhVb&i(}>z6O%F<Abk{$%bo@q%tmg$}N3 z&ipO&7Tle8jcLjKQ(qo?NgO%y(_tZd=Peh0<LcxIi~n!=XmiBs)q&2-6Z$!<H)m8I zSdqalvAvMTK#EsCWo=Vn!^Q1KoXYlJY_vAtxBKbA_%~Ho!2=hXJvNeS8CM-+Zd$YL zVKo24@YRV@D$$dTZ`sQUd=~r5yy&Wq(Ni}GhPxVf&Ybz&5crH$;(NnfhQ;dxT6G-V z61Ghc6gck3{!~u)&M%L|=IIFv7dk(D^U?ofuOI!tg7?OMW8dxrP3v~QyqI3CwejG~ zfWm_g97z%Uhi+ez%v}F=wZn(2T4A?!s?Jw9%*ZtS+T`$BSn#9B%COHH%WV|eLKk!> z{Si`J63G?4=~Q~lK^t3-dcW@VlUvTemReKnz!jpfrCWDq&|+POvb$?fF18i=rWac* zb!cDcwsT7_w%>WjUKC;ebv4t?#GIt~#j8{8Z8kNxv7PjpUhkg$kVz+KQE4=&WmwCv z@TMZ(Ec71pZ)RJAoHZ=}9KF&FZnZrTep>qCVv^ZIKew)?vg+xlPMrLFxN~~VpLf1H z>$cc@@`){uYS<f9DZcNoVtcMW<8zaiw>&!W4E;IPznlL{zvQ;*HRCvO=3fVU&o5=h zV{d)d-Cta&ePl&NK(~#@m1g$O?3aSiO<%NQTguyc7eCfti#QgdEB5%<PHllLo6jn3 z?`8dTzxAi4V~*H`L?+k1-;4TX%3i)=y!o-%S4dv*YRv2JPE2pMPr1ybvpnJ2cfpEn zZ_cf3+B;ur-7h<)8fOl<3pZFrJ6^l;3yF3yJmxEHtX!G%*uk`#O>>p1wyRshjiM>J zF2z!Zl+<)$PDD;yett^z;^iU|=a$sY-(abGsO-pwtWB!M_cQ+QojvK0hW+b*P0yJG zI{GICo}DHpmRt1B=Shsg9Ff08FS&J?nf~${+UhhneEGpuHUC&~O=imd^lDYvK6VyM zv8vf`dkkdwIW}{*+GQEo{fLuP(&XBj5}DRg#1S#!QZ(bv{wo`nR(yzw`uFpgc0hmQ z?7905I8Ww!mPxZJY_C~b@>)wELpW-|WbTKCN4%OA%z3{n|FNOfu2kukhuo=e-g*lc z9jrZS9~OSQF=G4Hl-5%m7kT`qzrQ7#<NoCzugm52`6rJ^DN6m?c82rR8um`9dV?GT zi)s5FY-DNKb@E(|qb2(x_N(t#T)1Un_$Owz!}gGOR`o{eFEyW;{Mqy-jd4+_z{Ati z3(Al1oZPWc=Sf_HYx7N>|Gt^MdsJtyyd^UA0k0^>7m3=cj!kh<3JQtWxSeOsWW29z zxaZaMbD^x=m*1Y{%~sI9?w0XyMc2XoA=hpT%hWj*1|AJ#no;$w>LsUwHh+ef0RM+c z&$>2;=uJvm{r$sImYu1>C%621Q#|Fb6MGAvAp3*Tb2Ud+$ayxMn;#n$z{A+-#Vv8k zoqdn{uXDj>_r1fdlY@2mw#_*%b;7GlaMu6L2K!@-UKIV{7P)@dzh<A(#e>%}GoBe9 z{Ic|cMV!JGsh(+1k3>6rPcvJ5mEAN|Xi>LF$oJbiDgO@oM!j2g<cq{!Hl~Zsyp!HL zF8cI3aoYm5h7d3N{L>=M3u2fS{t@R0U8Ab4>*lb4sqlA$oJ!Hm_LmduR<x(SE|NUH z@6XZ=WpZ<FOw;-3mSC~)@6KJy%N5^D&7E>PMVVFW_K}@=EKRAY$ED3TH#p3`z?93h zsr5-d)243|mQ@wEDYYMc7IH9d-OOL#xc0j|xY@<CigD4*X`OrKv~5`a`efMp#YzPW zm5QYfy_>r2mmSj#A)ECEjhs?467lb+?24Fw+xv>|saaK?M;F!VDYi|tj+Tu6ztB2* z)2Hl~ZR{^MmW8V>**5EdvFOapauGry_pf`2&P-5l`QO*FKjiuxi;}&nyYF&vd}EmF zz1UwbR&#ohoS&cg;i7*owfDbP@fBNS^4FeGT4J2hxB7aoz`?#-+oryC`pa(^AGqpO z?xETHJ9cg6G0fziW`92P$m|l^+intWr@gm2D0zC<R;~W~e0{*d*z(D|J9J-2GgNLf z`g(cSvk=+qlUDgXTX*iv9sSIuH-0jmUwV<BUucKZM9a_($3;T#Uw^j$L|22L+daQS z?^mqW)sI&CuuiD*un?okZMjX}{gc*BTxUAxK(zOhTSAR}rN`6NWM4-pOuj6zE%_L) zbmpGKWgq%ashYStPA+^f(YN`;za;y$mnK|hdLtTj(qg)sx&8bD+#)kCACm9ByIi&D zYwe~5s;4EDzZ7o!BOYCQm3?agkLWeK9no?M?UxnqM?X1G_T)}M2D9?tzaj#B6F1F_ zkG4s;@bH5|;C{6OJDBe!teZMLa&oqE|J4eI4}GW3r2Si<`#_nk{MIY;+l>eR9d?}X zbc5h21(}MjKLwMn)Wn^=d;e9|y4%Kb0ye(6rxbMi*cU%_e#~f?f6-pYYt#P%-jqD! zL#6w*Rka~aMS+W7@9j9u8+y}|`>T-KmHokK4L_HBnw5GzCp)oQy7Tv(cZ|+I>b2^Q zz1z8j&FUm;<MtQz>(iG!OBSf9f87w6+8=UAK44Ft`rGT;y|+uOA6~w4;bqw+wn#mx ziQm#sNvyF@j!ikmnRH~E$*u#B%rC?pU;Nj4lULFOu9<1dTNW>i)y}B?DEuQ+bRB41 zqISi5Wdoh>3)zig-CitCuye87n%~yW@uB1N%BI@F?{-X6ZY<c{YxhEB`kIaNe!Rba zb>AyFrGj}@(=9_c*k3tx^Oqe{c|hJ*k89_>o+Ou?&14kIEZ#O<@MOD=c2B|i=PCMS zPq&C~Q+~c|W|7#`dEzfXO>Ifn*44cmpR4Zrd3M*g0@jjA#S*{%XI<Iz^=0Fm3-1{A zFIt_OQqpl?waCn1*53wS?Oy%n(9!zzWJ+uO(r4c@cil_d`sJf}XT|4h!o|`-pTlg! z{>5FFi~Ov2_g7tP^Ue|z%L{3zzKZEI2V7n(d@5Nq*Y;9<=cT_XvCRkT_5Z3LH^>k^ z`G3Q!zgKsC^RR1~X4h)D`tXr%pAVG<Z#SO3ZSX}Rbn{I8Iyr+`Y3yx^&yp`?X>0x8 z8J8}<c=ge{I{#uMQwzU~{11rL^-GG{^sYhhm&KFrWV4*RzoSdakGIM0SE-eiF8kwA zC@Wo7Fe~~vTlv%beOZ?d`cK|7lkZzYVAJ-d$jA(yC%eyEKb^5)9%JHtroOThnJ3g4 z%@}#ul9nx<^3$Jt&aW-rGv{1B)F1Mf{go|ys?@<bs)r*hEJHVFoXC{dxo>Vg-^yNl zuI1b61y_p7^R{ovKj}5+;+9{VL~1#HS8K6YhPofETjcxdLvhmn#o7GwPDgFNS93gb zbXe5J$`jn-{ccyjyTiJPayIiXt8VDo&AwJ4$l@w%vh_@t!xx=T**>`t{&LpkckWv8 z@@upEgX;HPP(A)_QnLB0^>e-jC3Gc}=dpIO)c)UgW9RQr43>JW`|mr&=pO%W@^#MA zDQhH7WO7tjPd%EU#F4ZhUPP_pSk;6TM;aG~9y+2Mb^73bSD)QUiza7mH%R8?USTb< zk8yj7Z-d~B{TJ+0AIF%7m&e>l5&W{tEd1`RH;zj8n<_iF&I^~!^<F$(UaerMQt>X4 zZ^~V(-d9h_xxGAl!+FtPKh&a@CB&ZECeNSeEqJ*)$|zn+@u}0@s+H>;dt>jKzx3av zq_J8~<&*l1<0sSl)^WD8_r=*{M$dfo;+d&H)I+AoDbJ>zNp$->-6ZPW`uYsnqFdaj zZDMDuEZ-dk+8_EbM{~nLXNePcRo6J&iDGL>Ucr52E(^z#xa}8=F01M!YewCv>J(eH zK$K(kv?!x|$+agwe!pVBW!J^cF#<MW3hRzvV@>>5WVtj|aGGgj;5Vgbj7HNUk2`*2 zIv8<<)jv^T#}bD-Z`SJNcIm%N?(_3=j!1jpdikP2^E0`5bN8>g=rhfHp~y}a4n0i) z^ZyMA5sf?a7@NGgj_7`5pCZPycoR$I)?Jk+{s>D2*Tuj6q(5c((RMz2KSnivwp9}k zH!)s|yY+lQmW{#>+o@NLC(F9*Ts?>HUrq0SzE|tHzxBV%Ir(b2;H(dIGmnKGRMOFk z?MUp^zA>k5gREhm^zKhjj@1juc0WjDZTW7nOz~7Gi~f`oxzARenlE1%BNniw;@p!h z9KTaKyP|}TT$okB7Ik43!@`zDdKzjDC-yKEu0Ho~xrv-wt?!9fUzBp@UlTH1!k;t2 zPGQM<hdav{IbLcDnAc`|$w$rjK0BE8v}H-)ztH{nUN{vm-`Y}J-k(%(TWIpUTPj@l zC+t|z@^)6!l%h9jQUXitL+ZHZ{c_Nl(x0!GSIyUw9^AOYRzl$mGbmPeE_-r$&Bk^& zKj(;VU;Crnk310CU>OSX&FdXiKU4md8Zl2>sv3CnUZtxtTUFnT1ru%6IMyZKjrJ4O zSuLq_cK5t%8OQj2+|RCT`fDtC*_}s1t@UZh!LlP40&`EwRl9xOe=*{);>j(kj-@yB zIZQkL6*E|BonT)we`~vC=!Va)bX_v-{(U(X(Xn-&<1*QbV=64=uImfso~f){_$4{& z!;b?-RYrT-zBX)_%Xh2E)F)$MY4YTW3qD3opPi|EW3ujY#W&X8Y`d2V8eZXrxVxk$ zY7<j#i^MJAw0~@>U*s)Qo<{nbF7*D$^V4Lx>y2}F>V8esoq4%ez*(O;$B#Sz@>yBK z>WAL{cJM2zT(%QE`F4Tor8TUL`jb2(z0=kUPP(bHz++v)#I%0%A4)T`E=}?@wW^#n zo!{i9tL;K-u`2-zTW+yFy0zbIDJwr~RD%PnQ82elzIsM(`M=p}KbN#Vy7uz^q|z{n z2|SVaB-VQJ<WH!HK9R|Bdg798DxwxCN<9LHJy*@$D|%D<q4LtV#n+XU+tjAWvJ^=j zDm${nS0hP7Dxr8)f_2gzH4(!(OL)zev)<^NF;g!s>f80Fdlsm=8E^l$!~V&?qT-u1 zcXUhL=i5fMRO~64XA<sF7}D~rezl<U_07e5Om&Pe{_a>PfATu#?|)4Fa~?$Yn}#g( zpCa<6efeLmdH-K-pY8HdZIg4}+02J`lTP0$-G0=KD`bhzA-mv9XG}l18m-JYsWtoJ zmM7KQ;^poL7V`_0GBfvBUzOo`{px0|d4v;JOWI<GJJT%`E~v?TczsAjSG+!KXM>1# z$*$F^#k&P(t-oOJ+Oyk5jH6}Ng4*v2wME_HmUm`eUb^Av4d3c*{b?PS=K4oC{$J;^ z*-y8E;qflv;PUMYB$Q?^&UBFA&Sforw6``XhQ0LCk?3geu*E%PcFQvh6ki4TiN48S zJt<0DWS*yrW$1?d*LT`XPMAn8>|Nh>=E}*;3Wov{7FJ$OfmzL2Zygt%5>2?{Rw32Q z@4wFarb&~h?!lx(nat)7cSkRLdSwTrl5gO%b@tmezxTvn+I%L{T&MkIv^e9%38HDr zM?Od~n7z<se8{`V;f|d0gy^z0cf-9EwrIF^-`>8G&rGlJpO2~0i*<|_7oK`s?O`C# z#33F2-(~gDx91oeyAt!3bDub^GMD#GQ&_5$k(kK|FNF(gJk4AwY5OMpuCeFLvTU0> ztL9<o<jgg;Me?^?K5bu+%AMNN`1<|nLvns?UQuacr-K)#a-aOKuJt9=Y4Pp&r9F<S zKaIUVt-Q!2dN}Rb>B&?79NzWJ#71e__49JNCxY`C3!}^KcdlD&J@2~7<vCl9o#V0O zO{{lgb6FqUktnSX>E!LOwK{UaqVep?rneo1^B3=)cVC68YG06mO?AVCV^afNx1V5I zm}GEBL?@l`&^edpYX;v7Sy{9)3qN0J-%-kWLTu9h)-8V)3I(e@ySy~}<Su773AS9N zpXx5Befo11f6AN;KF4{-=~KE?S=N>u#h-6(hns27j6Awv(r@F*^+j9P$Yn)INUgdQ zv!gac;mZ~7Bf00F^P5LitX(jX!)l_#g&>7>#~UV0V=Da2%JH5#wQ8w#ZAoP2Lj@<b zJ5RQVe-ql;cF~;ch)tL)Q;y$nP!`D-=~#G{ZT9872tJ0by!{UaWxse%Jd?t7<f%!E ztJfC?S03Sq2cDG(=D7F#R*8wv^AeD}+LF^GvGdNPt=rrjG#ptUO$%0-vVf^D`|vt( z6Z70d1`4wccuuJCSZf`=;AF=pUsbkmgD1EAo*5@@&%Auv{qc*p^~bxV3?-t~EVg{^ zvJ1}Yh~6UDZ0hP`eR`$xF<Axse~&z~Z>+Un?s_9HoTqNR4$rPAk7e&Jo??-^$F!vC z<dVNRLi2-<uIfFVnjOL~c=&l$n9~!JmZvxF&(UjpDzF+<ucZEdcyqS>^6tc&A9Sh= z15TX_zv`Ol$Gsud^!CvWHzr<Y(n*V0*z%wEr^<5H2%pyxJA>X$64Z?pPHLGNQZVJ& zmW~5TM{CU#TW5Y%6~EiW{Up+;^A2BG<L%NVn%b93<D~aqX8AD1db8c691A&tgA!eQ z(zo9Gd&EsubD5UO>U<;o#Jd$CN^H#spMgsC9qXez7HTtriy?{ciotug6v~Uvx^pH| za2lu2w)q<`U7s{nO!>%#f=19v5y8iHJpzYg3O88l9w<BV<ETgHB2%{6!K}9hAMcVd z^x4HQ`*PlmK8gEB|8FZaJi_<#n`o=WiJHtOiB0^{4jMP+aENYk+Z|_?dGm0p+k(_c zftcHsaa?yMEj`S^!Me}kPSJ)>pN<)9x$u!k&NzGhjFu^po$ID;4L1{*$Db<oN$Cyq z)d;s#dBZK!5{f~^>6tBUTbj;hGHz2oru#dh`Sq!S{i`eMmVR{J&$1(zA<1mvPASt_ zjRiaM{6uq>e}B46(r}9%MCXsGe%CIDLG`YE|MIHuB8~SddK!CvFIPD8?%c{I)sNo} z<gcD_B5S5#(g}|Zj=~$X&h{vNvfzvhZk%8zHjC{g^P#9yOoh?B3g5$|xLdYuSM}Z{ zIN|rJ`AiFE-<otcZ%eYx%y1J{%g_xy?_K)hr%7y@H8a9;>uW}fY=f+?f`|V|m`OoG zRIFK8CGB{&^!);#<MEkSWA?t6-0f%+ae3aW6W8`Cecm8AX~vugyOld~g(mC|W<K+4 z?}8Yn$|-ODFvm6*ai*v=OHO;cFqJ#=W>ca}P2~pP?%SF7>r*GkhE%D^Jl!Jxjn`|L zXljMsGS>OqC-|&%i!qqb!y&rJ;m$4YmSd~Il;Jj}!uCzi;_p{*J}Rya@Mth7UgmJ; z7&k|V!juiu*3?Oa8ug^#xLxY*$Gt&6EOy2D-zpcLKi=ZvEH5%Sn6=wP+Mro~(%q=8 z6t#6m>h{a`hI@FvZ2QELeR5K6i^vL%c`tQ2Jf83PEc<V^43}iw!9NT57!nWa_nppU z6x;7w>w4smx#YcrKkf>p%DeB1SI_Y~TrY5X*U|@$_WSK3KUA(^t*zx3I=jr$U}>8V z|CNdf9%pY&k@|L?3(Wi!t~U4D<2&a3LMv~6z3ORd<IxNje>Fu)jEgPH#$(B{U1>(9 zGBKhnJ}OL{^<}H4sqL)@kZRMV%iQ;Z#1;o<Rb7$h7h36g-Op;RyXR+xiL;(+nm%7W zm#eFRz4MC9?4Zla`8FO)(*Eu8T>kY0NU5d4(q---)6;Ujc;BZu-m944;k&EuQl@Pb zBfro}OS7fV=5F?$#ol@4&Hh9qQ`=9+nq9KOmS6dzktwGVwE6M+*;g*)zcW<YuElXh z+1l14<!0mlOr7S6yS!Y>L7M*jS~5%S>;kCmj~ib*Dw*!i%LzLCsWQ&{jVb@Uw;WC1 zWsW$oJ}NtG>yh%-F!Hp8()z4}*5(2)Y?GRT9y%(W=Bs(!@<Y%tfZx7q!iig@k-ItV znHsBpTx@okCi^$-vHJ@5x1SUy&XT=9E9CNH$7ha8rdOxTl3NK1lC7GiI`_Cw?O^Y` z5^`L9?lpB&K9!)&X01Pcr?zT<*uj6_rewt#NB4qUI6vs{@?Q$3Admfed-BQ`a0uP} zI@i<G_7zycjG)VlPd{-~GR+Rosw$ZY3d-l@(#t>gq)J?#xADW7D2{m>I~uu;)E)!5 zbn&Kd&zH1oa;NFmoa`^FmA`f)_k+8Nl84Bx1x$s)PaPMzy!{h5nKhvQ)k8-m)8oso ze5ok^sxWcZS4~sfpvR6%roaE^UCKN^^?TE@)6Fhf*Op%?a4T8>ihytR^+u*TXSl&B zWY(2{n=f6W_cqvHu(~8G>&_FRkhk}P!o;n+^Rng$g_fy_J_$LP)T_$1&*x&1aI4u0 zhdVBn6Ep&|y+p1ocBq`-;rq4uSh+yDF$?P{h&5kUz5xZ(|7Rz!e9+bO0C|0l2k+J9 z=kuqkRLIF6OlCF=XxyQCvf1U@vi<pU4&6&m4Qu$VDasL|ur5_jWz(8TsRFwfv)p{@ zsAOtgcf*1qY4dIekSR;*XM|i{+*3Wl<Lsv?v*ePs<Wz!;|1b7k4)RpkvMU97*%Qpc zVvo-*Ki24y6}HU4_Fkf`$C9+T+pjPE`{1$d8~Z+<T|4}ZKuP9W5x-F6Zk=p%LqBGg zSB8F%9hFX(Jx!baDEg)Q5eM5pFC3M!`%m8T{UOM4<#4~8%BD95GS!dtcR&))wRNYF zrC!|O{HUC?K(6kK!o;ngmz!V9JJjz0;ihJ9;#u^TUrx*VT!s*HZNwQdg^8)JgR?Al zss(`J>E#(UQ<I!C^S80H)JRS&krx1`0f&kSCvK%iO7Gntt0Y~tDq-U>A=dw!ie~Sa zma}N8AUqA6<)5X~P_<`&<phtjr>01qyTZ*i1(b7avW-kr-<&B0<-IjND_<H;d+DfT zdiu6{@a4tJ-#IFozI@|pYTGssln5)meETPX68_6MC$D^wcydSJQ~k|qX#?L?pU(Wh z(sSkt4|9+F490&M()*<vFLcTOh(BuPbbx=uueWk@8I>NJH%(O3a=S9~V(7ta;WyW# zc$>O&FL)?D+7YRLLE>^}{EFQtT{g4XcTC>VsgkuV+`RMSp$*qRU;Aqv`rf*B-m2~C zRnzvCz0Y3u`pU}hb@QIvJYVcL*Xnr)$GTaSD-%WevjyKMOYJp!K1JVo4YzCN#}MNL z)4K+xS2kRg-DKtZan9y)7vJ{wO(I8wjcdOYWLbqA7C9Qc*<jH!XH%a*8`Fm~9$qQg z@qC%ayje#DP5&=4dSo(DvukzQ=Yp&sLX(!OIextIX6OG6QfA9auPo7+H)|z3&+3-} zmx4t6&;IF{#iy$4`tgcR?~0cfxInUV`DMzY+7I@CtT0)$%sWd+#Q*F$cHZU74ku)F zJz5z#LF(5ko&{0c`wDE0X1%$l$aZB)3csrB$2E6ra-L2xWD8!{z}LJ|vn%~3UnJWr z*{n%?9)UKd-2Cfg815}KmGt{Pc~M2yvh5*R5vPuMdz3O;q@G?^s(ZJAwUb$Pl~32B zNZZ1gRMUiqTOQ8=xgpubxBZwU$ccR`US6<Rt}$;`=i~Od*Az`PyOyV&@0i86(lgM; z^tbz!k{7)oSy^=+>$j#_MSh;%Q1KJ9K|%NTKkxEo&i6omY!x((jcQ~$8f;wns36PA z=KE5Od9y%*L6a9$WU0v+&6#z5>+~%k>kVK*aKyPic=H8CQ_imCX@xD97m9*>by@iE z%AM<M!A|)PbBeW`*_>J1w=Y)%Iok8AW0{2@vsH;ju*msavi9+otyh1Pik%lo>1|lM zMm^^I1)qIS+>KUfzT{in!x*rK#m9D!7sHEy3Q+Xi6Eu}w_7vpem+m1e7k>D#>*~BP z4&#|8X8vlF2ne3E&p*&6`}?V={FJ#b1K912xpEhHi=A*_IO=`ni}LNw3+kRutx0g< zS)ut-XF?jo(amM+Et2=BHZxi6QS}bAIbCM=YQhgeLx$xRwiiW?ZZ6xuCbeGd+q9Cf zvkr{^V;PTc5;?j#=l<gMzb8KLHRWv4?_M?esi5J4Wh$qWyB<Ya+eSv7W?-w1vZ!le z%JRAdR^6|&I5YLb@jo6r_MKGw+W~UqwPhOfwt8=x_WM)y5`AuCmYc7y2s!-d`<2e+ zdgC=0^CU-KPc;SCk3t(Nf<grf%**vRPb-L>KKY#+&k9Y?Y*47JJRWkb?_!S=qwFk@ zJ99jzHS+bJ(Ck{i%wlH|L&XjzzvO9)Dzd)yta$lB0>nC`d3fbZgFrpkk5}GE27d1P zcJLG^E=yh&WWCD>2c<tTf9bHxius^G{&Ho*EWUh05c5&TEWMSU0XC+W`(^!je|K1Z z1;=6EikFjSfl|xg9S^URRH%atC<CR&WuSCZtDI%U^BcrkdAWVA+0{5({-#55OmAkq z$niA!|Krq#^pgv=zn;-5ckuGH(6@hXUAXT(vune>CPvGeM=zIYELZqZ^LG9=voGQ| zCTbl@k(f~2z3zkHR+}%U_Go-IQh(N;dTrT-`S)X={e4|_J2YwkqSdc^)5TTv4^5E_ z;IP}Uk83Ued+pD4^X?tsdStI1>A3sDTK;A0!k@W3*|ouN%1@t}-^I(PS{4fLDx0#` z=GFau-qSnxMNRML{JVGBu^-K+j!8`uVs?vFSRA)3xrAA8`s+i-F6^)Teqf!E`n$Ee z%0f!l?%$C8plZ?0vJW$kJ^gof_buD6n}3J0$rrkmn)rV`6X|j%UfhiB^W}Z9WxYEl zm%LqZVgKEj#PDt-^<QkUcFPKP?oTh@9cbS5W4D!?zu?E}T^kg?EI+$orjhz9zSvtP zJ3^*Z{(l#n>ZkMd*^7f$|J9w2*&V04ukGpV#y!7x82z1W<z_DUu`+hWos#1Re2>%y zUpH(0w=>mlN5mA%jpsx7WMbpr{$JvJZm#;h&m|_4*^1|NR5a=Z9GhM?)v_@BRaEG) z_a^2yQWw3y^mMWFeH)4O*ZEc@=ovjwGbn3iO%|@~ELt;*L;8)2gaJ2i#L0|Hd$anj zOpcm+h<&r{Kgzx^m9<Uwo7v9|nse$->7RTldgJ$<*9-54YkoHB@jGxebW%=1=t2(d z6>J()p3Ryhqu9Wd<@IT%wd==UzM6fL4Ye2e*la!ZZ@Z@Ho8H}OUuM3PP5ftcpmOKB zEBzX_O%;bETim(c`+W0^V&{qwua0`rZD7rtQMq*8m0;(|Ke<_Z7P9s@Mm8P~bBJu5 zY`^k|i5JUMl|wc;Gpsgixh^>DHdT9pjwko#e*KA7XT2Ux$*s5^8NMp~*__S&Zw1BT zpUwHi=~Jh-P;i<Z^DRc3<#uu`t+^eqb5$ipdkhxyWIPNNnlDnQB{aoYwP#Dk_OC~m z^FIH0@sZWb`{quS4%VreEk!BTsy^XuhUt6j%qQl2UC3~%X|kAx_5~xh)b*E`Zgkx> zd}HT5v!ZIMehvRJ=Y0;0@nHu%|2YO2beKl^wK{Uo7g`&?SpBrb6mbpSJB#*MZ8Ldf zq!#fi+iA`|7p3br7Z<NTW0@{BtL|Kuidk=%wPsPvRz<cc;XB2oy7x`m?xn%t>sP1y zN9mLHocneB|Ldom3OyttxA@i7xxZ2hkDjcFXz*(b)?BdRcj?^UYol(kJkndbvU!>h z>(YOI)j_8(8MoP9TPSL4GetJNH}}TFg>R(qZ#e8c)2{kPvh21SyUzz__(`@G*j4PP zSNZuheE!b4E)l|c7A+$0KYkHdRrpRUV<F?~g4J!G^eWpbt5!dLDk|ZYePmVU^0%`s z(sz8hem~A5t-fm#yY$8P`F{M|`F7<Ik$)ZLg-lztMf<k>qBjdq=`Po4Ne}xbDrH(b zwJ+QG|E}0MZ$eYVwh8@NbWDeR|KlgtU1ttmOJCt$vahfCe&Ht@SF8B6<9Z*Sd3gTf zJyp1Ano8<Ig^tE-=l|bg=j8M);$Cy?z`^$xm4$z;*DzgkkuZ4pMNqh?+UlMD-j!$E zb#F?rz0YU6?zYu@{X)(eX3SCtCF+<0_Ox-DmR#JKd}H_a*f|&epK$7b(Es&U!Ut<V zIhFS*3%_frzTuLc`!L6c;qi>xsU8u#%)UHdd~^QgqdFoi8%sV|`(<s8{ybmpspG4- z)Wm5Dm#($_`}gFw|D~!qKjvRI<YqnCHm7!NQPaHxvIj5DD?BylGQ0LHclmSu;d|y4 zp1c0?bBWEOD)Cb<MZ4nCFB+$w`*~7}`CHb7xNCo&_@?^Zk=&)$JVjVA>=5sd$6hNd zn)}is0-w$Kw{#J^m`LhB=eeJsl?d3+&RY|>Ih1wExBT1}@tb{;Ew=k4SDZEKeJCS3 z`&+(3zwj*a=hDw^eC53PHCT3YfWQ*YcOh%uiT+ovx<8|}DZ$yVdgHI?kNejz-qd`# zTEw=XI`G*X_Px7<epc@Bo4;uBBFox+i`awGPR;quyX$7omQ0q^d-pf5KGSLKcb#p{ zBHP3IllsJ8t$1|e<$BFozY4dWTYo*!e!uJT?XNQ4y_ovb)@twko*<LuH+nuq{9x7o z&&I{L;P2~#V)lStTfUgIZh7L@u9X@oZu<J_ri(UK`s#tjE2LcQCi;25>Q!9$JHYhK zbIqGC;-r6huU-3NVNl(oS*}tKw=UeTZrRv2WxA5-sesqH0wxw~k5-43w|JMlxu9IJ zx2~$WPgdi5qurz011+;l<R92=^D6xla5wMTi@HO$S-vkeOW7WZ@?t*vE#R(^zx>7A z$=9P^pII2RFRWZ3PxRXCyimSlD{JoTw9{^t&AKG}OLO~XzSNGLCB0A1toJH9cUks( zk?h<jXU>P5KiH7kaPF?D>&Lum&2x%jn-`nUnZ<fHFG^i;Sx4mt$G(5lO2V~{#t8os zwh)bAHEr2>$|q0dYf;<U`vJAqu}8JJqw6;;d~|<tve>q|%4E^6!LoZ9Szj{MFP*-i z?|HC@ezWYkv=HXvM#ZC%s+aev{jFdB_`$@+$^I*rq%2gZ*uIEu|Kle<rR;lN>Ggl^ zeA4YA`K8oiXWYa{4UW8PxiufG{mzA^u-85?c>Y1_={3I4hV3tQn0<MF@94?ajfvVE z_g2YWdr%&K;iUb`iu)h$J=AMiAEH>dx9?tY+o`u)8rQw7LYFsBJN&joIOWljK3Sg_ z#@4yB&h<t8P>E^TTElkdFZZg4{ns}(CpOI5cc?9L>f*MUsRv9x)Hlr&HF0il-xM|T zv*TBhjK_DDGlk|>iSzmuNy*K*eU;(zvS0oTL0=9FPO9ymdx>Su63GV)UbkPRoS*y3 z_2TNNgKHDF7Ku!@6Rj@YbEns!UUHh=x~d5c-zWE-e^$;D+$UJ>>+$`9*pY{iSKXN0 z+VtT5%B7pP9-AbQI891``GL&ITMDmJiUlPue%Rk{^M6rd|KF)T*|oDQGL*W%<V|(U z@8C<-zm)$vcfzE}HVZd|p6p#@wOtNUYTxf}x2-EQ(fRq!*!82<%PZb(SHIl83Tj{0 zDrec<T?cCIoY`MuVVbi0x|oW_yje>H&;5K0ZeL&4m$n8sa}!;7%hiu(f*RkRSrv!= zFMhE_&hZ|@8qV4>myQkJ&NoQhdwy+l?K2LuBNL`^2q!x5oVC28b4KXTe5JLVe{);R zS9F%E?N#`>agnkfw=v7av|P!DQAXP*b8NoekaD1=_W3^E*39=26I$gQc{cb7KfEQ8 z70@D?<Y08*rQb@=z+F?ME_Gdv)ZkcjbNAb2A>|v&zQ&YyTq;?*iJ{&?IYHCB<YeR3 z&&=|3m!}pS%P@~Ox}$Q*y5N(c>&Hubid}r$RsVoo{$9H+`0y1eb+C_KT}m{)zT$vI z7PJWn=}=sr&bxeB^DI!i(PB~CZ{O_x@?TRAfBPG=d;4^kL{mxqdz%C1ERjB!X_dC{ z$F8Q?uL^^kguA3{W)u~5DQymjS^8j4U!(@dzW6}1`?^2X*qkH3ey>}q%fC7G-v1Zx zjFg{UPhFz>S}sQ65r3)T_Mh&}+DHD()ael6?B8&^k5QZ9wyMQbOV`Z#0i2)pGJmh^ z%XX?i?KaaxEpm>Y`;3EZPyRTBOBJd0+XtLmQYV&Z#B(CCUqt9Vul9kT|5e{gT3PYx zPI<2t9B{eulcs0>l%%6B5l%cAKiBVS3ZAj$@Xzxlcb}a-GtF}OkNOYA=|SOMy`Lwr zx4M+fU}kPDRhd8e^_pbW-^w~4*(}6Yu<VI&U+=yA*rUfn{j>O{)K4gx#jXE$diYui zYxfOzoyF2P%$6OyJ15Ujg?*1pSo*>r6Wl8EFB)<mwa+$lTE=KA9TWJ$Np^2a--}-b zOH|}%22bI8>*N$E_eM0cUg_^F5w*kbd8U+m+-!cbcXP=ZC&vjZr<t9uGT-{OvFNSB zBprsZe>^ve7uMCx?2~=-bJC~R`K4c?*nf84{%U$^&cU@@lN)BHHf&+C&7ZdB*X8ps zpKHzhW;L@VQ+CyH?vTZPVprF`4k})0vHse|=K0$_Y_hBZ!nUqhv#OxLVpiAnl}d)S zJDQWj1AGr;Ez5YgHME&`MY`W|4cCvmju!lAI(d1?`ISF~!^5W4#=kvfxpAWgN1b<I zcJ6<5&z=o!2O4BP$bU^b>Y3Pe>E0geGrCJ}?>StvyX)E_P-J}yn)!!MR=4j7gZC;% z%lBgY6`%K9@4i3b@I4(twZjc_<X>`K&Yio6ueI{pib5$Djr$>sw*`i!FI4y;<$ANL z>+YUMx*-~D6E_(gI%BTz$L;TrFj;Grhn-oL>7{Q1{N^kDoua?q_xL8!6^SjAZwIlT z&I{O<9Lavld5XM!@UOeKbyrsQcr1T?<KX#v{hu#hIz(PwbZD~P)(esw?*2cvs<vw1 zr@w`7SGF0caxGpG`C_9hH$R`BoFeNUV=cdL;lWeBvGG2ezN9zgmT|V?QHg}YUy&_W zotcYfZ24sVtJO3$$8}%WC!KfCMe<(Eev;Y#()8PI?XSDrcuy`9lzunmT^p~uVaweO z4^@`^G|#bkne;OIM!_X%k?R{81J84OZcKc>Zq=0rzWecacJ5wu;he7L-CGy-8(V&s z7piE93Vgnf{b}c<|K3)&E`Ro!S!}iZMV3n1|8+*{&o=McWXSW)MJC4C-auX=plq4N zi4#u!3Xj-6UwptkInjYHe(SS!hBKH>^fwCfOvrH7zra7oi@o)0!HYr-?)-J1dh@j| ze?RHeUwg?iEwSyv=G(cB1`dsrw+F;&@QJKHZ}xTCsXCqH<<-(gN`H7yo<5}G7*+pU z^5N^Lr?Z{vr+vuPn)uDyvvY%wk%ihBnT$g+Y9=m|j|!??YsiS|-laQF@yC)|uX0;9 z*7?3TFPOI?`H20Y5XpdcW9d0h{wxgS)7)kr)-v<{1W=Qtq{xnQ5u?m<4o64hxKml} zcXbNCy_)<Xx9jwwGj>0Vi|2k87d*zWxJxkcpK-#$^<L+0my}rXdgre_Ww5});$_Pr z?YOuTR#9Esd9xFf6WqPKHmNO97hTt4{P$bp<#{r-)86PT(U_N6Fi+Kevty=MT4YIk zn)cpbp)F6>C7!=Jb?cioA=5WL+Wz%%|BDwX>_H5*;_B8xQ@-&975b(cRsS|fTfJLt zxsOOx`g)tRQ*(;%-F>of|JpOZr%$mooImxa!H-Wz>jU>_YMh#L`MpM!!HU=^me0$z z<Fb=)+I^V!FvG9%lrz_J8~%iz8-mj++4g))iG5f%xmE6^(~`4E3OyCi^SV;X@{_H7 zmdiLWZk?8L^Zw61E%TpCUd6B8RdH5GW>(oI8}7|4mAvVhYJXazzNofHznWCedWF%# z@1<Yh9x2{-l@AvscgG6<6TLa1wMk*=wK}&Q_f*v@7rRUU-fm#(HQRYoy!-?w?caNi zo{PBVR=X{Fs@IulwW#xU+_O3J7S4$j*VE9A+uygLDbGP>|MSWd&lCmg7N(>wRM-(7 z_-(UBu)#()7yH=#OF!$@pV9tx*D8vsD)pSE)UIELHFr+Y)84ts@DPt_!kg%lrT;<{ zx8LUYy_1n^_N@npbj}Da@vHdGeWamn>)M@V9U-3?s-|u_B>Cpn$M>s_v2M7#{Bnz< zV*8D~8=9vvYlhtBIL*l%<uJD~WDm%QQ;r#u%0FxD7Wvg}QjMH6`K5Y|_cBn4p!3z9 z{qV6l8(clbx}r<|Ubf<^Q#TZR#=`t-$KHiUPAY2rR_(cdb(?1Uq8Z-|BG&&_ym@hh z`rZz6RU7_he|3@68tc0CCAnOw_u@C`OWu%A@(-(A_wCen?PhzI<OdfI{?cjoZnnSC z#qYje*gvXm>gh!s#Ty!37;l`j<v+#s>1l!ZT*;^JPnVY5YTkQ2%$I{-mB%VQahjmO zyCdf=s0Mlc{H!KBSF^$TnfB5Ci~cVkSVk=f$c)!ob@^m7)4z5mKfC1`Z*9MeY;N9E zxaY!pnd502Y$R9OpL@A|>LthH)!WWk{`Wrm`ngs2hEs_S+zXQ5E_H32DAqM)`r<_! zwwk)GV{V=2_t$0mK50+xh`U=F47c=5{4@9cs-pkbm$c=^Or1ODQ;z$);(z{&uKKqh z`Qs9<;*l;GrpEJvoj-W>yD8in$sn9!B(h;wTchEY3r{On?>MIx&7C^+WX-Ed?(cO( zShl<D4`x)-na$&2=^6fNuW2>QPrhlTot&R9DRS?v*H_)YK3R;bN&WS;N2QMq8`kgp zvytbdQ~!f()tl8aZafZKgP#OV`DP~b%uO=vx8+U8mZFYHC!g%7PHDXS-ud&=BHqZ1 znMD&Sx4*v|Dp<3`#kgW-Ym)-AdRPU={KfOr7b=`ETk*~K&==u3eVl9!vnJKFG#F(q zustJ|`cJ0wkz~-tIZ3w{oGdZRa^^1Om^azq`hKvb@Zo@&t#Sw7pS1Wa^ss7%a^&%e zJXv0syaQ&`bemo7kw`e(!N}MBKW?G?iPkxfx(*sR20okf`J*JSS%*xb6i-It?%(FG z#QpyBotpe5SZHc%Q-X5sNpZ%Gp9_v$I9_g||1WLo@&=uvC{+!gna={RuVfXz&YQh6 z?3IkJW|z5{`Nw;Srm||eph2%zLDM_;=YR*6U%o!>=L;GlmI?ga`fU$rSoX6y&+;}s z_t#7lL^jqktIp85@Kbi_8MA;SJLa^AIeP99sb&h#%}bUlrcau-O0nI1IjC-wiDUbC zx7^fz&Zld0#pV=W+y3%-#7Fi^moGhzt+~J9|N9HIJFouNe6(yw<5Y8N>!aH&wTx^I z)ueRD%sf%mXS&e7bn&CS>lYs_VmO#0F~M2R^vRr$w;!25QCa>+vArrzKg+*t*|U6^ zZ)W|fe!>$M<#bsux*g)FYIx{UX2ajO*q<$ZVe2Au4r=RnUw+B~s#Y>{PR#tXtM2ZE z$!DCOY;aq)Z@oa5!Pd5)-}!&9iQ7Np4a*XZJm)C^kKcv`>hR1i+v(Lmd9J3{_BTEo z_pWI^?45MaFe)_JRUr73&O7m`9o;2ccFfRhdfLr+(<GMnX7C=<tP}GdB_HLzc`~aj zXBx}3*^(;d7Eyl=6x7)SJhS?_@x#GHul9Y8U5}=&>*VKM{qn=fb)Z(Me^$l1M9y%r zwEK(Sq(m2|3vg+s_}c!i@#E{=;FQpC$p7Lq9%hHitu2MGOMi6-%(zpq<kFLpl!XD8 z<Rvt1X1hdK@dO+wcg@_Z>hwgo%;w5X7k{-imwGqt*gvhU{>sHiCpKCyz4EBU@=%Y- zf_~MPytTqtrnT+seDCe#eE-OKZ~pZ+*Q!?bJ=*p<qi}stMSq{zcfQt>Io-c)j|QI6 zdFL;3-6QyuoAa!D+UM#oT-5aFmS6Yk+CkB(B|U*J7NX;ZbFFfZj9TkHBe31hcJ*J= zG`~a>z$d$WW*yV`QeD4X>r2DaytTa{T`x>cv>*D^EHV0!xaq@@h>4SJZ8aKh%B;<7 z`XOK_zYvs{Wi}hQPpI2peAv-|*}%WQX>z%fhR?~wkYkw#78oQisZ+K5?os*n{)-!q zT-D4UDkisbd%g^ra%j4O@|(J(1Jl;O;F|kOM@{8WU0%bd<k*#>lfQBN-kJDZH}oOH z++r!E`4^Q_<Md_EH7-q_v#4Q;VHXn!i!9eLcz9fs%VVL&gS9#_9*wU8L?#%y1vWSZ zt`Jr4KJ>_a^`ZmOhkQ5osNL=i^s+Thvp)DaWYeY%Q@_8gnQX^>yVBdoU4#2J=e1Xt z{Uzns>ag|qiK)wb1dFWKpZ{nJf5E{gWhY$x-h1+$3!kbLvqkNTe`1fMe58zV{)g#D z@5c9Kyhs*wZ@F5>{7dih)V7>utK4q9=PlUvG-C<3+Q9~qV}h?<o;#U1dueog@t(ua zrfV-aQ=gNgtGz(y&+84-&Y!S7XZ)$Jx?NLNZuR$`fWG|en-oqZf4%I!!sMoG^ugm- z*QatH64%h<F3!pQT<UsrNz|eG<rd4Y9$^Rwp7hnq_2Vm3nPv4xHE+(SNiF?QSiSr? zQ)+w6_aNEa+w&KlIX#ENs&8klPfkIr#J!Dq7pBfMQt#TnojE8oyrZ(=&z?eqi)+v1 z&ieOW`<=1XHJzjrmYeew`fM1&3VBtyWnAPeS^o)See^o?XVxoSBVM`HXEqre4-T?j zoZTl@-dl7@^W@^Dm%bKHS-C9CvOLB9i7c&^V)AjDJad0?GphuH&UM~`8At9tP-$0x z*ud9$Yj@eyPbnb<?KX`}#|-2hGS3T7(VeV*@_CrW=_j5>@(&qwN>)AI_dB$bY2Vd2 zv*0OZ_6L?EALfaxw%g~_6={)BD8agBjnst0`)2Z53g_Dd_+5%Wv9S2l{3ROPwoPmP zY!wx1iR630+k0y5or0H~8FI|a*NAQW-o4l8`Intuhpm1;%36QM^0;~B3-PO5x=HuU z4?Xy^xNCacQvE}7G7rS$)!ARtpW~MMe%HgM!`6Yz*Sw914=nh;-d(pi=T=Ppq{_Zi zhi=Tdtk%z!#&FP3o=f4W>>2ieJ-07#UGaH3bvi@zXUl0zHQt&EJv+UmcPnez_KM|? zW=zU0ydNsVKVNM53J&c6wiM&z%N469<=-$%@&A;1pzY0*yX-d~D9m~Cqx5*2mUhJ@ zEB{w>y7*Zi9N~*P@G4;16tM#x`;u=QIi~fqp`XWM%1S}5Y@2Y0mjM+^drY0GQ&)(3 znSFoo^A@N?;abUP$y06q#AlPEyR+Y}06EJy`u9#$b@~Tbsn{JX5!T}4)sGk7aF9Dp zX49W*k0dTADT;~jRiDAkdt#Yt{)u^u(#%u*=Pt4ib~anzuH>^W@%*w=8}Il^ekp#X zDDr`gb<Q3R_s}SYYL3dc)m_Ciu2eX0?mo_=zTElkU2~_0jh8)nr`(@(eMj`|IRS@s z^3OOqemHxo_V>&gg3}(exfnnD>r*&qx0T46$_9;B@r9>ignot3Ne=bS&wTms@Vwd; z-|C9*Oj%Sidlu7dM%%QbQL*XoDw3~VV9_=<)BgEww|(%B<5p2J(wl07o7u(6-`>(v z&bxH+(W)6z)2!LvJnGFpyv}o1KJRX||Dj4!WxjRJ-%Q@j+5;xvoSxSfdvY7c?gNe~ z0qr)aF9o@et=({TiskZ@fZKJf?bl!QpM1L~&UA5g>baMDclq!hoP40@{syMS=Jq>Q z*~(ATVUwP7Oor>hvNtK`xHP<tdX~4>YnMi9aQLlCs5&c_bRhU>VUKU%9&3J?dzWmT zEuY;LdT=2+ui#RtXWYWzD-xH|Z-2I*`btNHMbrMAj|R(SjVtkQJocS$Vmel!8?5m{ z``E<`m7KqQWv|~h-p>0-=%CP@J9=N<bk#{FiXNO`wBFmS$#BvarJa9Mt)fKlg*}^7 z7j^OVp>LNSU1T_ubofePXl;u0#>>f-cfCH$k+`Z{v2zjI?6<|6yeCH9@cQ80CH1Fo z^5QGg`1jpBck=kF6SvFj{nYChK5poHdSH$D1g2}b1=6<?syBume&Vum`lg?tsScyq z>CgH;zdzZ|>*-?a#TlI*&-h}h<#Ux}X9*pr?Tu24Zgk4%&Z!UT4B6fseCgf<ms5>P z?-{&eyjGFN@wfQ&p8e(JGlh>{ekzz&KC{@X>pH*0=F}3$!(1*4WoNLq1!|w**WELJ z`<@+n<uir-w?Fh)9oc+(uaUaY-o+_Xn1XX0erC+vdX}wf>EFdK?A$HQBi`5VmQ1bh z_`7Xg*lgY#QxD27I(d4rbG>_HtW=Er*)11e&)`0j!korD>FpieAd&Sm8=GIR<nL|r z6)9bmEV}S!hKCYY{Mya2vfHMzNAn9buWs0R(O{Na*S1ZEv<^Pt|0q(at+;vVU*)z? zfo370$|Lhuxh>RE{AhG>NmYpM$0<`1A0C<Xe{qfwx6VN}q1q{3x~+F4bz4701!~-i zoT2P7dym<d^_{$57GL#AP0ZU;S+*tDZsV@K4Sf9zg%=rE*Upuh*V>feAGqz;^bdJQ zQy1=tbi5{W`@8|ejPE=dkG~z#%AQ*9chTqZS<73WS2^xja;Ed3oWx?ct2{nTY10@l z9|)hKv%D~(%HwIC-L(IUJzfgh1pRzx$!hrE=A)7eJPS%{j!eB<uTZ^z@nYM$qz}re zEk_r!&e+X!B5`e~^SLL?NApj3mRE0d=lVChFY<+8O15jXvG0t%O`8J*Y*zc7<ZCmC zTW*oA!OYC?uB0g8S7PWr`^Y)#y}GAgESRzR*z3(YUpW4`>9&cl7JOF3oW!uoI)Zz_ z7XI2rJ)!0`>yFPm#bNx`lH0aP=fw8;cbA!b<VY@*VA6?YyTZs*dTHD9z)-&pZ}s&H zH(yXbaa%e4qj?a6^A=eV`#_m(XEyI+JhtHGqkm5Oowpp-)H+m?a6o3+6Z4dOIp3+a zt(%sIIF}VYv)sfuhtHGsyCs)xUfL_`skN*p@Be(Us$=6@A@9h|0UvTV_n$P4d+5d! zoPX2FuKV>_r8PVf3mC3gRGQX$rRw}xxhkHWt2q3;Q26##zn>oG9=xpCaNXSS#f^;G z8Jl*gNeWJrVg4p7m%88J4bQi{yt!TTmA0La@-^IR{qkVJj|J+B^{Q?>`EvJE!`EiJ z;Pq!Z4~V{!%Jr^q%KD$FU6Ryw<J}RF%f*il7KGjJtd@(moVf5t`|+%uQxoFfo9!`U zF??|8Yv_ut4$e;|3NMYk@h!UQ#tz@(Iyt}h`23vJ7a6lnKC$#>L&B`MH2?HO>w{6( zTiIWE`4zYsNLa6ASaesTlX)7Gv)4>>Q!YOF_Yd}#PK%qyr25ZfT2rdc<d{26Q+{{e z{h<GnX_^_cNQ1xCbm@X09ohxj61Puue|4NC{_=%Q%Hxwk_O<<evKsR*_~&2W$jn;e zckz;BsI~L+N5@xP$#(j`bGgU+N~<Utt_D#t=Aw0BlDoZ+>zomM!t8BkFKcBW$aaJw zWcxJh4j++~>DxujPl%Z9JmJ)+Ss%Q-j6Koj!52<zjo-zZwk4+y+|Xkb*jv^+rIAhD z@~JRi^pDSiJiCA1Q!#(Q*FF2%=6~9yTXqCb5xiZpWruXB@lR)2UB2B7Qze<rHhlCs z+~(;vOUlgW+mhXhho8*6bazAIC+ACdHz?ZbEnLO&A@=zu?y&h5wP~TRD-R#@VR-KP zUZb^hub*k-q3*r2Cf&Iz%vI5Q%6~P#;=UN4vh&6FiuCN(7BMlu|7u>E#<@vCkK4BC z&9rH)kxhHVL7hxdE%UhO_J4tfW-ns*iaAR4ua?f;a&MWq_*ZY`ZzeXE;-qe}{`Oa4 zzCD}mbkLiwzv|z*wLbW6JTkE%aoHT{#L)8&K{wJKeLS^I=SxIb@Oy{I4^vmFuM|p4 zoTk5W<HusLXLYXE_6EBzU$9UvVb6D->aeLl8>TJYyP&gT%?yFL2N%gMV%T=5_{?o{ zCq?JIADt{zdgc|0alPw$(>|rONnvv1CIjyY*Iz|yaD4iu%Dq{qcb)no^=xA?#-NRx zTGYMo|J%G;#on>%MuHi4@ty!HMKO<8g)LL#nS=H%&_2_0%E@uUqq(hbSt2u@P4Qzf zmhAK^7voB+PD&Ho7OVeN$Ku4HvZnZJOLbySRE37gq$gV6?|A&yT}&@!m)WtjL~Td0 z)oF>=+?B7FZ28rpDq*Vnldt_s^U)c?Cq=_FyUdxm+JBaAm0IQ4lDdtN>$J{m|5SBN z?&l$@yc3qLUF+6$<DX;x40R86t-0-us*xxCU;kCzF7%|fyH{4g&d}`m$v^H!kGtOd znB6MbRO-EPu7a%d@=h0n#Y`Tj59u7VpUAu^Kw-zlg$gG`X6uNs{N(WxOXIB55<ArN z;I3iTG}pP(i=4Tdew=z4HbJCkNmXj1w%Er=mh`vUK?hb|oR+fHGjLB9GrRJ9sl6AX z*gROO1*|$}R$MFCnwZV{+-}069i5VapXWcdarf=|RNp`AXgdFM-@RwFBQFGroX;${ zv3*b2<U131xb!#QNIjqVv&?3>7ti|07ppC9?{Hw;(^bOd+x2Ma{f8>-lCplE67M{` z5Zt?A_5wye$#ZTew=H|p{>wC$vpYDX<)nc^yPtB=wYGmrfBJZu*d{JE&}_4P9{Tgd z^G_0Ui{2>&yojARgHPmu52O0)i-)?S_C0=_YAch+!Ru%A`r(I%{1%bB@|jqBCQ8*D zowT3NuK7@u((?biKmXA(p09Jd;$9h>^a6%myZz2B(TF=|F(>8Rfy7IucZKtx$n!}k zTk`O2c)e?~X+~qw&MT!Sq#6=q=N!8ssJgH@HK5{KiH`QXXi=$qp)xB28KeU$I}dSN zMagI~T<emU;B1*HRwI_C?qVN$T<6R_XGaz5yEZJFW4^WBaD1JZt!uG!xo}W~RzSXZ zxX%jhvTc2R^%D>ANLQ_noMG*jHg`d?*fzlw2{rEG^w(LolQa7?J4$yw<MX+Gr;#tY z)2-!c6QgoqJa^t%n-9-V$>p<1o7(7IiSAUX-V#tzv`+W7S77yClk~*bjh=a;K4Fh8 z>%<f+c)-mkawhJ%;4^n-vH7bv9W>+?7u*vzeN(^&yVZJEbM^`)I`ML(^~JsIinqJ) zD88@cpo+u<rW5ANfBFUPnReo#%ItiL_$j$L*WcLeby(=~w|T|6y%JoK_g5T#!p|*b z9g?l_e*NYz?OdN$+*+vm^m42C>UGw4GtTS}wg21LCp*j8z-`*+v!Y#-lW$zfDGg`P zpC&!0Y3ia1*3%DFxThWVEW0M_w`nt9`_2&g>H3=tP8;by3rtCzwtVJt7k5?mZ1HDW z_xJhE{OfL&mS}x2_iJ=-G3WlM!pIwSQ|<}NuV0*dM(MTEno5HOy<06;oN#g!;4Q0G zkIB}ac5&LCi3{G&^b*$EWKg_2>f7?8B`WeB6F-@HTKa}8f7|d$DsWxjlw6H}KC-SK z{FAS|*~@k9nW}r##nP*cyDHSWHpmz_ES$Oi@1#A>4nJ4%{1%s;yN!|S<ZkUCt|tGE zd;a%)CNj-i9rWzVUy-A$fAgtFewX#z^zLwC{kiB6=eNA)S9(c#Zn2oetMi-Z#Lq6h z4ZJ>LT*qSdC%n)RnXdU`wUyO(UY5(Nt^}oReDAqwv-7?CYM*y>rX@~$p13Pkc8Sc4 zMJeZ{K6_tnyMNU%TmROTwqL(D-kGIz<}=%tfWlY5?t1;4$+Ju8TH7_N^7_9eLC5)a zerNf+#VqFy*E8Fjlj?3gU7cj>Cw61$+pD!~A2bt}%PrT4vn{hYS@ou1($^agRo*}3 zQ@7l)t>oZ_+Yz6h?bLNFygTjL5-?Wdvljon;xP}GZfr#5gNV>M+h1hde-rlM_N;rm z%)adZuI4AVPW-rEuA_;x+?C{X<D4x)*|UHCYrHjW+C{U^p_===-IuTKXWYIj>`yX> zVvE2ggX%N0{vW-!^Z4D+spWNV_XzpvIc@2R$p2~4thBa?b?qX)gWN}@-+fn^sG`8e z&7~!^rYq!=TB!RY*4dA*X+~JFb&IYwx+vy4moG5T!Ie3yJ9uh^Zu9iCRo7lr%sjvO z`}^JB?ma(S^{vi7?ox@}=RbwlZk@N<|9n$k_1mr2<5oYq%Eq(b_I879wV}dI^F?pn zlZ(V!1Sh|pxMsRBle79!!zA0HubQu#U$Guu&3|d`W0uy)h`pJKOFxITMxOYt@ykOr zn?JVR#ydP+&w)QG<mj}P)7=M8m=(rOi+kc%=FqVB+@*s{c5{VRb?n(vS@EcJ-@2-u zzv4e#-6u5n;iAOkU9%-GUq7Joy@APjb>ix>zMRJ&rtH7EVDTM}@{;4nk{H_8>WXJa zGk70PKB2Wx@Yc5#uP&TfekeoxexR#SgW!Vvb8N9!IiuEO-MFx&)jvpirkJvcV=vE} zGhedPr{C-Idu?`}?d~<sT|s)a!HNCrUdCm$@Q1x{m^L@|>lOI`wGRT1zv?Hf3s6n0 z_IcjMz~bb)p3R0Y%{eUlz>)ph(VHgN2ga((7v8?#VIQBlE&W()OhV6JL1x9(>TZwC zObkx)9Xwd@%tPgJ;**3)rI!w>STnItw(%3-vCgaUlihLoy1w=HRdE;O9Dhx%W_mL% zSgJU_r$J|bZ_tdD2DeVuD@z_Y!MJf_kT07-k;C0t5$2!wMO>YHp8dr`)q^uveT#E1 zhzkw6(`;#M$bH#m{=#?JT0Ld^-!R3-EZdNBO)fmePFhhWD<^zM&yW18P2cwPbc=Uo zt{3buH+lE+%8AIv{N9;ne|Jx+oO4KS=BMvY`Q1NvOnUJ>>soi{PIJvOhfbXqsqH_c zv*yo+MLTA+PJLYY(k8C|xysCkHqlEI?rm;u_Oy$(m{GEQRg^oM@HdUg^<gugY-G6h zrz-5)y2`m+JI^hDa#?TNhQLFM7d?2gL`LA>uWM3HGm{!Vzmy32@Z^?8>e<&%1izk$ zlx?2E-Lg*mS@DV)J`Yo0YVt4HaBBCoO?;Xm4|MD}-8OB@YZ0+oxwPb&U5L0u{#xak zY|2~yM9F=hk$tnS?&|460mb*THgA|z{3kDL@2Nwl<h8&1hp5itTFjsSYuB-*{1+?M zq`2L7Nt-A5>tBRZ_QT6Af_cFoj)Z$Hyv#F!r`BoWwYj3t=6c2CocCVc)o81C@VBRN z?k=z9<8@-bGJArb1}(j?tuQK#Ct=>wBWeP2`Z}k#BrX+@lMLXz+AQl+w3M^uxVVR| zf{$FH_kug^-xEZiE1jNoN+RNXV306d{^m<swb@z<XU=aIdaFGl^(e!$)K%u9L0XA< z;Yq<uPpMD3s=fH56vH)Xxupj00**5-ZMSOJr?va_*V@C)p_Ur+ilVLbG^XqDbWU3P z^uLPUq^B(t7x$O4>Z~|_XoAMqt8(QX!Ty^KRJsb6vc@FbTQbdPf1l0r{Nt8le<!Rw zCw%=^c&M%Hk<Ng%S?r&q{;pnA_KNwz#r7$gSvDUyPMerARqXN5zueMXzA(!nwdWV# zjP+qj!W{2EpEZ%>dS9=-R!A#5;or7*f=dG!ALa%`v4(WFoSfaN6__jeEWJf%sTQwX z;!L&w4`tU#d(TvpvDvqNjmWLM#?xJlXSQ=jsB&?BZeQ#2lku~{-5{^2M(#`HPTrb$ zvEnY{>#sVRJ-0VAJWADXex<|H`6%Y*mqkmJjIVK6&fzFpvdT%U&1GfmwM(7BtE)_u z_q8u#OHZ7oacSA17s_+RiXP~GnqzI_XE=Xj*wnL?RyPU)cVFDRX5T8kRe}bUk94w+ zoO;E_)*mj`yIX0EyH>vUj4Xvcx?Zcl%nd%-Qn}~NdZE-FE~80PmtEYU-mq8eMZ%<F z6FxP|`;QVPeb&95zG_lpN!?7N!*=h3zko`x{Ob&l0;TwTS})$uwel37uB|iE@UhFZ za<><c-L~%#^ZTMX%Vj%%cS|2=>o9ZdeXWG4if5vyMqJ|w)u`Z~`p?Msul}CRQ^G=d zO79rRudqC$6)2S`xAsWTDw9LXI+s;M?n!@ZYW}XeG?a_A<)_!v$f+9HCuB|-oy)NK z%uro=<Dkmx4b7hCjICy*>@7E#`&BOMiDS_`L0k6~DjJf}7d6G6ChoQTn6G&@@^N#@ zibP+d^8TAaTkp(fP2x$5&UkvX!F!sN-j&FfU*#&R1>8EC`9c>LsuctY<TI(a7G7CX z;rvv?`dn?e+NnUjo3oak4m{#06ME?|6GzAM7c5z3do~tL`FcKw*I3l;y-(SShI^`y z*9FgLoip`;eZT9>`Rf+mn8~%-!1s&m#HsPCUWjsS-Z1S&xKg&qm+*;G@B23IydO5B z^-qOs{4xV>%ZuGg*}r1D_QfZPE$gha*z`$S^zO<FeKLVxw7E7LsQmhRBFg(q^hDD= z!58Oio;hT4vHFGHbCZZ7Su6huaewo<-hAE9r*_lXVn#~*tAro#^d^-`yCp~n><j*W zmNl{DjB<$Oii%_1OfG7NIV|-B<Sc^|OOh=LeF9F(s83F6Q8Cl4X#Y2(HFS$GpJCZF zF6GqCA^$@X#lnK$u5c9&5zW_^GBG~u6<mBTgRybN#M&z|3(Y<lw3bhlTG5}m``?yV z+=|zpZj+KY!~JFb(Wh)`&4=bGKl~VZM#+1&-sFUjTkB?SS?AMqbY@R#P;1jyt*^^` zQ$Nhm+wwR3OrMgU!Y8>MiXRk0i`8Dfz0j3u-N?koA2xNF>C(mz|J)t~U6yh__^@KG z>$c0@Q^hyr<u#p3Hh5rgO#kfaNeOp@x9;1tNQmRTq}OFJeY1CGx*6}3Yi;dpDPOWG zDziS5YfiiN($Gr={&S{Q6bU|0yuakg(x=BJt6dFxXf!ufYPC@4+RhZ)_KOvFS5I7g zxcgv6WtFEtSEhIFBB_a)MnwiE<*px;QT;g4r~RTu+n;TJHtf+#;{3Dk(?lr~9kvZ} z>z5Smm)CwbxtnoM@zk(f<s~jNrZ|<~l-Ok5esjlP^HrD39_-uyO8Tol_u=g))VePG z6DxL0nVIauW*8WeZ@K52-DVZ_@856y*;aPk_4<WX=Pt>dydu5yz`YX(4&JfX5}3)S z%>J3-uj!<}_fH(OG58mm^54A3;AHsvhx@k}fB2y~^#W_+mmaOK$uAA0j&CqpF!iOu z&HGDh7_J|-v^ivyn``RNk{|l!hra&#$s2z9SF8_@S{fjH+#s?&glno(MbBC71!>C? z<d&X_RgLNfmEm^2oxUqUMc+=w_pA0kcyM>!7O#bC8Y|aGIZoA(Pqf=SRU<fYUrgsx z%UQFUzaLAx^-Amb!$PSTVfOdwheEG;D4wZad2_nM-c!xxTP{fjcWj7TxkO0K`eE$C zEnf3Cu|0E->NH||=If=i^pr$J{rW9!h8w1Bm(yG#@N98(XAsBd6jd|PL(yxuv^hn* z4+%Q$JR?UXO4Up8jC4zmR?GL)rKTc>tbByqrutNJe2!e}qS;cpOlUUO!@Vw<ysaD7 zg$8*mp3&bTv{c}k9>}2I3ol7=B^s{39>h_+qH>{DAXnnNrAL-IRXo>TyHMcT@u?vv zL#7?tvvjIPtHJ)YAc@^muLW?tuDE+TiYsy6rtOiQGun05{rV^-q&By1sz&hY|JPG& z_g}1d&wpcgw$+R$v6m03yr0FVR(YMJc+IB#?n62}_6s$BKB>LdD)BA;VcgR_w-}2S zy<^S~n$bGv#oMHt>QhStLsD83w>;|lraQ-Wx%GDo<`Y?S)`u4P?oXQN(=RAsH*J-o z^D?{2^Y8ZfoxUF$`A1%Leqf1MnBdbri#nIAapRKaNlU!ydFiNkpUxV^sMk@hU$*|* z{@F}UT|r^)&3Q~!yc}oZ_RMHLxqHg{|Bhy#k4$b2b*Y?C{>fH1)ikJYGSlsqP8T<e zrX})TjJmG1XinCHC)TeN73Th&$5i!`FHJx0%)uvL>m#q0$2HeFKfd04SZlVo2AjdF z%I>UbiKT`OQ&*}-#Hg*+)Zx7+w^Ud2vRinr(aI<MDQB;9B(Iw$_-1+ehP|5%6nf6T z;O{=QH-o#nr@i%&YU(83P2nP&*X*pG88Pog`pFxNGj-i=FyD=K_I=LFIHC2^??kS- zGffoUUx>fIdILMltmed;$LC*3&d$+NpOUcQ`(9u6HG07hKFI7*x#4|0clIfl8B=af zN-(xh&HD9FcDnvV=A1{tlEyl02KUz5-{5K4JH>XV!~v&|ml9fI=AADuGCVzJcX+Ua zig%2PcB(SZBaUjjkavM>&sXVvs(J5V_CNIMjdK&~Ze{XwguGujH?FiQbSZ1qWF^0~ zzK(moN8LVl<wMDv6`qbUrtfpB57%@rS7ZEmrRDq9s|Ftmt}IcQH|uM1R#nC1ri!ex zo|P{j`f@#58Ts$}Tc2vza$j#p8`Imzt`sEo1)FJhEKmFSTRXqbJ#NG9PF;J&d&%-9 zM;uI5ZYBi_oWHep)3@(S+U1P7FS}H3PURCw&t^}5)1R`UO-0tj(T4q6eL~zOX~Tg2 zH-3&b+2yBhReSJD^IHDoU|FSjvc|{J=5*iAU8mgnzNNOth%M`!w?wej{AI61*<zOL z_1B&{I{Osq%N^O!GokfY<cUtRBP#OG1d4ZuG@m^*A;X#HZnVnorP7LRf6v&js@__B znDx+R?)I=$MRl(_pBaZQRBBB<x18Chi9wIojQ7r0t*qPMR@FK9haP!t%O7$gPx9EQ zHQkH#PtIa#G4{>&D9Tq;2;lWJyCZTWdxvIEuz|3HS^WmBx?Svi#!&)pIr{}p&gv1A z;B&aSqCO?jW6GR@D50PmySC?<ojk<EboKVn1^Sb2X0o*8&U*crf6`NKmRZeW8GI8s zi*lGwu*~%FzrRAzWRl0U!*0>`Ay<`(X5J0oyrE0ux1J8i;^3cOCN7VE>^f6PIJ+g| z+)2kcv1OfRF6-6LJ!$r>5z1fj`YmUA&@}x`Z)30h`Zed&>C)XR;!?B))!H&nHU}9# z&3kp{_R0doEh|HA3Z49Ptm9AP4Z~%hw@qX!lW&~qROQ`qpg@8{J@Lk)Gl_;4QIRLp z64`GCR~s%^RM55h#t*JYJBi$V%T~1s<QL7=D`q<Ji+}spf(b2)Q<+YD>JN$f7jVwt z>1v+GpSF5EtEgNzlg(0Nig)XdC$n|`>6NIQc2(Zu{6I$jJL91z+RsC+gLh;+?1_68 zFjtya;9LD6aqEq;{}n#p&DdTfZ{;F*{C`1iXu_O@fA(-BdN+A2JIKKivcJLO-g&jg znk_~=Rw07Nr>7RT>&Q3X*&Kbk%dkVNprY+*lY#Gf#tVKQnHw{>`hGj8T`*$z_z|%? zW}ZH`>|wr$6Kp>})vgV`u{glpuK47p7DtKPdm$0W`Ja1N{oTLAOY`}?{p-b_G4Bn_ zTc){QDYDq;kia+f^qIR$XIC0NDB9k@eRzXG-JWZ;9`)y!{>*7*zj^=i=W81EOPB2N zO%y9y<S~b9@!OYMf1Nt}b5ilrH$OHUvgqe)F4oSK+bhwtEl-PWL&fJ{y>lT_d#W=; zg83S9{@rabuq-;yX1L^FbyI_P?%S7Q*3<7!D9{gkbvIWtZ!XVoFV*b_3nC9&v9<jT z*t<Bh`QbCK+y?!-Av_88exjcyyi#?`+_CiV{{@$3>mA<hn%S$ftj^wRYj%vzpT|@0 ziEzJXS!#M@RhIjvv_zM+Nv$@&6FGLJFOxX1%k8Jb!f2^I{l~W)5_6uO`5`YbDpK){ z?gYlfPojA<cdT16!9acu-{Be+-g}p)hA~a#`Yfb6jdfSGThhZi|CPELKELL;u^p(I z;I(=tBXjCkW|3JdBbeW7EH!mGRwNJ^C=n>*zT&yHCn!`RlB2UZWKX(CoZUP_^_9|% zH79p&TqJo*;r`s;;arc-He1Yi5@x6A!++Ug-E=->?&X3@r+;|nd9h}36w_4`?(EJW z^W{10PDcEjluVuYw(!rqefTA(*I$89k-Ut18CCLgX0DHZP;>I(yKf11TlVl*J^QI= z*O-;Tw>5pAQJ378g|9rnC%f&9%YA=d#7aL=L%HhK`eS}G!mB2(36)};xxQys^VZ#V zfwqPP9>&56e(Jm|zw*{>Kk0r(Bt>ACSj4gk@2pgRmh}Cad|h)6*JA5Kb9ZrU+LiK8 zJ$6lSgi-m6q~(VuS^9<5xV>n3HbK*8iJ8u=Id6`9cRbJHYQxAf%bD%NskQgKZ7pN_ zf8TfVjWvs&T)FM@uT_1;a`FA@H3|W>6@{Dn-ZQf_zxuxQB##64@Ap@^6|5B3Fdkxl z|83XX%Wrk67a2M6-;XI0`{7i*MoNr%UHrX&zvEv_k}Z83STUpSnd?u_rjOT7yew&S z$S7jd`kAgJzEG}M^*8&b<TD$@PdOc*{+HSESzB4(+(qlI7{2-M98w{AR`K|YHLs>W zKgs5&Ha}joQ~&dvYKsZlEGut&FBjvpTX|mYb;|~h`Im!b51ZY;an7*pa`CGhHcorm zk8xgEvw!7Vp5Vql<IfzCQtaW|5}a9OPqOK{32WT>aC2L<ddYX@&m1r1jQtkm{C<8* z@8hQnnky??Dz4@+neMi`U$*R?)Wqm|mDP-HnjPtpT#ujJ{MTyWRk`d=>8`o8202W+ zH3lVl+Y_zx@}{eDo6hmMw|_$N)5DxxbA94Iwr<n6b&L7UzNz+I+gte)pY+dJ9{<Ll z@_I(%9OXA5r<vsrz0xgvS7<iXCM|t;4BOrE1C=cmb3fUvDm*2m%i~vVvx6zI{;qd& z{lqz9%uHt$r>h(E-0S!(yr=KYw6c%aAK!YyTgK#3m%X%AZ~j?FnZpeZ`R~_F*X#&C zDdY3$FXs&LlV;1N%?V>mY2r!X<(GU=YqW<yYlc`>%}?#`N;hAe;?S*e*`^@o`{^Sm zNBvWi%Go;}hNPPPGKjmPX|&aC_x_Mjl@-Uc=6-l;_GI$KTPeK$j=PS()?8wKoV)F6 zt^B0McPhFH%a;G}JDbH`7*?60sQ%0C<hK2S_0uc-l3k?FU+XBIwumv&*R#K$sj((# zX?J5>>y4jN%U=Fdv6`%NSLDcRH5s2xvl*J7?-Aajd3cXR!AY@eI@=!pdiZHcawu=q zKaZJhx6k#}IQ-E5z4q(L^fk%1(^q>;KXIvTPKx`6&+@mPZjFw3&NsKIWcx9ZOVM52 z&#s?3f832ZT<EEU^yk?BT`6;?2qs0OoNj*IWDw>2CoZtWZ;N6T??<a{tF4O5;_T<# zo_;^((Sd_c%l95mVqgw!Hab{l)5+I)Mt!kt{Mu9fd~=K)mLGbttv6=PmDN2uYxcQb zT(7C~|M<~`A4OB@b}Rz*7foH_mxgMUt-8%4e*RKXSCE|e^i1O^pXSHxS)O)p+r;kg z-7{OSy4Y)mX$Ho*8(r@$_;06WWn*X3vu@K>)7|=;RL#UinT1Ytxo*3(Bg(h^`wb&* zZ>DLDv5`CpiEngrwnU`3N%u<o{gjn*n?CEId7cj2Vp-{KMoyU-n@@Z*vRHd(6}Q;F zvxg>a5MQ2H8}L;jZz4l;%uLlOELkTOCT=<2v-ecpwp<-Hm4JA$)>o&0Pd&;YR+=a_ zjb&5Kv@)R+75jB=MXprpNO_vz66qka>@xc@g?qNA530P6OgPq^t=03b<$c!eIq{l0 zGask$NhxMNkMQ0&`N_9yfdOYyDt_AQo%p-g{QBLwXTMsnDh-*s(%{yviQHPAV%G$h zMoszum??SA<c$SZ*PS~1wPRA`b9hd#HM_Cxb-h+*f@dn%9M&k|qJpVGJP9%jTT~Ob zWGC;mtJo!Rpk}WS|5_pU*=s9vZqBGP?Oa>GQ11I(uH1@GbCM3IMs6~g6DTqNQ2P5N zjUU~o=jl9J7aV<Mq8{JVj~i2^Y(5EP#%_I{Y{aF#sX!`_XXDmG#xV(@Vt&?MX$x*y zF6v#gBl6aSu&#GTA32#G&XP^N#Cd3a+uqo!XDN5Cy${*`^1s%~<Eur}5<R|HC;7Hs zEH#eVaN>sK4P~ic8*fd!T)kA`uJR6%OV#t3KBroC<eO=oxFP>+^`>1ZkGN9)P8XbX z;^?tW{)>1Yz7%enczESA-V5tWLVqq_#bK|zdf$qG*J3+Tex5j(SW<LT{Xj*>tEY>M z7TyU?n;mgwpWCDsccbsU8x{qq#V$4AwhR=hJe+u>;M^DfMKcsHw#|5={<34I!v{7s z%T$JEm$g2g*9x&KlAL0`=6}M&n_(Z<Mwf_HEnxk%D8X+v!=d9@&pEXZ?@0N_et1V( zUU*yJvg_U(Z%mRrdFl$s(>dQiR6Jer`Nj_Z3Bsy>Ra(<x;&^r}v8ZHOHgBK)FQYBT zXS`@R@yFnh!3y_#`G4!K?oimKTiB(|`to9@=gq`RVcL&-x;&osUF36A@GB{Q<L$WX z?d^ZfWocLL&oXuvIGJPY<+y8V?!Uz7o4-|Wf7D@p8CG_t|JEtFD{FPcRjvm=_ZIQH z*(@Y}`{<cNS&PiC@GqHuuAp%DjL3+;Dxvp-MW%h)QL$~tIirL(P5)G!CbhCW*|$k- z`lboOM-LQTICONAhSl*b9#AHfzxMyI)`?dgf@(6>X@?HTwY%>&7i%u`7HhxASFNyh zOX1tICMJ%{&T{l#kom;P^vE`5VVi6B9@9Boi*t=*Htf^jc3vc775%TD+bs0lp%1D> zZ)#f4Iq(QC*g4}Yn{GrFztzPzuT>4XEdzCQ{~veFEldBo*;Gb2Br?KNBs2J+B+tXw zwWZ8Iw;a-W;v!vCrp6w6B5|Yca`zYWPCreQQZ6|Ebe6H|+kd7yY|CHdpATEHGD`2f z`0hPxeZ`sA)w^%sdUTe_rk6Yw{eiQ1f;?R|N#(W3ub<Ktu5EJoQ__K_=125&PjnyT zFOB6dYkPXeZ;HN=sN0Ijwa>i&n~S<df4d~P?v{7@j#T}*54EJs{>E$ebMF#Q*Jz5p zeqvp`#u+ESDSA7?xBlw=P`|eQ6WE2jR$u+z@_(O`DEsvNBC{VouzGPb_Um(tSi87| zH)7^#m1(B#k|@~gVLtn4;+DHr7tR_VWw=*6H7x1Vmn966)w@bI$nIFBJbitT(c8yK zvHP7D{`*%K8@KktrsN2ox|OGQpVZj6^GI6DYCpG4U70Ow1^&)Ap5)>9>&ust)-O-a zz1~zCc=+SVlKyjEg;&mmFR!RCUG-(jz26EY=fjWxJ7aj)?C<rP-RGx&VqX!xM0lHL z;}dm>iZ~9Jt)J!U4?6Jrq<@WDX?@6&tGb6n?@Ijtm_+^)j0SEt3_d@+@3Qaczw4S? zvoFt_yTtyX+|$}BZ_zpHqn~X|-j%y<%jUCZrhn4B>$oHIM#ipSOOxrxj?aA^9CTx` z>fIIli>zM<w%e(D9G?3{JwZgpM7(_7O6zytqE_$T{JQ>avGM2Lb9YTyKfYV1dB$e- z&3~J>nXlRS@(#NW>zjM*I;~&C<a2&hrmCF}&as)@dbOsydd({@v9SLR?;qB!G~ixs zzk0gd{(u)g8Vk68Ei;oSDzan!$aUkO%6+G02IUho<AcQRtlUtO^5Bsaug|6l!h4<9 ze5#N6aChzHJB6<`r7Y*H+aUA0;Go;L$P=A<mvi_0kr!9*zUeH#Wxn?hJ*~B0vl!L~ zW}JSKw!=cVEXVTVYSTkn|G!Jd{#kv9S^fLBa@CrRS|3}v=04|n^_FGAb`LAx<?Yk{ z&K3MVxpPg<>%>Pa0(~yEU0c4sm|^+l`;HrzBCpAxlxyzQQ0$mymw0^5vB1eM4Ss!J zk=~S-@!#RyszW=2l#72^ykFVClv;A}fJ*#|riUlYe}#PKWDrQ-#rSF7tb-0$UhQ61 zk#||6EAT4I_O{)tTnt|(7lurK!+A~q%02Gx{Pm{CkEz}dH$HyMG}ykoN%n2<I|;V# z;@zq*r@xwdqis#&f;|eaEqgZfp66M;F;7F)Pn=nAW9q`S^_wz_Pg;h>rteHzbtjIG zPwm#Z+EgYUzfCS8*Cp1=7VkVVUBi8r@=S5JicP+n>6*rTE3ciuwJ-0fSl@^B!Bsm= z3Udu3f_W0|E?H8jQ4^zie#*LO6J*y!c2#T1v>!S?w=L|Z^vOAfhZH$7o_`i}*w=U{ zxjJx5CwI-+Lt2Y=g#OFFvv88-yVlQE49XU_TUKszz3SJpjZ<-rxaRJ)V(YcpVv?>M zDu~*dFMoZ;%?T!XIm(%LQkG0yJ>lsN!Lt2voZ+V|ZeQ%Pn3nReWRXN_z4Z2D6_dnH zhDa{#V>?>?ujpF~_pK1Ia|*x0vnrncUlcNt)2yf^UbOYTUVzxOzg~e;QW9k^w(VPO zW*flo*&jNiwe+!Fdg9y`SEKHp4Xf5~({M;J>X~rae0ssd<)znRcXxK*nrhr3w`kJ# z@2@w{au)l(yEDa1GV%JvQ?gG|71eL8&^=ii+Hv!|=*0Iza;svB@^=1r6HB@o$iAjE zXKQ&&)`7<9?GbLWr8;+Zt$4MkBB!RNB<n4gtA5~&);Y0f%~pO)QT)GW(ehW<K*MNJ zrH9S<H%#n)-ZiteX7M8Xpoo9{-K|nGX^Qn7i~2)AN`6k<I_*Vo($q_Smql)Oi%vUp zW0~u<HN7Xg`7`G|wrZ`~e(LGkr0cWY4p&Z)Nlea&PE(Mb=rd99)V)Phs@8waT(mv= zL+1Ne<_}MP&+^}<V(s6uS@YJ$txIwPFQsRDzFb+O^4BR%$#7mFXY*Tj_H73i#>Dcs zuS$(ScjMZrZ_{eFq%M<~;4n8j<$|fI*fs5t?`esi3ySnEs%tDwn6Y2%*MwIl=T}Jl z`x{c8?y24w8~i?R{*x;eH_DfNPziW>`fS7EsvT=AW-Xn^lJ#@P-w*Sbtg`cF;M~&b zcJ9!knK#ZISekj1;hMS1Ha#zk`@vnj*H0JS(mj?hqPI;+XH`&x`_t9uLP8$}hbYfq zYjACO@sS+?i9QvRC8lflaE5mm&OJ1vpj%?g;u#LnRhy$6c2_*8b6EL!C;$Ioul$sC zQl2W=FWj~$ZDT*6RDHkS&?M)vE2Gln#Fjbbo&Nq0d#*G(a8D20c<y<RNP$3(`-+R7 zzD$}XQsgKh8?3qh<Q^lY;?EH?zwRjA>o0I>Kl_s;#}(eT3hA6qd*3ix1`52Y;OAIA zDagxKA^)mF=UuKZbB?M;zxteV#ME}pKfiuQ`2^iPH9f1lS1D=hD097Oe8nuk=EH&w z*TVXWf2wR0m#U66d@xHd<kicM>n7N3+?f&(5S1GJIegod6fw!ft1qQ`=d8T&<mu6d z(`S=5TXetP-nD-JWs~z^-q$y6h{|<cc7x;Tb<b1VML;dB!daSI_<yEpmH2{YLvDY4 zo-J}pOYf$#g!+zsd;fkHPW^LP^jk^NN;gY)ZBWg2DsrFf>a*)l$QT^ztbYCXLNeHt zw@Eh_=xC<j-n*LdmAlr*Le@){KFzb!VOyM?-o^NOQp8sI_omZ0CU2fMjper5{jfJ{ zwb%^gm!)kvA+-8@Wa8c}Gq<d>ag!3eANWEp(a3x1ho27dFUwAN%;fve{8rG*vNu)j z@cylH<vxCRpRwHi?}wR}C9d<&Pm4%BDQmp6*g$;MziG}a-r8&%;?^zUO3w-xWu8%E z7?<|+RFKM=^Bm@R{D0)N_o|9Ns}0>KU6d%hR^_B=^QoGa|K@MM%;^8HKD5eP|IGTW zLgk?|Sw07B?KhgNvsU83qP<&M4((adX<XgSxaRbfD~Ap~k#$(P{?Nf+&+ZjWTzTx; zfrC~)p1QRL{((s|UtUg4JY;3BCfa;4*ZA7o!@Gh#btf9|hw|StaP768^i{!a%fHDX z`YYU@UfA*{hw+?R=9+Ui^d~PenaFA4q*1c&-^)Eu89v=_UFbcNYw=v;n4B>CiLIH7 z&iGIJAFXQJq8iMkJhRa)gGcC8<JZUtZ;^-J+}LNf9P2i6RlCM<@%EIJCi_+z>?&;I zjtUc7)_KqU>*_!9;_jU<_Z)G(e|;%`Wn_f!@5Lu?q-YhISm@_X<T<-g#d1cU?$J3D zuQ9G~&99xehUeWw?z2ymOlR*_oBTZC#wPZ#??0cf-1m8+r`WQ5!S2IapxHZ(yZ_gC z<vnStyrJV`+`cvT|LH>}7hfOMS{Ng<^5H7ev%N7kp=We_gzqlS(f)tj^>67l6aLk` z{#VM6<?`NJ`<!X{$qCZSJxt#(+ccp*ptWZ2Asrt6OT4ejQ$xktT;_{Jo_xm;n;-7Y z_G(`Z!;xpH{DG1;OXe<`p5b>O?MC{E3Hm3#Px9Q{x~AC3=?81kN2OLNhGNeX3_qlP z{xWQpdRbIC`NkjFwG%SUoPIB!DnDnL>f4xk%TtaN`kK9ZKl{lCX^~SJQ=CA_{{ma@ zEo=Gf!4A)tO>3&qzj|=Ps)kdW6ndu3RS++{S@DB$X208spDT+tDn9>UKSTF<!G{Sa z4V=!cPdgp?Z_Ul3Y0d3EZGuI7L8_TIil409{8WZh&PdYj#LnM2md9<%x6OG{!%<Z6 zCh@_-of&$T)>A@RWBxb4+2m!R!*+e8rk#gahi~$pwP(f5CjCrp@%^uoIc4*21MV05 zSB5Wme(ul&jnbM^b}qe9pqy%{bMeolUGH^xI?bNsyr06%_$v72!kr24F7XK+S|Hb3 zyZF?@vs`mmI&YG(daz|ph-}3^r*wg4{X46hvns_Vt<Pw5C@!dHI;^ne%gX+8&%3w& zY{*hzo4ep(Yw-3Uf%EL_llNr4;cokC`r2e#gn(Oi$9&y+#(DR|uZDa5@fW$pWB+lf zVfp97B75f_;#@OxLC-&1r!t#Gv98}&tcyCPC3W(htefVUNd=XLFRVOx47wR!>#lBJ zKXF;P))^<ilHCzuS4DbL)Fc%v<B!VRJvTA`*ge~w;YYu@hfP?VdO2Cd>MGv};V;*h zYnLeed><OM;Pr0a=(-r`qUH^EXZOF6bCy#~-FvFy=i=L0&somZ@8|uuWZrGDmM;N@ ztuJL>EpNCR@3C}3?U^SYukHsetIGd;!TE4b!iuzsYeXd)6Ay9s^m09(557{)!)>qg z!rIe|HI9eqaVUSQ?MhMV5h&ha;2-iLG-s-X?(ZDVuiI3_Ta0(!$Y0eyZU59Svqh}t z3od$E^7BJXYu~h|{j0O|<7KX`pW5#7-&ORMt%{gs&H^?IZp({pbFwF&4xjKjx02g} zTf0-~cyw03#J3B}q*4=SWjOmxW#!$}mDn=FK3wXCoaCvjr&2~Lw=VdIcBMr1UO4mZ zsKxxN*)0N({jMoIzq^WSPwlBgCKv0k2vlkH=)Khu=XiQfg6XP&Kz_!Hgh|fM2G39Q zU)L;ocBA1;u;SUtGbE=9R)2oKKgz5zEkkdql_Tfb^1tuHZ~CvOYH2@x^3|z4|DRWr z{>Cii{cvx2(eEaw$C{Rtwq`23I4At-4NejNe*Ex~fMTl{qngCDj@Q?>{ofZleTKef z1l!E3ZW{HQ5<DJ9cZR+Ub##z4+2_7#FPC2U^;he~wZBMhRhT0X<UFZ8n_;E{_k2~O znU8E67PK|}IDhJp+`r8}^Q$f${?EDP4CAJ1*Q-)19)5jkbn<gWgTaf3Q@V6`I<Eyy z+HIorROo8UY7wiM94u9<KJ5)p_HNvJx8UFz#o{oVji>xOSnur3@oBV`K6pXm{FJoi zX^amYV*6f~Zj$4uPkp^lPGFjA@vrs9zMW!jVRQaH>^ag{z;eEP(eIPnQyd~VVzU#2 z6eg8UadVJcEA^e7t9r-(oWEalT5T16FV|4a%9!u<O|$Wpj`z0wO+D##Tr1lD3yaw2 zYrT$nr{kTW_wT&p**5j<)=knO|MprniQLd#Joy%v<-L>D<<q$jpXl&8?d12R{$$?w zh0#e0277D%Zf9O^vGbH+71ygxpFQ|m_Qxqq-)x{F<^QcHO;dpRqv7<=KUh=dnG}E1 z2un|#m2tT7iOid}<>xG4H@sQQ+|gK-B0Hh-(w_dv?Qb(fuQ~Euo3rWu&o5>zQ`hai zb^TrXmV<lR%f2jCxVLy;v!~sw=0ves{(+k(2-j}jbTZqwqp_-D$KRQ6eSYrQaJA>{ zrZ1b%KMTI)u<WB%<Il}n3bDHJZ_*XjtAgjR3j;ZJ6;r}9g~;j$cP2MF2)}H8#u8^2 zn=0`o*>zXE+>#0R?iT;tu=XvhR(IUbSxox9@;7?=e8N|*)&A~S%5}%TG~#YpZGPq5 zxySiFeK_=Y8OM{!q4R9kq$@rbeE8aHliPV8&1I7QCnx4~<ZbzLGq?O-|G$fG{kUF+ zNoL;eR@U)M-IL?Cc>??59`)Z!Glktw`{!C8;uN-(@l=R)Z#gLV>z<qWnLzP0ll5T+ zrz$QoPqa+jQs;YnqUm1k2(FiwOl<DwCYXY{W78Saz7%#PPx;u=k>V%uHsW?!i{<Wj zLWL7#byKhJ@_K$b<t%tvNZ`5pt*(z=KRFl4HCK{BWxi6U&Ej-L^;;9>$gnE4tyEfb z>X6qWUc=`fG-9MV3?`*+6`WN0yjLPU_JW;*h2IxO!{-$$9Vu>-3t4wL=BroTRS!y7 z?`J*ZiI9gukE!3Jt&u0DpSt&5<BQsM!NcKP3DqKp-YoxjZqYOTD|1{_CuvVy6VPKI zwkYA(6WwCrocYhsm@iD5w<dM!bN`fWpI>x7^ItLP+R}-0_}Q7xs`@`$koLQHRUOx( z&Sl}V8U92pe7SqB;mdW#TD3N2SAyqNDr){;bYa(*>l%meEx1tO)t6#=g5zSi#?!@I z)e+2l!?UdBb6jZssXvj${ra`9Q=i`vtPnYSXu=C=)uVe<;__Q(uhH^o`xiO!RJUW* zmt8;4O!2f-`@1q_re~MiCLePro-1_<#b2JEU;V||zc2gUj&c_xTQ|*)?-kbbC7z$O zUYf>q;(zo#p9zegxo>`JS~c(DO9RjOt#dS%M^}86G2}7$=d$(kIhGI+LqGclkriz! z+O=GdLMMwXtFDwfQF~_X+2<u`zf4at>t7ahUbflg^2tO6fvh=RQ=2L*=Nu||Zs4Kb zzVYViS)$L&o*87H{MDh@?taQ#z5OE3!eb{NiY)o9c*e2JrlqmuljP~WJW<~cY0clc z{;uNoxjalvS0|(>`#bJ3KH*rF5>OHNRMNY;PODn>e1n6j%F9TuO(r)U97xMK>&N0$ zw%y!oA^X9y{U6nyAL@SoZmvRr{ClAZ^X{o$u-#+5{uAG$lY4gWvpBKt*QWDU@`}Y9 zZMiK2Wj@S$)HeOY`>wckjMdvO^KCku$)5Q;!%|<y!OHJ(!}Blirf-{@cKlo3a@mx2 zu=E6rvxgJKil&J@Y<T|V)92fM#Q|YZjW-{fc+7d$H@S6=Z(+fK;;O@^Z~4u?zQ1bu zL|H%U6MV}ZADL`3zh%N#;rITRa)W5>&D)vmHy>_kep4W&;%C3u=++#yF6MccqvJ1@ z%?f{b_v&rOGK;qg)^pf8FZY`LE&n9XZnM06&!)E~@)af4Ac?XGvVP4+Ub@Zx=Wf}{ zJn!=9xT|Hew(h$NwhiQ91<TdHSAH@9dvwmdvc%gjbNAi`S$NB_%!2n)p%lB#^6)*o z-kRLc`Z~+ow{f?5mCdt7+oIyOeGi(=^gUqr#<gXB_m&;Fn>GFXmaFGZ-WJ)>e#>`i zlog}gw9OMXSN@oOHRR6I=R(XfIbv=9oePdwtQWo%6jrq1E4%91ZL0!B4#tVP6@k_+ zADZsW@$i38x!!{^k<fkXPbqq;+o)BAKKk&X!KUwkhl@)<%ZrBQxQq8{g={?@u( zG&Fi;-k~lduhG?abBjjLqMc`41!pRrS|I3XyrRQ@(IHhUEy3g4JtX8FX%y_g`uBh7 z_j}Jn-riH}IrnQ)@anMdRaaJp_OH7e`Zj0&zXfI@4`nXoJ*??iw)^F#wtGt+J&Kxp z)p440^z-5(#g_VYyEUw56r@(%l<{37`{~o%a!rjxN&DP*82ne4Nhit4oP2#R<`?IO zU#1(U-V!LT-dZEj)jM(G(zXek7V4yanfLT4hnL)Hm7E_t@3>0+Y^*yRT`k+gI&sps z&3jyKvHfe>{3Lhpt{=T8c8h%3-u3#1obQnjl{M#bSDwpiJMb#I@YDSrb4@u~<-59y zXPkblrueaW(_HE34+VMYdY8LCuiAZF<hYbma(7kIaVfdvSx+W&<rJP^c+AKp6WP=9 z)b&xKdF$KVUY}cZ-aRPL{3PPDJ^9u55SfW5|1D8U-<Z_1Z||B@wkenEyp$7-tNczD zEy+t=f7&dqGJPfMiuhk#>wdIGEIR$NHtW?Pma8ohNm-Z5zfWuXuBm)cD5-O0<d=DI zrssnNT&{qm+^$c_l3RG{q4<v*#~MRxZO*(-&W}D&yyMG(<aW01kY-b_=8K=b9ygdZ z3MX{_OxV@G-1h-XL{iqB-={C}JbtyMpMCq$7~b;%>&nEZ9Ao?{w?5%9=f)#726vY~ zlX=<gQre-qEbU^e^K`W%LcyDt`SS)J@yK-2F*Nno*YY;@d9dc?<4j?T4?Ln4-u6LK z>{~eI2zZ}im;QBSO95ExRr{$+C68T|{#9{nht#}<F?(N4x@57Sb+xmOp?uEE;LC@u zDo&d*YpcAzw{g$v?O#4_DKxcdKb`x*#eLq^`5L{<Q9G=T2z@^I;_2QjFf(}b$F88W z2J7Tvub*zdoutff<Gi!#vAVab19y0PM3S9{{ngdWz{Z=tyS#K(V$9rcU^AU}-dd{< zH?Cy<EU|Tb8;@Mk*||*Ttiif@?;dA9&Z=lD`a0{i*JFlx^~u4_4BlthyZ7B#-Nt^p zW0ruo&)K%u-lm6lR9y_Os)YLG=j**)w`>mS{B+azmwx;}^4yO6X<a{38(c-BjhOO3 zzSB=?n5AlA%fIo+E6cxOnYll&?|gbmJaSDF>xy`Dz9_Mz&d6;q+;X%Qx?UGe>RcIV zya#O2qTbX@i^dfj#f3}O&HMf~m@9Q+_J;Ii<|7+gz7^hm6w7r>;Bay-tJ)z3Lk1gW zaen8XjYpd==6@G^&X_5?Lg&a1(^HEkOGuvhB$=IjFLgo9=9_gZ8286K{h-JEcdAF3 zw8hchzZS~OE3W=}v-s%(jjwvle<w|;oZh(T@-L|mX214dj&{ybF>-IdY<PoDsi3Yd z(?#Ci)3k2xEfwot;Xm7EZR7aUS^8)BgWmYl&9_heUfZ5tQ1ew(+D&`go7D%RdL3KT zE3VYGsP9<LEf;h48CS8=51oC-@<H}?u{>Dyej4L}_k4d8p2c#VQe+XkH8q^&nFR9@ z2HtrKoSki$v-OWitlrDvzv0`1#Lp^xAJ;K^SsScxzAWp`7NoL%>i!q4x#j8>dOdFf zql<$hD;Z|1vsW1$k@%d@qW<C_hrhu;^ZR$AR~U!vYHZ~)JjNyZkW)<Sknx6q-zyB> z1Z{gKHK)m~ZbIbG|6cbCviC08B{Ri%>YHrc^ob9-ZN-*7xPRGy=h5C2zN<4gmMQ<> zE@i7KRGzv2(mU<lf`6_q5;b47Kr})>(LvB|jrNR;{T5C7xjpO;%)6h(vh8wJNoKw8 zz4ViwcWaQ@Zr(q8cFobWn4h$%j&IJQ$ipnlXQ=tNt~lV8;h_0aSYzgT|H)6Qo7LF< zl$Un)RbKV^+<)*-q7OsZt77eq&l+wX;V7##{})`DW9{m^B-)9q;aQ`u#gQAwQW#P< zX3AI1QxF%{ERNa1dbs$6&%6iIQy*By^FE&JwfD4xp?Q^uanZd+RzH>ny$=dtDmzko zeXeWUF{zh<W`7pE32bm*UBUc%)%=O-sjIaM>J0Pe=zr`FdUDr7qxx2l@E^fa=NSyg zO?LYSX>Bk|zmOl=tbSv@dqvY<OPf7<rK@#5u4C@HdCj@0SSuqXt06^Br^2o0%)<oB zSU+p$mF2P*)_Gjp-nd@;>~6o-Ro~v4O<loMy#C-b`Kf}%9qTr*JdWi$6*%8)*UNQW zJ}rz%3>9o__f|!F|COoUzFEaZhJ|~>><K4M-3;5XW@6%jZ%#?w%-O#yEQAvmh&%Oc z%+o(4%=2aGZ0~$#n@S!NgCi2g28V=AF8tkb-GNU}HsgVo;ip4_ySJ~|+hi>MJx}IK z##X7_ynie%DkUr3`ccB3KO@qcxxRJIo7htuS>_aFZEw6EclX#$5z&alMiN2NU9XS+ zUbB?(#vwzViTTEf!VQ<B!bKR8b7UND`uuLPyIm2TukbO`E10=%FWbFGCu)t%yEDv_ zloO^V3JC6#czF8CPqF)~3ZLr)_j)_-R|qPUpV=mOu#rz$PM=ZZ+dGSZQzCQw6xi?D zPqukKty$^#v$H>#4C*Bmo;hxvH&@GW&i#nSyLk-U4lCJ@1#}e7uyT%E!`}UZ^IO7S zn>{~MHV1wP4tbPR<^IeFWPWAt?j8L;hd-<}Ofqz{i4#rr*LlEulld`U#)mC=2JP2& zi8ue>nsM~A+RR_dJhB)3&E6|tHdwUvp~kiqjOtanZ{_wV?k;7NwsEY!_IJ&jMSPRh zBTc`3HDCK<-yB|vU8|Y09oaf6C#}1Z6m+3&M({yPDZNi7jVTSnPuRAd7g$^=mDnJ9 zP~njAg=Pk}sOV<48GOyX_WFDI{)C)3;M6LS+}iblg`1Usf0B$M^WM`Ak~ejA4pjd8 zq{sa9<LNx+<vS++G-bPBxObt^0_Luu_eKHp=Dx{{;CZoyo&AH@FY`Z(wzox0Gjwk* zo;2y~?E^O(9>$*H^!lo<XB1$jB(47Adg_KuhWn0pdwMG$^agV@7v9OYwPAj&eI&!} z<UTH)3A{=+2Y+;ZV3Fpv*)i+>{<EDAEN)zf6hQwvSROo!pT;QgQ?$8QMt6($dX6)O zY#oeJHjeFW6>QhyIt;_A1?MHaJ!t$nyyxSM^%7rio;oOLJn5(C<NZ&}vl$-jF!*H1 z@%{CtPYr@w7dOiB7#_2cc*t30a7ei4zw3TY?ytU6U%ibo(|xA3C^TVXI)iZb<DBW+ zIK_VmRUV(iQ@1nYTlJOzzXY?e54TIt?#_unyY+lv*7nBtvG(VeJea>aQ~RLiq)4W^ z)~?J?s~&N#X<?f(X=|a^vskvOCLL452h!QM40Y}#ZSviBpxuyhM+EogjVBJi5kJBA z=2PCEsh`eOPj$P-IDu(FWx|GbiQ6-H7U+CqX`Z(`)Tb_>^X!BNi9a_S6poQ@e=g>F zCKgg!{4(g8<9_=4%%Iy>)TT7(%5|Nn@fBCze3Q3)TCT*5^~a1htotPy$-jLUQ~$bN z{n@EbVzJW4O)fX=Y}jhqT(?@v=HNR0L&9%<JxB~X-t@yPLOQ&aXS12!w3*qDA8*|H zaka?bd%ex~RD*JuHhx@Zl*BjT{rqJnLMe;Or|bA%U%RK=<htl?`IDPm%+H^QoHxNf zI%ikxPOdfgcrP#&2;}ADS{)MZ*~oGJ+6}QQn_c4$+t#mbI?0<7-q~~g#OAKGw@(^P zeqL4>o-ohE!TP|(uZH<<6>QUlDsFta6MRhJfWP*{`|fx4?$t5*wl<-&Qg?~kt@B@J zmaUe`JF-Q<`^**&{|!#p?}b;rwdhrOJ*8^9%ok}<%^d|@Q*1*vFi)+T-jp{{WVXcF z%O2ZT2Q3b~+~B&EanVmXE8W9pVas>^Z9XK<U$#N_`t*5I)sM=rcvEx#;?i9~F>O7S z);r&=Jp20ZvTyOnV-EP3W#oU$KXNPE>`Ls@lXjaG&CkSH9(XhF^`*$hnK=zN8BDLd zI>R*M_v$?}o_?|3q_Bw1K$yqu&%&N|&)9^Vi-{5`mB+0M@BR*ZZpPtQd3v$exz?vs z-|gCb=y~FT9{CwRE^RJ<d3NcPSMKXitmqVB;Et-)J96h;uvUS6{Ki8rH+O&8nN^w} zaBC4m&B5t^|4($~6Z!A=_DAs59?QAQpHFX|vMpfG`W^QdZE1ZZyhZhi$Wp6a4R3zC z==D{<_?J{V&%yG=#8g||5?B3z7;R0{2nDHtQ?V+!`AdV`%AB4A-TV?+$+UD~&fZe* zvbABsO`1jyH&^{so$GnZraWlgo|FQC^`iGVFBzQQYvS)Gcc#4c$G#O?Tx&m?-P2rE z`#vZ@F4ZmOyW2Op(1fEV3s%g0^(bfQy`>AjcbGid^_=I8&b_6x6SD$a^xuX=m2MAu zA9Ufd$y15KySmKXAF4kdzT))N`sgRcrMeM%6FloLWY|60HJg3bx6HdW-Iv6w=IveZ z<<$Skh-J#_c4sb_H@WolO|R$|KhMj0{j`1`u}n8&+huJXYwg^FOgWSJe(v9BZXdVz z=S)zhczM=6ru=N={NM4ZocTdf0^UKq*Y5FT6dy1vJXNq*WPSF#(DKg<c*I-<&jnPT z3yu=lD80c*@{i<{*MU{DOZ}JLTPiP9HT%*c4*$@~prx!6KQV-<F`qJx7t#xVwc5Wt zBw@Ssflc%C=7zmmojg-(!)@M#pYJa+{ozc?_gZ|rdTQ15eUZ#h!>5Q{Jt(m0vO#Id zq+d&}Yh3-)@FrC0{N~~*muwXOFQ~G=yRZ9L^W7=uw%%s%zh58pbb5fvO_}HkO4l^@ ziTiioycfQt?Lg<=&8yfu)?U~os^gxeU>&w{)ubFfBUAsn;QX`q*S^{76@Bf`{E(l~ zp<AL;H~;<Q^qXx>yWs*qjep;dUS9M}f8s2~tUB#2JO?M~C7mzhbkF9q`4Mu5<9UYM zUpa@C;scBu3qB=(`MC7rwZDx)4Atiy!?ib@GIroem!IUOmbigoh2)=F1`A8?4Ij5k zU3i|AmSXllgnx(fDvreqMK%~oD9nog?J0k)XhY%Czecy>=8IHq$}yk4vA$pNRAKLv z32vu0e!7@?=aXO2-fI^b|L(n$>ig4h(jS(k_eyUV958CU%XaQ{?zgEPg*84a@2VXX zjfj<K>6!I>+S9T}N&8bj&(TeL%6aXrrtG!1ea|@~d)$2XKkazAectci-3R_o{mZ1? z<hVlOp6+}7M%{`{i#fl#F|U{1x#vFDZr?6#gSTvd#R5(jpPg9gB$nOPq<233hq>mT z?B!wKb_X^6vW+zUBF-TCcj*etRZbjhWZ1gP^ps0CzxW(-a^i!w)atvx#JzO&HrXid zo`2$Vj;h6J;ZOd;vE4nFq8lYs8^j(sY`xdG|6rR$@_v~E#T&LP)cJLLyN&zj8{tp8 ze5Oyc+g4&0|N2R3f5+xT#&zOCKYf*t2>teDmMc>!_|jq7YvH!_Vu~wkSTbApzfC%d z0r^QuCwDOIzMGIN&mC=$6f0?CF-d%?a$gIpgYS`v(&d`mhaD>RRlGHyxF&+V{g9wM z_gizp->eC#^Bf*;-~IM2=V84Y^&Ou@O{}NvZ|?}L(lRiYOb}rBe!z_JvE!p7?>yGz z-P@{TbKv+|<$q02BR+oEHNP%L^)$D@(f+lW^9r`T;!SE^m&5vuvAK8m*QjIb*#%E0 zJk-fM<`L;OZL;`=hUC1Cnio?&nKqwFxchEtYR<3PDOuNdi%gl`{>Ik*!{t}ERW>k9 z&T2^6)>H3S?xH0yP5*V+xjP*;><5@?78&W>sB+e6PJf|z?uNCO;Jm;dwlleGA1*vu z(P_EPy6yS-Fvngt`vfDN35SoYRZKs5zjME0I_IB;lLiia4U=zI_lR3n+Fxe7=QnSH zs#{ma+b5irNp6n)Yu`_Od&ln|)5TKj$TLsNx)>t_c{QE|?h4q(6D~3P8E0hA<As0k zUOE*QYCCuF-{xI9n^M9Px_ATZT;8mg(e-^4T>qx{qaJJR)G4=5u>9M;$kk0wB{(GR zV5f;oM1^JTUN4EtnPOt!{t3L(-NF#R=9Ey*y9~wzNtr!@=NRMbR#>FUT{S#jI^Cc- zzotU<#)k=$&rB(PVc&6WLa|0Fi_Qj~3Cuk2n~g5TEM^o>-*-OeK;*{+w+973+P8lH zXmjwIc53y-mSdk=Y|37ionc+0!6wq)=Jh@)Cv)C}qaBs&g7@$p5>}T#JTLo;O{98q z*3-E+3cqQ{D`ic86S?bshUk)`clgB`=I);;$*iL<e16KM8!A%s6m^RG^|>!ktl<0g zNssmE>e<_J7|*>nnHa}@Qh&;m>l(dZ*f%h2ot989kkq+T^N?`Ox`yXIH`|JnCbL#7 zOaH{8&i#Ss;a1&MPJZ{4gOo~{x%H!CHMyfVFr1N9R_qaDn0BzXw8QvWZpFIEyX3XD zy1)2)Rnx|K<;9YnOs~5@{>?XyzF#38!p)nqa(QLcbcOS?uU~tgTG_O=X2QhVCzGe= z9gjKCmE=$u;q&OG$6eLP9*wH&A8jnX=2dMAy4zQBz(is}YDa_DcfD%4dw-+UXWm=7 zpvL5MT{_cx`Pt3qwLH=cTcwq4`E_*Wemruwi8aAg>yp9+rUOPh91om~RIShuJMrPe zn!67;?UD}|x5$UR`&@8Uc~bid_lRwW>KeQ{uP8?`M6g{~o^$w$vJD73|46*LFV*%^ zR6=hL=LUxRf<lF{9jaz=hYwqSk+BeuW^YOFxU?jBDf6%C3d(#9tO<v!jxZ`to5B3x z;bFh$p7CcGKkx+3QuWu6J@72WOtoTq)4L@P4^*Xma9!m3r{&4=Qw4G+N_Vw&-iYiC zSfd-!P^)#g>9YG4h7CM<Cl${{^z)ow8q^-1yxQ)=g=+JPCjMC;cpmPa`g>~W{<rg) zC)gb65ffW*wAi6T_1YRGn}g38D%vXf8q#Ng7$2f`)kn74Xl%}q$u!&`5c0Ln^4D3e zoN4I{+y|;6*PNc5$e`0K9ob?0O-A4$=eeH`f3Ee3SupRg)U)oE{Z1<{mPE5YlVE<r zaCrKbHBQ$zb4+3tbKF#wId#`H6WQoUF}<{}k@F_hPd>Hi_Q@o@?ZG?;x-uLLBR4Lz zzTV6i$+WSeNb>yT&`ZCnmhRdczuWdgPy78A=br+GyMH!ZT3f%qsm6I_x#o+$O((@H z50ne)8f{RVnVvWAp;9iN*n(?9GE1FwHlEWxB>d)%lFh-|U4ruxin~9s%oekmQ|Np2 zrjpJ&79BU<2S1nSnN&1&ul~RjxOlO@)?w{$UwNOcn;7B56R??uS^0<%caQ0&X{?O% z{wVK%a*!!TRprw2_K2iuOWAq9DZgiR`O_h>AdT~v;Sr(DWzB1j_Wvo9{-~_OVE^9j zk3>>uq}ksmm--p{Q<>|Rwof#D9`5CIu`#{U>Ho=B9bd0R?pW?7BbT)H<c&*vqN*O9 z-T&x$vx)zS<kr0U{XL0KLsR(PC3w~z;4i+x@<6(JK_gp)coM_;$)%0WB1(oFr`?Y> zO%<Frl|4Uo?ZJ-ya!G3y-<@B1Z3AaUaP|kD!0Zh?f!W^kH%;Bj_SkHd>5;#dSEbAt zbF+BoDQ59bQ_RZ!GT~lSb?dhhzBh`AdqRQ_%WG|&I%7WPeOG-hsou+dVI~>Nx%PXk zyt_T_$6K%7ZDH%#%+ICAe9_8Xwku-g-R+S-n7w+ZWm&%ypDOis`ytC8cQck}UcQjF z)a~~~v$H)J|MIuA9-6LYdMogjUB$+r#j$#S%$B-U2mA=$ntUN6U%ak2XtC^y>Hq!1 zvbKbC?~hn{@t^At|0z;$`5snhu5Y~9C-To~sav(fkAt^*leW|g{96#Tc<HUCpZZ?C zZW7F9CK3X|^TYm{CnZhMz12HIqPFYR4ng6?Z@y$7Ir8G`uJwY#i>IIM>AYyZ>YjdB zmUh5?p?e*j7llLrKiAs&TTkR($K;FlUEAtqg%`JT<{vrtLUK{;sw+=wqbii=xz!sR z&vO&k?vwgxGE37hOxv{bz1Y2ujTcwDW*@onqOWVQTTkyk|1EAky>`xpmpV3HGzLqU zE;3EZ`BHaL%FwK8&7+lq$&0Nu`lJlaPQAOo{?)9hZ^a(=?vS<({8+XwX!m=khrJOz zc9DYJ^XE!-C)Ye$EZCjgu60amqeWR;MsI}7?^R&(`OJ+LW=nVMj##NX?T+Fe!R};r zO^~8*iWj9eT6|joQe+)tc&+2;M)OV(dA<uoTBjd-bVJWLxiDvE(i*|;<XDjEvb7-9 z(Mxt@A9-|x-33Gj9Sv3%J08{z(xcyTt>dWSa*%9<R+O^X@qbQWbNfN!8{dP~Ocp5z zxotuQ$nhJ6yZeLNZ{FDUNvHLk)zW)Qw{y%5+fp%I$Ut42V~_X#MZa#xmOhK!)x9dg zX=S;l>6V3RC)>EzJT^OULfz}H_tdKGe{vQ+*ZO)@IgVBBAcN)xgB169tci?m^O|y` zyMsmFxh%c+(JGiV%z;^FUqI;x!KL36w(0om1y9quBqx+6d`7`#-4bq}Mn<oHp_kSL zOIhBNpBC@=LTu@#*Zil1)Qj&HeshvAJk;ZwGBddGW=g}Pw<1CPfl`%<MQ87S@iVe~ zSoJ`~_{fYM?-to?+%Bs9nI&x@;~akh*{Ktc`$fzs`26L?U6%E~uLebEuR1VAO5v&G zt{`vD_REHQ-&L+`ThsWC(@^bPO!98+R2No|&Nb2I^G^LtQkCD-y_Q{}EnPS6)%J$y zdFm-YU#88QeWKTIUTWdpa|<`inAzOVd++yzlf`FNdbPFMq0_qA^Mb9WT=w<cE6x5? zRm%ER(7noDw{MT;ESo*`r~lH4k6kVvQ!kome7tmi`Rp5l$tEjTA9!_`!P22weaADI zN13Hr?j4rjW(T#XTZlHdpRkJg_%@d9l=su47x$zTq<ndE(e%9b>siI;e{!9Yx&GAl zG}oEaY#$1aaC2vEJm;9z;J%uN`L;%n&E$Ap&TleDJ#%?yH=hqX@w4TJbdlKSrg&#R zgQRP+(Z9GWb}E(hz5etslJ%K(GspQ0RZ*_bwuNNy^M!V@glRN)%zKc+H}A6Q>eVJf zHj6V~exCmN+T|%x`=>wSjI5iJ_;dOn$5o4#+?lz}#D76aN$R|~1uFkV&L(E@X~-nD z@_q6bZ}*whC^};^j|S(5n2lRkh0d@n>oY&QSDIaR@*ctKf1N&bNIdzV%y#Bpsl!C! z+txi2ar(70XKg7LUchVkuyV83cIC1P@f*_`O}2ABv@Yq4pZYwy^yU?Arsy1@-2pic z4WAM>>Rp)XH_u_0<Mb`;n<lH@7kO&!_1rpm(lgGM=n_Yr%y|wwy~D+Bs5P#+5$0g9 zH_ma}1)mLx+b64ESa-!#IAi*!man_BOP6j*jGX7N!%w_tX$k)n%T<5co_81@NV<@4 zwc_R?i;dIo-QV5gd*F2Fq8Bd&Ck02%eR^{Bl9_Y2cm7;{qkyZmcI)TU+LedCYgPy^ zT$Ri5CocZFnMmCG%qwO`Eq#tg23*N3Y?D{<+O=WJf*op`wGEa_1|%@t3*)soVpFk? zQ(f5gPSlyL8(0mjdCl~+wP%)JtF%3|oAbhK5g)bmt}|PAPoLx#Q+`-vX8OyAyLWsp zNu8FNqS2A!Cw6f+=Y{QEAs#xd*AtdWCFot2IkV03tcWDf_dM2&!<)Wtj@(u!b~@}# z(#>Cu(*)Bbl8@gxn{=~tbHuhxv(siU=7Q8cc~>^P*%zr({ZVJyUGv$g-_Iu9>`veK zW?$p9zq_?|CEom!Wi;dQUCsr)x8}szde8A)KTk4U{Y=tLlgXF4bdK?K7@uoDAYpwx zTkLe-;Y}-dtz8r8`^?z%W7E|XsniJLXvu45g4!OZX&#={n7Si;Y4()Fw>qg7^NdV% zqOE7AW~Xm_^CMCx+WLagJ&D@oI$Jse)%{Q0Qz%MGKUluq=P9SiuP)Yg)jn}?m-dQA z=t>1l{&TLM<9$%TlA9W47t-V^629p%=bnf(58z`6UVX6f5JSd0TWvwD_-pYA>|58I zm@NF6MQCHdoCgbp7cCHEzrFk9o`?r8`kr3RF81jtX=;vGShVZC)8!p|Y$~{JS(|>o zF)zDphS`zT+qAp41Xp^TiL$<MZJqP~RqNgd#JqnIkhS9cl}WjkSHDQ<UkR>WcZl2c z{Z20ZE8Qifzn#`!ar(1P@7t=@s_E-ic{~qLc~Sk6y*Vy#h5zfFT^9rTFI|<}tRT0( zdvDXifAO(XBg?W19_qgMzC!h7RjBX<`3qlVx%)*J6VA2mnH;9&{q*S5jW&(DmhD>= zd!bD9)%TLE{w7cEe(84JpL(JH!q=eEWv7~cZMO|C)VPuv`?gTy$o~tjn%jiucN;m+ zUp(KqWN(pV319phZSg06kH+2VJ-r|<C_Z-wkJfyH7s9+V<v;(@ZT_eE_rRs&d_S#X z-)-f2^)Sl)<=dJCbuQt*U7Y2vS_}N0=4c;#-TP{Y_?7K1v;0dPORP3VE;3&qrsDAb z(Bky>*$e7DuX|tp!V&QP!d1De0{(SAn!8eB6^{n2zaA>Njq~t={r@(qZeJH($ntmd zt~jsb1}k>|N#+0Uw!~Tf?cO-g_I*y*tCu?OjS5e_&Fj(r@9(|WQ%hd#ejgNdU0e3m zmUUjg*p@ik@BUt;D*J2y%c}JyEBbG5y`uZ_^+dk8oc-&<FD*1aoYR+eA?&s0@^YJ& zU;evxCGT8S(%8$GT*>tF+^<brbM>6lgl-?_ov9g~|GW9ro<;iumTG5hu$<(Wku`D0 zyGb@L<)Zxe%~01VIm#}u%iQOw@iV^9cRnSBw(WFTr~W!hYD#x$YU2{wS?Uu$)CUXJ z9p^loeWAc{Ef1GpX3^Dk8VBbjHaOqYVV=J(a!<LY2A6wG`QroabLLNZba&^Z>suIa zx$ata-Rq>0x0jcE@AIpBIJsvXTC$u;yN8iA>E(Q7U6a>pyW`A6+TWCZYWc%<@$2NQ zbvO1#X>J$ZQ{6v}O~vf)>wigF{tFU5Go_zib9CvaYf@)on0@M&)buOwnaz;;c435> zLh<e}b*24}m3~c^x>LXU%ASeOIB%wB{YcfF-dNuJ;%T|$xyZTd0TR{XJJ!_-AJe<a zs(r94t%3KlRQma_EVGnKr?SZ>a;7A9#4c6b7NP$-Z{8_kjh;>1u|IP;c89H6caX>6 zK!<jj-uhba%qQ0^BKjuI_7A+(^i*)xiTCTS>^`UH<RAJfmaS^N+Ujp9W=9Qg7l%#} zyuLU8L2}rWjqi7-R{F}{y|OvA>1DL<vcw~-=@S>KYrdPZfa}a!wjVF+d~?KK?Ui|N zRn1kqFX(+(gWL+{mF_X+Z?B|Jwe(v5)Wu$=abND&o>N?3*suI~!xFYoC%I?GJ67h? z^_lX)Ph3U2J|u0Nv+l}n;d_c-YQ6S)uNUYtwc9gU;Nb03=K4X4ZG1DkZ`==CwSQ4r z{pw2{ucofopI+V={zB1a6FZBT#O&W1o2ulG?iBJ|z9ffL>_1z_?}l|7(tT@XZd#Y@ zT)V^m#Xt6`Sw~cM`!t7M%q>5ZZ<uh`>u&v~ph)*=;xa#Z1H801G)XDETikW}^psOp zn`E?YPweWg_g9^=Vfq^BAI%jV7wZJ{U9TpTNw-u)X+J(9Sy%pHowN99ru;Pz?XPUw zq`LfHpOv+<_J$;>9p=;Op6V_Mf6e#asP5PEFAndG>}DM^yL%$fo8`S`W4p#zJyzAP zd6pXXk=4@|{X1={{Hi?csrxUBE6f{s)*KQ@)`@enSv%D>=jid|n%UN$uHAVtGgXBl z=ZZ{3$JE-M<*UlJ%_{uxx=i|h$gNYIm-8oky^rImnp0!-XxH~mJK`E$_c0a~Tz+h- z*0bZ?`+F|WSOoXZwh7U9)eC-gS0z8bZkd{G;M_-oUVGQdP2Y80>ucVp>rVeyT-kk6 zKC7stKj^*M{^gz**H5W3w_I9zVfU0O^UBQ^ZiA>@X`VF>hjyR*FO*q*_Wj=3HsLQ= zz4p$2qAkg7vi5u4C!2-l+F$cNZIpWbJ@D1tCwravgZIC>`$WIxU*O8f^<P(&Yktl9 zRIoN^sn_1wcQn3~d+nWFGtW-+GvCsCzI74uiho*y-mCrJer<_g=&QR=c%95a>P4gK zW`$+#6aVGD^q%j$MKAyF1ZlhTXp>z0qAR;k?%g6%+7<M<<%07Tp(l5rJa4@R@=0}z zU7WVrJztyf7dwL9tNDrS3R+n%{JOO+bY=PEa*n&cudVO-%BX&cSbERbhog3G(0jFg zYF{?)p31s8LiCsS(y+{`RXZbAPApmU#eIrf+@)nxFGhK~$COuf@w~mZ+w+2(@I1ct znk%#|oLN6ky{f5^x|#Er$jtLszc&Uwcw}evK5*h&^WRrfJ~$Vu&kTS4asQ6VJl!Vu zmj2%n{_;`3=2yMDaqqeH!(PQ!ExgdL^;Iu+#rdu`YfOw@J>s2qX8qcz9QG-0F>9{u z3C}I-U)5OVka_HAt^VZg>%w2%Wzp!<dN*5HeoeruSg*qMYr1_4zpp#(QD`4JS>v5u z=|=afEBiHjeHV#{8$ZrIs`K${cjL#>#Zi9(vu@v8x?oF9HP?Kl&D-|9x7D&_Ot9AZ zvQM7zLF})*sdE@U=<WT#{#1t|LsW>(QB~c#rRvTH_gV{Xxp+*;e*Wp{{43_yW?r4V zY{8f9-<IsF68HV(7xe1xkr_1wYlGga#Vwfk`2Cb)VJlJ&++AvaN6pr!XU99<)hDk! zF4-2+Txjc;K3{01-15!8U9HwgHBPS$$guTGFYgTLzkJi*)b9G@l5feq=f7IaoxAqn z4!Px<<-0&K(xw{MAD5W9gZ1z9_-ZltZ{U)uIp^{MvX^&OPk+0REw2CaO~$O(CI#;| z=_*})TvE2}*z>A6=lU)s#PnajsW$Odp;dJ^SjcYGj+Mt>zNu&xD102d4Qx!@YOr1N z!FFYvfLu}56qRl3m#!b!yri*ex~->jfvsQq^=^=3{z*mihrWv4=9pp2lwO_v$I@eE z`OWXG_f}rnox}chevi|=)cZo6bys%3sp+-s(Ycp;K5Td8#7id@^Y=cD&eGZP?^W>G z;7X?6yaf^2GfoJ9D&h8(>s)<6?E>2i<_EGC|M?B=ru_W>@vrIzzsd)H_TQJiH`kzh z4lgr1XWR;xdrrR_a^mznoSh3k?!HjC_4NFOcDV~{yTWZQ%v<+yohHB3ySz_<q79*w z9rqvSoVaS0Lc$xT@PAcR=X4B%*L}auKSiYTdtGGq_UsFF>)cILZkc9?v}P~kjapoB zbo<=i{v^gQljeYruNr18t!cgTb#;2S@YCxXHDsqA<o7wo+<U$u*s+XP>ioo*XzQu! z7bG@M`gFas)26X#@3r3_mwL98H5{A2%q4^Ud#tftM*bCrt5Z08CF6qCOm?kUH2uV< zmOuPgN?)36wx0TTZ;(r@)l~HhGsN|`thc-E%@|zCl<OHkW%l9)f_%Pq8NC7<&tDLC zRWo7W=5PKd&}hCbSNV`}Tg`zIi36YgTK~#=?e%svyuU1ixq6!TigE>;XSdd$suUHR ze?25^-(R-G{D;m3T<mx4*S!&2TKrb^x6RDu2O`#lJYk;tRzvh>NGhl7#FO_{o(`&9 z^2{cBN>|N>XSVMHw2XfL|L?R}dg9FQZ&pe6ov7BRo*EQ+C?$QRn*FZdwWr=Kz1g=f z|C3_s_p3eb<@TO8=WC1pG(R<O<;?yQH34%wC!C0ztf=N1^*3$>=f!xft9Kuty0<Fi ziJ))KyKt>f@n6rjxZI21yii|T^ru_uOv#gz%(EALF<rXrw_55<lb7#Ko3tlAQu$Qm zJ1Zi5!h~Xz_d9)8{@ELI_pq;*>$h27E=Zp#zw6x{&~{+)mUrsE6K%7+UM{Vg^<zoU z#QAIXwYr6SE`P{$t%5h>K#xR?`Vvbw$Hz}ub}l}(>a5uQT%FUxpKe?bVSUSSlas&l zm*#KNw8$UsGHc>=uI|=0-DcuHO(Qygzt`H$3f<n%Z-0OBmZ{~|@uPoj+LB93?fqEJ zOk|sJbhh4}^2D^#Pp_oyEjsjhCc2+@3g5S6XPwr1nQwDWB|hGHQYqd#=Z;sCYeQse zL+Tvf;@vh&6qLJJKcD8j`+&>g-sY;O6aO}z@YKwYoaf*u+`OE(NrHFALpF)}`BgKY zuehJF(XU`{)a}1sdtW!~i{U*r?RnVbg@W563N3w;x!q4xT#sC$*w}caZrA*(tsOnm zx-R}6U!<p0O>b06JyLp}^FP=6QkJatSG6m)?p^)nV8_pO6{cqXWmgt_msnby+g~~F z&e}KUu0AkNbgWvpsirrwJos%x=w8O9i9PlE)&xv<-<Eh~)@C1G<&R7&FaPa1vh~~3 zGIQmR2lpx)9b-tF!05Iyrb+abbfo;vSUG{8=BKXlX>L>9q!BbbWJ09GN?)xLGni&r zvdwT=@n`j)CwDD`<(aPR{@5%!?MT*>sZ1>OvBjm+=0<JVfBK1USmB=25hoJAF1w-U z8p)vD&$w#lBcJTN%T8aF_V#U?AN1Zz=SJ=Bs4QMNi^ilSZvs6%>o>S(KAn?wQ=fG$ zYw@{C)-Wk%miqA53v}wv72aKX^(@ow`wa6w{yoJl?3Eki`MKrIY*#(Dt0w*@%3Z?+ z_C=pwE3PRz^UYJyoj2C+3cNXc>Z^B`CP<y}VfNYU$!)QQ;e6rU#(j2G?}P5Sg7Q`V z{q1{Fv=;{7ep&eBq*t_#&_!V*gO%zkr&nyrJ*FA<t!`asPIp4=+MSQ1r$(Jkt8|*C zYBta3r2VQ{(PHw7$)|pL^p@T6n|E>T&l1jFO97ju`?EKkGF{NbKk3!tDQ<N|?N96D z6y=Y-Jlwl#vAkyP#s8b4j(YXpKb3l5f8g=Z|9OTRw%-a{C9h}scXf|XcYCC6&Fo!s z=j~gT$DkS^Y@o1AU+i)}XpVo2VtQ_c`L<<Qx4rlpn4j%9*lm8mz~-;$PF<}9@z1X5 z`P)0QFP*>e%I>EN(z8W2$8TZ3cG7w3<|n5<eVto=;$ZOCM>8};BRnJzFv+ZDUy=26 z7I%Z8<be|xU&deJ{bncr{>jI(DHr9mqmNCI>fLNsVR|V;X4ApL`!3q)&#Mp5G70-F zmvKQ({qg)YSKdvI6rJ&w?Z$aV8{XgI`IqkQ56e>5%oV(+aXD$*F0)fxeza~{9=Po2 zd!e;~%Z}EZ+Z?#;sLZ-s8kZmK4VmUPb4y!D^VH)FMuh@G?V_%`sv;V$eYLL*mCUYT z>@2U?`?}#%+Z&ndwTzz_jjuBproTS46qH3i{Y`jpbm8xlfcI7l+&fa{3r*|c@|nnZ z>f9C4eeubY=bQMSn7912Pxi^+ue;{zNdMS5_fOv@kL{C>KKS{qAhmt1!vdD(KG($} z2Tm_dPndl7)5}e#^aP*xPjNfMEcRedVkx7+u~{moERMbt(A@m2d`SV{Rb#15jH{EF zpM1DjaVm4a>xWnG_$H;Bv^BQvyO{p6%6Y!ri7bOywil0$ukhA-zq|aFx7Pawm-Me` zHu=l;JqUNWP`)!|vA&V=ytw$Vz4KJ0^#j_ayCWLhPaSGZWK-VH_nFgo^)GFeUS*%2 zvdtN{JsU5&tU7Qfry-~9QrnxOH8qPAcfUF*y{S2|Ip<tk#tFH{B@5c#Y^+X+b>23Q zKign7?}Wc9v5zkE+|zYd;eIqRD(3giO=33-U7Y*Yd^mV$^9N2Nhq*$LDi_;duH#z6 z!`8w4Kw3hCf96O3@Mm9MU3%^CcPo#d-s%G}DI45$=1iXQvhPH*rOpBNt5Qn0Gk!&$ z6L`<JZuS#S_tj2EBXX7JotXUYluhHQ<vd-=tF4ZnjF=F1Vp5#jiZ|MCL%F5~eff0i z9M_ptw!f@Rx2;~jN^88E&v3rmC4ONDqu;d8(VPXJa%M<vIMp&OT4nFQW1$=Vx3v0a z{EJwV7BNjdWoGgw9;bQtT;DTHNUi7F?w!H<Oo(|4^Nvlf7Pe;?KS#9&D_@d}+VZD= z<z&_CU&>TuY$aDt7Vv(P?fD_&;!(rzeLOlF82Arv@<_b4@{j*SDYlO%KCp84t>#_q zz4pMOdk1P4?)kZOSCBmm>ufC@2I0*fk<;=twtnz6W;=A^l7Y?v$1Dy(-OK;OvTnND z=-jBDE~k6xsF!lr#6#EDRc!c_Co|>B?kwXQbGIf0^hNa97%b>^yZMNDPWC$Kh!bM> zT)A4GY_7W38^3bW21XMzuG7=L*6M6L&Rg-r&ps<jJMqH@#{F#m1-JHp>|Q#bA?D7z z2z|riY364(HXS^?eXq35!G{uZX69xUO_P%=X6Rm(pU1r|BH~BE?I=stOH&UVN@fys zlj75PGw;dw{P&wogDNHly}xvxd+7<m=xenrw`(omBC5Bof{|Z-^S>EaDyM%`I1zGq zchAeCi&y!nv8G-<wVRLI_jlIBle=?bUYrJ19`oWSURvFHZ^4zm6~a~9gWg}d&wV%U z=;pbWPV*OB+0FCiMb{O^*szH~0WqSPM=H2hM9z2{mcZP0&^cjdr2N4Zu|>|2Z`nQe z$1UAt$2Dbg!QR;xPUW=$E6X1*u<5J1&iHgk)ca$OFJtz+ZuqoD_T@oCp|4-BtEoHO z4~?ACv`AsoO_qZU+jwfqcnpvITF2qP;eFF{qsWfzWLZlaog9;jCRs}xb}#;~qMVr# zeq!Etg>{#+MJ(;fk%;+n)#%@stnjJ7suo*#FTSUnTs6&mdAX)W;fuRQ0e;N=CCgW^ zCrC;#C?1Po$O(v?ubFG}qVhUp?w7kY2O5f}DA$@d{d~nL%=cc+!E^6vhwNBk_Ep|? zAs~lu{q^9B*jEOf+?tP)hJV|G-XDvceq@Emaf4r9jjGC~-TkfcRgYCRu-*Q6ssGeI zt~Ikw9eCoy60h{L@adeNw4usNzC>8ZW4WACR9M|+9eIc5EYtm5hR0X2$~_Wn-T0KR zB2Haf+UDR-Q5%~Z<`qq~A~q!^ymEX7D-L8`Jy5&4B;n`vot&=DOX8bbpU&7d%j!$l zntr!gDnWfyJo{HD70>b4xw5UL|ILJ-??u1=p5oTFrB2N3>6QdWztwX@=FC~Z+Mv3P zgW-Iu){(7VxrKj^KM2a*QfDv8TibATBjcu@mYWr;Kdw(Z6?Z1G?26+WUxnX7Co@mT z?e*T$c6YJ+N*>;u2S3W*4EOSL{&u5wk!ec5ZThk|3DVAH!5?f@&+ktCyRLW5;Wf7+ zw#n|b4>#@hSkKyh&tQ$%FXdi7v2)8-&fng&{;AH-vP=neo=rTK`)dkq{UsdcuPmA# zALsFz<<RERgZsn->t-;WI$pZ;<5?~b>C%%kb}hdZz4?ez!pDq;Mus_St@3Bg+_5=B zrqEjFz~Qy$Yqzgqcrf*o&+1PH&+vb)IiwS07tzojeSg*LQ=c{p98I_Ua!)04+QII* zJQM#)bud5ZUbD3|qN@Ieg92#b;&-Fi14p;rn*WS5vMso-P2v3aGi-l&Hokgx$=mv+ zz`?sys-`!syD;;?M*n9D&r~*^Ut9TO?gl39IgB<o3+%$nE4KICziD`Icj|NRN9(6n zZO?JhFP2|ma*cP@j2z`1-m)9ZUn*}=+7Pm`T>ZnxMaJ4f!JoO-FtBml<C=DK(!S|I z@2%Q7-)enb$NcMWSBcV#x1yS?Yh}$YBt@+F>2Z1Kgz8mg;Tm7{V%NO7;lHx{WzfPS zzT5|YdnGKpvODJ{kLJOdmd|7r-bHz(FPq{Q+RC)rm3aw+|Jp@b7QI{>k1PumocG|1 z#v$RF?+S;6=bUq?Xu3S5ZeF&?l;W6jPK${N-4h?|xc=_&(}vJ?$Ng{E{69=&oVV1t zNzuZ$oz=m2O?G&_k@x+tWu2Ki3fo_wdvUXF{fY1En5|s++t+o6^55&7Z-3qL`JCTh z|Es_EIq=46m34f0@73+9H!q1#o1uFmM@(P=XWIQ+i5nwp@4tR`A**sCFVCk9okw$$ zy}2aX1jKCh7?XW6&N~=H3-m<tuyvO<B)H8>U`pdiI_+?Sg{LKz<MZ5A|JT2(^<P!B zJ$jR@|2g&2(79FVD}$H6y0$jjJH_UjNI~YW$<Cz*v@%&%8#AvtynXAlw^cfv;kRSe zmdz|TS;7z!omZ4Qg^k}-U!|{o-IZwniw>_!T4%mAzS6kF@H^8*=giMfBPJ%xuiHPZ zbQb@FWKW6s%?&xJp$6L~FFd1b{PEZKgBd&T-Pi82<k~)S;(_)>qGrN>cXzxJ%*{FK z?a5Lc%ACa*d4JLwK2dhhxV;*I2~NBMe}Xvoge0;bjEOrOedv@{=9|mSpSJoG&1v)F z4~W=t@3Cm^nhhSRg>QWxigmur)aumudVS%86OXDcrA~NqHTbT}1}>uoldi3p@ICZ~ z<A>xgmn~bhS1;LkxXF3OleLp7S`Quix^H1da;q+T_@x_WMF!JFC**XxiOrbHyW#8V zC9j`yS>LFdUw29}SvKda^ScjnQ$I^(d^mOap)N~??Z!!uXWGVd>t`^`?=)_nJoCS) z&!GdB&YM&wnS1zr)~s&KFwT||pJ8@?>12LUiDLF|vsD)q6t^d=Im7Wxn5}^ETu^Gc zh9HAyUQXYPi>pm@Uwv|YHT&ga-tUqR_pQHBus`4r%gPLk5{5M%Y$qN|eg55fZQ1Mi zg%#fS+^l|2+td46Wx1;U&lj%uWRL7t&A<G*CHv&<lC1^0m9z88Htcz_oAJ|){tJ`L z_p02}t-O4l<N74?+V<wBs`tewwEy0mu)1LLh9y--@k<|UV)~zA&iOqr{Z|w3eTUNw z=3zID^>{g7Ge?BYt324&VjEh+bn;8z<=^e1)`~Bt2j~3eIQcm=edeYm9;bF(ibzt9 zXg2f+e729#;d6-O%(ubUE<~(6^pv-)+lf`}I)h!z-iwbmzkH|l<*?|jY>w*rQF|u$ zvflf0?fkSiJ<FY%oMWUs#X7c`q_jJ*XisF!vC#e}w<+l1s^eO>k`8wr<T!SS!R&Yk zTmLm470(^NIZvO_W#3S}_eSAT|A)V3d^VYB^*Qy?f$eR3XC8mPWcLca+`wv`wgX;C z8(!aToREI0c<TGB>t0PV=~fN#`m5En(WpW8L#V5fFN@H%F2#%U5^Aox?0R^_u&L9u z;e7Cv%a1nqUCq<Av&ve+_&AY4K0(s;g5I?qcB*lmXPZPTralyDxcOzHZS;QOSF8!K zsmCTv*zkvC(wvJ6EaQtJmM8Up-p+CT(d3#V`G4MR*cJ2R!b@%LBRWqPv8GqOXP)#u z+O#+MkxrWH7k8@*DTjFP72jjE>VMlG(sU^F{iU6m^TS`%yDz$um^Cw<PphEzpJdY8 z^}E}CZhZ83;-++#&t}XPwqCIg-Ip)*ITu7ddhE{fIEi6-es<U!i<w93zrMVxnwfLB zF~0izYVU&l82KAc%}g_v`7*!rx+x%a>Aq;3{9=zf|BO1nUkx9AS)QEFefGTP|FDX` zHY@HnNFHHW9J5Bb_T9Q=@jEIP@lRg=q$;BF&ZM~4hEKD$FVz$0&y1?y{WLskS?m;X zr(%xFZnKujFs@Nydtq2VL2mZnXUbm|SzT|LJpYer@`D|LiCZ%~<n{md8P&v2+B5sY zpE)K+FP`_jbnVAaMX#6ZGq-l1FIccTIW+HF?V4pD<S(DrXq~(1@v1{>+ZJfNuA2Kg zvwSaa+53z?HGh94+z@-aVj26zMS6XA7V(~u+OW&W;i>)9Q=f&bAF}N(R}%35exl8t z0TdAbR~-Ahwe9k}6Pb%%7JTb@%5uT`W{82s0TpS5zh$qMEEE0ZT>e+FCgH||XWuiU zbK};{`#6~^nazk{a&p6(uUapkr|v%V)iD0@MB@oP2{JqnJ~d997PKSSeeRJw4-5YO zgKxRZwZ1y<{e9onk!f=3gh}by27YVb$|t>Fkykl)_36gBb#MPSJXLzRIJdLo{KtBS z#m}l_Ps_YK>G0p}U4|i_QmCg=L?MsHrO71@H!ZmyzY-PD4?K3OKq2XVxvRqY@Z-Df zQuw~j4R5zk*^~5yy)1j>e^JTlE9>Llv+dvHT=kg$#DX{MoeN&f4`44AU^iOvsM*40 zF~=D_wj0kXZ2i(i#MaFU{vy>X>n6zHTlnUH>_>){*D}Au-)_q)Vhp~+kd?N3-+Fn2 zH|oCG*Eh^p&Umk#&87Q@1GLUUX3K%nwg0xb3Z0oCvd;g@?pM)&-g^}ExwogAykEh8 z;l+kh-8bJlq;BWWSv`NB+d|npb#k2Re05@6r)*sDKXyy&fisB>o*8G=S82~EXnsG} zJ!$rYvfIlR^#?G2-mm;ILeYB06ZJ=rOZ18#KJyFyGLy@Avqc8)^u@}atkXA3PwA35 zcq*4+`gHHNr$xlpxqn&sit|t4d=)K4>plE6K05V_H#nbee)88UZ6h<Mc-^IQ))9FM z*)eMj^gc6xv`qbBU720IsOjPDXG>)N=bgWNef8JaH3n%Nt}Mp87~9gVlMY|JarT-j z$E@8`W~}u#FnOra-R7d*DcN0h>T>vzo)yy$m>4@)OIggZuK22J>R=I?m?p%jIicXe z-q0g!W-=bJJ$hPh{zH~{gYHu^Hx+w0i)n~xObwl$V5hUl^R%Jm{tFv=1$~^AcDoon zmRMjVUr@38bwHHIJ@Kt8d_V7EX{_?iJXjN~vi4GwQ=?m{!G6I&owvV3Zs}|1cpNb3 z=~dbIhsn5+G4039lsn3OTr>A{CD@xwsAgXIY`);SYKQ5g)U+9^--a^r1TA}WRwboz zSGvk4ecjKcoX<>8&E&Bx{mCQxzm&`S^bF&2hQrzADxIx@5tTd|m$_9R8@0+>DSE`| z34N|z&%*I#nxxn(A)X+E!%neHk5bP}U%5@jpk<>^dC*7g)_F&=8QMP9ssz4Np25ju za9BKansoM*`wOo>SpN6v<U2tsX_oaz^gDKI9M-wM{;ia#fyc~EolO&1yUKrD+GHa* zL%s8E%88YyzrT>3v$?{y^SVNmTf#BJ0}jhOMPCbXO^;zV@Vb=oaJj`Rp(B=$GMC1# zIjvjD$)*|n&cuK7Qawk*;-IK$>P&~LFQ%NxOp}`t)FG)bPqTQ-k%pvS2fHJ;sHq)x zF?cNzaL2hPOHW*|-K|_g<iJwXLtR3wYF8Kz#$R3V#+~c0?cdPYNo`BleRW^i?`^s8 zs7;fnfx{`+NB{I%pY5(|V0<J$<>BwN`OMF*NnJU*Pf|2*$;Z$$9hX>_H86U~B+aO( z7o59zLv!!LO+AXQJ+%&rwXS}<wrZW8=iy7&W}m;R>SgQV#kn@fb;Bab02{U=y$8>H zT(yhkV?k$r4$rgw8tSuzs`rEhCA&@0GhpR0`;%4i>F?aCTB+ZBGnI1IsZUzMp>{6e z{rYB)vde`n&JVQ~1y}vtYCcWYR@H9R1!d*%Mnkg(%fq4jRv(XK7l_e~-?icRQoVyU zA@6evKX5)eCS~)Z>Vv<Wf%(<ul-~4fpUce~su%y-ojgbOugQ-!^P6u3PJdu;F{l2( zr?>n+qF>*ABys+B`cL}@+qL)WO5X`RHT%<+xYU3<AFof5=Q;jodxt}<TYfOF;MC$a zt>tT3cWj<|J&EI$@2RZGjf?k1r>uIz5%!qviq&l0LjJRc6Q0zbJRkDq)sKHIw<DX+ z*5tWzH8dsP_UhVD9evQefAV6{bxK|GJ~!S<tLTLuP5<RO<xu-_<=1k7JA7}Poucw# z?V+!3+WQOkK40au^2nKLbFMdYIM`gX9&QtGT^4&>>NjJ}au5AU&0p7Fo$@ezhuuZ7 z*XxgLnk#>H+MI`tU+?l=s(L7}M!#dtc9(11Gjg}*Ouf0IYm2+rEo)J+JY(*A-(O26 zeEx6!PEoTzAY#Ya<zA<{MaA@X-Vn?5pEAW?L+6f?Rq+0q6BS}MTxflil-$l*y``~Y zvQdu8jtA;H55_E-<H5uvJpEnB|7`)&6IPtpoGrfpK>QD8uFLLuB5hn9k_QE)H|#sw zpk(Xs?|v@rx@fG*&F`zZKm01?Y*S5)3ERF!`R`A;#Krv^4u#t6dC{JG+P-z6xlv4T z6GIpqbHrzt-A-lSo>!f}s`|2CAa44~2cb6BKYp&r_~4kqtagjxV~*kC%CZcG$(K6r ztzte|sC1CudV)=Wiph4q+@~Lv7M?B1kT@^IDI4G3_a^$)occu<=7ogDPMUK?;!u6S zXY=01%9TaS?j#(`(|GfsT-tQW^5&C!M1vRpSDGZfQh!pFO1^4Iz<Iqwmg0#;%kC^# z^?ACiQg`4t&m!Zkj+4?kY+t_Fa<(qE=|`K<vKqH<uY6su8r`!NiE<ZZD^Iw$SmwX! zIk((@{5Rya%xikPZ!UN_-_5<u_b_LI?{S8$W-nM@ed97NuADGu#eB2P|4#n(o#6B8 zrss9jr)=uC)sM@TR;u$dzh4^=>hP)1$-k<rF1BgG?W~PlsyPQvWp2net&U~33AcTJ zV`ErX!JmlJQx7YhpU!x!%SW(G{3p}YcW1eB6QBGR`QPMpc&^sed225e9JJ$|@s;O7 z*7O(AdzRbl#B_iEBKhd%qq#AzJnxr#>9nt0ad&df-c5gt7kb%$OgOF=bnNA~m~X{g z3O7{Kc@5{JGqjy8So@hr#imK}C`0D^kaKda%l_oVPI?l+X}{4Zdis*cB`YPT@EYA- zE5h|xK-E&~@Qm-TC#kr}l`qoSRTOmLZ%AQQp^ChE$(t_@4_s1Td`Y`hx}n<lL*2Q) zKfPQD>qXN~I0>HVVG}6SvVR!$e_izS=GgQrA5Z*a_c&>4XDn0B^Um|sW$#bx{ls-E z!gj3tyruSO)6=9AiW?Q=*EaQRV)J*L`hS!05gW0vgr}wp&a7cib*z4<wTS-`^SyWS zlG(e%yX%Xk8sixpC*7S_!Ihcva>b+n%6k771-xiKy-tVU#C*-GNh<d*+J0W8=b0Q) zm}oEF-TC)c$ZRvlM&9Lj61LyWkB<G8+PqoBYtxnP1ToVCtBivx91|b@TH~?YN&Qa3 zOCF*6g|~EL=4+h#0cqqk*f5wLFZ(7SxAK*JP|dpR!*?&po|6^+W!<;FYKD!Yt@cTE z?!C8`)%`9#+4M}i#eDm_>l^1E3U9sEuQcPiq(V*qo<Hhb#+OYeT(G~NWaC*nIl!fX zH*IF=1I<ZytUlB#Cq=BAAUIj+WarJg%MULZbWenE%$3@5t0fIJC(W6$bT<F=HrMwM z&fD!0VxMQdFzwl@G$USWiAPbA*&VHphtt@krp{tKx!m(cmZ{pMJB&-_zYZ7l(Qf`b z=};KwvlltXnk|+uO^XuBX=qc)oGjvbPVMU2W?u`QiM|JBN(Oo``1zi*aP3lAezVP< zYnJRX*+k}&4ar?9%iro{o<5lQ<&31Y6Vso`N|M&gJc?%J?msKIQ8}l9ugquSlP!1k zbGM$j{W9sz99c!ic}qNw^=VF;lXmr(a+3QE2H7_=lul;u4!@<hIM$h|B;z_r?lM1L z*%JLHWn#RU9z{=h_8LSnUb`xFfUhC%jX-7p7Y2)a6*><a+gq3zaw<>%xV>*?qL5$e z`3Y~V3?~$<`Mg?XU4dxeyMit1-9^eXGI<i13;rFy5wwisxWBk#rEaEOT;p4Q-et^o zD^J`oay}tBTjtojmq!#Ip6i{gvYMH5Hp9$$r_Lmtx5?Szvg^6UbNgs_k37>lpRKPS zI&v|~#{DvRpm=hw<io#J*|ALzl3rgrzapxyNARG{B?iv}!H<6z78OiZdRfM%d}T$| zQkC0sg;(rcy(Ii@3i@O+|0r1E(0zUxd*{BR>XvUg<=eP>Z2yY5@9#?v<g>dV;(o<F zK}+%gp9N3#vt24b*&C)j4Q1RtZN1>^L!mA!JMZY}PWfT}cFE~bKIVd7yVRVvUsIiN z`by2z&1>}zCT#h*_T2%`g|*E5*VA|TOzoev;dSzkQwNI<AG}!iulU2lxuuztcP{7; zlKk`L`<nRqJYA~`-8I$=9lgIYvp1!A-*hgcHKGw+mQFpQ4B75%E0v<R8$?~;G~bc( zJmVwRb$Q)s|L<lb+7xZ!P)iLd{O^2)#V3^c%F!n46r<lSJ_()8+ULFSVcq&4xhckn z4+<OXWBZVn?b7}Ii}sP_N2{xXY@+u}mQR0hwp&Pl-kK_<vkN)D37lF})g)_vv*4cV zGfSS6QjtBo<9vfcr>pEda6miks%qxGtQXH!R<iGBy<#WcykOb#I|(PH+@5(0&tk0j zU?la*$ir=J)sneAyS@wN?~M<AoM*V~L4)OD#<quP#wDM1TUdJ6U*+4l_rGkXwyL@D zJEwd5%h&IkHk)I*&A+KpO1>!z^&D-zr<y)xt6uX}=ZdPvE8$f>hi6s#R4^4qak=k_ zl74qx)pOm!Bont;Pi=$sY;KFa61t*$Mb@e5In%SfY#9t+T&8E<(wy{gxhxN(a2kVY z>H|B;>I)0La0VSJxWIhuFoRu5y{_HtJndg%^Pd>A+Qpb0IjSqsXR>)+vfI85%zTIE zU9S=?cx@`aEG4a8^BV7^!2h-yXVtU$_Uj%#wY7B@OELe~h*LMCrYF2G=36WsX{B0{ z($lviYjwfZxhm5`EhP1ux!Ju6lUMF?o)ojkL0ENf+@w<*bn>!en=Cyo118kX`H(DS zTE)2agpsa^>!jJ8XD(#4=QCepm2zn2jhK?(el4&n>p;PkL&c#ihfQOcCE}SYHg8*g zwED4p$G)T0kA+*aKl+v3{~%}nuplkqrPZ2+_0ChP=5A5Db*S{d*^Tn1_lp?2OJ<x1 ztG*XDJz<B~%9*tc&F8z9U1fi;(qm$>t3ki{jF;`}cq-bJB=>tv?6EHv@^Y)<IBn{k zF{3R1qRQ;sjH0hpwRnn`U)vm3I>+lNgVjA<PkUG9heke2a#njA{ExH@=%{Deyn>O7 z;X?0RW`Dt3m6Zm}J`)Z;yihQW&D~Rco%@u2sn*%br;bFu+ZwlwUE`-0*M*x=GIwsi zn0`-6`|mpQ>o-irrDV+hZqb=4so`*F!JPh_TRYu0MS9-;KAYRqcxC>*`7;kYay29+ zXDhnSSpA8|_Y-66S@zR~_NwtajHWfSED%2VB~NqG$Gx}fUT*il6tQ9NDc*`Lt{cj- z8F=r<&R!oV8h9=7`99-AY(KXBTIpliui#mJnc>Cs*FV17+J%^R$3%usUzz8@aHOa7 zp-AGnR$~)S@wR8Ymp-f)U8OqtNk!)W885G@zT^&Fd$yUAC%o1{ai8Fs>pTGr2b^NH zno2jta7OKr3$%K0=&WGs$)abGg%+n6L>3f_ZdO^W&L{gNdB-IY&7TzuJ+upMy*{h{ zEsu|vw_>5v%yY?Uh7$sA%8Pc|COv!o!*T6|{l9v@npv?PT<L#yM<|cdjLlLCoIlbx zzF8o1p7Yt3Ek{~a`hBPEm~c;x^K6DRPx4I9{eg?(rz_m67vPkz6ZSG&%CM$|?ecQA zYNnV;M%Pv=rp%OUSsnB1(1Adyyc?TN&0gz0DSxWbEM0|@wXgmiP1>gCx%pW{%nioP zp37!_*(|cTl=G4Ksf-u~o>T$#m4XM~q#8u=yo-!|e%^4yPKDq6)4uv;=e&OJ^!D?C zk5wD4O`9``Qz*CXrduw{vIz%Q1VyNRp2{r5(0F=Xb(hr|%?Pe)S;>Zdn`TNmH*%!u zGxId?KW<o6Kgr?&?@{^bfnUu(OgcYDu1Y`cOvLg$(TJ<6p6jZ<CQn(V=eb$Y$6o#9 zU-mz9zHra(OU`~<F40)oU9_NMo|-|rvBQ0K?*9R;ua<Zg-8A6mxYTpW=YCzR(pT9@ zdRr>o3=Xn!q_65{wVcVjOHyb>B}4fGrkG_vPjCFo^N5k7xH&oFM_lRjX@#qHsZ{DO zTxoczMM~V>*S>n2lX2lY>&1U2c9!-`+4+x4C{93ff9g5rf^@d^|DA8P=I6D}R`rV5 zQMstb`h18;52MGiuq($lH@Puv_ewwJb=%!z2Y31!o$oD)k#jlA`vv??@)~<B^EkG@ z+{trts-N;_i?)``Tm2_zwa!+)Rq#^q?=BnTVh^PreG%t<#wl?Q)8>ivoXHleUiS3A z%0}C@9bd#Wmri_hZ5K=Nw4y8fmISiAUd}V&zr|CN?BCTc_jUbt-sHT&aJ@q<`04I> zGG6E2+QwX2q&G|De$mTCo|})Z)3lsXta97uhX4B#H@gdOgjaOb`OGO&l}}|pd*fN@ zjg~Wy7UeG6?|AKN>g$=C*WcgeW_MvmPGqU=sb$5n@@5Z>UP(n>50Blwyk*z3d2Ve9 zt+Um;vRYsFpGy$Wo0m89;?~%j&6AI;4{2?EEu1@T@maxCUB8FFT;{LX7PG^0kxXac z4!c0NsyMaI|AL;s|F3$H`&e1m(!WKv;dh71_HS0MlV7?i9^B^hP;}j|^+LUG!*(9z z`8Z!&-}ow5x<ydvOhYl1{i5Y(qIPs?xWztYQx{Ip+T3i!9{&8$Mx&fy^_*+H3I0~i zGncd8XDMOHIk)dB2WRp@pQ$<WiixZ5PE@^VtK|Az@%a*+-U%<fdSp({6P)xoWtUIn zxBdxts%%cSrSo3*Ha2^HI*ettTeFB~p6#i5f`;)z*L@O$R4fg5&zJQ&tf#)sIsH!c z?_ws-0}D+g&jzVjo;90itn)1K)j6Xikh0tJt#zL1hpy?FA>!%RQ+;p4jQBqB$x0`? zD*tVe*mo<{3)~>IQ)-L64pwsJm^jb$Hsh%f-M`(A6m%uJ`E;qMr@h?q@Y0^7J=_jO z>VK#7w(gv6;`xy8n7wdj+7a8@+lfba?63%~^LVHA?t6H|4mtO-51%%l;@u{czin5T z%YFr~-;y8e#nu&wUYvdG+WG05IIbO*S|Gfb`<$a@O#h2+myjKiZh3bW&fe%>x;ydr zhQDgb@*X!#eofG@SZSvc!+mo4!JeewOC*f7-}eQjb^eRfkUnYaVwS?*|8R=zL7vPB zX}0AY*SOmg=E~gY*;LomBEC-P)}<<K_Ks~^(l+O?T)x3@?RzlaDzSC$TaH#qI~Q!x zkLl0wmhw(knxVqHgz-YkA0^jGugw=E?)ClbI3+hw&_|ou!@~8K?=RPf&-O3NC_dn_ zX;Iocfj@HJtz4JP+;~ufb8_p<&J`w}pZ2(Wta#?;^*-z6iMF*q{+!|qEaz!hPVk=i zWEH=ZTIZ~fkJ1+P{_B>XW~OuajPLUUGr4kZsK_S2mUW)Ww1(;IjyL}Vh1Oj@G2x7i zz0OkRlaoB;6wl6DJV{G0H~B=0Ki8w|t4mX3gwFMgr7zRuzMh^ba;NB68*jmT4a=9h znv>>icPO55>)m~kEQLR%A0G!k)Y>N<yK+**!kZC)97InQoa#&X+r_wsF~jKJ1f`Rb zO3ssGs<_UUM!dQcu6~7aLr7oR<GIbB9==!9ey8<uvzJwv=(gB}pg`3+%W#dsWM-H5 z#3x#M&Xd<zWUM<JRKAjh!TV`O*?m=w^F|joyRPwPQTe%O`z^8Zl(avI>?WsqC*Sq$ zT5`Bt^Ch2x&UT*lza9s~wXIyUe1pDZsI2(rf;86~jC<GWImRd?o_*w~s5Ilelmh1i zi;F$?^LAth^l|#nQw!=-(SG?O$aU7#?~hBJ1wI(N7VWyL`+{vl`6gyge%7{=FMMZx z%}?I9c&$V8t9OUJ@~^6$@42*CWqY`|>7HOwo#ou}Z`sN?-m7j~`~Ai)mfk&yNj@Ai zwo53?5;R`@dZT#etV<Hr2j3l^bhu{AEVGl+D;ri{-Qs!Qr=obDPq+K2o4<a>Yv{y$ zm36IOV_>|Z^|bBR;62`l=IfPIzjWHK=ef!5!un;e&5w6|2zBh9yH7<gK2*bbf{QQD z!7%r8Pp+P?p2hv2pG(kd)~@NVjOticXE1y5JkXMj`TTj&&wYmueeL{Lt~s@Ofzr?S zu6()euM#y1HtF1GWLa=@%}3p(dTVDk98O7&3Y;yntzqVshC{N4WPfTV*qb*zIbm^A zu&m!ZH8aNY^Dzg@hSZ8HTv4wyPR<Bx4r-9RmvDZ5i`=YI8J_pt*VU_<d=m5nCNP60 zb7X?f|6XxR$1}q3*Vf~Ecd<0`=$77?7I(Qp<$>=dy~}yQDtG5`X}-GS$bR9BhxfF3 zA5;`;XMH+u<C(xN<2KWJ#>?A6&T~_yNiMvxHU8~Y&V&AeuO3Cb6}|ZQ%J*B5U#vZI zgf<oYovu~2g-!9Fg1At+*$M8lNjG$t+;v})+$p!j;5xrY=mN#W2LcCY^*MGencePW zn|J3^+DX~Rxf>4i?-M_%wfl0HO7`QI6&}VK65sz!o%+vB)U#D|-LKEh+F6=mA;lK% zzZ#XU%~^9_hSj#Zz*H@r`{5@+%^l$j*^E`>byEKtq-@jsANKP9vI}PduBx8QZds<T z@R#>tsQLYHq3<H%lOz}yu3E_PESPNyV?>*Fk<caku5AUii@dYM%uYy}u6XDoc+kYq zVfJ|~_PU*cJ*$M4FIt=aOT{C?|Lm%sf}^KuV)w;}c19nW?d+&<<bK`DeB0@V1lO3b zo!BKdx1#6w-q^k-^#YmmMYd1$kFwXz{FnQ^);XMKgR{x!49|&A)LM*8PI4+{%&<%| z7g^hDlI?x<mIh}+Xf}iO0%uS2JM}j#_$O>m?U}4}Qj&d^^4F~WHEX}y73^5x)0e_E zOX;L&$>Zj>qaQ9RDXY0o65i~c^dqcv+A5pNJPL`MRwyR=X*d0x#Hhj`zuCC8u|-sJ zLWw3%wm{_Uq|Xz!rfmPA5`B4}INR;b3*KG(lv*uu_PT0*|93&FH~n|QrYr2Y<T3m4 zwyUXY=Nkzt_+8R$x_OF$l|gM4SGZtn@VlohW;MH?2NX??yQ=ER7r<NGr*1CoFmd7Z z$&)YZt9vjlkl7t%<+|e>uUSj^*MrACCawR=^v=?J2g`-Tl@IJD8a)23d{Jzj{}+>; zt!s5EHa)TyO`G?nAnl`Me)QzL&AcJ6g;<_9Pn-6TePe>fyeuzE?oykLUGJar3QFW9 zbQd{%=v;b~S7iBvHHMDctcw>~O12jUoLT)!NJcH{^~;>qH?HkssoawDq}*AH|4#Ch z?bp6$?a#hh#~{?4J$b2zyYGFiwpTh$Tm6_<HRMTpr?iz!U<hfvTarF`hcEAi`k&o- z-u2vi8etkHE(sSBPTl-><B|-ch~8C!h0F=AMiN&APx-jdRXSOE<${4sVsXZ^mBDX0 zct2cR6?be^>ucesI}G+8m_3Ox%!>I3gL;<Fe9gqJC6B#ViKN?Gs~;0vr}V2`D|nrL zJ8#3i)4@#tkEgW07Cc(DbMJF^w@&r!6MxNGTUU1kX}uQE)J)i#UQpqs^SAQDV)oO| z)`v#yunRo5;QTMa8iv02XMO~BrTk%c*Wkb0p1{1IxSUlhk~>&*ox8~Dr+=P*5RI5} zK4!&+0?|OP$)D#=)!y*`&jWJ?S)XP5f>n0TD}3$UB(dzb#hycbA1A$yUsG_1cb!8w z$2A9@2Zv9o{SeLTdoexL>Gs->>aSSmue(q%aruE8QU_*TJ=)S5t#E5{SL^DIMYRvb zG!9&Uv|g;kC_A&%<B#v9%>~@$)2cvKJnN#(PLisHUXyRK`6Nc1KVP-V^Z9f8S3)dp zb2jz-y!C%_;td<)r~T_b9M%cAQPF#T(?kE*ChG-7YfbyguI&HI{*ycPmtbG)Yun)I z50-^oQFNMcT+3LDf#q1n>P1X08(x_n&|=skneIQar0`pyw0NGJa-h!b`z>Y-nOPg& zr!G7jqg4NhJMdvz=fAMX)ps70oM22&WRMQ?+|<0XV6%B}ZjJ9T-8*j#XYt#+CO%B- zwDVmNnpeQ~aXMG{j+^}&Rks2io9{HGPJJ`+;q=1YVRLpS{}-DrxBSi?c|E-=sv6&< zet6o}Up12G5#AYiX8npChRjF$r(XQPR9qaXHmPC9ULz?XgLG~6NevHPJ3BM6{dO11 zdZ@Q4)0wHwD>IVq%AAfG>C3AbEMDcVm7L-;AtWs%d5c$a+bi9spEDUntJf8YJ)Peg z{lKN{RMd{v(4Z-+6}h&5U|uMt{>WFQ>7=29*zpO1VtEr^Obx7Y6?v|4=TP9P(lSf2 zbxKu>HgRot_+sxG;#v~!lB@XZWXGzGcik&=T|VwU6lxKrxLz|xbg|%850UrUF|E7* z7Jk-Vr0yExDk86QN6F7UV#no<x;=__7I*zva_Eq*z+W$yP?zuSSHu=wNqMNX$UeW$ zwWRcoj@F{%E?1__)~-@-ZH-o`>hE|oJDa7Lk6DK0hM4E1`)}PmU*xRWuI^_ZyhE<; z+8dEqfx#jE5352>Coe9omiY6C&2{o}QBbG)Tju?wj{QN~OwRI|1s9c{%wXKu>8qNg zejq1f!a`4Z%@uNT87Fs&S{z7`Sd!tDUYy$OJM*WJr*v(ONM2%8*`d6h<$*UY@XT7b zO6iwz=nXA%k6(AstUgpMov|%K<*%Nr(LY|cQ^G2}U#GOL?kL(nQ*^FH^co{$;excy zl5e=Kt8<wb`#j=({$$I~FRR;mOTORmRp*_e^wP|i!PG6OG5uj$=b~+rofg6QGbi%Q zF}!p;l(%(t$Dy-5E_)u%nZws$%+Bl-wdC!t((fB$e_BnGzNhAK%=+FxL9b_fj1C2< z+|?@ltuQ5TyU(9`&<x4N-d7KELwx^KJme~uy13i@%CpZRdm|PGcIC6Yp3M``FnNdF z(s+?|{Ku=ieV0voz+@_a{_yiRx}}^=fA!3ES4QPpCgiRBX7p4nJGHSYz&d;LawgXZ zsjC5e#r^Z{zqI4NB7Eyllh^`_-OF5AyS^^A(++6dwb#wrPWuE?>Ap>C4wNPO$2R@k zQe+eOfITZpc9Z(8tj&iLDw^{I8?9npvd@To`?hPT-oaX@%6Si)3|St3(a1F1(;pBm z!qzs`T+yP<cizJKZnn>|fm<c|ekE&r-xE6+bBUq-&>@`^%{zy(Q?_@Dq^a>dV7j1S zbk@?<Xy5x20dmpl`+HI~mcK44xc^0h@zmp|7fsk_3n}%fi{;IGakYs3x8&5%Tw99{ zC+Z)_I+F1CwR8Sa&HOjkXKlO{82LOd`-t{TDo_azW=)u||CU(o*VmIid+SY~V07k5 z&I)gXj_`9nx3*+#Y}#ZLkXpU#+Vn|2nSU!YZC`GEcU`sP|M{u+KD0duowN3c-r_UQ zEj?~D@pVo+HDOOk-1b}1Cb8EyBr9Kz@6`Kzq?yGb<k<RPm2caar4K~SK4^Ed%~9@s z_Dn@Rm74ktj}NCoQ}aRzLGxy4`gASvP7GUcvo!c$s>jXx#Db`@`J3%;uGinluG6vo z<cg)gR_tQ=tPxr1Wx013i>dVHwCvZMRi&J-Lf@{jtpDxe^0ITsxp?op>rWqiH@B4Y zTm9<G_Q#&{>1~Mhn8sh{#s5w)EAf1=#;fU$y#Kl$*3_3SEM))hVH;PISiLV`!8Knt z;oeRArhn)Uc%gg4_;!<JtfkqRFOttWK03B0Np5AV-}%Wo@_CBphH$>8N$wkFUx_$s z9mVvA^VD}$-s{Z`yW-DuUFBLOzd5IQ;rg(E&xYDTjZqottmzD;%Qkr}o@Knc(bC=W z-)j$nuZ#=rmR)EoRb)R?KJ~KJRsPmroleSJrrgh_pX&U|{OJ0r437goKCI~-DhgrR zi<g?NSbRJ3AlCx3Et{P>9qulgE4sqrZe--LI0Y^*(}cat81FJLq%M2zGx15)IUe7l zHf`t0a~?`9O=LT;ZKA+&VYN3^@j^eE{!Xyi_QC#$LxbdbhE9v;+NrM&g}M|T<TU@m z$(^Aj!O#4o;ILNhqZVnI8;*~-Us-c+pQ*8T{(o6ctENL66HJ;;8ac@2w|^-X&ik-g zw#2xEQ%*9Hqo<p34qs-x)R|2=oxJZ>JwG_bdaIuJ-`~<Ju4`rQ)vNew`()kRQqN=6 zUz@kOz23b2it35gQ(s=Uk<5&3`W2qax-0(ehaks$?)?iUWVS@V5_%G^{aIn^i60$x z1}?mlR%NebdG)W#WG#1jkEi;EkUO{3w(G?&R%Z~koWd!jFZqmn+VP_TnkVLW{Ws8z zxRcfq5+{5x<~YOmgU31lvMCGoFmhd2?<)QC-XmbknFHdLJZh2ROJ&sq?HgBSFi18y zm%sel7jv2+>eBIV^X(`9Hi*%@bEk4Ov$C7s>N^USPdyKsXE0pZFth$5$81jXA1`m@ zCHd8S)6$7?71>&}x+>s#r&9eUr|NsZ0&jA+Hmr=#$T`d|F#kHg*Y4kE{Quqwed<uR zgX3C8(@ZG?e}fwzrWsT{%P{RT<&HXYU6mtD`o`oPpSUd#Y*?Al8}B6f>A=R=Q~K-g zygBJm=+=6kD`bJ4f~{}Zj8f*$_ERrUV_mmCy(?^G*u#C3^SORkx8`~ob|<hI9?%e8 zl=#;FSuDR0L*dMa?p-R^1-}3FU7@$&@b8EJw)0LdiD>5KJif(g(q*1mvpu(p`EE+w z?LBR+l*;Kq-dRsqY%6pzT~~JUN_v+{`c_-zq-!T1gN7f@E}4_1(6n<4;}o6ENz0D3 zb$vX}^m{L($sU*O89VMOHvPTFAl2a1d%fWO?RHtFQ$3bJDtB9D56PTNw7kTZ*tdjp zA*05X3f}K~lW)kJ72n>aapuioB_@W|+|7Yz7kcie87avhGRiY{6iIl*6Ex-ZqvK_> z1KPO^yqH()U&HfcT@mxSfc}L2<_&GRCocHxIC$?z@&OK>Z~KiUB*YU`mp$wBeDq~< zUCOQX-U9c9oMj(wo-pr*i_n3mGe8x{nSD<_86TKpDgheFTIC~HcE|9`x&-xx&|pwC zr51Qi(EWykaoBH>ho82j_ZuaJ?<|pTJ92*I3Ef$l3zZ^{O1!Bq-*BmW>SwRkPim9y zycONc$ud9fc(w2(hHFkD>y)@Q&oS@a)R?w*_lj?`v)?rH8Zl_EJb3AT+a)H8Lq@ep zQ+V6tUJGj;%ClqqT2#X{>*<dpm#UkluB)BzAk?6&b6MT(rp{^gZSOgkKJ|NL?lDty z@4_?7tUWv!r<s<1Ix155F2gdzPPwyXW`At-ed#Xtf(1__;(Z05T|1hZG3l^y#s{-` z*=C25x2s39^13@RWM60dP&v~ms>W=i=nPS{Yu%TWqV`N)>|AkKc3Xvi@r$_b?_b21 zI(L_EVsA6o-v4m&OxKn7%wv`x&(6~}e&RE+Bs!xcWyQ`r-BDMcrA(S5_WU`|o5xli z{;ye+x5jc>1y5hne=%p_JlmP)KkmL~pR=LZ^!WtCh%S}yX)L_vL4OvDZ2x$)ed(LM zq2X<lr@I)Kn>C!zx|koJ(LC|VBm?{DMiIAe#z?m%%ohA8>G8jDONXSwJFTtD4t=*x z7P~4mBaJPiyx2GQuHV8f%0EjMHkXy<D9(7E$#+6KQBq;wx*pAX_O<6Hz2R8a(73y1 zhnuB@M(|?INin@?8P{CrvMif+uxw?S`@{K*Hx$$^(h-b!%62{=j|J2iWtio|8LYBX zC@o`Bv4XUnRnDKsPi!Qw2B}zHH8MFJmOEAY4vRNaT59vmy@!uh?wu9A%tCWgOrep< z$ww&}llZUvm6zA!Yxha(nye)Gf7kp)XL^&2OinJhHt~5-6yW%<%EfZ&J+tJ(0(RMj z4_A~OSamPq@$$B}Uw3}II$udLf4xZRxws1(=FPBnHVWQ7fnmzZ-A#+TJ=cjQvfJ6_ z{E}b2|NM@ut|hk})LiFEM=Z<mGQSa${=8*D%`%%;%elC(D|<bjQ_vi)ZeM)3y?dUV zzTLmq(w*NqBX@LVbpK>co>?Dq{<ZxD=N$!~UE&q4v`FvC(wTp}^SrdE-;RUt=Bhl1 z*dcdO?DJh~&kgHLJ|{H?GSn=u-Wszc@B#Bz+fZf+38riA5()*aDZf>6-7Xa|JGi_R zKilj&xl+C1$#JEFGO{{5m^8H&es-Pu;M_WsuXPi{l+?=HKO$G32#dsJGkvc&{E~EM z>5<!s4_D1z5x8%8CXf6r&qvNqX(G+01zk}|%bI;AmKeu%SzUKrmN89zqBD=>?trxu zpIqIPQe(|hxMj_W+lnvkh3o#@e)wg&(_hB?P~lS&maazse$HBShL<78<neaKzM!B0 z$>}P)O)Xs}e33u#B1-aas%m!cclEW|+x5RH+*{RSloC?P`E33aVb41CBfFnmG-N)K z4(cX+_I~QI+CH>&?!thKN&e!qHU*w;D1FN|qsx2!#BHZ|{T^*^0F_^T2a-6drs&RI zb2{JSqFZA96_yx=$0-cv4E8ZQgG-mEs2UwRV>|c4<h2~~(+<sa=T!-Rv3!3pC}eM+ z+R3%O;A+QRquC`1dzfOw9Ewt2|Kup1e38TY3fqOEExn~>(I5Y1zc6BoS#@mcORZp) zo#9V;d_RRs&eBe4_t(5KU1!qvDaTfC4Vm@jZR_@V8{?<<hizIPkTL1Jh?L&$DRo<& z{?2*Q>h$?@!XCCYU!?=sp3SVh94zTk)FJi8WAh`AOZwM@Crqq;$tG`gUH>vu@x&Yx z(W0EYL5o8ef=@GCy|<?Bw=S1&Sm<<>{R$>~bu&w57B$;GzgE|t_~3qnr=i28+$)M7 z^z@Y{JXv7h<M8X;tVeT-npG#46vZ}GP1)r0{7kK~#sSOlV%<%v^gQQjo4Bg})tH%Z zidWB&b=4R4B}?=9%$Ltz&-v_x$#RjCKi1i~&XlfnD4zQ2(Q7W_?d{G+n)COsJalNT zB-izZtJ@e=?8U!d-IT*ViTy-#q{Pl!b3^aHIP=SGN&3|KDW6}sl`%}tYhaU~zG;4N z#+y^d$HNm=n*J{jn6dM!VBq=d)fe<yWqp3RZk?&G`NrW>spyk(E^Cc%wKLx==d$)l zlt0yZSJXP}&dn+J98d8d`RmSQJz-DSvD)>A_>QLE`R=ND!|{|@p?z>7`y|(?4=cIC zZ@+Xdek}V|^Ht2e<rmhw#IDJmoAu!Z+nM#e1v|K1il!dYG=Kh5>{ZeJ02%u&VUho= z1y0P(vVA@GztQ9H2fyV_l$d7(Ftad!$-BFI)%DIP{nvJG=HQE$+E8Pgzozf?Pp;y{ z>0h4cy?oxGX1tyG<lQfq+ge&r3Z8k#Herp!DK3wOr_p>7c26IFop58h!S)`x>^Up% z$1U8@e0KiA`HK?LB5Eaq!WP{4dTma=LDPTv>gRIlk%4!AugaWh$-``X!Z|?2*wIkq zRmY+eKVJMW(|TujH+L6f;)i4GcI;CZJhIu-)v(~qNkLa-tBHa;e|S{a8tgQGByp5y zLbjD118b?tC!yxry;{PHi|ki_dmmjr|8j6?*>?kvPlbOAS7lxOw>E0)tHP}7>yD>v ze>1;qCv)_YdHJ0&()MC^#T@xUk`z3CbbkD}&3973RmJROZqe^w$g<q~wBb|d83hB@ zOtZ(+oSr}XVKn2(s+l5bc~N#1?=Q*hpZYqs@%yLR(<>CO&-BWxb?oMRn7tr#o4o6$ zPX<gTpAQ(ln*VP_N$%qN4i{#Z%)8FEv#L<BV)u<bI|TmyYCdgpXj4aS_HJDXZ9ZLP zvEAps?8?(Va?m=akVAX^niEFJE^RX=*j<@^-ov~}`)J<IbIZ0q3>7?gdCR@TLdnZJ zb7HGDI3>wX+@gPa>s~`wiy1=au8V9wGVNQ=hBG($W0pPowypfDfN)(xalnHhaWnb0 z9Uq*J6!B(%<N6_+efU_$3~`O3m9KNQx9D0en7-=fJN@gYlob*;d{~;A<jgbYFzd>< z#m|awtXQ<jL_)aB;PI5(tq<1(x(7@S6N_>U+u{}>bNNq5nQYNq>1#q3QT3_!wth@n z`5{Jin~HEw%ZK{FPs?BFmYyix#?KPF`c3R2@d=98cjhW^EYhA6-Ou><xwE~qYDrVf zd#*iOC*9ie?tG~63dL=nRSE1T+K-FB&~^Qv#A4nZyYBj|sN1;*cV@4@v?#39nWZOc z{)VfwCN!RM*WSdH;-cF0EZpL`m*|}{XAC&!Ey@jFKXrAE@E)%>Mz^Q0eY@>}OohYB z>=WBu4^%8x65D-_cUo?@w*1-?n{ryjF8tZ__wQe?KXOrruPEG>-dg-7Y3C`kgYg}) zY1OZ9<#C_O-^#6*a$6}uB&u-BjnZuq+jQ53tX4X`N$}=A{r{r#mK}Ag*9@<3WmzvY zyZ+kZ@F_QKLi0|SZd=P;Ke50}E3HuS^36?UAL3O^_E$0fWz4<4;Kn(AYhB*e4q=MD zE2dodv!-nBwbFYU;aA%p+)WD3<=B2DHQG9-JbJarALE@#i=|Ssq<!BWfA?h4w+jza zA87p(F))A0{p^jA?svzXJHIm<Jb!spO6_MIL)&BR>={q8Dh+a`uFm_&H$QCtvcmJ8 zMPC)eyj+bYODV4X@Au(M7mtFuW^4WA*)zo%4lyR)FumN(oXE`hbnfg3ro(TS?hh%G zPI-2{YD$Wf!?TH}Y!trd7~U6OnrpjZd+PdtTB#eG7>(Rd#lGHV-=5WM@OWj1a^zog zC%(1)e|4@oe@NZ0_Ip9J>chO9-(tMIu3x&Guu#eSbjKGn?N!t66@A-x<x=#&VB2u- ze9Ly$>z6L?FLj+ZP4J~{Xy6;CZ+R^G&o<Sz|M1kiyl!Gmn@aLQpVy3sav7FPo7pj^ z(jn3@BJ@~j{LGMsf-;le9#TsVeS64tC{TCenH6qJm}FOYO_;ge%dse%F=)m?>0QAm z?);BnoBT(fxp<4t!K=@?W7407K1%d|+$nmpC$mK+E6ews;r}(u>y@r=oBH49->f%J z!irOhwG}oU4*M*v%JH!AB<Cd${xfw(TYIO;#)JjEICHR6k@e)&)?WTO#YUPQdNWho zr<}aAGNypNtz5an|Kjz7ir06R-u?Y0%xc?~GxZ5_i%y<=euDRm(LSZka|Jc`g#@~5 zroO*b5wCh}!r3yx2X^W2ySPQ`_r|=xRk8D-vIFOwWtMESZDRcsRvw#rZ|g_9{ij}D zsbac)^vk-xnP=H_rwBSJv25`1XgQ!}`!e=-@~3}p>&kQ2cOK*1xy?NK-sY!lnJjiH z%gS^2@Lvkaujo7QFsaJCXxbj*qQj;?S!TrWB`|e=)8sz3+w7j_#zVy{_tch^E1RGE z{bp5A%$9i$YnS<~Ro}2-m8SHy_p1*qe%hA8A)~si{B82nwV|6=er53sPSKcb#4Z}S z*Lcx8$9!Rp%l*q0n)kn1Ho<$&-krQrb!@XvufMN0cdz!3_10!#{{{DK=~29Xb7S9u z<&TP4<7Rc2D{t4H_Uv8k@5n5D?j&K!gQsnE6&p{7PF<~KyQzPb#@8FWznwn%D|+X> z-UmyS*fLpGbllteF-UN)^g-{hTmeiW`tNU5*e|wvd6(l}w({x&(X0EdlghtMh_H71 zV5hSwDYvHRsMOT&EFk^1nwz+?vO<4ON?#PnSr#;D72m`)Rnm+*15<w#@4VL=xA0NS zips3_Zu=GWkIu4OuqWQY^w934Z4Vy(ifm%f`cwI|b??4=zH>Hhd9pV?@0qF4*Kb#! z{O*bQ-Lv(b;;aw0;j$G8(-vtPy_Qsb*{{mzS-tBM&+X?W(SJW>1y0>F$!C#ZQ2sQn z^6Z}>?@YzdFspnO*g30R@rT;RneB=-D<^SWp1pnT>um{lR&va~x8-xE?z$`6lrG=o zkj>Eizi82h$T^E{@A5o&_{$~Lt9Gju-exU)&GX!jC%-DilIP*Cufj_`cJNI)FlENQ z-bYV)6Hk<`i}8O@ztWvMfl22DzrR<2%IOt?Sq=?G|M@jfJowK(A*KJwChhtZ^>bR! zFVt%HZp`aec*YsN?dB>Ui6s}czVCZ}En7>~i^ZC4agzLroOG6sx3mARm~<la6K}s> zx7;q*89ir%Cmb|mKlxHyWm<F~b6f1z|Gu-b4)rRCpV!~=a_7dp?z3Gv-y)y9VOX^> zkDKlP!Cf77rQ2EA*9t6UQJ%T7*G%?mw$-jJJuibM+_66N>G(Ib!*|_grUXrhF}hrP za!=IHvnrFDo=lRgi^<PhC4E;S+|@{0da1(Bl(Z>lB3GS@aeNUg{k~izwb;<mccN-` zi$q7WgOQu3BinYq2{P}B`$W$8@G$=5*Zk4Jv9Qy1(;ErKo8JAK78w|SV>rasYtB7u z_S1=f=dW>}uW3HHtJ*35tc8u!BvWP9%x|Lht>@0(YFJoWu%hkn$@Z<`27c2Yu0ASO z@M51b3tQgluxggXf*H3HYu%n{?45diO4ySF%eG$ZO0M9)I^)W^3zmNW%ukulGwDy+ zdW_>@>`{gDyE*r`hbDE+?eODs669SweU6W{x8tm-wi(arEhP+%uXDD%F>Zf)m*rmU zS-b3IatoZgLs^bBiw0iRvWQtLqG+HoYuap{`xAESUaJdm=+;p)w^4ciMX%$_JC;2; zD^ARPc}M@*(o;PvYnqnwH(TxvxcfGL_w6QEtF#8AHZA5zUsI*6{2EiepH`V4lM_~3 zVU+!KB2&v3<65H$b5(l!CcMmKd6M+fLe+fpp88ieHqHI~-*fS|Jf`;@aTe_{&*#48 zEVw$WT(}}WtiJES%%rq?KLZ~6p5o&@yqj~+ibr=<;zTx1b+NvfRiqx3+ZC1D5v7`M z<DmU*=BJ}qcNgx-pD@Kh+I@l0S=l!e*L3s<ybZNUIk|iC2PIvfMUcz8W%ycCGO~FU z*L-UJsKpq)&0FcnfuHk|4qfXi>u74_`rYz5;`H>i-H+JpzTdyLKuGN56ceXFm(Q_R z@0Gjl(rvOAzwm`${M&&8TDs<!bdw(}@TuHi%i!su5`2I|RpHC|zrM>2X8xaC$00v6 zXG*91+PAWT^}cg|ra0!m5{;bJ`|In<rIY_Wnt4!Ve^gWDe66oji{3st<h6Q|kG`^j z{I$)dKA&a_OZ<8$rS?;olgEX5+xjy<{3r6=oBJoMa%IZBxjoyjz0R3=_4SM$b4#qF zlePzM{(In)m5=lE^3!K~G}`6|eS0X-Zkd*q$#p5`%H}sKQ=86YU7lTGeyXuB-Ba?t z+f9};-#?$ZA$zc1t3)-mX4{mM|6L+4iYnRG1$Zz<7p{w+q!g)Z#?<Mmb(C8q|I^0U zvetVF{*^YbPvu1zsKu#x-_u~7_NjhS<gMu*X5U|m^W^r0-}iaQw6Ngk>$5zw+uBce zvF-Kyzhmbt-4mvV3XD9K{q?ckYd+Q1bpISrR*vqu_2SVlkBFO??%D5ks%_Jc`3iA) zCMv0Y!Rjvd>67!{ykB?5pilhdw;4rpC$riG7YUwJb(_h=aH#vrvKjtaRVv;GIZp8Z zelwx+G<(ry9gk1G{2XajOV2#Ld*gMer=8aI-5Zbo>MLAuht2Zaue=TYG4Itr?6cl< zjDNy0@e^A;75=;zU+<V$T*Ji8ZM>c}<&U1^yr~7e3ii``>om7BrUbEB2j;PD`pTNB zon&&V@%6o}AB$FPjP!ivzG+o*i$urf!^XXatdcgN+aB%+N`JBYQ;JaZ-$QXHWSbRT zFLGD9ZmBPFTP1%eb=Thi=L`HN@paX@ny=AnJ6$-r+A_L0NBKqbF5MY2eiyl0trdMm zcdrrQnO>t2cG7FQN7xFtlul0PB~OmsPnhP(|7DZo(}|A?k1hB(Pdm=Htmwne(k0tN z_N_giIdhZ0BU|_uk)lTL{zC`<_;1~t{bbg`bAk6i74AINEhawmV($dzi5Gun&o#Wb zOt@<8uj3#2l_ReQPdNCiv1Z4nFw^^jW)H%*d#O%mRbq8|`t0R>|Eue~bbjtP{rf2H zw~S84u_eapU!CHVT=!~!C<^sjm;6?oIqks;3)U^yWmgKFd^KB8!cQV)UbOr)PNV&s zC#=lkbTXf<aAHoiacR-|)E$C~$!A1dYG+hgtQ0)CDTQTLXuisf?7D;<NB6dQe)H81 z|DM)!&ua2UktLDG9E_7)ns)XyKK%7jN^R!GmHT==R%%Y(n7aJSttQtcpBs4cE~*@} zJ|z{(_}=Yj#izMls_`nTmE7K`E<F_=cqThZS%2DU`#T!fcL(lSzw+02!$0rE&hK8d zH1K)y)2i@08t*4w-S1G8Co$Jz(&AatdG+zT?%1oD8Cw4}TlHs6)hheHXFR4qF+6F# z$TKM_<-+dH=bFxc&YpGrz@J+DUM)SnDg2yASd`le_E1js|J!~k-U+*Q`@w@n-S2!u z?3u-HPb=T6C8WlBkGu7^>ea@3DGw+0$LZ{BIm6`kH*(d&(lhgXof?{WGFfH?GnF4v zvU^#$`PAftnJSYLT12$G*1mnPmSz5(e24d3mmclVdhd74yUwVXQN`c7cH1qtXT5?P z#(Z~}9^LIue&;gHQCZ>1i$xi$o*ZC2(HYbccX+qq9utMxVej&19h)pP(MMR>rC9RQ zsvC#8HFamN>-4L;?t12E)%#~Hw)Q(VtQUXsyGYvM#hSj3Q=cA5p8S;7k|c0-!A;xB z?>w_CZ${l+x@b{f|NngxeX5m9cDS_KtC}0%W@AyBx-+$^UC2s9><lMIi;w-{-sRKk zs|4ipPn|p+R`<z>_1crCpT0a%^+;>B_PW2DbI+Q@hzC|Z?#eTtxk<<0T>W?Tl#h3~ zr6kN|eEjzE?u`u(WFE|TX*c0eoPD3cw)F{#U)g$^uXx@tfBh*~c8;=$u%f|^wcDTi zU2?Csh>_fMBI(UyOP+nQ>?b~oF{e)sx_MdQ>EBly^uj|}n+|KO+#sRa(R|qM3Kw&_ z$Fc5RwoW{2q?D5kyVj`m{>t6u^~;s{U`3Zw%H@-J+<dK)iU#`x6q7e;&XLzpb4vK* zC;0Gpwnnf;is=!b8C!q8+Z<H6F6qIVRmWK}Pt~dzHwvaTthh3BVKG<Ie0@RYNFg`L z9y9Kcl=IR{4bJL`v7FtnDxCOjpT(uzk5%95r>whHp(S$ii-D7rzQLStLZ=1U*5|F# z-NqGi=$@*%Q^EqL75{s=y5GAf7zeM@_$PFAeeu(@W9AMkHgDPKqxH^oZ>+NRT;r`7 zTNU;wZd`S3chmJ1{}p3%mrBGQ$~Beym$j6qRrchma~)THh=uAd$bFo<^6Zl^4&}C+ zDpS6%I}j~AStj?+;UtAgc?qQ)9_!vN(hWJRqF#P&&$=}Nt66r1tSe9EDPC1ta8f*I ziNj*8KR#^bjE5eG$F5!27g>LMeW69X+K~kkDWPXGs(feGc4U6&d8FyFF2!g@%E^Yr z*^yh1?OtI0aMgYv`9_fpKFKG$zi&M>Yo_!1<LMD*&)b&TD7yK(83{}C?%!u(y!DN8 zm6GukCZ@l~A81W&`m)bYS-|LTPtXgWP=-UTS5i*vh_1RTyu{>qGyl2?9W7<`+wMn* zo#mdQlxq`Hn$*k1rq8X&uPnyge!lAArCSr%#YS<z_WF8Nl;hlWk>qV$ml9%DcW^O1 zpWW3dAaPxDQPY7$D;=kVKLuhe=iY+E>_c6qa?ObcMe&`bAeDJXT{w8mK86Zdbbq}k zrfBdj%!ReFxFJc2h3$QVC<~vuh#N;wyH^-%<KeG!1(}kc>Tt|S1|`HW%|%|U=Prv1 zD;mrLn`8kpX<A*VfW_p$0ut(4i^5JO?u`jFo1(MYUM8$$2GhdW0|~LtzEW$pZeDV* za(mJu6Vsa>*Lo!{E>pa<O*6xI(p@o`Sp^ps_cR^N)$D%D5ZNvDcH4uC9oYsECXcmr zEleIuaftEmWIDJ|@w&r?j8mc<e7-x`np~6DITU1^F%{66Rm|GtT)eI^;o<^q0U4<h zUY2gP*v1EM)*f2lm>|1g1s6-ZTP*XzMUmkO7ACJjhV5oLxG)i9*e#G@yV;stAA=0L z12XLUyloH9ugWP8>t4U`*X$bJtM^~L&TR<u559NVuRnI%<!iC+7x!cyF_kzgvPmt+ z#W?Ngk$Jz)f5;Pg&#aU!q+fRGjJnbcZ@*Q#Igi~v9?X7PWnMRLkB?@xx#Q$@my%BD zO-enm!hUVB%GuqVdsH6W{gHF<WVtbmvxJ#>+~o*%rb*Jf(hW{7tY(`WvwZGBmGI_< zhu41gYbVe2&vD4RzS#c?$JO1QT$4||zdZlOghN{+9f}`U-kir`e!R-SGv0su1%<>k zi^9Fua{AVD_DV08UXp(L&0I&@SN7}5k3U{(%K6Xu#T?_N<>xhyUl;hSJTq%n)00)1 zY?Ie4ll0j%tI0C@>$A&6#ogQoYCO(gGdOvab@shG>1H?eledYeq;E6Lf9d-yHOO$X z50{Xbip~q2cdL@ZQ|>MhJn^+_)vWA;*5AxUrdBR?=Q3fRdSCC%)uLPl$$PgW+Ydfk zelY*b?ejXk-<c%WZ1c)D{kOL3^ST4SBPEYdGkg4hQN=yc>nDB-@BB8Sq&H=nNtcvH z+f%)nTTePHV9$CmMf0tQO882n87a|c5+>yuHhca{ZMdl?{lW26*zAYPectBCN3Pfu zQuK0ebo@1r4$X$1!r%#W3XNwhDctU5%4hQ@bj^&%6_FDxpIC*jsh_xS%KGWjGoEm7 zvdWkuX17iFVRV^V&1r{+KFqd}yoYx)y|;g{xH2!4!K%*bRDM5O#^a+5X6^m|`8vO? ztDWQ1==sKwvpeOQfs*O7^qU&5V;iT7<nYPYPmTJx=jKY){Sp(T?t2>U0tNQWj79r% zYOB68PcjsIv?u%VT2H^SkPgN73466aTsyKv{PJp{{6Nu)8MD%s?PqrK|GhC{9`jnx zz<V>pe6$26q+MR8S(4{4@sLiTY;4zkOSQeH7)<WUcAwun`$+feyWJn>iOoLqWcBZ? z^t3%*QRy6i^LF0zeRTBeyQ3BBSIt|rYu+Lg=_Raze4n*<SxN}qYGs){<(qow!}U{U zODglu5B(=z>#*u$;)&g6ac;6Z71@&XW~eT^(K#dKv?~w${5`s2`I{t}9(sJ#R%!{| zHgU~yQzj<Ei<33~i7bgc?Ypozb><<H?41kzr<+QIK8v#s6>cc7aDCRx%dsW9E$2dC z*!<vYVJ~KGY*H6rvrOTks)|poBF`qRB$iLIzod6Y<gL4MV2?W}fr(ofEcaxzlS<>^ zZ*l(~YG~eYMtN$b!?Oi9u2k+#{dMJF>Z~Rny~{j7*|nh@6W6FoES*qo^_%@|PU*}2 zfeT9d?l9;65764NKfE^bfmQOIDLdBlB-;F$S~#hDmuGL^hiI=upw`ctAa6e@rMAnJ za*{{qbZlSt<<(q`y;4j01Knz3T!c^RWV>Xw23f}ErDch6xa&`df8XWwGyC*eozIS3 z+VjKRIabFj&79}vaLx0$*YzjT@&Y2Of+RV1mTRBgJNwo;(YvR5Q;!#Ro=SWlQF5}r zPC;hx!M6%C8HFY+_x!d0)YrT(TdO=PCg1T%b>;f<kHhm{;ZlX2;r~RI-TdWr;j+<- zM#I%>aynAp9#|n?)VyG(y^_M68^79Scoh}f3S@|{F*o;iDE63Oo&EEPL^@ylRqnpi zxi^<@H&}inzF(>3iQ?uR7r#`Wp2dH$lmE_@8BAFRE1zxQnb03P%YXW8$$)ixHe8wX zc9u-QwAIp08~UcCp1jxl;e3FnXW3kj#EF5bsz1dTTU2N7*-~MC>RfJI(_ZPPQs>-{ z-<tPlv%?3`P2s*9EGDi=>227s_(hfN#Fueqd)HsT^2X`J45<^=s(;mX&Ri#O!v57o zu1RkS%=hjOd1K%hk^1VcMVw#RnKS1USgnHRo#Bq=GOFm{5c)e$T3FjcZ@ZU$<iZI> zQ!bpJK3j9*Q}L<W9<j~b-?=qg=>4-3Qb&J>Z~Q&y$nSqAq>g$&e|%Tv-ujjDpYnv> zJAYYk^2F--oVq1U%TIpI`!x5{JoW3lJ@<%ri!NYUw{FJs`F;y-dCrtMl*IW@I=jl( zb?=1a_M?IAN|Dw^PD17?S5?l=apcRMl(s`fW0I$#)1~RDF>Kp6Zf<L=WnW+G{-peu zOWG_2fsD#IZw`e`^vPUYcfvZfy=uAMgQNv}4{wy5d)iN*e}6;o$*O||nvd)Za;Cgx zb#HZDwmESBJ?W*}_trgjU#ocC@_z|aMl$~+_tp;zj9)ggPpwe7e1JoDU9gYo&Y3yC z93n#eUVnP$vS)?W6RY<fqPwy()X(K*sJ~mXaIdnN3E$}xdlDClE?;BkKUHsYys*bI z=T)gs{>%`3b2~XlYUbWA-zMI?nepS%Cgz$wkACJnik;nRdp=C{1dsIurrhocDZ-wP zZ2u#dOgQ^Zx~Xna$=<+qxkb~b=Vp}66RRtmSsDJ|?;fMfeoo1gKG`l==Yx2-Cl+NV zgec89d;ayp(yGbZHb}BPZ*AKewR-oq=e%Oa<y*gIuI6QFw`tpKa#|xIrF0`V`x};s z`ncDHr-D>YuNRbjP{;Qq{a;(-pXKL2H?9&c&M>Z5JJE5+$2j`i#7!HEW=oacSmkCB zD0=L2h{nVJ21gD&yz{jnsBq_Y;cNM<T!E7rSzjAo(mlaFRp8u)q%4Jq{J<+ovOAMZ zx$XsD6@Im)p);?ecJ+=k|DyIeWf`2i&b;+Xg5j%8TJg`g&$+W0Wh#an*sbi~Vrq}v zHo;HR_gH6&>D>;apT$QDwr$|Odt{f#y7I|4c3ElG3+bzEeAn_PtXoRmUN1G!@bdD- zm%>9C!cUtri(HdD@MD+K83xxINnFqQj=p*6wyUd4M^h;5A<KkK;wR4}wa5vCo!#TK zM4|b=XwZo}4$M506?7E7=gnza#9Co~PVPnfNwa&s!9Q0yEo|;jX){pLy_bG(wfY|G zy0VLl0>C|*f3x{Jcd5j)H_ln(zA}I2JBbqq>ey_Z_Y2$-lbPk1;h37v)ctjVC<h<! zQnseA=Z#!ho18hXI&65cgh``BrQk(-mO{jq!`17u7l}q2Yx^>`&pQ#7W+2a_6~fx+ ztjtx(B@wAM>8kMJm-{vU>ng<VOVd^Aym_zvVQJw5op7!h)>jppJ@-lT)Ne5l-eb)d zV!(PvbH+gx(L1-6CkU_h|DGt7uElyLRjb)EZPkvHd13yH#aw9yo~+A%i#*YIawh)l zth0M77gb9|Oip8YVsqtuxUrsbHvh#Z2R6j)w(Rr$J8?~^lwv3I?YfZ3TlhR(eT8P9 zQJyKHta357sCY~H<BG_*7k63WrroSI@0+f@)L38RZ)WKIN4I7)^`tx#pZH1TukPQa zci1?cBh-RVEMa1lw3)|nGV;-kjg58nYp-aXun-pv-KLdxTg$S{Vfy)#ZZ$t-i{`%E zYJaKX&BMaHjR&d|L+39`K3kI$I)54awKH><RNRi5c6U-w`QnOf&3oH6i{$U-Usln} zQ@%Oo{jrbx))_t6wpBaDf@g-LLtsL*YSF|eDfiwsl!P9;KXLZV87zlxTKu<mlDfX+ zmD9{8B5W%Sww5h<HM?AR&egyBPDsu8u&?TiXOXmtdfs2jTs}+Z{&||${jxL5qggJN zFPzPxZ_r{dF3->YWQC;rroL+&-4kjPW^x(URQ^nNo*pn)cE%qSR+e4+r=0#$w%R^& z8I$ihd7~Z8DjTn^Dvh7=I<~OkQmV$>=%XDoa)V~=RWnoJi_c2@ka@1{$Wv`g8MPTo zENuB%SJUGyWNJ5@u;aL`y)mdi<!R2Aub20#t-U6wnr?RePcCO7XZY6aG@18?R$dqP zEnf4#n)TiC#oWxsT1rN-Jp%vaKI%`NJ(G{&Q>ty3&^_M=uJX|z?yc|p*wT|SOF~gf ziF>9M*AvY{9|EJFe-%1X!EIW(WVv$WE4L11_4T2;TVxr2tTw;*=$T#g?c~5^Cyq#c z?S6LczirOx%I!zzdT~!(^wrRHyX%LAN%~us8-HIm=~SMMY*pU6m*4V4-t+xke)Ag- z*T1NnCn}6QRW^4EMqQq3AE8^<_}b^e`dvTF?wx;Ge?ji>`FBk3Z&_bd__@7>E$4j7 z<;CT>d+aao&);FHo#vRb)8N(g!*~DP6RpTDx>TJwp?IrKqmbD)F>cG9?*t564N}hU z{uY0-LPF1YhZ~ptoMWoKrBfb6ABkHLX?IAxV}JX{-MUS0-aaTi^u)h^^4a?*{v^q3 zf1R%yp3|h>*;{bvNmRe^5e}PwrlGg8pS~&-EmP4hROV@URensS{r{Z5OMe|KN;PkK z^CJJ&w)0aZzHy`+E0Nw8@UPM#=E$47?H}$f{Lgt?r2YT5S6+;Je!s|*tmes_F!Mmi zm1$bP`=^6uprw;ir3H(2%<D{T47>bM`NHnNJ#UIQ{#UT*t=YBY>JNL3dF6}ePx{UU zng&sRzx_#-dDZGY|HIdnFa92U_CcQLd$;h8uN*t?_38ypJ=iskc@5jlr#&Z3A5Rcp zbvi#_j|5lv)v5aTcoNp!+q%2Z=CgLDfL879+e&ASMcDdf+WEL1f81iYUoL*>af{DE z|BtX(Mog(sI;1b;6U4F4Ek{oMj6`(5@RF*N4BNTR-c<Wma<SKau8kXSXPf(vsgJz5 z4)S-%@&uGbx9nW+-f!;|d){lO5rd<ZUXja=7qxR9oId)j@KC(a{Y_WiZR7aokW->k zGyBJ-!l_!HjGxY$vgo#whqEKs!{;l~*z0!RR<cprx1`?b;QCXdzq7uYd@=I)*(!B1 z|H-SutLHqfx%FhI>xUHv*xd7daP;fC-sw)C@*dr1jZvCiVO}_Q&y3T%Irl`I+ikYF zVEKuxnfraFJlXR6@YIHK;R?@$6RF1-Pb^GLw!Uqz#~rJ`-O+wyWPhCMcE%?bH|1H4 ziuqogimqn$<lEg)V3N+NnK!*J{%ZZi-nIMn>epKP_H7sRt&i@^yKfo&<%6G#di&~* zd%aPY)vjqDzb9H@=&{3LTm0JtAroCUg(bJ#(>d_8=E#p_+|A*#du95|q6_XVv0uv3 zc<^5D2Sv@Est11-$qRiInwjOc!rk+1>WPi6v1iv7Ev_^8=PP*XlE-$|=Xyt7IC|1o z>|eEs<#}jVWXk%<JHOX%I%1Kq(MbMs(?%b`sZ|AXD`s#sZj1skGoA@5IlCKWOXuE+ z;^VhpDsjzkHM`o06t5Q>+;^|t{nes-g?Q`iGWXrO5|6b$g>`KBYF}_edX0#R#w5+u zmZS^zD^H(0!@^+cC~<4=zdFu+VHf|*7fSoP@~?1O-aAE6x#t%ipNVIj@O#a~_nW(7 zmt}q7+_};2@Q)s)RbkBSCtq_kZftLBxvC;JN3^SRaz%g8(#K1-N-We8IhnOaP?h)W z!fQq|((XuqZ9Di?GBoVr9-CLPY4dmMN=(;M(pk$HYa~;&ZAFdpd$r;%zgDNRA1qS2 zmOP{C@wJZ!9~=|t=I}iDAa&y<X};-4ZZ#b)GryC@-~D=vSoHr@78UhtgDy68Epj=u z*JWdMYiN7Zp+8+p)e8&Ohvsm~>OT#dGC_2+VB5?<miFdUw-ARqO%C~*tB(bqwEVwt zbKCB<*H`zIKWQ`Cd^F!6BIRn)+~-rc?>`9GJhkakZ^};tC&L$Rl1i6vG9?D8b$`Bk zQ)taUi&I|{T5gtI3fTB>SIShKHK8xpdxf1mRkT=bBd_L~Gn$JN0#mE9nPXo0>~z`c za%l0fFG>%C`d@kYaL>HiyWw&D(yTA>n)+u--!ENvB`YrCGe@&z_UcO46_X42UbY{2 z@apLWUG~I+9sHUmg|A~*>P-lhR9?kv(k^!Ty6d}uKFg-U#b4GHu{;+8r4Hw-i6NFv z&e>8k7C+2=Dt~tJ6xDYdH_I)0v5jL>_f*N**I#`6{IsokPwpPhW^T#V6E$S|-dy@F z&e@TYX69u0Lj6;UmAk_CoqN{o*=1t1+qmN3*F2{8ny2nDU9YsOJ9q4R9+Uq3#cwul z{&Kve@y;3Z3XueF*_Bo1ebZgUcbsFX3;LQTlkeNe`RC4=i9ZYanA|mHFL`xWBW_>O z^JQ6r%#9~Qx<piVi^n|uS`axc)&5@ZradLii}pw#^{!0W_fTm@??j)J7i)WT6?L?> zx!riTjpJRwHpzto%VeVTdQQbnlneW}@ACYe+j2NAynpm2=96&^mr+HkGuO@|H*2po z^XYmnoW1<?r}Nvjwuj9ERW9$3#AV!H)s^>J_|=*96ITD5nCg4~O{#I{&*aDefA2Jv z*Pgv)w&nt^*Y5EBl|0RJHE^>3-`Lcu6Q$ecS2Qz~pZ>b;K)30k;ta0Hf4%QSAAS$6 zwDHetKI2rpU58~;;@Nrca$DBS_`2@EZ@EnSCsxvvH_!T8#K0R@d3*VRIgM-V{=7G8 zh!S%Q<GsAeBI4i4RTfn-4?M!$d&(khGtT{VI{4h{V$9Qty!#g%Iq`VH>o*a{w@>#| zWq&WddeYsAacwpE9QE_3{GNA*ZD#AUoE!YvEVVQ5_(VzXv)xeoX5smOo7XPtvtKxH zZ6cGIb)9N!-kdjYwu#0~P20Y<DDB~1E!%4@8A?r)n%2ZhzTH%HVb0mkrsyRF%~}q( zmHHRv-c|WCL26Pt>%HhBT;~^Rr0g_5#I!rK!h6=90I&zmbdF!%d?QUR({%MM>HX2$ z&Zv8Ynw`ISkLR8{XdakhBlD}&Dy7A$0&H2&&Dslc_-=2JUh;{5(z#cYc~Z{$E@f0O zTlOq2)o8{O&ua;CD_i80!?!01t+`g#*Tz|3D|+%wev3s$`O3HZu4l{n?K?kHz1edX z`<ca46yGEs?kY2%)h>1Xwp3!(CEHW^3@nx39~W}=r%W?ZD$Usb^waOX*PgKIW}h`t z%k@oU3o+w+5WnJ-+l>9%SACn(Putk6OHkRoL~y0^Ztdx_r+BaMT$yU%ncejB?-k#m z_OfujZuu#tlV{7V<e9mz_~$pFPZlqa&F_%Xb=+2}f8~RlzxyVu<Sn6(6#l(477o<( zSm((5f5R22sty~-G<Wu|Ne9<4v-bvnH!;}0uw<G3M)gw?%v)oAE{@ZFXJoMa>8yQ6 zX5IcYkL}r(n4jzSs#RtMN3YwtywOs3`7fdDoYOO_rDj|%dfH!|dve;0#;-+ZZF$dR zS}r~!mhouT<JdjY&;2UTZU2-f^Ip5+cH!K&+2;cjX2-lgc2jVt*1n7l9EOuhr@Lu< zU_QFQ<LgJRbBRF{gT0UK(v8TFb%^bD{Aqt__1`$NHJgrdUe4aFJ0m4|<!hg{ueVu6 zzdbIe@aXNSOG(b<+tzOGZ}@QHozV50Njr?fc6Ovhnkdb_Fzfg9eT`ccS#vCR|2V&F zjklv{WRaWXlZMGV9fLCDCltKz+P>Q5V*QCyy+`qSla}U9nY1{6rt9`ri*x2NN#as* zDbKdZ?>O`LU2gq;MYTT%+@>#GSA6kTo}{>32Un+$y7HH#X@AO6PyPGao!9+f<*W;d zhbDZ8e3V^OJGm+R^wYva#*bcq{JVAE$Av{xUpyB5vn*zbz`u<@CKa_!v)SjZ*Dw69 z=SS6_>s<4+XMeDG$lta8c>fin>zki`vUu2i<oe_Dm(!-Ki;+|R6a4Y(kL#sb$E2rE zd8+hHT=dV>n2r7ScGtX$*qJ2!XTgmno<D>iz5clTO|aKb?wvM%KT1A^O1%&KaM0UZ zqF=af%8yC^L|NsR2wL<D+XdCw)-7)8zr8)&>fwHY`2P3>4^)ee-dp$JY|&A*9rLRs zN;D_0Iw930*!$2`sCe3)Gb<!dI9)w?{N!03H}+3TlHX*Dq#ai1-4ELOaor8Q_jRkZ z?up)?_FcVbul9$a=C+vU+Zt;_qkr(9_?pM_KK<w^zb6v|PYG?V3J>TLnR7OP_e%Qa zTj#`|R)x!~y|dr<-GtXU5%Hoqo?%<uUKBT0HN1XOW!_fqrt{lee#LpyOUb)qw0SG} z*MHfWxM0riuOBuVWt}d2+HTsj^q**?UeAe>iB1hU0fFJ?nl==vPFkAaqV@RI)|Yo@ zzyAKqE`|B;xjC$oEACHcnK>=`C42k-xvpuE(mRjr>C)Nlmhw~VYf^vscM-SX#ceIl z$G09SJ-4y`*6nLiYmT@Y&2&5Q*ZSmbwe5|Lc5CFG`t1yT_uvD2hnBIU=#K2rrP?u9 z{8G527C!mtG)HTD<0SLd{MK$|tA&~m|7Y@=C+s$%VEw$-$$1lf9F?d1nISNL`fZ1k zhMcLwPD@o}{=Eq-a^IkGXYrTt_=k(il!7mCsD2lG6tI(bO3liDYwY#6d-3r7zpt@V zF+%8_afpzp-!4}p*4}`kodViV%>q8Po;vUTv`zK3@->DB27FE@dmT@%Xg+bpz-g*| z;P=X&J8Y{8ZrX&WXIybAm>C!S<F)#k_LN?cCH^YGr#Ox(9J{W2{mW6tS=N(;_1#QV z&gU&~x~S{bZ+`U5TN8(Mf-Tqm-n)Ga3#$0vnR3n0>6DGoJoY8=8!za$pI^WGy=|%e z-ki^JvSx+u)*WX=+-5xXX^dFDc>O*W%cdPqtuDVlv|Z7noO8#Ho|ktvnC*?|J)-a` z;<5S5`~HtJ*T_xnoBqyzkB;^C_UTXU_vn0fIyztKVfEM4%CE6|C-2g}QplTgcfY;D zbk>bJ6^-8U;yu&WZ!>!ylUrJQyQy?j-F=P|(ITC$M*j~&7XCf=+_=g<x7~UD<^KjR z%*&q~lihpuaYktW-aGBP*7oH_M@{n+GvHR#oAT(MXoaQdO~2ZM_1Rx%)J$Ac(=&nR ztmoR7_3zJKuACJpAMupYE~a5QxMp1Q#)qRQ;`}+y^Uh{-ofAT)eamBc-<#C>oTFf7 z<+7?ta_P09%L~LSCQh2m>BOfH!h6}2B~DFsZ}wx?s~=<-gU;mSsO{DFP`PmC_mNlk zzf61kbd};v{*${I5}%4}wOo8-)BSH+$Fd#WHpVs-{1&N>GVaQK8@#6RXc?msd-vL( zvFnds%RZ%@yisJy&0MDikzs#dMwD~ynR7V9eU*wj%f!RIf7w_6YP;#d)ZR67<-Zd< z1MZ%2fBNd~429pXqQ045m>GG!*ZOVT!tirPe@SH&o(fiZ?c3||X17<1@UcDGAC&S= zly2iYW3wRM``(1tcQ*7L(As;h`ueeG;d%42Tu&BH*?1O|kLA|fJgj*ArdhyAe$M?{ zn0(hOH!NXOWeIeB9(G^h0<YGO)>XDKhhN4vPG6f;#&FAl*`e5@Y9m*PPu{ZZg*z5l zE@3*$cqvrTD0)w|NOjw@{QIiq-<K3^Ut*v6;m%t<iJw89`#sl{v);RWC6H(5xo39+ zyT48U6Mp)xZQ7qDOX~gK*~}_xKE`o&;X0$mf0oaxyldMfyXxn4@e_A#S32-+vfn(z zTF?8}f?xL=mEXs*$t|2Br(Dh-{r^&~ZT!J)F&n+#YHhOjP`>hD!oshw+O}$JXPS~C zpq%jK)^xXs8J~6J*PT#sJFvhqI(zf37uiqD&fJ~r_2K`?t4o$UP4sbH92@pIHmLu0 zr&QErV~16>-yWWhyW*dsCG~dGENznvOQ$^N>^kqN5cf$bS7SD>+1|O~Ve$vFD<Vea zi$l0JR|nm`H+_3>>a2q+mrmHZXQ{z%^{q+w?-eD-r59vrrs(Bso_Xh)`}1%0=WBcX z&dKlGsDJiZY3e_Z?eY0`v!_4&Gx2h5rrG&)u9>UNHP1Y#n(Xu}Nc!IX>+|&U@7xPM z@!KyexVADoE)QbTgCcLm;+44;3%1{x^*s0AiJALMW?!HBQ#oAT;(pCJ`JHbT+%u71 zzcJyNtupJ$w<Vs5v)(%B^1Tb4B)QRT^FQO-1ixozo`BYi_cP5BP1{<#reb}_`Q-VM zKjsy;=;Yh{=@-)fY~_`uEc8=r=gjG=A35%QW~1qJqfPvz+bXxe0`DX@`tw#vXfE3y zG0~^xVo3a{JFn+xc8jQl-#$>lm_F6#leV<LvDZ=RsjDqM$}M?QaXn7)ePYpGY3rc4 zOJ{D(-?GKwGUL~mw|nj=?sYvD7%h2tse<L<r3TI4of`fq&s=!R@OV+TN0?ww+wV^< ze4vRVGb6RvA^Rl^Brh{O-0Z^Yd5vE|X7y=Sr<vzt9OBl`316wAzjoG3<CDcnzx}tJ z5m5<0qv^BxUd4}quH`CiOD&t#70y~+dHHlNXVI=*<*af0gq~W>uQN<wJNJ#@Nra!> zk8GtTg}K(%5uB6zYSmB9*|I0mHD6DDiRFTx$lwVF4;xJA+$p*&N^a3F_h}sVzQHP+ z7#yEHm>S%){$1^^HKwAG@d+({0&E%cq%+=mgxEx{O8Zw}zNX^e|Md5<558!es`<a_ z%%l<_h0R(`TwURdl#Yaogj`!t6fFL$)>wJ6g5tUpO6#Ot6%=QxXlRN5{QvouR>cRS zU0sDwg^pfbQ_;EIRHl?yLV;`D<439*+J*f)K9<?cU;g|1+q(NFLrV8gcC>s~X*Yl7 zs;X7<vSWAcy7y{T%(*ntr{{Rz2Q9i&pK(8L?HTU!#+g|SJ1-w?x3-_R{ObZXHHNc) zO~3tEG|?_{NsDpJbCpLjo1Vwce!(7cr%Uj;#dFDZ#YP{yp9X8Y&v`vri9ck^qdzWu z(t*}0C$~&oEawrg_;yRvw@A&8ysb{F131Il**Mr0y1ztwe2`c;m(lZ2#K+His$K4D zW)yiF`0_Zd$(@{BxZ=GFW7)3EeevvuIm=gg9FSS}aKY<>!~l0qZTo{&g^HYaX7Bo3 za?WH&Zfe}Lk~g0(PSjy+-#b-l=}xB2HyBp;i<|GLHg^(PW*pO=%<W|$#&-I-_}bqR z8x!N#mgg=if4O}n<Mo|NJ7UG{mS=O+_d4-TSNVC<LOxbJl{0hSsV&cTYiQeF`m<+8 zz@O7z`GFZnFY72)_Fpi5TVAo7#p7kX)|#7(`*;t;71b??Z1+eC7R;~+$=DZ@KU?_D z)K`uBgJ&q)ulV@Zo2&Rqpix%mA(>A3!kE4<zaqaWv|4`BQZ>^&Gjad*{(0=7p>}cC z?BAX6_G8KRW|R0{RrqUy({1?$8gDhPEl)fwxnK5VaO>nh3oe9MyzJ+?zu}PPxzwn8 zTRW3Va;CLzx^vJp&g(q8<fQ!qQmLNmtNyp0l3VZgA*uCMqRLJq&G$xcbrk;|+f=d7 zJ8svMgwpf|-OEoVZTEV0TV&Ngk4qa0IeGuEYsJm^TH`dWVaD=fcDGB9y-D6Eyop8R zx`AoQW9D$<bqgLX)BD-;#QRF(N6E~6-?KCRn}mL_$_%dEKW}^Gq#h%U(;3CPPjqp` z%}`ZH%a|}@?fZA)X`CzePPuyF0Q<VM%TIpwpOU|>8Dg#YlXYRC?aSFM`7e%TN}c#0 z8?<w^=c!3g4mNMHX}D1vyk)Y9x5J#Z>Q{2E-%Mb3oTa)TZta>jHoFfd(c2fyn9Qgj zkluO5*-7PWnDhd_uPVDj7XEd*c**67Qfu4e@874jWgh+;^YO2VlXM?9-^{5J@64VS zPuTEKPKg<GV21?%jl(AyV%?V{Pha;rUQKp)$=v!WUtV1*{iomZ`cmn?jxSc>4|%T7 z{GWDo_m}zSPtK10b>2Rps{EzB{9eY(cNzZ5YsJbh4CpVM<j!$6dCqP9osQ*Ubqv+k z+Uu{RZeQ+~7C%ij;oHQmlNy{FG*cUr?oUdttQL?wDX%Gg)Z@PLp3*lrCiUMkJG#^B zaQk&d#mWO!Zx~lc$ot)^a(O@Fu;8SLhUo{5xhB2M^1P$@I@arpW|qjtm3BD{8Clmg zoLh`zeq1<|dS0jGS%rQc*T;3X(YrP=$lgunm1kJgvD-=IYj&;TsT-0p()~I-PbaDL zMQ?vMGmZ0P`ALR(yO-?z?05dc`qekWS|2%mN_RT!dQvE-!q7o;!LBz-JFdwqWqwO& zo+Y2MgVW&C=F2|<TOaSxe!?4cZMSR8^1sOo({`Ne?s&iU+T{l0g86MfJZ3veGEDkq z#;nR+_aZO%nFXhv@4|<6Yb>-I+nlu$LNgfh!=7k~fBc=#8Fq(3=z;!Iy|u->X`B*z zPKgI|4ZIyb)&}o;kY>CuY+6J0YKdnlhkxq&F8CK1sdjqBCYCePry56V7j|!A5s|k# zyOnp5Tk7f(h3`{0SLw4eSMMk)HqCvTZ{U3(R5T;qCW23n@k}&ZMUSQAwF+}5k=VCw za-eff4F1hg>fYPWe)`FY{)!0<-?PL-vX@U{a=5f+y3=39iSg?VHqGJZG%#%pE;yw- zsbaTNZ;MJ{{#}n9UuP_eYIvKvmBro3!%zI|O)h0)b&qYUHMISBJytYJyGpI(UJ~$S z^;ebGaTl`foPC#c_WCMZ%rrHe)GO>UZF(2$+?o#?_jY~!o8q!j!1J$!?4lly==zw2 z_k*@h|E}2+!mo0`KBFQ_fwe(p+bY}MB&m#J>$i5N?*F^UIK^43<Mq^u{J)f^F+cl! z>LmN$i8WifL>~W^sg~;z5zlS14$7OIy<c|%=O>rcSB(mnHn-MJKIA1X!+paecf*Gx zi>eyg&g#1a-T&h>MeuumP2xiN=jZMz#k<XtS7BYKZJqe;acXP-%Na6D<7`T%a(;?e z@wN+lSKj(}@1c7!e>i6J^9FD=?D{r0SxGVMrZsz^$W~{;j>XHSD)$9ww<|ShW;WQ& z*$~J2rnT#bc+2N?z9mcdBp&T3*6S;O`#+#;I@_72UIs7OGCqhtE$HxnBDv|~(N^sn zTE|N7PTXmzlJn`&cdz$RE}VO%m7)yOv=ca&?PBctIbo*~=ez1AmWCz!3QxRi@A$;Y zwKrQ(^-Eqy#@6a}*Ekq@lOBJ3a9?EVhYG=slhuPbCtTR=FSfE=cTvkdUct2z>|fgr zzlKd@(Pk84m~_jlAz=PjOR-0LvV}oLXlxI4i!$wDH0cUGR21qID0*&h*pYA9N*`CZ ze9jZRn9rShR7Cfn)K<ndjmcM+XUmExt-1e!<LQi)Po8xtU+&igC0eD;QP$m?Eo>X& ze_V3i<a-N$#AlqmRB$AnQ+%b;lDUek@qu36on@ZVxAyJc@_<`sO{B!bC(`f5g#WHx zQf2P)MR6%pcV}GKqPC8E3R8No9kJYdX7^DSdHvG#9p}6|ll#iMEm!oX#=mdtco)B` zFLkfb8coRrd2VN)4STXb?r~aXyS^j*Q}mT!RUHdC1)hc_+upWuyuPhBWlr74xRc-V zW@s!d_wKkCGWFJ78?mWg2KG`4-10%^?``b}I(zMKr*Zg)b*{1hqC(}Wqw_^=c`O`M zw(jE*y!KnjD@|<os&CRZdK|O(SG+kdVz%Lp*UV#Qk`I*HN#AL2k?F`2{m64Y(nH4W z9<N~SzAGD(j>N0QJh8}JvT<EU$opv?d7Re_coY(OyRJ_<QGAp4YnY+<=__G{9T(CT zE=^*(e3n7#**T7Go=@CM<=J1|(LR2&;@GZ7_A4W${Diy>HnM$4<W`lpEZeWu@OaUq zdEu-RG%ox$G6<Y{?@o|(qh?A&5JTG)D|?rS`}>`yY@F|^e(8bpZ^_9&W~VgsJ~(1{ z{FLTy7UTO2_iSFoE%?=I!ddQhF-2c!v+)=1m9`h^7ynqiRrNrDR#;P~X#@Y{wf`g6 zxw6UYO<?HA{PHxU!$MQPs7*H^O3J~LxpppBg}Kj^^!{>Xm!Cy;Me{9tB0GNU+p~ZA zX@4{IlV$H_w#l6oy7c*}p>^X4Gp`$*E)V*`<xGNhvTQ!hAbMd}f7A>nPP?6fw{G6@ z%hTdG^8E3kb&sxu7yPlAAO9<{a&Bq%W|lXMSye}u%BPyWNY~swT|y_fV&3Z<BmZen zmhNYHX2g8w*rN~SQ}<6lT|Zyp<Y%?@tzpvww4a~&cO+}e=49V#4VCRh&oVxDKW*F{ zRar3kjF68Z^AzS6YG<Q=&EMdtXR%fyu3;^2+av+sl%3ixLHoC{sh;MG5qlBq^~K|5 zPQ1^W+Lb~Qlax2|ZOAfiu$&{O{D|@T&I}_z@vB9T);_x~^fcCMOZU&ClMVOpm}Z$C z|383vdU@_5@s)?4UYDNyW5I-bTi1tvnas5}`|--{p>FHry&o|<x!n^iytmv`@n_qi z6FU5p)@q&NivhJ8D{UWG<wtuz+VyFx_K%uo)7?L22D!_vt#&x%{XsfZ*lg3Y?8nlk z8F!W##7l4I-4@A|wR_Vi$LpJJMKbmM{rYo9X64U$HXHmypNcl;&E(y+_Dx*U29vJZ zxw))sS6mJ7-cXm=P~PxZWai5^E3W7$`W~M%_wi@zc@7uXrag_!47>Nmv;XZP#?n1f za!DSS=kNAd62Q4^E8{HXeXAdTy}A0X<k1%=uIsMRJ054~!t{YD%KCKc2bRE3d;0fW zcRa+?_c}w_RzOH>;r+$)GJ=omYxkXMn{1>yqn`JHvAb+T{H49oE?=@wo#J1v{!nFc zBl~x8+dq4xmAJMuUf;PdrFQly^9^z@HksU*wL#=Xd)wvukCS+XfA(kZNVMOnEU%WM zH$`=U-10RwGd8RVo7P|*RayM@x#{W6dc2=}Qs2$Z-=QG>W<^o8hvOxwZ-w7%9Xz{V zHkdLn$9!g~ocC&G+pJraY4>gUg&Mk6y{#1ZcJZ^q%f-(fH!Zj2x7)Jv)XVBSpA%~B zzt}`Dhxp~3t@qFPccxly-A?(cdq1?h=dpiy9g=I#C3x)Gi3JN^E9SJl)^T7w;3mVI z@na|dtP{;bLUsMto;J$<3t7{}^DI1q&%fo`E3LSzWPQ`whSxE>zZIN~_6wV#-2I2k zr<Qq!eWZ`M_Lp_OTe^Q9+uGidDgL>4>zSX^W?u+P>8xKQGDGNZ%d(|mJr?=D?=5xl zpKx*87gw3sz21d~jhAjLT>VD>fK5V!cmUV_s_iWrLTNkBaeVZ;CA7rQb4${ZkD)27 zlB{w%`3hHeCobZUE|~jTZS~{VS*sZ%wEM4oQ{KX)uqOUpi_qK%{*QSrFV0r|;oou2 zG~0iom&b{T9jt4X@g&skG@7@jeP4O*qS!O$YmfeqJ!5{=DE+b0L!RrFjXxZh9+>jN zanrFb!F<l=v0h);7Opuf<R1P$=uW||JBfEvQaGP6GVf^!`f+u)s>{TL%ju7%Zc+89 z&Q;Y_?OQLk<!13xsi3{mYF!&@%6cp!o_k!JmM~2+;jZ_S4b!!I_PS)xNxA=T(yD1* z-<T#RFq}MZ^5{*_4Tn?TuLOV3@++E=Q?bh_+BhfuWW2Vg^u>QQE2ElTW;dMszWVll zoz)SV6IR_9o29s8;VS+!fp5cX?f-cvF1ci`r!RN#_zJUC@!q#wz6a^vI^b_3c&`2X zkpn+73ZI=4-MugLt$5xxcZa238`u&Vr2BlGwcopS?DKn*^Fpz1alyS_fmF%-w%2nU z=iHxP`?J!4Q|%4|D}zVYd_%=UztVZ8yqWWeJ^FoHr%=`Q85-7a_DCPw_(JOELAJ;_ zzYZ<4*)1Ec_TMA%$<q4Que;M&{!CZe>c=H`&6?AzZ|mb<OK;>iUnqFsBko(E#l!nL zIA_<#Ji#aSQ?_1n7STO8YXW1=k4oYCn?H;vsj57*yR3VTC;pS5gm&}V=OQ9=w*I$# zT0LDT^+bQ%8wUBjszrh`qu%N$o{U>Rvy7+8+S}vvqP35L7O`Bu!l22p>Z&>aOil-h z>idmtn)<8nElZxIsuI8QxQx90gncm;wv1sf4}OSj-5gW5vF?YE_7Ub4cWzwk4At7J z9=3XIajDswmEkG7e#Wj|SnBqZhvS^nhodE{4Wdi8tUnaFjVJx~Gsh1xeqB9f(;6(J zRDLcGU;E;vQpSJvJdgUMk6)(Sz7yB(671gjDE#!Z%WAvaYR|mWjy`*m-~N)!z1?3N zt+W&O`u#a``s}s8NBP5E#8x$Z<NoWC828TZ?yLXRt(KGgxNan*Hf$>QSX?b~PP3{$ zy{2?pL-nGx$e#|EP6=H~f3<jip41ZtwbKlh{u5f;wYTiEpQEbsUchSq)1901OuP>~ zm}I%mc$=E`Cjq7z3d}9Fv2KRnzv}(%oAWog_1@O+Q{%P&Z>!fj{Vr5uX1o%&#S=%@ zHFYK-#f%C$Wh`kOR)uqaMqY{(kTg}Dep&LL-`Oi|xBn=y39Jumt5x5uabJlsZEKO2 zkid3r<w9?x#V3Ufwp`g8TbEjv{yR(hY{shJCo)B4;~3XO@m{El3H6qiDVRHVYj5q; z8~Z#Lp1Uf2`Pr9f(N_mOK23KzUo<y%=e6rEzRi%=zA}+VCH-~C-Ay)T3~B9*+wLs? z=gNGhzHYfe0t=f!tm@hemv(;%zQpcY^W!e7p8M*z`XL#2H_la6@fWIECf#N4ab+39 ztxr>|9g`1ne_8Xd)>NqPvw_~hKWPoKWP*yecO-qTi#+z+YW@V9_idd?Yxwh@x1APY z`R@2gPg~C6z(y&b?75OkYwFz_KlzpZ`M)&rYTj9%GWU*uLB><{7L^{{)y#2V$1^LY z0Kc2My`26b$v?aH7(cL@eZxPX$<&9L=gDNx4yE&Q9&x6Qeox$aJeDae<)761{zY!* zo*GxP@>Y+e{cm+^($~+3Ke<xSP;t_X8DHMEN}SVus;&7us=*>#Wa6zR-hhcL`|J58 z9@%nNCpWV8+3pUH#SJVw94B_jJF6I;y_S0Uk^2n>-aisbote9XZ+&~{?$N+j$20MW zN%V(#kFKBkI*VEN@}Glk(?wP4w3n10c8TuS5IKE%;*W+2_jrYEpG-{M*{#1L*qrxI zM53S1qj1qrN!Pl(HaMPTDCNHqd-tt&;P$ob?d8fY=fzIxdBu7rHBY#=wIj(hu8(C~ zg}Kv~(`z=0PM)!~_Nhu*??=`!&a_F4X?GVazp>!V=XI_kk8_HwI+w2X)VXWfx%8#V zw0CokT)L(*Ep8{%X8zE%Ro7=7`7w90WPR$|kF!($go|cr`3SkItlOL%YS+wCJZ;O& z;3p-=!c#c2F0R`3+3P#^8aAF4tUn%os$91@uXI|8*}5~6&Mli7>2&PuEAh>DS4M79 z**tlXW1wuYuJ-bnIjRbo4Z981n!UVo*3HR(%u;;dU+bmn+tytrr-U}VmXCY#?%0eT z>(B-6x)Zj1uX#URa<=M%4Bp>Uo|RmSpP;%RQ~YzxKci{F&skTnRXMM}m9TQj-4oo| zS{tH_7A)htn9^bW_^ql@edLp#y<fEqR__ou@OF^Vy0<wtz4o8dG}Q&uw6gssPQ9<h z`7UU$*v-|;ch;Zws{YFIduO}Ysxm`X)Ag&i>p5+>U80sG{!nG!Yo$3acIq8+YUMf; z&-UZZ(^HTBq`nVYw0~{egN+Y*r0v#ESu&Mt<sM$~6wmbIr*sq_2WuHzw=0tfQacg2 zx5~*julCG}lRva8=P;>O8~Ft{?sUDa9j58{&!Jl{VEUVrH{#dlevV0R4$X*c=m}qO zz;4@&_|)coJ~yjxA3Hut;!Toi$K4&11I_xKes(#}Tv+DZc<E2>D(zb*^OR03So!9d z<J$!+*(z)y2I__NF{*pK=dYgL9N2nq>(4D;ZN8ekztx_>P`};jeb6%T<Jm{P<ehA+ z`ehfXdtkS!%Xy8!mr+;WS&LLn7y6sfnUx>%C9d_}Qt?+#zi&qU`?9Wf%cA9)U)JsQ zzW8^G#lzU&-BF+Z@VDMu%AVo*lAUXB_WgB}72bush@Jf+-z%hQ=d1a*zUck!&?<3Y zSFYUt#K3iZ;ETJH^tGyXJH5a4+N8vIO7-?9Gk49;@K{*>Hqg~v<IB3*`HT23DLjt- z9oaQ+#f9D9o^~0DR9@c~z2d&+mvw*jw(eRj;x?@{!!3u~c1w=&VV8$V-64y&Twmvy zQTu^a{271XYU_Z7Yb}>XI!(H`@5i~U&s|x6j-Rnyx4ATQ+LOwR1CLi&``0dDd9A^w z;l!MtwPE|~7@Mr*yIj={4XI29%TL&z{dC~toA*muPJg!FXxt?xEh{l^@7@yO+?Qu{ z&kD>?Ws7hYUmSn7c<x#=t96?%{(Lkw(uqwrZ=Lk1`MWFCJlJ;LU7@;_ML4(N$7vI< zKmWPD7kQsensoK#WADvRzUV0a-M6WtPwytTPZML%y6}(k8gn$umfU=tk^Ab)nmJCn zA@(A(R3@)DQo3#ZiKoqpe5T7!yzE(jYEmS-n3t``Lc{EI&XeJsbN?$%7j9U%ZTC#k zHChJByarRY{S1(Nb@$To%nyH~d)@Bo{%u-x^}v=dD!q0fN-Fc)gJ!PEd%VJP^{+I% z&f&c$+~UXnn)f+J>(86fWtUGK6OCjNI{IAn?$XVPt!FFNig&-d%awa?y8mRy4e?j% z@+D4A3f|0eX1dFy|4SlM8kOd}wu%mWD$V70_SBhr(Yb{&bBbAt=NF}|&Ym|*b%EQH zkE^aXCAt`#{rs+G(VhR>xr*~z>)wmM@@sXQ9PJ&tHrRG%-rF<JA`*_tg@pv$*=pWp z|Hk%I>Tu00?xf8|J&Ci7S)46y^FDvRnm0A|TEEMbbkVGas+M0pAGM1P*u+|H&TWe8 zsM;8iuBEe;`Qxf}J$uv~<}BtB4!$BNAvbSlc=wt^hN2Puh6`HbR!#e-*E-Qu-(y|S z#eR*RoGDFDvUF$G_$-v1Z7p`hE%k}7#(BogzLq<E*V=H4EN(gb^Ucd`sjkyXwyisH z>U-PnuYb<%+?G?odid~pk(0(+{GthVMhBXvul!leKcS98xpMa=;p;cIYSp_nEcAOO zVmUWf`;fhfQjgevC*6Cyr@XLk-Sj>?e9{WHbrY+n)XzV}7CfE*^bcOH+$nyWTU6c~ z7~l1H_xF&!=#1$+0smHOeY{arbzA9_$^9woYd`M_tTEG`5~Z?Vx#XX4^(@sT_1b&7 z!mGp*W=So0TWvD^Szx~P;;=~%1mDNbez78M>!%`>b)uUZH~(KS-|Bhxqj#~pd@t@6 ztupudBCe{HYPCP6_$S*YIrYeNjW2qtRom~eu*IZ%=la@&emNhIu`V~A^W<|*+spT4 zZ$~=)%00BY;^BX;HDWv~I*U_p{0{*2E)RL#_Pz5zvgxBi!+HG>Nx%1N?(zE91j-i7 z-FPegd+npm-V0|MPEu7#Jil&_&!f5(1{?2I&Fv0P=af+EDvn7nwmTdXYcDkC&x1qf z*1ya>eNQpVw0>tt+~Nx+{+nB#L@gAqmMAS-cS6X(W9DNk>s*Jedz|W6pJg%M`CGR4 zLrv7emQWT}iB858VSk<8KU^V`Uc7xS*2?Q4XQ1zAepc(w2isQOlK+o;>g(t1vn^B! zQl4ocb@TF$%}X7(&&aEDUvYWfiVcomV-~ee{;rkduql{ZyM7_xjf8cj^M3tZ$|db# zS+jr9O56BEX3g6nCGCgURO;tU55FfL(fGYFK8LGYd&9(I4GWXGHs87H>i=(Zgz6Rs z;p~PNnrEh+zpigPU9-pLm3ZC0ABn;V?gdZEUkG@<`5yeeHO{a-V?%!j=fopNbZ*3S zB;G#YoiZ&~TH)N;I~(UIm^ru#-7ymYwFUx{EUKnn*!D+wzo%8jb){1q7l!rxxb0Q{ zDYcsO+BBXCY#}O+i=9*q7mMv%eWQ>egGp-j%cn{g7oYu`f6;B0u8Mz1;6C2Y5G@r4 zRn?68jwLsj@&y!sdc9qxa@$^Z-BUUIdK&w>Ha-uZ$M~pv(Mj{?&ny$(h5Ct`Cwgs& zGIyBxd1`Q^oXz6GpQ3xWp3o3|xn6Nn+qp>&CQb=&j@W1H=-%6{Rx#<m-$_O(6aVtm zhujr*{#))X4S8$NZF;zLhs1uC)r`zH7%sTPNGWALyL9%Fw1vOm`=CWNt*MU}7kL}Z z<xMzTec5X2g?-GE<i)F&)yiHxCv>S}gJkrRFq0(=6Rzg{;TANzeR=Q4yRLUHl~~jr z+HH1m^ViRFYQ=p1)I8jiy+8b7wx}DMZp+<O-}`riSRM^tUIiDpCYofM1k^k8Pnj(m z^>t~aQ_;kb^p7nj-}%(~v=t5%tlwT%l`N2;dzRs6%--6{^)-|3{A#SZB(KxGJ$EbL zy9Q4K2d<-*(^oh?y=w7HPqQJZW^Ye(SGLXc)XUE|roPvmW$?k;Rq64mP2WXT`~!9E z;uDY5cr^Tea+@uP!Q<6=J|527yKS1c7~Ajp!uWN$%8cmBD=nUUJ}XxKHTi4dv#_h% zlk-{)&xB1;_JV7}ZyackDc{>~GC^fhzsM{#mtTg5u76PwS^VRq(&u%)Q)XUk=$47& zn6G$ovv}mKNpCcybMu3K-R4^Q_Fz_0ewc>d(rDIak<2agR|Za%t)IBCh~MMctMAJl zTdTHAtl4tG=9Y)N`ktFYm0K3xC<#5$tzs6M@#wOS;?A%PttXeg>H`;QrNnQ&-mWDQ z^);X=w2je?q2$@WT`CM;ue3KkoATwk@YVIwCJVVkOPZbD2Q3Oe?YwQj$&ZID=Vs~I zUH9r|<jhZCx^U<6Ycmmk)`r!(%E~nzD&Oj6)NKhrqiyQva-_v0DM_quP57BwBW)!% zp?4V%eWPrfRl-d#?)TUqC=?R2QfQ5^q|TmY;Tzkp-QNG^R@|i5vnF4;aY)TQNAc{E zeaEKR2E0kKY_pv@$;BwxtjkdHxlf#E_)4KO2icmhf3JEYG_~W(zhkqxh5Do(FTJ#T zipHVj{K^dv^PKignQ%zOvXAYz#hjOagF=o@)jF7Ce51;H;Tz+rhXZ3LHYOHCJ2HJZ zCR}*(*FR6T{I~I5|5ci|f7M@FKk4A@-_tMIpM3D`-_kGsMnC34sJn5RIu=K7Mf_K3 zF8=~nW?jA1<fZ=K$vk!I>oxyQ=J^-Beu~;xkk0SbOZWH$|Mhs=?(r(UT=CS6Dn%2X zeRm!`J>1^waOkMs|3wdrr@fvtHU9_GLCHMNd5On=>0J;071O$FZPOD`r{~*6cv|nL zUH`k_gVuAi+=*-#Cf2R_k@++=aazN}6detV-CgFUAMQwg_AZ=sNTolpG-!_XmUxvt zU6X6NFNo~-$^0g@+QPH%^@1I1bPmp|V%x!N;VSt4p7WPWLRa_21<rXA`&&Lmmg)2E zDIPhKCrwnd4rKIrH9M!n=vvD3zZ+Kk6;9<ao7R50p`w-R%*osF+V<8=cVcGVd9zF2 zrOr)e=Skg9v-MPBHvaQhx;k6aGw*!jC1<I>Au&f(BeqH_ur}xfMNasz@JZA~FCovg zcn!B-U7IROOa(Rnub=eqL;F*EEh(L~X^pq$mFq6LFQQczqn^Vs&0o2cm-Eg4*rbr_ zDi2LA?{o1w{P^9q`66vLo?0@?0*s3%9esaxU53?K9mT)WqSp)Nq;bxuyp$cb&*k1J zA%Pg4@QEGnoY%N{F7P%OJ(^ouF8-`QOy;`6tnyotPLsY*o_VXweU@(Bt*uoHRrb3s zEcD#m^5lBUGW&`9yelFr!lpGm{2J2}vW~_07K8Q&qpZs5LQnryTJM<ngZt^H6U}nx zWM+TxJNa$hSA)RAx<&$rSRD%9u8*kpvG^-@^Vj7?M?ds+vS>|>&)V4=-@QyFliT=f zwZi<9wGsO^&g#>dr6k*+bL~idn8uxp&Na)O-n(_wIZH2pv-@l4_6+Gi??s+@JYl@{ zis#Uo+mj9C<G;qdZLxA*SpL@YNw2@-y+@u;-p)8PLpC_U&V=*x+|~2cl(mxE)C|*} zi#*YFx@Q-9_RXv_Gh%}i?07z}%ZlG#C3N<>Va1e$&^(8&_R+t8iD_-=mHN3{L7^|y z?cY*|dCbq0Po3Ov@A0`)a>e#+`};Q6Z|1}w%-v|a`P*Ds$@$@pdbifE+?sSOZ_83% zCgZ~l!D*|bFZk~9?p!C9e>-kJ8)NL<$EO~2nV;EdHf{FZJ>H#lyOQ>$8a<27n#sKU zg}b6PQ_RIz>^~=3{+j!^p!FVa{HpsF>%w2${h<2kvb$)N`K60-6Z|#5<o(cjE4@Ev zVfkVCOKzvCMXIjXO|^^P>zMdN-QiEm@%uV@-@Nan=ze~5YZ~YB=O-rY&5cX8KK!{p zA%4xBM{KK%q)p=AoGYH|TXrcvvVrHzo2P!lJKaNfOIu2ASr}LJN_az9R>S1D74wQW zIaR0VoD!O}UfjxFGdFPB1Uvs9d*39V{=mYo$zXNOe)ZQmKlf=^t_<gQnB<dL!7%Aw z_+`$`YM&TfPAOkGw36}mf&FQnQBhYP1ubg+m8Y)n&YBqAH|3V<jMKamc6gq#Uw%Qv zck`#<-}R!iDj8lzw_Io0nWAsN$>wqL%BS~%pf=LcM=5g`h24(t_!rK#RQF_LRmno# zmpc!cU-@RZs#RUn#C@IGM=8a<-ko`m#jUSL#@}vzxH+nZEhhc0l#n^+%UG{3+M=I7 zJk0&^Gv%UwsKiby)=9@-L~ofbdh2A-;+RbVy$dxj>eZI9+Pk*@R5xY&zuD=k_{o`@ zdVeYX{eEcm4yjPiYnyl`e5zd;XUh__NSAY4d_nfs+Ff!=?wTR;>OaL6zI?poe{hAU z{#B6~%h)nb8`N}p-TGW^+WCs}s+yI%a!g#`k$p~8*Z=K1mfUuK&zzL$30x9BA&+)> zb;>SQ`Jr)c_mmgwzeY};TWM|8c|>;WxxE3Cu4(67FmeCl`=Ddq#cw}vYN%(0`M;c! zF?~|BTm6pR(c7+Q{VcQnwLV}4o5v?%r^|7k^=?1f9-p4MY5A<`B`*Bp>c8Xmgx!`t zn!ee|)L~LNhi|oN?eq_6G0o|h7Jp5=x07qHcc-1#oHw73-P-t@>EilOja<)9f8}pY z`uG3Tqn|&+QoF?>7Mm?dw0DWmn78!8x3^kV3oLGaui1KQUzE%G@Qia_n_E=eH(U_% zh>sBK*Hc=g`<`uoaJkvlu*pAOe~MnH>Jq;?yk(CJ=RJ9)?-v!`hfgw&Uc(-fUNeQo zX^-_(4W&;-pDH+?l`+p?K4UL+el5eZ@BhX9zg9AYuh;0_z-KUB^3BHEc5B*gj9K5` za@j5SY<AXsR{8tA{@Fry(%C;5>e;TIINX(9(A+M1q5Sp!*kq~xD6qnsuU9Ls=hZ|_ z{_rzDv{(AetPh^88;{29ea=|V*85{?)#j+B25EnNzV(TIxU5uAe7Abjk-SOoc--!- zWj<mY<Gy~m=#2yE2BNc`ZmybIv*gA#yL6|Pjooz%F4n9O5!?N_=x>N9kD!^LS!a{` zDMQs6$9c{CLJzJL=J;wC`~KHlr6+v)d7PVHURk80c(RW>tlaA?>#{wJZ|14lOv}>q zoV5DvOz%l)`W;=LH}hZeU3sEK;vqx4u4~;=3ID3uI+K<;Tzb<j$MQ+~hiH)5wzmvY zbqennJ=ESIv_^>M+WB{;Z?dLth~4!@HSOe?f+LnwuIyW{w9hm9N?6|ybM2T9c?&wN z9E1z=I*z!UZW21<)6CJEc3Cu{k~t$S>*sri)_Y4`@&!)Wy;&<ElAy!mlyiz#(V%+U z4AK2tZygUv_z_rSX77;BsbR9B!%ltu<C9v;FRW&|e2#&4z6Pts(SX>Op-!7^y=42J z%-HmDx#)i;pQeMG_I6+U_Jir|ytM|Og!NVCoU5DSVyf`aI9Y05j^fO&;C;&<toe{E z$os?X%hsir=48e<-OOM(>9W+f*+l2$S?xQ<g+IDWt1={JNGc_tKa!egd9aabmgkce z{WI1JHn1n$j$M-6?vW&0{U&j5(l+ln7oQFlwY0m3xeaTc{GSuVqq~YFo0*N>eo_9U zvwtV+SZ~PJe7B&9<w2uVVPuEOt4*9qv)-_COgM5xry?bhcQfa$jFcXU_w!T!F6Poa zU@g-5L&rtP^x1<r!`rWpf?8T^@^`hLT^72WQzf*huQ%kvhJP(bZg{YsoxL<x+_&P& z%!HffuGZ&E%Wj@u^SWkQiQcz|i55o=)QMd(Ud;6R8JoaIUY?*_(f!k2XnlOG^k`yK zqo<)nwNGGi?Urv}Hr7twaa>fTwx=xl?_`~t8b#IwOY=+1om4g++gI5%gKa)5$OU3{ z3$M>&Q+5IQv!+R6UvHm<u8WZH=A63qrY3&Y<(Ge5-0}DJ!$W^HjvS~vy!ep!hH1GB z7ghdt%snnD(a7jB`OD(cgXgwC=-m6waDVp>Ll>dq$2V9E-{e8MOH2G;ZC&Q*&o%ML zk({{-ZL(Vhvz=574d0#Idu@+%shr?rCKW@&WZ?{{Ig3S=Iy)oFGS-{&rbUAWW<PQ! z-3xfXMZnYN%%<fc*9v09?R#z%njBeOzU09j2~elYMn%;{=yKWWEl(}K>7D$%&UK1h zCY!+eeYOv|9|`3gFl;amn8?D*G4TkGgmq5T9bV}Ljk}#y40GA}^|#EeD?com@!*|+ z=b45=NrCLU>nHPV{La0RQEO7dV~&YOw#05*aQ*K4X*TbpT<jI6Y|VQVFRu5=n`usC zmr901hf3OkrsZO6NAAR$UVV_jB)ve1GfP^jGt*ivclrL(!;%>nb~&pUGEe(|b;}NE zGY97Kc8{c6ecrcUmYdIMWP8Uq@d(e2A6qgD&2t*Sye+mrUbb$#{65cunfH#YQm5pG zV7508K?XydJYBZ1ey8*~h(T;R6>f<K<aQwp_PqTv|84=B)DCMGA>)Lf#><c1-M|Ru zT`r5c<+p$LLmrcYa*(TUD7NlqoF+G0cS75WWbP#wzHD~j^0nt)VjaDAnyj|)^BYa) z7$cMFAM9JZXXiJ^1*tdY7pUI2J%O<&@>%<h>GmyC6OQsKFltSDa75Q7sQ#ek6#2<p zyd>mrOiS1$=}@$+NK43WpYFjf>6~2gcUSkNme2BXxhJN;sF3LHQ^2e3VsW^&{&L&1 zrIXq=+%S{R=o8@GUsGl7GUdYVqb?8M9R3%(VMT$MgKI+NhP93-p8r<wbUxGdRk$Tn ze&a=!r#-$45@R=o9TZ6PImpqwPIJwHS+fovTBuoN;35%h;3OPUkkiz3dW+@4d(BFF z0^ByAlg++!A!d`cZvnrwNxTT}eLFWR9hE<e&febm_rU#gLX%{EpKbrS<oz@iQT3CR zFMh?%H%`1+leF}*j^au2cb1<`yglq47q@mzw%O(K+&sSU%UMoUtNqV9pHw<+)}Qw! z>fzVcBeq`uq86r{+9R}PuG9mw^^dL^R`E!xMier8{Bu*uZT+U3pU2S=JZ0kj{K?N7 z9H0M@+7?#FAh1Hd+oOF=PfF+9PuyawcX4?CWm&d}F^j3zyl6rGq2F6M7l|e;lS+8^ z_m+zw*Ng<_7XKXAYd@53|5NxqdD|cM3gfcnBK(uXPd}gY<zcMX7jDmq>FoLj!MX=m z9cQp(aM^f2Uqz}x#mv3`0?YO<j-PdFXaAVbmE3Qev2JS7`U@K#-c4GxVY4q&cAfIP z8xxdWqnm!7WOz1T=(1xitK|c$+3xWxj<|B|&Az+ryvS~qJUQ1#$&;Jv{bF3S4Kmq0 zmUzuqKY4Gd%kCNZx--jXZr(cWr)<)#)N|8J+fVZFWq#9By`@^{{qlfb@ga5IgmZ^g zCu)7n<Gh%s>gHMCySm)_nM&*QT~Dt@oyhd$`1o$AOJeSB`)fXjY--e)kG<JH+t8a^ zRC~iJlZGcDzH82b+G8S<*G673JMvhqW1F=5+ZC^L4({0;s$?&j&@S7Q`2WDA3Ej!u zI_m$~rDC7$JU2r&IzVj3M3HT_9uM<aPb`?G#-Xu7+u**$1No>YwU_KZMKPUpnOod^ z{A|MS@5Nt_NdB_8DPub2y#9eRL4NwOH_SD+Z#Zo6?7zch<qyu04T{|LUy9gozg{|N z$0vWrXNt@|&tCl!UNWb=TOww~f)n8(1&k6#vw9xYuY3D$f1vWMnkvKk2fsW1o?16e zsCL@Epe_1~o1Fz~@`9|JZtQk-+5IDD{nO7s@BY}L_Ru))G`mB^TWg&*27zN~(ic8# z^T@dWX1!wLFVHm6j!$p;OjQIVBAcD%rUxH9zguuo!REEmj_Nz7i%;c$bD?;}HBZGu zGbS&1SQXH6R4iiQ!x`^qFI`#Q-FZmwn<;ya#iFkgrk@zE-`p{c#oBdmw9}WxpWNg6 zj+i>R+5K{pJ1|w`ncSo0VOBX2-j7V3q8uNc*y{h+TXokQ@fg*cKf4x{-3&K~GL*l$ z?#ErOz1jBRWph^;JPU|8FHl+PmQnDTSLF@opS^RE-#27Vo3NxS;#j~+p*IK98LS)l zgHJ8vek32d=tRUcRfT;^dS-rGnmS8$NhW`_q@B0NqrFY%IU|{v#Kj~}Z(?EL(>0o; z!}yV@QsU&2k9$-mr%a3Z5GfXycvSuTgwz=Q2eGp?cHP|AEBsU1Z;I-S)w~I{Tebc? z=2F(qn^17c{`J091(Rx;rq*AXoW_}Ha&G^QO*{fK7AP;coMY}DYPnRF$@nP4U4~s9 zHvXSBpIW}i<FZ=F92MO~D^{#ib2_@(#`Bfzw<RkJM5ha>&R}GF8R`1JZsMyXmxXp; zl}?JrcfNijbJqV8!{tl6=f*1M8b9$}9Qmo>?1cRq8}C*wWn&6H#Nf)1!ENtyj*VOJ z+J6I<r|v1n@mFrXzNEp~cjenU-!Bp~=S){_l;4y6fA%N;i&YMBe7hMo-(*m|x8mO) z$=0YW)t{;h(|4Ym7Wmsq;7C~NibHa1ZytEb)vEUMyVLX>JGCRRT&mNa_$@sBX$Aib zPPQ3_TBR3m@BWhYu_1lQ?!&A%9=l!G@wLF_*w0ng)d6hFZC0G*)zB9XOi7kic|SSR zT;ofgU|>)0nVS!cSPup(JQT4xb9%aI+#+$8EoUbhEn~?RWMkjIP9nB}wJ0Qat3$=a zUC+*6ZTs~2>;twLD$E@X`<61+3SX)UC_iv6twlOPOKO3dDO2DR4KI%jTT#z~RV*h( zmiRP2nfmJ6bImQ!i?zKy;*?7MY5S)Cou$Lryt>jQLXMC5OeWjQox)L*xvp$mCb01A zgrkdDgi{#KE}nAu=A(&E7k_;&`fH<cSN!%Ie!W7zmwh}<8yK}FJ$Tk3;92tb=^v-t z!Yb|Srztl}s4ysp=hVgrF?b}+%AMzMcwTqM3{d0Xpf(pfL(M!nL1CrNPEYlY%ny<t z9V%*RuUQP|yp!Ij25QaJq^`gG>$be;qQ1TRoDXm4KXQW|RQ1_s9c0}*tx({f-MY;R z-d65up6SI;Hg6Z$Ik(D#Tl*lJ1arrZBTO^5d4-9w^&DVOI%oe<>5=pguAT!2)@rS> z4v9P;Bq7-zG||S@b^fXX{p}~z7O4De$Xm8h+5X5OzJz-BHCtUX*d8*^n93$N>%(N% zWlXWVSfBQEx0yCQ?}~V*cvABBj&_sziYqNV-&ro&F=JYSn3RHSg1y6;*@Cm8v>ShW zpF5`9{q5vgX`QDP=00Ek&f>0`9=AyR%+pytRnvuJ*Tn7GwqEgLxl`42p{L=!HI-A3 zL_f{9J`jHU$TrO_^82p;ap|lUeRgCA+lE($2UM0_obfEy>r3Y>@yhGFLNC78`m*jT zTV!R&mQBer*C(t9$*uKcU;D4sZ~d2brHe)01eMgBeQ5Rm^HMHl|EiL7-RBt}H(y`0 z)xbW!rby))^D{<f3r2yfez%-jHj2qD%GAjT$nBAzs{B6b#G~)7+xUMLnXY2_+{~zQ zJ9OjnDQC-PXy}$5D9wv*UcCF(gWRoW-)3g%a*O^d`WMplGO5ANJM@c8>%`EPtjERv zmed<2v+8}4X=HgIbnTW`<AkI3Vjq&N85dgmUD}n=6fL?ivFm)bYsxBnHFJhLmA76T zvF)fV+VI-y6Z7j$kGZ!Ox84Z9;+Op0$dTD0`1N;z#53;&XFbpsGs$`6dho{1$_os! zCe7z8pU)|N_kTjUTEeu@t9$P+m)_+c-ao6+#z|y8hlR_n<tq#q&6Q9-+<tG@)wQ;b zYbBcag`+!zFUN)k9y})T@^m4?Jg;uUZOpe;Oq{kNL}vDaOMV@~Ay*`>WLT}>EUdY2 z_5A<s=l7zwUbno;YICpp_3HKK?q$#aAC<j+?|Hl5?_O$LT(v`RhJT0r6PxGk-(Ll5 z)n7Jbp4A%Ibbo@#@6z^!6I-MdpQU;|@ZR<9^N!#rE|CdGYFG<HWZ%iV_lU)r=qR0Z zdY)?ZY3r`Eh0Y&7op`i8Q^oi$$H5ErT$du688%&cVdi-uLnV+WF6B1QF4sSAm}-iH zx1X4=zg7QQXwJ!=6fx<AHPiMSuXZilEhw;})Qx{$*wxAQLYscAns{{sU;nF0uTxIX zUvvEn3jb|kcv^D0cCnvxm(i^)QAN90{MWyE?A>MG6Sa%wW%G@!txuw5ECrg`HmV&s zFzJrkzN*Q>u6)mRcpvuOsL2dmdhoH%6AeDw38IrOZjfb|&HmeQpV!~j{I9a?ZTlx= z%KXo<F>zjYm%}n&V4wfFSIKFo9>xltOp#D**L}2wHBv&g!_w@Cm1ByJ)tqzEi{zIb z`d1tK^^0KbsvDIr-fk|^DzbPXwKAy6TK&@JR*m0t{(LlzUE1?PXy)AZd+)p?Wv1w^ z49YpBZRMTv&&cWe{I2so-zQzTogA9wJMnPR*;!jfYrSvGm=d3(yXr3g;`3ZCo}Mb3 z4{&H#G-T+^Q5Qd9d-%id%`3L8yrW(cS}E}K=hL&|b9IgCrG3j+mGS(tWeqvqQ6#{r zFY;(k{H*E6Gj46{FR0USROrxOEzfsb?tzSmWsa0b`UBn*#!KeprWNsBtPe3dr})qG z<L3n7>t-|g+hZCP%=e^7SqW8d=dYSMZ`b6h=lUE^?9RIV>5y%?l*)65&9>)XvNBgr zn(|?8>hi_&+6-37oP0G?aKdlR*5E!_-Nc#;+;f({w#cjEDB8Wnw0^dPO5g8tMcLzw z4`&3hEu5pXRMGP|<H5qO?`Lf_GMx1DW=7SbqC2a<?D+WfCF{cD<~qtZ|GFjITPM;M zzIj96gO6Fcd!D*?{9JbA&-8VX5#9w$*L=FOgvY^uQrh|_!V}7(dmNK*|6SC3@}91M z-*;P6rx&wbjs8omt&0rR;}PFH|916pPNu69o@}{#P4PtFD{G5$-j1{6r6<TN@R)u# zOlRYXf2~G#+{rBbry|R4KitG`-K*}R@_C-%s~=)&?mm`sEKcli^_N(2%Qo?t?R3sN zvD4Gd_w}ml+<|q4Q|2Fh^LDOAkwr#iP~Cz(K2c^<zV1`;>`&Qgeyh|mw0!~l@i!{k zE?k%1U7WG2AmCx(r8gnVUn-uMdg_^?!>nwDQx@NT2VPW6X8G^(D>tBVo51Xz<ua~D z_R@;KHVU0ATBlQD*43Kv`^SYN8{SNkT-xQcLGX}e$VcZ%Gg|o$+C8jJ6W+Hx@Q1y} z3-!{ir*7#ME!r<oJ+Y0eBfV&bRny_0lSEQACOtjXF~`<T^XvKVDW^GFRNJ-)M@nsa zy=Yb3rmU|9PmgADH8}iV)oSdw*T?aet@Q%`iMcUvdA)wbPnp)LcQE{f-tOKHvyWsy z6kMhKXp@1LK<2e$LhDr3r|<RIx9gMX5q;+4g~3fVVKZ)I^2s)xzZPAa_~NcnU9Zyp z3tLmTq)%o|4~+WpE3)Oj)$9+^(cJHii>u5$&-t}4;de_n{JiaE%l&H^YG2}uV!DkQ z8%)eMJz?4WKWOT;SAq}!3+QuabJ|6k-OPO-ID^SduFWBAo7;-r{VxA}!uQJa_laAs zF}M*TSiAqmmYgFSSNIE=zPFORF`b2bwzQPpnY14=<|&Pz9hD;WdY>`Qytzm1_o|G< zr^nb++Aq)Wi4C&-G-vsN7aK3{VQTyrAzT#KJ4KAcWA`&rlk{B$TgxVYI2<at$-wP{ zn(5Lq>4%vg=ghCpNS>(x34*ZgoYyB!KKpu=w|2a<?yT#53VxrfW^LMH@Wsx$ZlzNE zwXG?O_&0H7P7#xveckS%`8l;e$F3dvts*<aH>fH(`qml4TPy7znx9v**?XJ!;f4Mu z7R!y6E1W&6?Qv$A=hiBh9eF-UpR1a3Hr+1M^kJ0dzpbbpt$amw*7cYBo=ufc&-&Rh zZ`E?G?S09d4b8q;uD;g_?!NXuWOQPU@u5RAbu||UPkd9RtT2CSX8eWFX~MOQQI&B# zNA$(wWAAA{J-51K>XdAey<y=S7aJ;VKF%?lPf^-9`g_WAN!{X%yVm(KIfeU{{HfS# z&6s&Tr1@F6MR;~I=jYAK--}=HPMLn!?MLb(%Y3CRwjqDp*1rJN4j!AIw-o4F-V9Nm zdAZ(xolyC`C9BuVd@1d|R=Zk($LX4U)-9J0MU{z(FKR3PNO#>?mwj?d>cllpQcGLQ z(**xbyxCH}B=CpfCkvs?8>S`9e|r6_+Miu+4|go}zjP&M(xv0ZOKLadi5Y)CuVz!* z#&*ckg{w1CLiI-a&&HcA%j2s!+QUrBHw8_2_Fd@2^A#rlJ;SRrIP62;=7=5R=vygu zEBA2d<%%18k!wwy?y?G<Y=6JWz-z+H^F<F8CURdbv*uS^q{(3R>FeI^gu<*Tx5^g% zX?k$fan6}<o=WHKC&>Srl<;wh7w5c&GtzD|YI|&&+UJUvd~P`NaBlOo>8Bp?zq+-E zqwHnayrku_^J{J=T)vq!wVvzJJ5$kbAzb~Fd*56y&0zr*J`HcAGAoyPO|6>K+RJi( z&DO1EEf#)HvJ5wGnD}6A($w#XOev-(G}h){*7^1A%pwqV$@=G|UuKKnb5^CCzPjDz zUO;-<a~46P_<No##hW(FOqj1;rgq#lc-qs4KGC)HZi3Up5-xAb+UhoO`eMEU{R@-# zE}EsY@9epI8v{9i{P%vToVfU;?&Sw}7i>vYEt{-zICk;3ngIPflhc>nN>bRdBPVmi zSGg!&7UAo>Ty5Rr+3p8^ZsAIG(D0dK_l7-7A!X|Yj{nBIH@DyDQegjd<J8JyQfL40 zr%##^ed+9DgMXo7JyRy@-7T7IYGbli<8oDbgyJoGN2Qq$-6Z@Em+rXN7O2@%t(~ZH z!>H-vp??p)YTgZcve(;p<=^gGF3T=(EET9&zI<Mj$^Q4UPcFLNv$ortz^QVxrXeOl zy7XA5!PSYahE3mtg(L2{%PF4TFL-GF`q`N-n?95@U3tv9aC_S<@24hmN{YhSEiXK` zy4Npnzg#xGU-;q8lCXBg=k_h}tJt@)ww!#Mo!XQeETvv#fAX|b`vdQzTJM#E!n^q* zTXbf-&8S%XMM0lCw#g$pV>RbNXSKr|mgXAWr>{6jWXw4sWjI${y~s|@@;GNu-e=XK zNi!mUoiKZ16JFGJ_1FJR-5yWoCZ#=m$zr&5Pd^85v{-nt%cecj3*SE!>fEE#Bjhs6 zdSTBT>(#nTPF;AQ&$D-~@RRoRg(=5$I(5R`B1*Q*@l&igcR#vxhQhOXd!A`BW?pw@ zKFgzc+G_Kc9~=|r^*mYn@XFbftJyb~PFdi$t&HC>Z1qMf7LQ`*UZb+>n-Y(tZ9YF~ z?bo=gflc?rR_AR0>yh}zWZr~{Yl0+}UP(=So9}r>yY%d#sHX7FRQ@kVwsyrV&lbCO zZ@~k`*v%Wht>#L~5WAN2Jn`cElcoMMDwi64FpK@F-2Fl_=#akUqw@ms&J7V=x_9~< z`6oC|(u<vVCf`k>bX`!yu19A~7#<4biY26;jXeH*tN+bLxsyFcPTcHlflhtXnBPm+ z=v3UcF12C(Xg0@k#*=AY25Sx{8ZY=fLB8gHLY_r<V&=|M_kNvUeMxqnhz0+*Q1;h) zuI=4tp1Wx-+3tA!;D^S~9?K4Ev~FDIyGPQfVIuP)v1=&|%Is6_74W|J{#C*@FuYp! zx|YkbqZ|VF{Z@$A_6Yr%H}4Va!t08Eq$ka74QyJm^)^Q^;~YO}HiNd-YdSe<KX%+| z_}VgGGfvFx{z8Q|i^+$h*RRylV4qUp5G!_!W1doG<O=~C^G(M%jM`JAZ*%Nlx6jwF zFJ+#Y)BjYj%I<a79`%Y@25!;e%04~c>d3_<qF0}bSk9SO(yY+u+dg~Am(A_5>nGY? zfBotUV}rw-X<=`B3+H_nxnTYD$U&9z12TUPu3EED?D^y+3U94~s@p~E0%pzKX2Y8K zZhb>a^Xrd+k)KlSbbsHN?{>F9szl*}>c@@#d`FimyshDwHBZ&QC0MX=gTa2+yR3(H z&YGip%Za=Daa4^=(kJIo);WhCr6>e+A3Yg-iOH~TPAlKR;*hL&{mWM>S~kqNHM_~= zdfWu@Q?JZl&uTSn>YsY?TDM%N#Oxk*7nSU|UV*ds*!q@~W?2ff1-z<@Zhug?>4017 z<o(|!9Ik#Hmo??;U)58)z8yWtwq}<rAG50Wsg@$!&s8r~pVxXCK36)uM=<Qqx-Fac zo_i^`e&4!PD+9VC8%37fP2LyI_1r+4fhEgOyC5J+g8zYdp4cvy-9?Mv#`^S4Q+%#{ z>FmA-7CxNKZi!3o><{hjJ>-13%V=%+X5Qdi_9uH%Tr*lO-48RIw;(%&tC_KBV$qk~ zQqry0mv0Gva<%hY*_zrbYDTSY8m$McdmXqg-Qln}(Y7&gLds{&PrZNj<kUa$8E!Uk z`(R@0`?U6n;HE#)ihojf{hThfTat4xb6Cc<_n+cEJ$PLD*56q!#i(~e^*lFj{b@1M zPiHH9GBn(LLG?%N##6uBM5p_hI4(QO(fi!%F|+BuwD*mLO43J4)Ep)jw?6sPsIaVN zj)!i$>hp<b#FU@RQM5dv-M*^xj(79M$Qup<!KZ(BJg9npN8rm|?_U$9xAwA3e75cN ziOSATwJEVm!H-?cx-$=jvj3aC?wqA+$3O4RefyV0RGT<15!;)&?8TE$x-RzKPaP&d z|0}k8vh<nb8sS$2pP!j|?w{rUrl1LB>lZKwS+s`>2&_1&opJSR=r%cRpYDU93Kvqj zLhRSfkuTIt_{`wN^^$ji#f&EmUR;m)7BE<a)+GeayS$M3&>@3)6LoT)$S|w9Z{8r- zXmP7D=KCs(mwj_rm%GTBiTtdZt=3xXT<<lL?Kxvs@3he6j2YXc-l<QOQg6={l6iJL z`Oz_@bH#`M%oJjOdg!mO>FU$kYkejiu3I{Z{b0fUl~)uE-mEt9-=cIQkoD^w+dr4D z@O(Lc_wel{TP`&(o&R9Y(kY26KUjL^p3u0_`k>h|NVY3wy{a<TG{<vQ!U^pUW~*G# z%HH(3LB>ydPt2^O^}KACR(-y`_SuVb9%<7AmDUGvxleYRXdtoT?R=H?_FbY^{k#8O zo4B!llH^GdsfAPK8|`0qE~HYSHIke8eMs!RRo^++`>&Ms3-~tgO*}l=>^(2CWasyn z3er{c6(pOUJQg)OXXW=~Z&8Gp8|S74yZ7|lI8CcpZrLC4mVK?8n3>R%iyPzKu=sOd z-J7B$Vsy}r!`|1Il|N&rPzT4O%^M^iKEEDXUp0qAYVN~piM8?p&Vk>yb;u|`jI?M@ z7Z-h6>ylMlv(tRi)3X^7_rsUwt4ui^a4P=FhrGa9ynEN_7A=a{eBzq^THU1LCego( z9>lJYY3MBLJY%AK=66d-y=Qp3nFNRGvDpjel&U!?&ucYo+H&V<ZH-Cy9!q7$7r%XH zelv-kJJC>qKWOQ%zI9>3$2&hroqDv}Vp8QX4$I@OQa@|NMz7enIqGd?rDd8&N~O^& znH9Slq^~H|c!&SaTH18}^5M8|zgYWIjCv*<UZUN-Zqo(DAIppOp7nCsbHYVJk&WrG z$tF#Y-F;>+rfY4U{%Th1#qZiD<eYzJDuvrbhDUF?_F~fKv=cASDW!jBahk9C(C_M@ ztbOTc*6d-P`O7Kvf`GAbU;6TPh40~Re2ka=9CMb8Z+jy8&c~nm;etfFqPKruupN@L zmyt+LwRQ=U?QPf-^HTou)sLnVl1sAR?&y8wV#Mp_FmHj#w9?dvK207Uk4+D+U8$AY zSDN|JHcw%@NAcdz#kvQ7Ee<;QQhG^2oI-F--}D9t_m#B;tHQZ1y^Cb`Evr+%mL4N> zvg-)PiI2OczSpt38C6>x%2GTdf{|(KjEI|Bx&o_%Wu7lpID200M5JG>WqWXZoRaq@ z1GfqJ4biQ!3*C6ZUBluXg0Eh*{hRx)pe~sCA#;F!P-W^u=Lw~@X8UI3Y@T>naq|6% zS+CnmyXI*02G_pgmf7;fx7FaY|Fz$fRBR_by_fMle6<zJ))}8X9B<i5x!11^vS|OP zrV!BmahdL=Pa%o_yq2ci{>$+{WRFe>=f1eo<9W(|<UVe?pjxpxqD(sR8n^X%Z8yjJ z(`SU8ZVGzvEO4#JCF!e01}6j8iX3C(I#Hyuy4tP5qG<Y|jaQ9ZG-a(jV^iH8-pFlv z-EUIH5oA#o9inUW)-B>dlIXr^^ILgY<Q3O$JKFPM^3l_W*!skd?a;~D;pW=S=;yw$ z*!!!)2gY0do*Sf?7R?vmoyGCyc5cBx-{&X$U%2>r2g@l(y7dM;^I=sw*VWr!;bJ7| zc4Fq~kOwE9%5~-mE@!&9Wzn6`OS88el=v;awbN&zpqKP=rrn>edRPjC^Bz0!I(XNz z6Q|d2p89`@_9RUcCry@nm4BBioLz6ve$zmLd6L&h-<=2SDmOHra1PbpY~c05I%(?n ziS8#p<+pq|zUqESv90yy@}?6-I}NyFo7B5fg;Rv9LwxtI%RcEMoN}w@!U@5>f2_3Y z*#v)1Idk%mRnz?|{ZBvHwK%EW;CT4_h5O&C-sl_q+GSnhw9>@PV)eWCugI>HcTyD2 zG0~Z`QAYahEypEd`zpmAnwxXkJ5AG{IPvKRHo>9^t;X%G*2+3JWK}+K?n--^sHU*~ z`da^$tB-!+Q)-<NY4Mo((|z}nT*Y5popJh_PfsvRjQTRu*!6OBPfBZY%T?<T%ko!Q zZ{KE26#l#JMrB0!lcy=>GFqE>8*i$c<SN-@3C>hfo^m$vli-QDS6%F*^_IM|d^{yP zZ*`n&K=-)>u1o8TEmT{JU+^$BMwPG~E?=EvDJQ%B_4T+q9+yo`CQj#K;;LS^$5&-s z`Zc|%c{!|!-K%da)_7*yzoq^w*Vf-m&yV`89y<{fC5j);dF!)g*1fbm(KcPqW7D}w z;@JzL*VS8Ih`1I0g7+t<t-IMgYsV+clWyg6u>P-(o%+pp&WaN|cTG#!!!`4G?+HHH zTcue~#oO*(v6#qxq~zL4h4#)#_QFeI%$=4@n;f&eNyhG9hfVd=USUhkeJ$n!N3MLb zQ>r-dl5=stpYf+n8zw4Tx^s@X=-#TD{~NuQ&AF$zDfo;|PKx)#lUge2kEAd92-&&@ zotpp4CF|nsw5~KhyGc{`2rhd5VHHDBx@k`O>BK97>2ps#Ik?KVHR9l_EdrVzyTgyJ zs+SKrF<nZg)#1wB4tbs;xAJQq-F8z&#iK3uziM<?bH0Gb+i})yuL;M!q;G$obM3)_ z^K7!$A1$5NR1v+}%3eO?Ggst`9g3_UulVq<%$zt|<Vf)5r{cxue7PR2w6s{#=6b*H za*%-k*>~}L&)TL6_00k+2yf1cdZNbq@yeR6_ywz8$X-2q8l+*SRM2H5bIp$BY1zlV zZ_&yE8&~|L$<ee%^uS6ckVKIOZ!NbwSg~Bt<wZFlv)8pY`>j5o;+^-~)6vE>nom09 z@*-bvM;p`2&RJ1gE`YSXei)j!=X}=ncr8!9(@Jcs6g}@RSD3f;@}_B}Or@>9^RBS7 ztWxitG;OKEywu&|roT7dJ3al2a?%2+Nn6ZVKdy=0x?|I~dzTKE-<bO{PeEXQXW^|b zkt3UPx^+IkN`5<KiM$~5)d@@Fg9XmtV%}87SI5G%^+Ph(qsX$7xTzm({&q;LXa!5g zB1@X-o?ehGnh|q-9+O$UkACYHyE)sdJwxvZ$V)~FRMnIzPWoG8%JkW1=@s=L6?tt1 zfvh=h3alUJyi1tGZr`xeW!uJ$*D^1uP3N<E`{hUH^AzQYO%*#OkIh+pa$f2|vpK~+ zEO$On-DNW+eb+>u+5WF|*G}5)Y8Uq8`~{m+pG{OYE%DkSdVWLPiY0X$)x|B}_`eAM z-o+JJH|4^mhmU7D&VKsJBW!g~TQQFn|K7*lclP~|S5$JATsnc<sY*@y%<iX_n_|w{ z#Vo6-_qwiA!Z~UCC%c=1CwmNwTHepB=x$Lm<X+73yJdO!RE0mL#!pn{J1C?~Tcp4I zLfnF?f|=_;;}XxOd^zRf{^MO|v8qUC<O`uU!t?H>_k7r#ej@XXj>-3`BU<0|SLInK zJKy+To4J@J_hv`L#5HLWjSn9#4Pl$-H=`nR+VaW0Q%<iszCL;izkH02WSzxgOQp>x zI95LkT)SawjoG`4=PxwgUm;a^O+~L$^={>!CjU#O+>7O}{P@mas>?p}={}EV&dM(T zy)<*QFWylO+_pxaEBWb8^&^(&_xy=_k|Ai%+ij^@zvje!<!HNAuOAh8e~FlQ_`nU- z)F$iyEhpDsGV$;a&wZx;%WR|g&7FVme&N*aXnu9|?Md?#u19+ngJ(S3C**PMlD&ag z>8BYr3h`mfe(c(F*<_8?rd7!T?B`6%n1T<Kt-rX}IV&>bLKf?-SNG+%%<NygoyniK z@&2kg=4lqJIny6DyN8+?7k!podDba?KG!#E@T>txXj=YFiAf8cB$hv()nvl|yLrjo z2a`WO-Nmo8KRBIbu|jrr&xFmM+wEe*ZO^#;_j&UvQO?``%<gy5mDAOm>*~d<SoEhx z=QQcu)KOypHN~NKD)WQIsUKR_`H9P(I9&SLb(hub#%GK>H`^G;TdsZ=KUHLlU!xm8 zb4n}Q{D&paE<9swjGDc@R3o0}R%+su&THb{D}vSAmKG??{j9_9{O^D8okO14eJiu( z)^Qzk-nGZve8&BdjfESgn}==mu38{ASvtCJtxt2Ew{KtY6U~n4(gt&?4{WPmo^4S1 zXF=-MO3{;YK@|@ap4d;-RyWr4_`F-A^~<@n#RhNqx>Me3DzhI}%%AwCPMQ61Rij7Q z{)x?=dZp}#MYx<@{5H0+ho&Ccr?c<n+124?Z!eZk{B`lj&BC<(B6HrEMk#EIsx)<M zb9A_+dwPbP)BgA*nO4mce?+R@9)8CjzOUV{@BN=A%2S&v_;)vZ@*Ao7e4eLfAoFK; z?9ZZmC)wqVUNrVf85MSEvVOFAkT6NEz+nH<9@kCJuX6C*-qRmew#SQs?a`BetP8hy zeb_xUL%RKC@Fsm_?$?p-{ZYGn3+8jK4m&+Z{bkm7!DFA7h22t$yw+ndRg&Y(sYA#7 zU#FD`>htW)R_nO3EKjAQ@@cL5DY+cYnL-jLc?=G9bWdp#>~@~`{hP0dWshjptTmap zLY|~+o!TgnBz$y(Ygx#dWlHkjGDYlja(pJ(PCOH#%p>=0R_#(o>B9DpX_~69991S~ zU#YzD;PdCB&)zw4J+iewEFrcrI#uzHp5*jazJp(jc3w3;q@%R;pxdGF(^<mqKb%eT zZ6Eeu-!qwWa`NF*O&-q_7bkxHZE(_E^2~h?^|H!-BMFY{Gjdx#`ihwe95MYaVqP2Z zEje7wjWceg(Ah&T){86=So?}g;^dtCmgE=H_XL&SFy688grr@B#>8XyVxG8IE-IWT zyL!vcd&|F0sHpyVR`Fy{N>^{dIlqFiRBP?JMuU>T{3^eBxto3oHv2#F-E_EevMf`| z)z0Q9i<9>+PHL(+U(I#d#>cYfkL^y!yPk{mg=W7~`54~4{`SmUd(tNOevv=gr@r;j zk95^1R|~zKi&)CM66q>UR#|P}_JsNHh9x!!ZohnySNkM^vDRzSTqZBaJxo!bS2&tZ ze;HVC<qY$oo9`zZ8pl5CleoD_cZFAPN}b89x-Sbhx@U-PPR`-Fv`K$nz>BSS6aG0o zOMcQc;a?>Cv)PAi45vA@Ke%jiIZs;W*z##%=1RL$!q&_>lkCQkzN_6iX!C}753a7- z$L;+7QK8a&F=Ou6`LkBOf8<u{muAE}V@jE@<<@f#uUJfM%=!P<$M~D+EXIec#ip{( zUmt83aehs*H*>-VG3kx#7R}w9y`1S)+OI}$5ACGu0_FWJfwGsPpM0=e_RdkB=UUL& zB3<3`-_w3wa=tdj%%nZXxwzrZ-BPYu&7I$;e)qdzDyG)+e__iegYSLfVKYA55Qv%9 zwN%t{&2;w}qG?mv^4rVKemR&>>*ndbdge*4@5P~Sj%Ho>Rq#Y&llrX}?{uHLziPi4 z7rWWuTh-g8Hy82f^6U*ax9dsgh(8@!e{_P(>+_Q%PZ;Hx^!xmGNt0XGs=QI^z=^2= zVa&hRJ@}>6UY@^n>eHl5SHA4UlUAkg@@oE`Gc``0N31ue^w08w6!A?u(r1-#UyzZL z$l#lB_{u!}%cfT1$GlXhoV#lp=lAQdbx`Kg={jpqB+gyB<kZeksXg-AYx&u_r?=bP z&{X)@bY`>L=MV29t5WAKU;VWDOq_^8+=>>X`_-#z4$lsWn#t&`T@>+qs!3Aw60hd( zDqBTs4I<WuUo!g5u`u_QoO$#K{gg^GE`OOfzFTL6csTBndp1qc)aK5)Y70;+$MdYv zUU$nDV{X}|IeD86zPyuAFPix$_}qP=pK)jRB>y?C)pPjC-A{gVIA=Qd8ZdvnKg}}o zg@8+E?nfII%e#?JmQ*|5_?-CFuP-=FEWG{B^523CmjBkwD|#ugCv;QJ4n}*2XWYt1 z9O@T4*jy1~yLa~Vd9{kKViS4JZ@l^X!v#}`z&i8k*==s!b1bgizHuO<_@CmN>^T9) z_Dp{A(f-QSFQr{`Ud*_$v~sSJ`aheGQ>CvsKMPjg5+M0*@jZ{7tg}u;8G=$^vC76h z{U=<LtZpY>{GPJ+K>UhqF)Nn)E6f+lKC`Nu;xcd5YU2`nomqBHV!K$jdqnRHoO2@j z$Qh^U3G+FFADf??r<^6skraAU=t0zpJJ~I<bB=wIsSIZIESY^nMCD`Q$7r!9s;}5& zm)CU_M<}<woyffIQfQmt-|CHqJwHkxZ8v$NX!Ig+mlyZN<(<9Lo@@QfijB@{jIvK- zx4L?}yYqRK=n)A=HqOl#6i*z>Uw_ic$ar$kjg*DX6V8XGFLeH(T$PvWDVo^!Z|<pn zBM#=d+mpF2*=(NcRCQP~;YVJ=UOTnQ2Gt4OQ#JQodwyWn)_Dqjdp_4xHGjE2DY8uX z<h){KpMB2jUkiWzV5Mrlci*Z8bq@)3E2{~n(pnr}0$HW*YpyoqV~R9>bY;@jrVqIW z+wMkfzxZtXv2K}bOrdu-ZC`0paa<!eQbYWrmgqa>sk@A(%(u|0KRfODx(Odj?{2X9 z=b<+_as8y-;bE7uZ8+CNN$yC@+H=D5N4DsdQx|4$bB=%Wy`-`^M*5`JO^*Ez{9)NQ z4owx9@Qht=>6zJo*bYYsANSN>x9?5F69b7&=XDqUQDc?aw|}>bX0q!a75%<g$y4W< zrZjs#<>xVCpSixr;JN>l1G}#2^a$>8OAVOkwfMHuOdjPYf7W&VNr|1C+8KRLX3f`E z^|QZEdX^`9sNhB7W94Z~Rj#EDr{dN}?F+xIW7GVga8(?)bN-~eNfXcLyPa5A`Dpdh z$QJ@J>XzTCIx8l28aVOnvR5w-W0}3<#ocXZ?Ho6)J@N3r)63JRz8Ee&RJ)xkLxOky zVO#c#1@eomHg1`sd(pss>JFFAZy$p<ez?xy_p>Kurm50l0lzGrHzFG<qfR-R&3QV- zNsr^@(rC>ylP*-3O#Hsg>DPDefOC#VHhx>Zd81O?`b$5$)}6EFwKacr?RM$eL&h3n zC%VpXobV6%KW){rtrwg=m!|OET%z#x(yuSK12|_!DTjPktlq_OZr3*FhBbDpvv%Z7 z|K%fj)~Wr0WY(E8w^9lfp8uRwymwvX3xPM2H%~sxH&N%f+lk7Zb%M2S*L6xb<^|j? z&Ri_B;@+(}Ig<l?_r)uOui@ESTej`N(%ZReDb*7vf6%+T;mW<(Yif~7K1J5dy{;3U z-s1TB{AJ(iQr81ZR^H#a_k`z;ICGu#Z;Y9BB)^&QTAe#ExnOOc#G%9cVo#pd`sf<x z)qXl{ui)w3mmUN<G<$sFj-1zf!o20NRP-^C3t{sVc#K@#G<`mwJj=H!@w)bj-c@=b z^3sYo{GLdurY-dTP-5!;wAN*w*0Rf73;JV4?@xa*@xtsaReRE_Cmw!m{WPlDVaob_ z&qS9*KPlL-I9|f9?aW0tiBAfp9M{0}kLRyF&Xtalo}YTI!`A;X|F25_FJ2pqZ?C+5 zd-vWG4;LKu<J`LZ#3chUqvl?NU*fwS-;=VG;oRHYc6a;U6A$mYXMU0koY%akX^nQ8 zjpW}$1`I~ZJqAzYOO8)3Ese8v*tag)Qq`1u?&B{epFhk#9JyNR<P|AJ_F12mE7Pa` z&|M{Ge|4+t+WE{W*XM`7x64?{bngA?yUqf9!S*a2=FSs>9-HPy&x?NA7RY~W=UdIl z=qD~eC+<CQ?ow>@lOs3JNAYHT_gh!KWnJKJMyI&06w_lI%=6ZY>|#oD*nXn()7G6u z5qJ9>S}&#_oVLhk;sTFlM>%}&2c6ou>B34mhac0JPrskDoRi~9XVfI^tHA>6*U0*O zGTm059eV9T9Ba-)zK7>mZjF_w67Lb-IsKN$?!Xxy&mtEmKJ;0gl6ZGR&hr(A6E!pP zYSu1qcxyIu*@axAKb1R~(swqqXe@esMlSVg*`k_72@`v@O1tO$@YI?+F~INVx3a$F z_3{h34oz);Hu0zE^Scx7GV8C<GC%zE&Gr(xt5@~cC<x5om9r*CbHVis@8p7xwdYGs zUD_~j)8hD$r#)%2-Uoul$~c>KN-TMQ@7uWYm5`0+>V0p0S}u3K5xSgdVfbL<ueZOR zOI_H?=aL#FANe_vrK5I!{1my@r_^>=erDS17gmsS_*8&h(B9ep`m5?yCY0vQc*Z8Q zVXE{ZmduV6Nz+5`{7=2Cm7C?$XUJ65a;Iu7Bx@8exR`mNT2(#FO~T{!Vd<_t<q7T+ z5C2@rrS3F=HBzm2gCx_9W&fNKX4Mxge7pA4#Vza3Y3NLdza0IJch@a;Q$O`j%7&XS zC{}dtn*Ovlf-CY|Z(D2r3di5&0zc;`r7iT{;Q!;_v7{8SnaL${%=oJnY^qNjy&-jC zqjg9{y1BVqk#_01F!`PLr!#s4K1zt@DOg_lTTj&Py?XT7*Na0Ag!nA?$`NIGboyPd z>TISe|8KMIC44?F_r)z$bHVbQtE$bXZcGaL*Rf%#iNTF4vAm~l2YSEmmQh<gU0LeP zc9V+yDVHT)yce@vqdoNsfAe<#Oy*w+pO(JXx_Is0I{in_*Vk*N><_7^xw)B1OMI98 zBvUW9A8l{t?q8O@Cj3^!GB9QHFUN9Mqd>Qg<_$|X#xU~jR^z@Kv)(Pm@^9}|*U(G; zlmA_2UHdHWRD4_XrP$O9H36SHCrxVQbFAY?UwB&oeAQvD+Or<d1eIBwXIy<TRpi3z zx0*hmy^UMH)cy0>x9pR}l82>-RkTm8Q{-V+Hrb`j`sJBk_};P$4%gP0Xjy;Q#dX45 zaz|EAviHQpmeDigbL=ncFdlOc{hQVCt|w*dVUE<CWsW6cRxE<gYT1Mh1!i2ES-WmR z{le@VrNj@_s@p|(KeckPsCiP>88pYVeTFCd^n5nGZ3n}%CnSF0({fL}9>p~$>UKn; z=IcxSrnf4N7nDq4i|hP1dD9+`Z^G$r$D@wqO`N~N$ksJ{BWqtuYu1+En^uXPedt<K z@NR<X^zbN!q{s`eHeM)S=R1ApH~kmibV@ko*6XeK>maTEDZ_AXn>h22OOJbBso&Po zSt@h#PZCQ<ZNZ+O5vj?CrJmXg8FWbJEUc+y=8QPS8|ZXJ*XXO;j058G{$2Zptyq3< z+O*zK`vTL+PJTI_C87J5<dh1@<n#-qIHs)^G;?OyJDq7pc{W>z?&q?O#}*TdP1a0l z<vaMc)H3eYjGxj|GwpsqE-~B4uEgIy=jSzteQ&*ea|2f{w3N2IA7%P8P3}pTN!24? zi6sj66h6IEG}V|}{CZ<Juj|b!ftf;=3r*Mp)Yfd(-R>I}x&EXaqq4)=XnvkWg&k|z zL2m6`%dTee^v?9DCC(i(9mkZyvLrlKNAX89bFkl5W{I4z^GrsBxWoFd42R$CsLj$i zb*=e?BRGf5xaKzfdm=~5F$u*#nOU>8y?C`n&OzZ*!HJpqymOpi8|EuNk6yiP&s_GM zi`v7)&u`51sJf=L@Mw0yvNi*q1!ZbZ*6$_G@K^`%Gd_x(7Ib+}!Z}AXof*tOwWj*p z9yjv(kh3>(?>_sa1(g*mYV&>`;%&3y*Zo$gb|{v+@!9j%X{z6uGj~s~6W;RSQ2+a& z6JJ7`{kiui&RMR?npxT4;`DG+1Aq1E%lj_`WxUU*H|U?Bys|U$#jyolhpV&r_Eld9 zmRa+*LW}j|t*Ii*etH&9;N5E6`bF$f(?Z=xv(+LcR8Rc$E1w*GySgLkc))W_mDyWd zzguva^uL;M+?_vh!q;6rADD#qn<VSX9Dne|mXGP-4zsDUyH*<nzn9HPmMAQ`HACb` zcCw65k?w@%i%0T&!%}+=T=+C^Y4oNGsvdlM&6o@W<e%voiod#id4}r>-n8q#*}Q+& ze*7M=>HVChiluK7Cgl|yc#2!hc;dOAPi<#{PV33$uM#=G*;^SRWlg*k-JNzOF4=zn zrs<?Zw^r$XaWP7DYgqB4ezi5o{Dsw`@*wm7uM{eowS5ZrE%9IKPxeNamfnlhSe)>_ zP@to$fTM4=_uS__$J$p`-#JwJrg`si2dm$!j!o;g)49a|M5lzaFU$4Yp$oeUq$e)# zn%Vkp{p&<)zw4jux|lW{zsFI#UvK_jkML;rB??+%ZTGYn?UP=-@0`xBxQi?L`7_pB zSa9Rih239jtUn(6X7TQB*b|kQb<)#rW$t<1y~n5JXYSsvv<03PafknS=Q{W4ni^a* zsNb;X(=i?sPWzSlI}X3;IyUh`_d@A!w_}$zwWzP}(DLGZ${T#UYfel3%6y44|AnT? zEwwm&!mGeHY?j-U7gxO&rEK2tPAPPmcS)(7z!I6IUJjMFold^pJmp78(QTGV7Tj}| zsz$!}AS(Ul)FF+7_91^ay+58a+x7mrV^VAn9hQGQ=)%!)J(jU}>8t0zOU^o_@638y z8}f70g}v*$e){gZ#d2WV-mW*-x4IS@<bGYHG3)XSrAS$WLkIL<UNc|4?$%4a-qzAJ z&&=GiFUWD}P0BQM5`JD#Jge~j&-3<cx{JU6JREvkCrhO6dB}6&(9bK=A|;e>#6Lba zf9)~TSrya6o*SqBEG{WElMIjxU;SkL)vJ-pTu*YQ{%+l6)qaDau_7bNCf%cc?v@)t z&3+Sw|L(X^xxqAU_jXasImw~2?oRo)W<_~B+ozab;`nW+o4Nhvtw)-_H|6a;?zq76 z$1IyaE|rtkciKh#TU}H8a+^~7XUVk{n_?@UWrOt8nH{>$e_hu9;?~yn^2?*&7YbzX z?Nj5QJyreZtQV?D;rs4wU3=o;9Q&Y5Y0j&P{L{h;vyAMeA7-1`><=&B_Tg)E>1$gy zqn-O-z5V3a@=H2YwyA#gw{zC!%!X^$Ju+^Od~u=P()aHSos#&)5AtF+Ur2pxmi=PW z1=|HzGGjMi_?ymJzYf%M^Pf01^2I)vgN3nkiw@>>>@hocYu&zupQOL6z46n7@8lAN zx62&cF3w(BSs=xt_jp~@+*NZQ6!=d_K4oXwX>ju7f`rWOl=F>(X$ya3OzjC3JES9e z=FqX%Q_r-$(<$N9n;aPV=TD$YaEi&Ap0n=Wha_8T)l-TE`$MZHh}>-YXVm+6%0FGT zIf>o>x^`^0@u<(^oUOylH%)ij6r;qBGiJ(f9v|MrQLrzs;7f0)?XFm*pNn|fx$kZ} z%vX0a@QI3z_c#5m;h)n~5A9k1$$;7SP;klNPp{r6eb`X0RT()|=VihCrB-p<=Cytm ze=ukDl%r`=o-1zRUVG8{;>%48Ki3&dU#b6e-GAniC3{M{cuM%?SdV<1w&M<;oRs>Z zgB+1JTm+s^`!0BJY2=+8v6HL11b>;G_IP6XR&+vc)1H{?Iwjw<I~dD!ysF~+SII8F z{KfL?g9?+7lx<RskGB7R{6+ip%SB9y(lS?VxEHhZhlp;FPw8D%zbw^$j>X+Wq5s)K z<(syC4`-8KnBC2D&vwFFqZdlCb4|-de#LU%EDF9_<didUMbsa^iH8%8yWPz>_)|M^ zdc<basBIY=;#MyaGI?d1dARIP+pU1*p4KcUo}6voxz}Osg7PcB4W4N|&CJ|+^-|RL zP*=Xsd%a@Bgv<RzEMw9(PM^MVa+%RK)x&9}U2|V1XMSW8dbj*ormKcMci7zxt4=)p z%=*@=nlnE1NkRRRwzLBUGt^HD8<$Mp5Ngh|Ao0<0$&8)KlS~`j4*R(_Y!75PBUr_~ z_L?cTY}1ppg|f_&Q@ecD3l{C`GEWYDE%0Wt@m-DQI}d$sI`7n;Fn`W%si!4V=Fi<V zONRCLlQ*7qZhbYex)<0>w#Mf#cJ=%6IcfTB4Y%$&Km3oz$IQJM_rySMan<B-&bvzO zpQV=eglO(<e(cKEKk>B&A6w-_i*#`=$z74GJAzoJwZ7ZQwJ#Vnlc01zjq7;loF%tr zx9UCT2`rzhCc$i>{4^x9yH}a#+?j2czviw<zwT1o_w6Q=?)F}Tz1DAX0=(OLTeY3? zeJ@V8%XwC?t48Q#);2-2{eD|N{oQ4?-a(;1yzt+|b+_uBzpOv1r|q}>L}$FtzN^O1 zt%EXlI;m}5oZ6Xt>eaOMyb)V0jb{l9U0ZGOd&7nd&$NW4W|J&WbL{??uk|E!JI}u3 zKThrWyv@gP&(6lR+xb={-k!5qjnz`b^j_EZmx`BvaP3Qu;(9dmS%S&>(8-}{i_d*o zaQ&X#`~y!GxN$w2Iqi_e>yQ7;tJCGzqzB&n|J#!%hsooEtn5!#mirCyO;^QMI2_R8 z5_wnbw@4wtsaxX0tp+J279rXA0PSaqTiXuFYpxY7y%M3jbj6n|Gp#b0_pM}Njb0`x z!n-X&!0}3$)&1)I^Y_j#ezf;|@uZ4X#&PvF)$g}f?|rlNz5e-iTdMzj^f?*ZY4arF z$8!5a^ImV+b0)8G$**f6#x+WlOJ*(XUXs$LH|t?qLlIkA1B>w=KR@BqHIgYAj4B88 zZ}9A1aFfTw?7q3Fe<k1cfY-Y`yee<G8r%3Ue3B(~ZuiRkRmlfVzr6V@fA!f2DIU#P z_xJp}$TG3Gp(wOLhv8EE1j96CPw)NKru8?qbW~P$FPXBRb=JAC(xO>eIYHB`I`w8b z747oyI;nY~L@oXH-;<Z_Y^j~=9k_ng&ppRf{coR|+g$fU=E<}dDZ6><w`{(VQz>|$ zsIFM`_a}*SJ&ae<ZJ2L#PHT8?aY%UeKW)>n+Gw7?U#A~u_;*u|^W6G#Idbb~cQA^W zC;6+h?!UO?_mY(^K|D_w<8*J@vu=AH&XLfo!h1$N=|)PI|BbtylN$Uj4>g=xkXIbA z!lUQ2MspM+<4lGL#m?XDcX70vm&8sf_Uf<Rb%t?;<(~lgDN{sz76+TmWsgu;lo90h zEbQ<5{k;577l-RUpS&jX(Zic>&S=PpJII~<^?#m<NSfV*33^**MSm^-BO^NfuZ(DU z!Coh}sT_=F?myA`dAzLpYNY!vrNE8P!v69)zrA7p*KPOSRr`+5|B&q`nd-`O$+f{w zJ>rM<o4y2jxfdDlugW|Z&d&Bv$k1kWF!9R#yMGGr8JGBZ(MfO9SU$g7>Br!3_oDVU z{@A^IpUn<&cx~4C@9n(l75hr>y9veyXEkgX7&z7Y<xO64s2tcAcTclNa{`k?kW{cp zhShPA*YbXB4=z@{<GS{s+=gw>9K8ft_N@$CMVW4VJl~)!n>g>>f@2NSx#PbHRW15$ z-?D+ZLSk>i4!uc}9xkxFTI7+NxNO&l_LF%Gx*4EIJh(IFmd1>8Y_k?FX5d)AmFfEJ zxwTV0-|+<R`#EtX+mlI)oUWBkoU*Cx;^N?=bEdy_2|d5yllBWG&CW>sV#8P!Rm0ml zZMS~qY2RP{>d(ud<#~H|=bOsU`}^zcwEq1@CzO~x%&c0NmbWD-vF(X3F1Q>Zl4bVh zSKoid-AU$R%=f0<t@!+AU2^J#v?f`5ubVY9oY~g7J(+C#@6!H?gu`rV|5s_O`R=b? zx!3f<mPI?gxLMK<G~e2z#=^5U%6PBWOP10F#hX=`nzJI$ak<ydz1z0LP)b6_K;ihQ zv<bSbOSm-Oh<Qa#=~MV2+^J@h?8_nXW#)R@9+4?WB~&~cOm?*|mYK)Dwc&y6{<3@t zo}FjfiWa_D`|IPCO`n{+Uxz-v!?I(O>rd_GFQNyYCbVyfv08nhZ@$_=hSoh7o|I0U zBGt+J-!}Bjbe;7I%et4SEc-WK<ypbz=NpZ#>hHVlw)e8e2F9pK=h$|2FIn<-$=2G5 zPamu*e)c<=?{#Q$johPCOEPLcYdJ1l?J9L)Qi<BC?j=)Jy!tqW^#F(EUDqHXV@uyN zmvg2#HNH~P?7TTm-@~Wid4XZ9%5J4)TQqK{rvLalo7GF6*S9m*v9~+HN_G0qZ>xUQ zM6+>EeSUGj*=h$hQ6KZ;>(54o&ONrUp*1TabMBnU>r8B&-mF?>6=om$F>Y0Bu`uWV zJ<rU1c^Qu<A2_Wi`98JdwSq=4>jvf>t9M=zd&6}$ZMALllrVn}&8M66+Kl})<J4|1 z5wVYc@ww!c;<N4yNjssrEzCw;3@;hJFP_4)S=;3GT(5@1-mQ6yx|giDwI{`=K`_D5 zN8Ho%%oG2h`=>s%H7;l~uj&8LqL9-tZArCC!bt`d)!gY787CR0T|Ib6f>r22@js0l z8@rb*xp`=5@aAsocJ3sz8BbKQk8JWuY%F}(8N77M%l9V5;+~p@tCw{?EL-H1R5qhU z)2uV_?)u~dzsvnz$A$7#eYkdyXVr<OlarUJO=;b>CxNA@Xf{*WF@~mKeNBZU43GG@ zy&1K4hd;g0DE&<_<f=hG&!xx>FH=3=?=L;!D0k?~s;4zY;VGMo8lV5!^Uz^hnm{z; z8vO!}?48xG&!x|mXJcIdGqiY?pW}Oj-~BgaIgGaR2&p>AJfC9!USe<79DkOV`JAtp zb!)6qJ@AW5`nh*mb!cs4d9K;bx1px<`6W!Zzxw}1%f`R3sVemBbM4+)(O>14=bl?I zg)^Yhr?PZ4(|qO{v$Ayt2cPR*zMp*ksGR=#7oS)d|EXK}_RYI$;t|Xk)RtyD({#fA z1t(1Q?%ujC@?Zy}jO5OIEyJ@PU+f58D7j=yljM@648HY@PwQWvT$#lv6Y(<i^v{&_ z>qDFq58vN<?}g0kP}BMT#p^Q6dQ2QTMGmkzY}w|1Fjb&&LAS^G;#H0@_h0ArF0j3S zDmG{Pg=mLOvpr)=y^Q|&`th^>s$~<?7oThHz38!Fe9gJoFItaVjW>8O-kbh;>E`U_ zCrR$Lo9{MxuDTo)RdN3Pdfj!E{~UBxIsR1$m`KbG;E<5pl+AZz8TX&FD$Spw8cgyc zel1BpAyUdy@&95*6#Jk5nR^!ZTUVyvn!EhyBZ(i&ukGr|<KuaJw9nx9`Qo!_d4ZBk z_BE(5Jb#jOeWvb&4+XbQ8+$%~b))NY@HPe;r3i_Fl8IA}nZ}wd-mA`GT5R`Bw{;$$ zP(w-Yq9;B_=1e@Z{aDeCxynnv)!48Zxc@SZ+5Ysb=^eFG3v$E{Otp>lYiwV&U-PR% zLfvT_u_Y7!r9AX?-|@-oiq~|$|IZU`*bJ6eJ)XNiAV;=QyZ*<%yHgdH>}T#h&hX47 z|FOP@;@{nF54h%Jyq?;%bTd=Kk{OThn#`5Hb>TwX#b2we_)YeeNAXKN4Yyo=ZtHEE z)=T$y=&>0vtGejhm?Wl6a$M@%AhbulXx7?1)eo2K79VNZ{NQWZWFhml-Hc}(8gDJC zZ`IK8Huqp;^!l^A^>comd4EOyvxHj*ukR{}O$yHsi@&;MPJ)K!=he^dTmKC&D?j&Q z!>QJvx@Bvb?|ql(<rDuQaZR+$`Re^?B@E88Gv+&e>6tcpzN4AIg2UT&pG3;BvzH2= zoxpdd<>=Y<Umo}iPuVGXB!J<v_L(>9->5D7)h;*V`|l}9Rc>oIjn?xdX*9enKJ%us zELV4rzPVKP-2~1UQ}I>*zNP3IFmncb?3bIDyglkfF39q?Zi|`!HD0k>#<Z(shf0E) z?xCY<znpiki>SzazcS*=UGbfBi%Nvk1LQbPuqUX^`nW>s_Xn}o>2}O#S6tBFYSh=4 z;t<7I=Ds;Q_M&~x^+g{pIWLgh`bRXcM@F`nAyf5+?AagY2f1UMb-DCym1YHg&)y!; z*XP!-Md(dmT6x6c-EVh^Jy`0wP%Pkl-0QX@7cX*52y41~@lEf#hShJPMB;9#nk<fs zd%f)tMCNSzvPR*8_4Zt{HR7HtoAoc0lzq5R&^B$h*c_!j`P+~6GO8sV_;2?(G=r<1 zWx^p@wmJENk$2_Ff3DbR8uUuygYoyxL48vl)x>hFvRBQV87h8;=j6vUw&p_#)faDS z2K`#QDtz`91KAdq2NVA^CGB?D^g$-X>p;&Ag{9p~LQd_<aGQPC;qR&?$$I&FS1nt! zuspMp)r`Sp^OS3`0>(@y^KLD%D$n{Cyp(&=ZV$KJr!xPVH0s2Qv6M}m!WHwiI{5Ne z-SjF@fxBXh%X)3eGs?}k_!+01*>!naXyJ3wN$Y1wE`P(td#Eqdvue60w`WxSy%6n~ z=kv7Q>bJaWe7MNoqeWZy@#Qk^SB{4mJ_JqP@%QLyKZnaP{YUp0H?VAd*Ls?D0+U0S zfs^>jjHjimbs6tGPxNqn@TB!*#euW`B(h35Dn+-y?JlxD-ncc$nq4|!+tc;+f3JMm zf3Zu%XB)fcvyj?XiEN=k2fL;Qn_6Fg{Gll=R%PiicB#B2Pg`;m8yHS*Uu*pTvSx^d zN18(Fr$tlh&Q))*KKQtXPlvgP&!E{yqk(tB{Q_gBLt>9^E_?cfWq0D7-{Hmva-W;G z>L?1%{k{2u*q)tf6SgrOxxCkKn$C>!*GEr=eXr{JydXAT_d)HQ7Xe?l$6b39+c@*N zcf0tK3ICGw-{$2D9`m}eFfa8|;(aSN0iP4k!lS;wkKb$c%<|UMWswuiVrLr^m|NxC zU$wu~cNt6dYUi&Gk5hjYzj!s{1ZTw!!EeH^|EU~YAig{LX6L+y8*USROk-xezFlzJ znoedxjvX&%YWkP%jPKi!TFSFpreN>gxk@U##6o0PX8d_NPh(!vbyfcNiRbR`EorN= zVf!O)Yr65u-z}SNF1u<NlXPcl+0iT=wmsLS=l<KL$7GOSaqHZfbp=fxHx?`q+0DAt z-C@?ggyOoZd3tUNJ8VxJ&G`IkhQ3JE1rrhF*2e0M%h#=xxwE8bLBfUlXAl0a{<p+P z(_P_##O)n7=X=$gv6aqk{-U3DF-~`J-VPl$CNV4JXW=j7k0l#6M7>;4s~etT*kF5X zwR+X*MBiZNWQOv6uQjGzvS8Z~ZdoGVnX{wsD8nZ{_ERms5j+dziZ0ag&aL=%Jzk9Y zMS4Zj-ukQiJw49~a#&<Wu`FHw(BXily?#?sQ$zc04zJ=z3piNj)HrC_zL?-D@ZrD~ zjz~|YdH<I1@v-dL-h1Jdg0(<_zx2Y5&es|ne&6EAomr7n%EMxF!R>~Mw^^&3AV<WX zDQi-M6WhDiC<!>6y}j~=;0LkrvyDpJuX6b=$?FFtvVHb!=MiY!b+e!MwIl1wJ-$-8 z@v_W}+qVkJ1<PjetY4%2K>M=N9aX+AhXZ%(YBK(76=o>q#L6-=?ypbS<~7+kl7%t8 zj74^r$KBQ+&9#%Fm;GI}&uRM0(4MP?4a-)}^YXFYd+bPXw#<#Md~<^yJ6sZa7m^m7 z6%`PyvA{Cs)wZWRyWbu9c&_~OMV&moZpQx(!u#VQOHaR&@GCyocQaIYzYg1;DN+#= z^+MtUwyue)74}GGjH*A7rCXM5wEb25-e(ro8H>24zdFqB(0(Xkd-oEP8?9;b{7gTy zn{<rDnB%@Zy!7tOw9`op#l4(Y5AHQ>Q{$P-c+<6E!~b-te*#L0!iEo8ODFTTs=D3y zyZX5K{Vm#Mr;`p;@7aAMjc0=n+n=7elKfq(ULCu7*u5gZJilab{M`%DOpERsFunbI z&-!L!c-A$;2elK|7v?X!R?1WVQv1vPyz3YDr@v<|YnAI~e1F)@e4BJX<Nw9Stbg78 zy=>3Vd9e#$OZa^d=G+>)cz?!wvE6R#w+Y@$zW(CKPS&S;8s02A60oTB#QM*^f*m^o z{|1WB6>R&*Z8OjEM&849@k?Z$uke1W<@(t0!CV8W$MfE`mF<k(?vr~-SmPtplA3!t z>&mp3bZe}eanHx>gv<S=9UKq7EU?rqyKLc9eQ3`!26I<siK5BefA8PWjbU#}x?ga` z`t7X=74OZZoP(Oyom5?U&1AW61(RxQ!<6|m&j!nGHgIl#qTyb<F;=_nd&=U#H`aO| zZC;rq@y$;*%im_*lq6qrCHrlZ;hsJF8j`MDxE^s(P;~dzJO7Wq<LLT3eet^uuSFgA z6yKe7G3VkUn<>%LJ_c_3B$>AP#ygI#xvy3%zrFS7hk5xXV%5t<tM=a&)ZKP1CvWrW z?u9>&*RhFuF)n?RxBaR0o4yINYVSI{&%eI%V0FjECC@UJKVsRfyzH69=E(H$cCYqr zd*urxe{Z-e7<Bm3;q7-+-!JC6t0U8Mlws1>)-=9lshu;X%bY8lZ`;bJBKw^|RwM01 zm5M{NU7>7u<)bqX%9f|H9ozoJ)7te!WNttn_lb}4)q0yhoYXwTVP<tGQ2cgj-(%a8 z8AX3?&f}3yx_)u;4zVRu7>?E*zUaTvx+zKA>XmDmF6aBG#er{3<0LGPuUoubOLamJ zlgMxG?{)DTtecX`tzMbG-KBZxcf-Z%l_ysw@3<Jew*PkNmnDAOL0%0>@#SBBzwKLa z!{+?Wrr6mG?>F}xON!t6zihX1*gbCBGdnK5<B0uU+E>*KiUVV}#WyPF9f(c1ela>) zb;4Gb)%T`~MgHVJ7h^7Pw&R^fwcqyJ3c|JAkCYmOxli25Pd@#?_RQk_Zud7ze7OJS znUC|&t-Dm-cS#(my_xt@XMxj!nyb0b6-0Mb%eJZfxo>)}YvPH=b5A&iJuqNcbL)zF zz%SMt4|x4lobNr8>-x8Bt6{^!_pt$A*I!fC=8As1uI>7Q6)ZpQzx}{wFUb6lS8~4& zSO5QKJ^t5S9{)e4P^Z+k`_2C2>$-eoneV-qzT2TYXO{9u1~+Y=^4%U@m+vz5UcVC+ zFppzbM%(}Lz1^F>{HYQ@`^Rg&oq4{+b}h9DlbAf_zc>0Nv|Dd=#dK-eRsViz&lU|e z5UE>Rydowy=C-Y}+YR@aDE3oNl2<iJUpw|et$*gV8>Kf7R4=}m8R*E8Ahz?|tM}Rl z-kG_Mnqmu1w0Pf|S*FXQ|FxmXVz15a;stx`=Ik^%d|f?iq5AF8oINkNKZl)WxfI;6 z?*5k#&*FAp6MfG(@7mI}ZL6;zV`$@kwe^<LVGW(m496xGj_PGQWz)hBZ4pg+f3Yn1 zcg6Kv5(jv=E`Q#|m3>rYf&$~A9gdIR2N#(7OY0jxcsjrDY}A>Vh7X?a*}qsm-+29| zY?FKal6%Xq-rp9snOk7X&kfs!B$upw5FQ*|wR`cLny)Kl4xC)@gwx|u<+}OeH+6Lc z9i0AG?0zb8Omgz|Wr7R`>^r&6mWiMI&}<bDmy~&bbF{~$Mf|>3`{%xBs*6=wnzyt& z?Z?zjtfyQzRz5h_d1k>G(UQPvU+$z87r3-uw)3rx6#M)!f8Gs_mrn1jp1GUv;Ns@` z*Z9$0FK*q+itAFoU*>Q%3n@7mHW*)Rt$C#+srr{8sqOjYU-q7?otwpv&uEppY{j-m zMr*0^g9Mi4d++4WuxR#CxbbD8O6x~G@g?Wi{*qpQ=yT!Zud8OApMK+v@19$7^FC!y zSawn&v+1SK{udJeHXm(Uoy2f7(Og~fz4NjH{kl+|gn)#G>5tPUa5cpXnTo|tf5X4U zz255o<QuL*T5X$MH{U$@FvvbnTjxxM;={0Q)0TYJpLX@@$&5Qp$L@1;-#w-_nIZk> z;()umJ-o`+<$26vyz?MH;IeCw(B_g`ty=E8nD}fBITY7AS8v%D`R`cu;;;9;UwoYL zU;m7-@2Ux{|Lyf}R<9D>@hxpdm4IB<&lvSfF@h21=F0P)DR8y-tuoow{NPB$Wh)cK zgn;CR*)vlEPAl9n(Ph1-s`2#kvrLsZDXob)bKN!_suJ-!J!yi^>93sfCsa)5$A8wE z6zBdr=3fJUu2#6RcKY$81E=r5y}ZZay-l;@sisx?1D#7-Bd7ChTEdXH<#o?l)irtT zujcGrrhVA{{L<SOjjNkgI3^sree%xSo2y@Ko%huyZ+m#}=bhWtmG`dtXP&=6{<ZJC zIMZX#Jtaf$Kev41b^iL7YcuS_LEY!oX5!T|mxcF!uFQ11>R!qD;QZTfs|xMxX9j*% zjGO*<#oaj5bI+F>t(y0m>3iPpy;*b4XB%~SO>%4#POy2<8mA!>ml~^ZKhw)A@uigV zWSKl~y^X8?$o4bp*FSu`=Goe9dfc{WOWFRO%}!=0Ub&oq){)n|6|IqLdA!yzsPb(p zSn_q%EdLuXe>R`%4g8U_eTn;<Do&R7jbe+F=ZBkkD<n)<lstcx$87!gaZHXk=B@f$ zULpQHaQEI-&pvnSeUv&~`p$0Q`6Vy^A6!=~q@Q%cv*Ley>XJEgVx*ZRzNu~1Q(3H` zlfKY#r-no4`ACaPRiS6q-<Z_P-cpInw0pnV+J9~WPlbJM$%<d*OXsjOPhr(uvcFWU z_*3@1Z@ccUYyVpzZ@4Qn>Ufew@#^nlyMO)v_|*45*Rufi;Mb>Dd7F!dJv-5;AI$|Z z_KGI&T5p?$2Oqa-`IYii>`yWD<-c!J%gm;^E6wq!+xbU3wxk416kPCS-YReJJts~s zT0ZsI?n4KC`K}li9D2(4olVm)Y7)z5M}Dg<&(<B$&{=+HK?{e+nT^w$w#1npQ-AX; z?C)FW;s@$^p5M*vuQTpx2bFaxtC$leEIPb7sOq%NwMOo}vOm~%uVb#bE_L_Gfe4=R zo#B60#@>yX@QPsuubk)0GgDt3&{e+DxLJoaQ>s>i)jGG4|7_#)85y0i&l&Eey0x#` zulkfhVu_B2;@{{rL-u)(mF>=Ne=T$1H`n5mjq@vdKIhDry|!0Wll{P*R|0G8wAsCW zGhb<J)?v-uyXs#f>p{Qsd-=~czFk+}f1{M|f$fE)5?$|8j0PT&0bfNg?MZ(6YnJ2G zu!c+3t3<7?MqMqt%k|Lq_6s%kR}vrMGxsb`H|4z)(y%SN=Gz0W-D-!c_g?h)InjM3 z_ul^bx2)bOHvLp@*IDtaTywr?+D*wN4GgOH5;Z+QLw|?-+!NZreXl<CMLlx<<u|u9 zD(>f$c>Q_u)Ud%ZI@WTDS#YA|RW{WahezpKyXRaLytsyMN&43Lv#+wHhIv0;Qxc{v zwsa|f!ft!6)v6OdTw>mCxjL)wc*FGV{1NXCo%a=5c<Jt{T?rgKryr!H_!_NP#`UUs z`KmMaD(&Z1?dEXb{XfC#Yw_BM#M+Wevo;k}dwCyvzIhLi@$y&YdddgbP8TWfU}vgG z+*!W)wy_{HM@ohCiUMEJNfqJje0#Hc{AZk9`D@LZ)PQ#!-vnmow$Hh^LGA7z{<v;u zUx(1xTeJA*8hZ#IG~0a8@4~lLoObLtN}e(0OgKE3|8vPBHMTt)e0FZIVG}qZF^}8h zQPtb)R-Ua_<vlbqIY0wrC-hVA$R%xlbir6^>cwTXD!ZS3-emUJN|#Ui(|n#eVeb-W z>ezu?#IxOE(urt`FRKjg62pw-b9UrSlYQqT%y=@->t5N7fbQa=1y?Q|NDJ5P>v<^V z9;A5JKs&OAf8)ye=2vRoOqhO#eRiy=yiwb1eoxlV2iK(7-8i%0fYYYglho(W*YTTc zw=vUT%SPj4&kwsz&5LZ~)#aUbU0_jPr4G~SXNtOWn;9B=CgfS^%*mNk;}|62xrKZ0 zHecxt73s6tq(xN!F5Z@TLL$y(!j|2VPfN}(=y2FHd(w27c{+T1uFLOT^{lw?cK3%z z&TOTBn_lKD(CjHFZ%jEV=o#7f=))_o^{ak9+4k(xTEh*D^PaQt$=-JQ5fpsswfHff z2?f>s(w(iztP?g&_MF7!zTHe_CJ(d03H>jfmpv<HW#nDG|0wj8*p#D89XG;uo(<$; zi`bvCDoa@={)Lj}T<sfXzCP6p1D<D2(VH}B#!`1*-`?v+?iyB?IU)~hhfK&-JDBw6 z>Gtrs=c9jaw^+_~p7HS0-{-V;yqU{4`<TN^d!_45&t5q4DD)LM6u&5qarZcQ=h=jx zN}l<i|4yt}9=(}$>aE`*Z0`!%Z8vhhzQ4(@es#R~Vb^<?D%Z!}HJhNZwwi6KD`V&5 zEAG|BD(`zz0!kQF?w4|$I<xlI{K)c7)y0m@B}aHbZ99gG9FbfOL0UzzNp7<P$^*sa z&B_llUnoiw@;9*b&-%LR*JisTA12(j46T3p{??O}eFe+TC}eX7<eb0K5wE6qIXivf z+WVW`eoS4>cBiSj<Maf!g{PA(uE*uAGPM#{Z1$R%aQos)+2w!jjyx=0^-rljEue<c z#4g^*<FK`dq)7aRS2JdwZcI}vGAljZ=*#v}wV{n;@r{Jf8#QeYaO4M-PV6buOcXC& z@vA#&eSJYm{pF@fY7w7qdxp+C7yU*<OY*VdgQpAb#l1T$qum_AX*NUF!0FU(53jX< z4^3BF%P7aERiXu&E}5;{cI;>Av~URnC#IUi@~mffdAMEVaqxM0G~~$!kt1hP{jSFT zS~#<j)l6pkr?yJ<+xe`E9hqwG%Cjymoj9e3?X1@3=RP$jGcKG_FkRi}&-UQh@A_>! z;zB>~od0_9v-q0Lvtl<0&)NCphre<9wRGbZMqCPvQJQm=|LIMdl*w&!Z&toz7AKd9 z$u>!m_<#j3-%R8(xobP=^8`&L$?!k(muA{!uVv5ZGt+9mq|}hsBJ=k?0|UeV|5aQr ziBUeDP7ENxQaYi6@y*`y8Pktm{l8zzI?%k+)a*^{#_os>i;guVt<M&}*wLDiBTy}F z&BC4ZJ|a2&t?tFG$Mj}JY*5;0UUz+J<=$`QvF~lZ7uSn9R(|^W=iuz0Z{`@+zME;B ze$K=(+V6b5Rz`c*{iVgrKi2;@wl%sc^8d5^g0t!m?L|%gbBWeB?7H${{$>_I?TnqX z{_HnQWmWzX;{Pw$vG~ubNBkFbjX%!k+LijL%ibaXf9L;@3&q9%--~{^@<+7i!qVao z^SO3CuNS}n<+Y`@#6n}Wryw({Me7@$DgK@Kq<DYs4`<d|%jl!>3$hPr&3fIpYQKop z2Dby(PEV}}{c`t8XuW31(LJoIGw#m%cQ1e4A<Lq7O}nq3c<uVTXU;G2|7kxGPBw*p zaeowkG2Ha=!~W2o3(njh=W|)D4FBnT{wx>Yt*r^7e_QRp#4P!f-gTBc?BdnSp?{ZN zIBEL%WRv>zicpigJfZcPTk_7<T-mwo-}Xg+SHGA&`*i%SJ5d|%cQl2X=s#Zn{FbWQ z{BEwWi`z_pN*l(DrY{s%(~fZ6UApR*_rkN<yWU5vNH6|g?|$dY{r_gBbJ^yx^0DbN z#4rD|_&~Mh{l^b#mTYJFp=ZJNNA~GW85>a>SsQK}aU1@ehnuU^XSfvJI=xx@r!*HI z^Vxd)Cv%w9mhPGIS@6@QKe<<$f11u+G9};g`h(xwHCN10`5B$2_qJT-<vg}|ob!13 znAfvS4!XDG$ZV-S3?Y7#{@fMU{u$ZJA<ZJ^XyN&9+EMQRuUu|u6fitg`RTqs;)iTl zx6nrE9lA4A>Mv)t*83{u@L1WEsomi(u{4_SVd(?g1nn79US3^Vtje^GZE{dt=)NhF zRsJ_z_5Qi`yK$!l%N{15N&BBmwcf9K?{#GBfv*RbJh|V=QG1a2K(OQT*k$j(Rn^Vf zZ_n>{%3?0hA5jbC9aa{spM%v6G(U%{>udg$S8)ohn>=a1wqO_|dm!KQmFyh*nfh4n zvmbAoY_Lw8E%v;{{FsyPvxD?+H+ue`X#XROeLMT_#^+7qO|nztuj}VrFV#p7dS?DR z@Z0PqPt51`dgcdj5Z@tP!IfeA?qWjit-Scd@z?V_pKULFYh*WfdaT|%#>2G_;ty^= zxMWJXkf0u;^i%nLekc1sH-CQf?Zi!1AEVlz<?4rc7rgz+`Y``s+ADp{r*FMmKB}I7 zfA8XnQaSIR-#>qMKXB&%l_&L98!mo|SRbwMefx*^%<tIuvHfF~VOC46UHYf=;cbo* zo0O{h2k+Y(+MC^*mp7fu4;S1gS0howW%B>MP@c*En|zV)JtP0=O#it-Zt|1-xb<KD zCqDT;>3}we`bql-<*oDIdS4E9shLyyIcbgZ<Vp3~kG3~dH=pwK`nUV!^UDuzCm4VJ z-F2o|yLokEc0+cvb>r?P^~U8*%bU|1uQ!`Fl{bBF_};|d;NRfic)nS_ael-8C4Y<? zJg3!{tPlFXj(hI<82PZ5uP5EF@D9w+Zu<6m$&>o#ANQMs1K+Q^KlzFLKG&1`Ums%c zuxKlKA9A_=N%`KdLVxPax2f3QZam)LIdi^wXMn1@=Fjho{`__P?p!Wh*IQO6T*F<m z|CilE&6Vw$2bLa4Jt%r$s>AoKeaq+ms0}aRFVO$s@Im2&!3U=Ti3-gM))^^3qqph5 zwdDS4W4%M*#h)sbhu#O5Ofi@Lxax_@$@^P^Pxfb>THR4$yTiDMpE*`uRWtv|IoEl= zCx7qdsGs^P{NJ;?T>F2Ye)(|sl)6Is8B_kuKWNVTQJit=<SBo$54`_j(XpXu@}&5E zVn3>yYY#4Yvi^~=yywaMo%PN;)7hW1PM);C>wJ|@*7N(jx7_b?DfqvcE%AEfyW{Z> zzMntHn)PaV>U^d9U(fV?u;tqq8N5&LFW3LVBjNqu7$5HYaG$G>L7w41mmT9iMzu)! zW#2gk?O69S%kodF=0Ekm>OJ3~y8Y3d_8fJrzhY;0{yD?8jy;d%9p5)b-S-yL-#-YN zzb;>HQH*@!?g{%<UN8TAvGqTXQiT8SL**<#)Ia1Hcvdp^>wXH@z5V_CZ!Hh`A1E%U znvu-0+TU{ehN`QHE$z+k;@_#PiMmzW_<QyBpTG4_exH;u>8E_9*UA0I6;2BT{*Ac$ zGyRi3PrUn2_IY8KzDwk(KC!4<-KXpmU-#8p_Nlz@uU9YUsnmWoh!>hSr)myo44)0d zmi-oX`<{N+`|?}#VCaFb2XhZBKCm((-K5#N;jhDW@x4FaeP^G%M*j5k@^9_a*7p|e z>@SR6|Ihxkz2wtbVsnJQ>^9hVrT>@tYxljr+ul2z`k#K`*M_~i>p#!_{H=P*?sc{P z3+GPWWNZ9a>*r>34gXrte4()V$A6|w`ML4lt7E;-Q$01;XU%!zWvh15{>vJp`D?se zb~}7mJlF4ea(!Hiy;9Y=2bDJh>cZ<LePWvv{OR#8mCqj|jhsGhkB#_YYxZ46^=tC& zgW=}!<?>Naa-C{UXI6h-60bf}{^xYHLskp!Z%yV@SKVLp%-QbqM3w&+y1oA0ZS(U! z66x^Ta^>9mh==8}+wBGUnXXP>!9TnESA_f>sXKySVhlAeubyOWUlf!#=~I-x_RsC| zyYnphES(Ri{A`a4{&8Np>ACr0j(m>&WuDbSd5>aB{0fXWm{%}VsLYu1vi@xOYc{D* zaUYaFNIaRtp|*67dPjn7x+UKdndXD156nK$ed0XF2h9sL_x$#qS^m^ye(VPC9}GUH z{`~7LxzD_xakt_AT!vNk!S);Ue{Q;W=6dFp%aIQf3ZAijHnx0umP?OQk9U{f&5keC zjjv2!eY$?}&zZyJuZupgeGuHhTf@1h=TjfU<W2t!uV-W_F8aHN|Lue+e{Sl1i$3nz z*S(~y(tP^I7r*WouC#Ocy>7{q_y&#{AGf@3{{3P1Z~pzso~K^dMV~x>`O0DYgZu|1 zU-D-+SvQ$C{Acn{+{44v-ca7G-?+Yc|DwBMY}1)`H`^ZIJ+S1={*V8B{&*j_eK6ig zFO9*vnY;0H^W6jQ9*8{%d~ozZ^Mm9E<qv{_r_Nc|7JEA`A?R8BKIw=1MfdQ_u-0*@ zE!}h9*iVlwJpIo)#yqBX{CVF0q8(0GvL=51SkV0P`qJrBe$Jo#Z@b10i5*HW_S7*x zTry?(yo>LjAN=r@U7zjsxo8>vzUIF#{x5p0^t&?X+57x0m!%)*Y&m@?A?VZU{&lOq zFL_daXU_SGog5`Hs;c`RzbX9Tay+(gvdUA_yW!6kM|Uic?R|b}UccSx`^y*Hy~bqD zIC+ZwgM&)(zZV?sydfGR>NBa{<j*Vp81e3T%hgr?H@bY7bK+;z-zl%1zm|HQ%C1^6 z#r^N(Pgk5*mV0^Cef8rPE=>RRRSsl(yLHzudodgNkaPEVPpzKx*fMj{&&<j_SJ<Cz zc>B8Ut?L^3^Y`<eC;YZLzdt5^-=X{W8U8b>rT%(#{LcQ$clAz2PAA{j9j!T?zHjoR z{p(^p-~OrB{c0{NXd*9e`hWZUZ1YJ=_FO!n9W?va+x=HQ=W;HNNT0vl=6v+q=db2| zU;i@x)9U!p`a=1?A-m6==iKcV{@<4MlWlnE-aSnF*Ym97-L=mD)UTP-zHIUT{QLUM z`&S?9`&Fa{>{fo;Gsisd!Taq^^BbmVf6lctSG@1}Z2Q-fmnNN?{VM+L^H=_pp1i*q z_9I@k>6_xY+vlV`PyV-7$`M^L&tCKA*7Okm8eN{c{4>WrugreF<kox@&Hbk@FRh7t ze6i2ubG0SYoboI0t^d#Q+;wZOjn@y(52_!04Q^kryR~we?b-K>pQt~#eY>noN%nm2 zmF`*oUk}_`s+#&&qg?1o*7213?eq1|-k%&a@3-Rm=8&tapZa)Je$&3a@?u$lf8JjG z+X;VnpWAxpba3AJ&g}|o<g`xy`Chy2%l_`pgnwJ5zggE?*MGNpYkKHbbd6Wlxq9Cz z&bucq@u_z@{eKqEpSBu3o~Zn6;cM#-e3p8fod4|otJFL1t@<WystG=n|I1t8+!tH_ za=VpY_L`S}FZ|O#vEl8Ux3i|aOt+27zoov{<L6d$zo2_Bo_qh_5}#IorskIX-+!yN zU;6jp`j^v7e9r%~SokEpev5s{;<zj7xA}AZ_iJw#e*b>K{g>a$xK4dat&d@|oVoAq zjQd-CAQs%@ySdJDX5F>LU%weWEtC7U$H=piIZo&A$MY@)_r63uzWZn0yq~Uz=KcTM z^zZqe6?>C|mYFYnTJOPjM}Bqq6}yDcSDw40zbd>6&U^Cyd}AH|pL6P|KV|rr?N=x= z`djyB-QN7rU!F7X@jpFZcCO6#)R)b2Yt5g#zBRtOUQKiT*Qb}{w=VuZ=T>R=U;XRf z(-)ZUl9FMx<5=ZhKWjtPvhN)A%w>-Ew<zr}+hMoEa0l}a?iF$$Sq_Oe%v=3u@`vXS z!XJE3xKv+VTjR8IZT}LT{oh`O{$050@B78Ex&N;io89^+oBMu=&iO4*vMV>Jzo-p; zeY9@UluzqY<--2|GDv)DI8X79l?CU^nf*(qy#Kp&?gM)c8>TDsj{lzi{Nr!YgrHO9 zF}v+f7tWaSM_%}$c7fKj(6{yd3-_oud1}6o`4Kz+%#odEa}t6++4KKj{lNOl;o}KG zpRQjm4*GQcYx9yP_uHzhIBbNr$W5Mfzw&+Mv7gclJ||52bNIf-=l$OV#f1W^wa-6( zwm|MV<8yX#_N|+5&HBLifH5Ix*?l*buO{ET-#(l)>3-eweLib0r*HqWdCGr*SFbrP zeEyZqY0qKDXm(jYon`Ww`JcY-UNCn<*{*e`zf4~6oBO=%mi>REIWwj&tX*GrEcxee zlP~pe&+NUwQD=WVUmUX?)2io@P2Ymzm&-nE`*TnDO8(LJoYm(qRr5dp@H^qc&y$5k z#{2K{+p(Q<+dp6Wj=&wRFaI9hYhiCV-t@fr@PTZH_pg1NepjAP$~$y#c|`XI@de)` z?+act$SAs1t5qOX5M`kGyZP-(y9$Q43BPTeD@<-o{k1Zif4_8cXMgm>WsX;xzfPX= z^K@P6zBlGK`8+lCZ{MuB;pcfW-&)tfT8FVJPE+srdr!^tg>@ny{n^el$bP>rIB#az zTy7t)pXP_2?|EpmaE{7P_kOL<f#OOl-d~H0wEm#~Li`=~<SEzxI8I!?FX(sg(u4>x z8}^d;SxfeWJ;~g@a^B&;J<pfD`Z?$NUU5UAgSVP@_Fdq+_0#+Bns0@-O0&e@)?KQO zyLCOIyj1n;<H{o(ht~1mS)Sehq*mzp{-qN3eb(nA!ybP(Js`K>anOJ5y8nI;-Y;)* zKJf5??gQBc-;MW(Ke75$`R|=ok=3!!`3EWw9zD3!@%hPpE&o~OG4L_AH%)Ka-ca7~ z?!DE;_4VB6nDzLw_&4x9)IE@U(C)#(2MZrOeBf}Q@I~!~^dGVRP4b2QNyu>fo&Pb1 zIY#bF+(WyT-;Ku`r#F~4)-$>{d~eQu!2MwNgY*Y)ZYZlf{qb?%ne%`5UbzAyoEQJC z&H5Gn?R({qzt8_gy!!n7=k_ayTjtfz%|E{N|5DqUtKZ}7`G1wqxUuj5PXAk7buX$8 z{C?>E`8WUD`TR=H1vl`P?EL`hlYHD;cftOU<r?9C(bt4!=h_$7&Uicf>-?`@b-spg z-}kBR-l?AK>(RH)W~WDQ-DkyVcRl>O@Vcn@y@&5lF0#G+;@2yqeg2-B{?)tIcCPq4 zwXFS}^uMF`?OoQroi^pu-tDzYf>R&c9jRP;?%%<`6MhD*d+qdj>Xe^_vMXZ4-f<Vj z&VT(WCS5(XYT08uZ~NMJ-jgQXuPVG>YWTUk=*r_A`a7rnd%I6b^Xc<_Yx82KO!-uF zfBApY?}45t=l`B_XS<5({@+vlCH?O=y^EgoMBaAUoBLnW7w#AT+S#tEJmt?V-&4!$ zuKukEvi`qZwf1Ysy!GeK)J5%Pe!DE}LHYORwjBA~xAMO!{izPH7n*loEwxUp@%?hI zdZ+2<t0$kU|D}G}f5vyNz2YnPzEjY8#}}}B%aS$zKfe^OsF!=Y<H+kEfhnKr+<)JA zck`U*C;q>CzL>vVFn9hI_pf$s-&Xv(TO7M0cKN^eRg?Rf9p5KD`B@qC%>D0A|Ed34 z^zQHYw*B);i?^QdRp(6ks(C-vWlMbKeARnLKy}b}&)n}h&sBa#@6-8vws_{|&J}y# z^|pLvPqGZIUw?P*H@{b`#ees6-#>Y8!E^Or2W?vJ^RxG}^fUUi*rrRmSY#$?$3L^z z{QR3waL@cjwf%MOm;TvmKF!=;YV`TQ^ate&UdvsN^*km0)AyzRWAFKPA8sr8*Y5gz z=I8By)1Ei}O1|iKruu)~`K#-z`xfuJ_^UYXYF&xxD@S{qTlKH^NA1pk%d++Rx41(( zU!1@1zx6f$;QM>;b5-BeeYHHK-}r0hk69aDoBW-sbH=L3cmwMlVH*}3@jX0yxUSUS zKil%2>Fe#~^X>j_^*v{$d-7kx@0ao~{%6(tyV<7Ho<H&a|N5)#e{*9_udmJNGk>eJ z)&I-9mCwJ(%J#oE$lI#^f8()q_utJwFW<9$-hWu){X6-&{rQi+FOjM5J;&bs^1SDN z#eR-;`-A^Ku=(x($hJS`wW{XR)#?HN7sxz+yX1*~ZS&`8KRvwao@?%3eeUsP(}??@ ze#TzhKkt6y5+D2DJHLJV*1hD(ck6|<)2saUOM8CeKPtTVd*#;X*;5Ob#D`q}9s6^y z^$ximwl8d#?&aLwe|P?d*N2vz>HmBH9Ha49{~h{Web3*ntLu_~|2K|z$$RyuPx-1j z|J&Dh@q5eFcb)g}s{B>G@$Tlilb__z+*0u`<o>7ZU#-ujwFSfGFOZLWpI>o4$a<UI z5r6w@@2q2<tEJY-)Z2&t65dtw_}2X`^(FGJcUfz`4z~L%dvpCA`>tP-AOoYf-n|-r z<DBQG@_B2%Z2!1-{?+%(UjGo=C%n7yW&P)Xy6?N^J&)V>y};;Wjrp_lEtA!@eM<c| z*=T?1@0;tUREHX9KD9h|_xvxv=Jz>wI_B(e@H{1L_4LO}{a4XnzOS)oe_MYwKV$#A z=gH|4{eL%B^?v?YtFdDL<JTP5*Yc}R0~xi+_SLV`%cj(O8)!a#Ssz`0{T6@K{#W5A zW^#V1U2As8^VIuGE5FSDxRhVAb5EzM{G*!N^WU%gy7b<O()C}L*}t5+VteR;FXbB~ z_rJ~$s#mRC@3Q~wE%vH)|IPl$H~u>M>Y!ap@`dvFn&%1jb=Ua<>KDB7Zu|Uw`oZ!8 z{f=*!KX=hzba#H;tLe|n->&@nf6BLpdylOq{_y;!|NHQcSK<GnZ=WyM+VuC_;<<I} z?q6DbthB~L?$}nl<J12wU;H=R{^h>ln-_n2*eCa%bHAniy1%04tiSBr{nM^jds_aV zwcq`v___IuIsP{lF24JPt0kOia#3AY$-Xyt9@Jb9{5$zu?X~EjXWRY$Px<RtyYK$m zB~#}ATIu#Sc+UG^`={k0yMHh7vHu!8@AywyKd-v)$LDod$e*hFD)skR-IOVx{=ECw z_^*WJMY)CNKgP4EwM*r7{^nNwTOa?>S#Uz#jw{+(`b+N}EDya>yz>9W{?01B>)rc; zKZIX%{B`%kUxDkc#ew?2%1iJ6&_8wC<^T0_xqOE_Bmb@X^eb-7@7IO8^+C(l&vkXb zeEI%_GULeqzwTeGJNC`v)Sr;8)1Tk}rEbyq?b7)h{a53DZJ!_UDcSbQ*Yww#ny>#0 z{o46`?Rv#Ge~;bf$e#aO>*4kT*CqM$oA>>{V&~Un^p{ijzxJn<ce8|l#&DO^Kl~M3 z&mjJx{J!(<`2|7K(xo0Pt@*CI`*Zo_;@Y$-Pfh#hCuQ0_Prl#vF!Yt`ZC%Zuo8@%d zzfPO-XIinu*9Vo`%BFgL>YuYP`9A-B{%iVkzW3D553skt<@l04bpO9w4_}C1`2FX) z5&z46m;ZYA^uNo^`~KQ&*}UrJ&!6UA@OLynw6A{Jl&9-|*Jb1zu6yc#>dWWf7c;7U zrGJsDx%OY{%Idn?IsHq{_=i?!)>q0E=`-1i|JiuU+xlXss{J+R*!perCT;q6w?BjZ zv2~39#ryFLf8%Q0D~gW&|MKPk%mX(aj(Y|zvtRi1yq8_A{4(Rj`#0}Q-|+3ht<CmF z-uIqce=fiK!1PahpTDY}x9oXs*k$iizfQe(?>oHYN%{Ot>$Kgfs`b|vKizO|(xm%E zc5b#Wr@yp3<oStz#?~5p8~;ytzOYHys9)Ycr|y=`d&|zc)%G3x1;52@z90N|tB+UR z9{UG-+u!}!$GKwf@oS(g`zPPQ?reR~v+&<HR{dJH>i+4K_g6bypMTCc^8Y#J(68&| z`&duxe>-u?&;QnfUy^NSZFoQD_4H`_Z=Raxe>2U~UmEwj|H=8<(x6kH=T^Lby7Qbt zyywY$+ZFmztzX_Q_x!Z|T;Q?E-_);NK5dY3{oUS9=b!)PF}}M0!0gbk`VV*ft>>|3 z)W2UE8FxPW`;+(68*jx{o|{l*yWjcN-7kyw{BH1!ytln$!QKgf6P%`p*DiZLvGnQn zf99v}U;OlIpYyiqb^E`{uZ&MU|9F$_*Zehd;q~6XFXjD`zrO#R>z{d3-Y%?dsyn&0 z_`>}O-;VCvJ?-bIs*<{hEeHQicv<JRZ|nU})zAM-p7Qi$;gr7?nm=XJU-|ka*I2%r zH0gfXwfOJ4AFTa~Wz?41TyKA89V>3<ZL50nzESzi_+!^Ab3WU+#<`zQertZNc>Scr z`^E+FEB{;E55AT^wXSb>Q2)F9FWEoE_P<^q_S^CA=VkMa{w8I&Px!9gw><9Z>lgE1 z>DBEQzam$`_iN|tbE=%b&+Yj&G52Te?~<>3e=XhByMKGry3;oKf9Ks-Ppw^lU+e4g z{SV9kZq#wAU=6VE^86-#`+Bw5|Fv%)S{dFx{Qmm+uc1{Pf3N?uTKDyF?K7G4``lmr z_5J&K)%SNVD*X5Fv@iKH|B!_}vrPPFNB7^;|IJ;lDsMS|=KkWJyFX9;7FVZ#<@PG` z54AUz%;A@sJKt{MeU+tqc768Q&wa~Q_vD|{y{b$9*qjgPyFYWulk=awo(F!OIOWf| z+3WIc+sp1woAT$=PuVYj&b$u``t*FqPu;(V>K^}W`KzM&)RKQifBFAc<+`5#CUQ^v zdZ^0f!@ZveTWarL`)*<NQPzLe<mZ)9vi~m}^{!KyJbR{mO}MxHoOR)o&h&q($<H)Y z>e}c3t~U4Wt-a;%tyz{m-eszJ`Lch!xzewFOa3uTej>laMf3k1ml^C|WN*v0T=kZT zF`mb+7yaZd>-~ode!acS`8xgP-w)GHeBE>CYv1E+>0`g&B?MJhde}cTwTgRcUi-D+ z^Tc&UlZ<MQul`l}zW>Gg0!FJ@bs2l@AMAYb?oaH0$zS$$)9%;$JN%zN@3!Bm9rNb@ zisAA(_2*pM9k<WroFBh_|H+VeU-|#SpEKtbW$15ENc^k!Yu?`Hj<;s-?k|^JKX>OZ zz4y$u{WgEAU#MTK@;>z|O5&f}=j30vVt4<)vVLyef&1?3etzD!^k?w<mHYNw{9|>0 zo&Uj_^|uRG?F+L%+_ziz<#*3WdCPN8i|?qZ?*AP3>~OjAuSxuW4b+$J*;V&u-`&Ee zyE)ch?4KO8@9~z_AAP}3t^9)XuI}(X_2t#CnPu<4ZT5fZKJT*n(wgJF-+uH-KefsW zTKCuFc;KX$r7xrQ@xLm+qW+ToTcyNR_KN-@qgOZI|B`9RO~0RO-FE*xQ|*4|+S$*~ zc+Rx{d(&i={N?X<)1FVdci6zb`Q-HXyUb?Ezt{d5w`GSgt0hCp+)pYmZ~ULMX;10X zEB8(p&FiT7n&7E<zwEO9gtyCLZtFgc%D>s?`SxY0_TOw~r6)V*eE+kB{qw%5?`13a zK1%+n+g{6l?)|p+GI?&c8Rs8Zh6g>%mk@mQY4N>yYunGyD`xb4x!P_zEB^WFJ?*Pv z(}SMXyIsmPv3<YOOl$wTxHaszHE%0wevY61Ugc+WxZYoH`{-XUzyB@yd$mGudHt+( zfsDGX_FLmsQ>(-U7W(}wK63isqW}L_W}5##t<y5u=<lwQ%`u**Uhj@tX@CFg*L$l! z|JiM@kH3z&j{nyCz3Q*66Fq0fO|AKTk?%_L_w>nXTWr2}-}?9}{i)%nsaNLBH}$T4 z#UA%R{@wE0<CdQPE`Io}H~Tl=-=vq*UvqqVXnkVe_LX<@gHFZwl;5gu_}%ck+4JQ4 zs+-@7uf*3LvQpRlxtIU(zo6gF_mw}zYW?m1p1yZw{K0UJezxaF=RbM$pzDFxgSdqH z4=x{^K!c=L;(uIkv0oBr$2@sc%~J6{|KCgQKKFg@^}7NK{%;Fiu4%u9U2W+fEz5n} z&nzz4KjYbQ`pkn}3;xwlGO~T^7SnF2KjWO%iPwB-`z2cDe^q>7{^0wAsNiWbUl=AE z+4nKOzbb#eqCn=yyCu*4@9n<R_h;>SyF0~67wdK^|GdSV!yM1BUv>WEi}Go#>Fm{w z(G7j8>sszJy$$ybo-X9iD#!MXeex!|yahIW%D;aae!2hVxu>T7@x;FFB~Qxdyjp&( za3{~t!kBkH7Mf2rkAG*WxxVz>Ud`vhKj)Y~DZXbQe@@u*+4ARZsVVtBEcY3|#eV94 z*}l*F;a}nN+P3EYUO)Fmzbm(STA811^4HR{(s!>{UG4f>F{N{?>v(?IeYb1*`uXDg z4Rv-g^Q-4O+Rps8a-H_q`Um^G_J-`^e*M?{tJg32&#_ux-RAx0Td-%}@@e1C+dp}K z?ewgk?ym=o9sgSX=Ii~Qu=|tk#rV(5TDC^pP1x{0{*KoVz5<aI|8I!Cxc}|@S<d$i ze2lX5l{xqG_A%Krn5X>nJoQa)?Y%GZZ(r^+(foPWzjRCO`o;g%m)3ljy=gD?^m=~S zH~F_KCK>&8eW`x){*~x;Zno<g)t2u0!X0_l{<42qZ2i}J2NQm+{m!MQ^yYVuyine< z|C#9ztbJace)~T3Z?*f6>VS7{zi*c=Szmi(Zp(d^`%J4pW&f+uU$yw<^NN`3ADPrr ze?R>p>umdDT}05Q=M{0Czc$OOs@C__AOEe+`|SST&CkDh8}Mzd{#KU~UU$B-ME2J5 zta)cD4WBPv^6gV@aGKJ0`{TkNMLzHtc>bIAmh1l~mw^0zf{FXRJwKVh4fw&ov?jhG z`0_sEM$b>%-v#}!?f)#3FaPSJ1xWc_uKoYgufBfyKe%?%^Lxcg`SBMjjXZyztrq*a zkAInb%-^cj?S=Mh?fh-;{ay07e5arN&C2zY=KW5-?)d9&@c!v3b<w{?>fUm1QU7SW ze%H_Fx~~@V)Xh)b-;?iozc}Ud>iZibvwk)Ic~$>3eE*Z$B`T-&_rKKlbh#YfSI4^7 z{MlZc`*SNM*4@ddllINmfATNlW$(g2x5QV7f0kQUC%MJjsJ?&l`MzuJ-<iFB|DN|D z@WjdTfJr&_Kg-kq7AUOPpYnF%q)GQbYn)Gg?$-CWUh>z%_c{5;$`8Ev2|9KCyzi;6 zcf&6h-_Bc-zcXxETo`+-d9;80r`_KVw@<pSeL&^2`GXHZ|7$xRlqWJgT<my%d+7Yx zQ$B4|aavq7d5Zam6_fTmp6On<SZs03JN8MF>N%Bm^k2IF|Ky)KtxtaJ=Na!VSKE5p ze&3UPcBLKh!Rs?@tKu(~%hk%?D}46X<uBKY{4aHj>VDSpJJ>G%cY2ahy>N7$)LQkg zF`kijuQ%Rx==^snW3QU#{?DCDYis)xIf`uc_s%W|`V@Vy&ZR`YUhd(NC-IXxPF?i7 z{8L5q=T+xb`d9d#KArWa+<xhl`=3j{r|<i|*8ZQ$<>~)Dlq%+}t=(PaeP2Glqtf60 zAHT(V)}=K+zdrwC_w>>~b<Ll#?Z5rr$3OUfH~8<))yI8;;y%Azy6>54Q(5jl`)unf zzK53elRn*=u>9_<ZyL9b?z|^?>iY|&4~iRjeY}32)4r8nVPD4f%<-wrK|3wYr++8@ z3XXIC8GGM4f4AOdxk*NU?OaY&U5YOW`n3Ds?#UhTf&BY|-|n919lZb5)S~&fXYc>c zSkEuVFpp0ywQkGtQrm0Ye}42`n%Hulg}w3BVK;#~NuN_+_Q)^Y+w<M^_m!UG(;S!n zpB^qb>(=?#VoU8U_D%BH|9Qe8d(odZ&Hu{GZnS=$QGB5Kz>+EEJym{{$rENz`LkNc z(fUe!nU?(-ZZ*~YuX+C7zp;Ody7#30?^BoB$?)H5|8=*Zev(T4_tV__pWnM>xa-`7 zeV1zI|J%Gb{Kc>9#hPCa+;V<<v}W!<x3}i^y05J7{#N__z;j#2zp20Mj`Drk-2IZ> zGt%xad-nb0y*u<v=Uv?&7<4Lo%e+116Q_LoayTkn|JQ1tpnES?dsn}jf4jKu_G_<K zq5sX+3)r>&`ch-A`SiEm&imQtZPh}mN{c3+$v;2at2TrGakb#L=b<yoCrygq|6<?l zubMo!G<W}hyW-EXXs>@;mKVwVnz#Gws=sZ&vtB#fK6*37xi)0dQ^~q&Pk-x9-_94m zPXCRW^k;3kNZsGPJLE(3Us!*?cKpc`ZO_WH<)W2xv#atG3MFF1*E-+$W4fwerl5S{ z{O_i=?%MT#{f+8B{a&}f=2zpz_`UB%=EMibJ-s=94|~q;{qA93j<2e-IQgekKG17{ z-9~M}wdU{Tb56W}$A71M_x&3kAK&lYvc$)~TJ5?2#p)NHC;uCK{UWm>cKv&|O8KbT z_V2H+C+_nKs(W3#)NaoCt<sm@dH(i1-u>Q5WvR{k$r<I-iY85}w><UoH}kveTg5N^ zZ+f0>E^xoxYVwr(-wHI>|K@lbX4Zc2x8o~r8+Jdh%6s37WEa-%{JihqmnpNC?0M$y z{qIftufQ*|7W;$m-QFIrqPhO*N2T-S3^m&?{M_@dT6_QRp!=25KKn17|2)0rc+))Z z_h&3Tjp~`_>HG{{|Jdqq@eixo)<5s6=kmYVKli1$=d$~^!Y<vP%dx)s-v7<@cROUh ze(=;hUz%~M_+Rk6-;b6|dA>VK^L(-Bd+%)ieWE|#W>hVnulM!gp0|4q-@m@Pb~)Rg zh#yzOcg27Cm#hA1sqOmrYt$qEZJXX@bNuN_myrKOnu%ZQZ^f!DwRv3WU&Q=rscpmi z*o^eKOZLoLKjqKM<p-;s?@fPmy23(YkL;I!|D0PU2krZwvh{V){`Wh-OzzqIGV9v+ z-2WOsOXs{yU;NkF#r8(ry4iE~$J(pTy#Fv?^ye%0tEYKe4}SY(owwg^YKcGl*8SJd z&#cpyd;UJZ`PKF-%b!~J{0`W^@AHDYP2aqhfBvqRu&+B||Mhhb-ygYr|D8QYJwqK| z9dp(F%B)-N{}o@(PqF;>uIiD;p<lnR@$dV$_1=T`rQhe~@}GBR56+M5zt&v0(MbMQ z@{hWOb@ErzRd33>^=th-_;vkj_2V1AE!?+!$=)5`P0Q5w@3a1_e&}BH1-YQz53GOg z{uS}JC}aDw`R;$2UoThI{5=2XnUZ_Tv6lnaeSbE2%Ke|sLH6&o=S-LB|Fz!7tM2W~ zJJV&@n}6|M=Rft`dZm2YUwPA6ai_AU)W2w7x@TUu)%uL{6aUTI`~Pvx8|xpx1Mjmp z+|qpEZ+`NZ(O<XAh4ViNytK%Rsn0)T5#GQ4-?8$xFZY+kZw~KV|G#;r<niLh&yAil z>)&5|ectM_w^!ZQ{r7f@?LPFvHt+rMZ2uQF6Q+E6S}eOpw&QQU`>Dv~|F`VjH2=#o z+f~0D<DbZbim=@9^R}1tW4A>IoeJ*zruIvH`+b#@_dicIvb*A+#s2=^l6QM5O#Zx* zyfeMR?#bV}zt5jpMb>M?u8hBLe7%24*}7k`-}juZUGe^ckDLAV|F;jV@vf;)Wj^G- zz};_?olbv|&GOm8KVJoZbzWA_^?iS~(D}lZ_O|B_`#pVs_`qw&`-Me+?wx&~-<0fd zzw(WX{pLBIk#e_>Rz_W^J5xLF?X<7crhM8wH&^|w*W^j|zgyqF`>XKu#yd|<{ohkx z3;v#3^fug6^L*XQ?+(>D*C*cO&vpO0W7}<&Ezv>CrvE)x7f>zV@o`3#<o)^&^Sa*O zFumn}cKctwlYjXCE;^(B`BMDW?VoBVlxLsP-~V~yKdW667yO#MR^ohnqvx~bZ*NbM z|C((rw9$OUJpXE^^VcU&x&AA5;&uVSZH%`3=Sr7<eiV9Sw_Hg58|xFEGvgM&e6ieN z=hk1wr<CWHInCSri*Mz>h}EYS+ODa(u5$Xa&9~!g?Jv&`_`3X${OW&E7i8|cv%U^L zP#*Q_RsE9`*Ux{<{?w(<OWPxN{Kp>7J<>j#Y^}?+K3#dd>i!3tBY$fr{W*KK_5S<G zb(VME|I!Pb^vBm&tnTldD*Yev1{LQXes`@m{q;Wl{_DRyZ(FWpSJvP8z3>(Lm*#hM z{`Nh;=3e?g^%v7u<6EqDM{2hoKe%Mqr<?ceL&1ZhUuS-uIOWr(+O6}8<)8glyLCBq ztH1EynEgeeZ>NS#pYIcI``Y8$)^GhEr|x@i@$&eM(q->Y{+hSq`PX&M@0R>gK4^Ym zro{7i{d`Jy<gfJasam-EN9^x)>Ky${wa1s<U1zHC`m@WYkM*YifBpV6SM0U-->X-z zbbn2+-Fx7@XQcfr*RR}<EuHUvzx&hh`P#rQr~MBs@ws2I?(kc2_sR9Y4=!$5o)EiC z_EuGkIm=|Dy>HmRUEcL|`O~v?dh`F<ocv;4u6KWO&Huwck9?lFdgb<q2|=em&+Go4 zbEUlEe&}w8uUF=ozm`As%{<<7+4bUmlm7d5Jg_dhJjws-%Wul(AK$6py}xk&liF!2 zm$TzGEO}maw{*kvv%mIxOxmBh@X&q}L7VSk_n%uG|6VaqVczTX*Xo`>*K&*hym#ET ztz%vORq2=aZ~nGhSH9K!(mU(#ob#-!>z3OUOTV)Idh}K8m+W`7r<a`hf6iq_z)$~G zUiINheeZvHpZfCpmZs-FM(-)#w%b?AJ*iy(d%w!h=xI8C=KZ(zaJhc>)#k0`(kK3u zv%c7~UY_y3yyvMeTjDR-x&QV)&%3w&S+&5u$&x3^OAJ=T&U@~%Pd~}-yxM%vneu-R zSDj0<&4^FiS)+gR`yH{=edm9hd(NEqoALbTntE4j$Ir2$=RGy=*WB*A*8PwDYX9wZ zo|@;&yzCQxUCvr;o728z%JjEu?l;GsxcJ{KbhrA_n!ilHVuS0~+}-=!>)va>pnD%u zPyHzP7aqS^f#=oVuiUTgVr-kf&GS6<es|8q_4|%}{^UC|{;Tu#`5zJtJS*o;*Z-ul zIQx2<<rVSDx*1bGU8?i7`)cp`i9grC{<YG9_sK!0PX9R({(Sv{&s<CEwk@AuS^w7Q z&@E>5nfv}MdvB|I-@j7a@>lMcScmfq#Zv5|1mCc|W7@Uvn%uXFy7Jhy_a0@|eXm^} zZ@|A(@2$%Y_8C*2eym=;_j&G#@AsCxtU3LDreDyh^0~|I9ju-4{%6EF?TPu8XWfHN zeZRNq^{%56CVzdi+v(copB`R6*R{u9f6=aZ`)0XvPWtDGQ$BtD{rdOmUmwH#Q}!F( zT{0zo|F!c+Hhww%_<+h!_7d&Khrcc?Qwu-zU{{Fs6Z4<7A}i*0mmPXFJ4V0i+=_di z|Ml-he~+=y{G49*$vSqr{ohNezdUEod%d#IS6}mKwfxb_o3&HEC3&8l|MkxnVf(jh z?bH5Ny|hoa`}gi|++RcWnfFeFdi^ihyJT+XZ}GpPFZzRjTm9~QANTXGyqn$E$B%X{ zc^~j<|FvpP{mJ(uCGPK&pB*wa{(bCAcBRkj@6P_cK|X3;y2gF(1!X#+@BgR#+!b(c ziO&4*<u>&@Mcd?e*Q^iryWhBV&$jTzPfz~o&#pi9YyIi?x%PR#_x~z?pZ}vSF!q04 z)31&88OH-c<9nYi&$5|0@0ae|EtB5(+lK2uzFish|Je1(`S(qO-~U$IZ=GjtXjl9G z`tSX}qT^@pUw!{7|B`RDx4x>T{xg!lrC+uGTJaaR&tC<98p(SuyI(su$o|&E9q+$Y zzx>(v{pDQo$wBk}1zee&`F!@Lz3wHlOxCmFiu)(k|MlFJH?Qr}@3|gE`#)v7uixkP zcj7;vT}tQ6FHQ=YSF|Phi(P-w)Wv^2gHBzpyYT(q@eBTYuTNB||NhncZ^Zjwhrh&y zzOBvuVcQ<u|8_6?_5HUJ-+AqubnEkG$CLfffBk9?@DJR+UuH+(!|(ql+|PZwBmR=z zvEO3v;`fSA`&-HV=`OpCz!%$NDyNg{jO6bLm)pOrTXM0+^8d{BDwp4H@?6RP{ouXN zLFbpoX=T2-u`&60pRDz^(`wl!rlzKU>z~}M-m=WKQ18QARiWk+6^D2G9a$jJB;5I^ z;l+xEq=2#>g^LZ7IRaTq0$lc_b-1Wz$xIRG;5h8sqf{u;$)qGL+RReAhb6#Kz0+Kz zG^D6!Ma4C%zkj|(*{#d3t>&LRW6kG3jvwFsT(xW0{daY{SFc|6{PDi0Z$;~~|J<AK z&EUON_zZh>?Jae>+n<_zSYLB%h5YUoo5`PIHyd{xuBv0{T)s>1{I%>qulLRT_2GO@ z$<N)-*=Mh=dCl>B)}MB<RsPqn+q{aozb`T9uXJquH^ck&g~4z9{v53_m)2c>Klt_4 zjJo$%cNoQ2pZ^;t{UrBB{+H#ypRx1v{Cykn{$}0L9eG=9{@py+euUfias1yi3;Rp; z>?1y}-eLApIp1@C?uGU}ilu3G_A`Hke9mM3-^I+f{5Qj#?7vl~M4w;J_@|iq@oBzA zLBXm03UW8>YCOL@_^-b%E@pXkp!65z`i(`>PtN{*dExr^6E;upINh1~=i6<!|8A$1 zkMEWGvujSd=?8twj2Dmpocwpe_V%OAc5}b>{Eoak`HkIFx6|AAZS+s}FR)X-*LMF= zpyqj7y+<rh4KqqAKYhM^{F8s0KwbK+tNWVgKDZwAuGabIQS)7U+Mlx@>#Z<-yGnL_ zN6gz7aYb)W%-d%3``%q=>DapMubpmRtX?+#yN~|+nX>(Hz1NoCu3h+cjl9MDz`Vt$ zKgX>#Kl=THa*=)aj}Mza{rlQ%y?pM}+X46Pd_GpOao&g6t?}ja-p+}SdBhw%uSRbF z<n-qsA33d24}W_9>GPXbzwR1ux%jJe=OgD6nI`*x&%N877VqK5{J%@Q=iA3xk@QU4 z)wNP*_2ahueEa*z;jZ?)3FhAy#a}tT?|k*<{)`9nEweuK|37zTkCOcqc1N2K=Ks4E zvoEh%^Qr#R{Rz|kZ0Blg9^b3;P4&^bqtQ|9CcFN4etK&@cfZ#8THV=E^S&RRr?D=g zX5EgDhcBI%Uc0}}*mquWNuB<uU7u!)KEEH4Q~9Xk>C>z&HqWPDOa7#K%0GS1UCsOL zxlYsDPHnFBm?ype)u$`zx%ZYAuUI#~M)*zm_AUEP$Jred|Nmz4WrMx(|119G?Z14X z?#a(OY4aaHR+@d#uRVI<_>MBa<a?jy?#jM((n8?;qd4vRns0yn`}5CvVXx=Y+x|DE zZ~ooQzi56n_tUoq_m;hUedl7ujhJ8MJMZ%Ge!c(WRDAC5@}K{2-<tn#_N{-#^>(-J zSIwyJ-{bPFllT4mX`j|d*`Au7KP&cDO@SxZHvdvP^>q&S=Cl1h@tpmL-s6~wckl0f z6ghMK)4xLO8L@BUyk2X)-n=_)Zu$NTvd&NE=5ML{H2Ht|>*MzqT>Mq3|Esw&W8?eI z{cej-U*?N`QoCWX?w7r~Uu=DEuU}(V_Qn63+-s$OitoC!SDZg1&9=Yh$0L_D`DYGW zFP--&O!~>$e_tn<pRB)WyZa5(wX?mImDz23em`OVQ@8f{@|&-x%lz;D^XSC!`1EIQ zKlc9obl<4XGyY%wAJd-lvb=AK_mjVKp3<)<*|+^s?GaY<T{YiZZ-4)gzcoJd{}In$ zFU8-izWinDyV{a3+vZQXo)G+6dA--`lkb-<w7oXR<X-!RH>y7ueg-XG@Q<FJu|D(n z>Qr_9pMNgal;5~`WaVA8w~Bc|b_Tzj+5Vn1=i@ug|8)ANSZmGt{Ceis=h%L(_LKbe z**Ix>{{Am)|4Q?v{~QzldxY`C>90qw+}|0!`02^XNBa{0zP5h3ck|}1c!Rzt;S1cI zE4}@te^u9?{_Onmz^(0#^?HAHKV|=R_L}UQq~2%GzwcZ5=h@L`+wbLR)IaM9tS=OO zB(Yb$Oy{@OKaSpM>ip~8Yg(_i(flN$oxkzZ&qm)#*B9LH+U!>Qdr$oDjnU>G)>r?2 z@V##C|KEM*ZdY>ucz#aq&p!S?JadFUdgniwZ<+pK^Y+)}-@>1~VBcqdtpBrAq4B5b zC;H$2>udWIzAt@>{`+s|ek#6_*>>^Ir#ZXRrAp<lX4HM&`t#WD%kz4lZGUHcf8n{? z(m$r}E5CC8=gd;O>N%w;%>UcE+3IiaoWp%e|8hp%H}l`ApO^et$oB85c>kxH|6jbf zUJ?Ikw&3xzb`O55#<%`R`2ItFPUXJ|0pIJ^oiqMjl{~5L(T#u0Uz)GHJLh-Q^A+Wb zUw5D1bMx(ujJlfc+Wc#8@11sx+-^Q)dr{t(`4dwU)7<R1E4|J<eq{IK_s94jfxjAS ztp0FF#oqh-iTQiP#Lu5kWIu@iJ8|LrPk#<nTK<;*EA=D1rsl){;<|*n2m5sXExY*V zP0*hoNAmr<>tjB42L2P(boeLpdB+~cd@t)cbH0~ny_mW1Pt?<=*=JtQ{-k#D@9q=X z5AOfixp4izhw}mpH}03WKIHWG|H<EbMLgcASh~B`E&jTAda-5M$H|xbb}ygzM<ri; z=ed_sPxN=EU75e{-0dgL6<$xwXT|S}xo>>G^ttP`(sS-L`+q1#^q;VQVUxG<SI&;I zE5|FB*1f)0@%Xys!7ZP&KFyJiJU{vH`#*A-_0J#hzIB!Rz46cdZ?@Mgb@v<UzhAw0 z-y60+?mzNRSYOGg`}Y0lC;i{YH0JO7<Zh$#c455Pl>Ym_I_fp+o&TPwYK?bU{M2;* z-;Fz-vHepu@A>uN`{ukYbz9G8J(&Od+=FuYPkTQ*f0KQ_=ic<f>lu~Kug?6jNG_jR z{zKo$?=1hj%@!8#6Kh{QcalCe`|m%E&U)Ve^3Pjp?=Sy)Wu9id&7z;D{%U;Mb?Weq z7oXN@|D5`3-rb<uAN(~cZ#M+g^7S72Huu-c+NrfOZ2t3pjsCv);=W(v`+a|NzE=7> z^>26W!MfhNL3cLS>hHhhHvN6wng8oPy(u@_YV-PY>oxz~zy5WJUp?2GCttNGdh6V^ z7ytad_k?-&mwQrAbbo(*q5o<3t?L`YrONkRe$?<(e*5{%Ej6#t2HraJr!Ks1r`zY# z-6#L0)MxxLn^i9)|2tvvPx0G&yr07UmuzA9zohr)!<#ejKk>|Y5MTcJLAw41y-WXp z?EO|Z$M)?6F_|qjuUWrU{`9_~FMU>j-GaX>j#%f+{P}Up1-44>eA}#5b8qx-_o_ar ze2(w?3)WM=lj_v_Lic$T?ysNy^=<R=BiiTl48L4ncdY%Z;@)3&48L{jzVLokkB@1o zJJUa<)~)9Fmfv}4`SxE*?YVZ#?|;O7dEKY%`?hbl7`^?t>B6x+`zHF&`&{<fc<<cB zJM<rWYF^)Ww)$?&uNCdZ%0DkW3$m}9_UdN9e%^A4x6k)nsB4)UG-u=Yg!<bG`6nkS zp5I%!H*WjCBEHQ1xw2>DUE{PWw@qJeyET9D>F>Mu9(rEmwXfanbNRoBGM10|z0bne zmCAbcv;Es?-T&=z{24g~{|VoO&7bZ)@%rJv2Dj7V?{9vZvt1`_-q*<W|L0C$c=^ro zSMPW0_syxlwB^UwujSHj)AwfDKB`&tR_C1Tdhh1W)0r9jMa$(sosE9;?%LZw9cL#0 ziMh*uFn+D$zgyLOKR*e5sxE%N=HqhPJKkFVp6>cmUby(rxu;*n+cW;3v|o7f*P9uY zPy3zaCskhFYuWp3{x$n=T@3esvQ<jIx4w0}a^+`-e}6tPn9aIhH+}EXx|X@E_H!$J z?kp|Vd_MWx)Ly;wbrEvXzaw7fJ$9Pc#8#O-z3<oU|G)cI9pCc#L@K|;pEtMvOx?=9 zJJ|VW@x$rvjWQ)scCB0Yo1U7kf81{V-|*cZ(#`UuzbN|G={{HeCVcrvc%J!}jd>IH z#2YhrEjzx~)AJASE8XPQ4fI32xY@6W``=YKW+cWwT&lb!$P<YSjV8N53GXPxxt zPut`_%@Mbp|5@&2;k={#jrF4S+mAUt-5hQDVSW9H3+H#a{D1OYsc%PPeOdd#^Z!rO zh1JeoEoJ{sIdhB6KiPM8UFJ{yxxKOeX`i$F>+Hwj)ti(z&bRuWy=Bid<@iZE=Cl0^ z-EaNnVgHqH$@j&7itwHMbAQJ4?Vl%XKP~oH{C8`e!uKz6qQA~w=v}z|e$k(Qehd3~ zVg+x#_!KvpTg{)(R`tu73*FA;o|V1R%H8Vrul)1Kpl11-@UP~T_inDe5qWd%jjeC_ zpDuj%{n4Lef1S=fUSt2Hy72zJ@XvB}62JH}uYY!uzAN`X^y|atS$8w#@BGpai?927 zYxe$_?b|=sJbyd+*8k~Wym#wv`OD`&?_IJ}KlfdUTQ9!dH-EkMuk(7YQvE|dPtLTx zoBMCK|BKa5{hYZamA`sxH<vEmAz115?QD%golD%B;G}d5+b>t{Z2sGKp`K%})fe@b z(_dZ8`1>L??pM^QLiyjyj|Fykzqq%My=9-`mnj#@Tk1@{#5?o%)Kx#J-LJCc;;&eN zf1aPD&c3fsy;HisCjH9=eretFdldZyQu*C~wwgxGyZ_ZjMeb5<`s8P-kDo1G>Av`M z_D=Jsd+$W;*EHE*_j=F7yD35Td0TAizKJjUzxb1nyzt*R@mm>{%TsOkD}UL$@KcTX ztp7)U+FC}Z&AG3><>H@XM;%{oZ*TRJQtzF%{C>Qg<!k5O)o!1Ezndre_rB~a>w5?G zKl+&b_u-$*yF%v)|K7>=FLgJ6-RqLyO85EizhwKTTFvt>_A~RxPfvczna;Xj*-&g- zR$Hjr`|N#@KVNfg(BhwSrKA6Ahwt0w_W8H0eZ^~ue+$abSVhW#lKOX<w=eHpu}QTn z=ucYw^XY2w`5Uv3t=;2Z{p{vnH{MTa-{gMXXurW<>HkTm>-ZD%s~MHw=D#`ht^M3p zsUOeh*?ieJ|Kj-*Gq>$ObUyEaO<4Wx{>$1WKY!ftKVrCP`N!~?Y5EKMYaBl%eTYuw zPtGs)4{fgVPIv#kVSNYp27Zz2HxKN8H|^8^gBtOB_U_SqCpq!{-i3d9+4<`J@qhci z;%{s1>e|BQJzvjDeY$er=3z|p{@lesj|#u|uCcpx@z1r=J;JYR%g(xeek^?aRQ$=G zT@}wy8=p5hF}w0xM!)vwzf;P~SFcg8H~;c*VLxx~7MnuXM`}L`qpzFJnqPZ=AOF<v z%P#)8z2x4x;2(=mB>&oT<@?Wt?k)RFzKAY7-eR_EPqA_RF8QkN55A|>H-5Ts@!z{@ z0sjWS&*gFTHy)JFm$F`6W_Isq{)Xcpy*Kik|34XiAt3(g#N<B<pP0>x-}$V3iX88s z&FAI6Ufq8t`w!oh>y=AC=G1+NEzdjo?n=PDOX5AB&X`v}Yu{&nYaysD_x(J7nZ~P_ zj=klx_AFi9X7^_GwMdQs@pa7mnt6Y%t$8>>{ocg=>wZV{``g=@Ua7VDl(GB#pFe+o zw=N7%oA)CtWAW+w#AE$6E-&JryzhPXyj)?v{wuo=ZlCKdp8g5G=>K%*#Xr}dm)mP- z-49^?|Hj+x_kCmggmN>vC-wOc?hDj?E2vx_P{+voM?22$)b#I%cdq!o;m&rg`5#hO z%Kv_KwlZ%^O<}{Qr@Ox2-OJPa%zXdL@1Gnb+aEQ~xosXLXLrB;x6tczZl7l_U-SEj zu+{kt6MMVL^f%={ewxj?UtJk|EB^o2c~WQl&zE<{KNeRyZ~OCJar2&=;l2M3*S(k% zx9>3XCs{l7&j;+=<YxZ;aB*Qg?_R?#7oS?nKe+znpTg_Z*Q`(V!}gV~tl2vM<eswJ z6{VM$gXX_=D(Bf6cT4sB^`&uNGD`mLl6`qF{+C^)|FM3Hl8?HVzx<m1!g;;h>%;Ho z&hM>0Gj)4JrGD4HcNb@S?%t*TJ+88BUtQ$9wZZz`|K4czUi*JzN_otSj|-=_)R~k_ zviszcBX9epd&c$riN7@5<t#$1O?KJc<>&XY|2_Ev+rORHdq4jAz4HD1mUUcT<D|}> z?>n?l@m{FiQR`)M*N98$_U~`}x&Hjdr@L>*JpEX1Yx8;X-z~pi+Rfg-n}6Q?$GMmM z{&+l5G@Ktdt3JP^w)Q#yS^lk)f3K4|d;jeR>CF7M_cH3fitT?<=lu3UMqSM_&$kEG z<;*MCU%mKgX8Awc72hvp)V=ro7k#BV`@#I$#}fSC+&{hheExB}F8}@-g+I%0Ud{8W zFT6k5=FywXcb=7nzuJGlIe%jF*Hypw-Q|B}eL3TAyriYB|G$&ppWUy$FRknU_s8#l zk+0PE-&U=B_p3X*=>PF0-!e*ma!=hK`|4^&<>h;iRczJwZg2Y(CTIU^a_RQZmX&wE z3V#>#la_z7v;N}0zWH4BvSnG7OP?4E-v1n48UHDE*Z!R1m+pPP)h>8`&CA&THDB^A z`=>A-{hu>`{yHsxz3lPIZ|x`4uiJ4xtzR}*ed^@}|KD&r*S}t>zP#Q_eOmhW{7>J# zm({F4S?yVO|4%;8|JlysTJODo{#7on+fn{vx07`2e*UNBx1YphWxi;3F6XTEd9m3! zyxlD7-_nWp(?9>ocHYkMU)JgW>QnQ>`u&ggKf86I?bjNM+%N29vUShCeSh=*Tl3{V zb5AI@$48tlyr-h?zxb<id)ym_M<y4hy}sAQ_S1NI|F7=;jeW&>N%ya1)P1x5CUC`W z&fTJ@f7-t^zDH|HJbizE!TC$Z?zJ}*=O};3e^UPVkN>}k$M)>m+-CDO|L^Y9W4kTd z-9CRl{&n7wzn!0dmOcBQ`IF_vVZVFdKc4#iASN-YMz~V<`I#4w_b}z|eB;XdHNNs& z#{S(;*l)LeGER$+owxt<?c535pU67P*~irF-Dmvf+PUjTU!ORB+JDykzj7<n7oRTf zKdSQciSKOr7x#a?5dV`PwbuXhX=jtO_TfJ#zq(lTbF%ph<KO2#+9j<1!(X-DX7Rg; z856cwHQ9W;UY>i5;r}hpKeJbz|Hb)9Y}NT=AG)Qt%ztt|dtT0p^T&$aep{(uSRXIW zR`-DSkM=p)KZf;Z)~~)3|5|2MW4-T>o9pL(w$himR4@4>{hoE*!MG>?w%cx!x3k@H z@y|Qa|B=UD-!}SxYkIAD(Leu;#ZM<*t~7ivwDZ%&9sCn*-_HD5Uijnu>CL70xBQme z(*AXIvEhC7=OwpV?>_8ac|F`oI@bPm&f}Q6t7{Lvw>=U5s_ymqXZNL_<XhD5@&B$c z`~2M5GpE08KlA+B%Ri^2pPc{qctZZ%{5v=PZ$52duX6tT&-qF}N?(3ZZ!_Cv^XJXg zAJ=sz-2Yzf`K#GxNBHx~{U;Q+U;NdPZ*BFbUhJQ;lk{1Azs!YiuVqy3(|$CwN4T!L z_u2ISt$!!Z7x~@#_r0|7zO9Rkq@LvO$@nsT@h9eAKl{3FKZ*aad*XeC{J*Ns^Gdgs zuKsLZ_x{@QKXpIiwZA87y2sQnJ{{awA^6<y&5qt@-*>mZx~Y)=a_QoK=kCv)J#n?| zT=8AyD=&Up^Zm%=laCGF{&tqH>UeJG_ws7Mzc)`8+dbZI{P~-~=l`<C`_6nn{rSzF zw*PO1KYjn}Ja_-RdFkKV?oWBHo+9`6@&&io$KN~tGx=@sZTH!=`t=FVAO08IYaaT) zb=&>mAiu@8lZ&tKUbyG$d>Py3|0}<)uROBvL}knOx|CNRFI@<4-If0PYh~H{i>{Ub z4r~5ReD~Q|{(j)|7w-aJ{q6p_Vqx9nCv&CSrm6RBaJ;u^bz9xFk8g@TDM&u&{ZssK z`@Ajh1lQ-xzcb_c{;Dbc^78fjGrzI^`BWa^-ckQa)=*AdyZ)=`{uT23oy(YCPj375 zP(CQ_+2@Ll>L=NK*B?D~|3|Ch%sJnR#nPWw@6@@!k*)TmwXXe(Kl$f={tV1t^+)_} zrAR^Ai#7?7eX_SQ{!YpMn|NQpZ~orHKWFWz_{RHm-rP^;x%X;(S-fz1`?~(PFF9N4 zzFc~D>TlAm_!{AAVf$uhqxEwp7pcG8YWC~GYC~W7@7H`Tx9{Kee%a^jI*$LLzsl^x z_;&C4Y*S|(@4wqt{qx4}t7OwJ$K6_c@$J>8pQq0b`&XtpfB&Ipm(mwLy|4V#UOVLH z@8$opoup&`Z*Td3<btuY{Pg0UTBrHKRTVG1o$9AqEYU4qSFrp-uG4$rUp*I|x5$ZA z`Mmjaymj9XKj+7joyxs!_gr_o{`{Wh{u^djDi8NftS@+>xX``j(&HaC^QEfXUfg#6 zulpr?;iK;N8=hWxb8q48b~&M{h!@pP_r<G1Ux+*Hm)!MQwr$Vxc00o_#WVHy$=rJJ z?S|>w4`(h+T{!vH<It7Uzx}u$_y6|Mh95639B=!V_@Zv%@AiAjZ>!#4aNGX=;=|uz zFZ!M4i#R{N?A*`!x8Q}lbG^i``3rC7+IrowD>;8*uTwv7ZSIT6h3`53I=$Gs(7dHi z|BL%V^VWZndfO~azHGT*?4-~6*W<-`r*tE`_tLvezN}pMyG>5!*PVd-k$-nweEWL0 z-oHJc@0-lJZ`C-THS6w$B5B?HTjg6W{<*wQyZ4#BN!b$dmVY*1w#{spK70T1ziH=+ zd-dK=JJ;MhEkCB#;PLStzn0s?3uevvt8(7^^8f9;b4}iTJGXzo)|VL<JRg6E{rUZT zYn-T6X3Rf@|9LTHyY?M>t0&pMyH)D=`SYJoE?nN?Cv|=L)BE)zRlzTo6z<DC_VM1r z-z|Pp-`k(v4{tTQlK=Pnv43v=6rby!TmJL0MY*7Uw*AvR0X6e0)GC?z&hDC6e_~6` zlP`klnez(l|HNGT&igg;dvl=tZ;dj}-;&=IEcnCD?K&~H?4P$?z4Xtwb3a>rPvL*M zKY#!6^Sd4Y@04!;_eT7kq`>!=GClQ2W(umDUs20ne^5GD|L6Coho7Z?>)0b-X7gL{ zxyA3N_y22z?Awt3^yaRa@(=hwm)F%rr|-S>;@6|u-^I^WRcyb&_HU+q|2HA?qkdZZ zqIYMMf8QZLzbsPjXFvB{{Vfaki0-%ZTXZA%3v-!lp?~kQ`A5|G)?EDaC%eb_<A>$u z$rt{dO_$mH-1LL~&c7@E+dpT2bmA!cZuiv}|NJ<T`SHJ~`pt~G@3T&5clvGKe<A*# zXQlp|>Dx~KTXFH}{5k)M{a!dmvdf6w%BcId<WEoe=^cXG?`70|H-0nc->($`-)-w` z&Y#olKV?x9nX&lkeZ#Liyf5y4zVqUr@2B5y<E>42(d;b!<GP;Y-_tc(eV+H&3tfL{ z+w0Vce`1{df3CKz-g{5G^@~6K`4sTE@pr(D%V+2JUMrs!$E_P)pH)&Bdw%^Axi5Jp z`^zSVi(d8Xt^8-*|6RGC!G5!Rd!v2q;-7ovpEs<qImr7*`n}Pq=khx5&0fi@yZGmk z@e|h_nmp0VWY<dHQNE~<pEi48e{pS{(lypUucUs2x6YTnS94;&r(O8Rua`e1PbhbJ zHo14<qX~I)D<7U+XwR9urS8{lmAz7D_5IoFtDgUT#3^;weytquALH+G?~i|<dH<{Z z^4N7;rT6>Fgx<gVH)Hqmx!ZViODeC`?pto&@_yL`x6ju5zyAAvcF*587u-H?UT>%P z_h)~QUUll?pLhRP`24tg-`Q{R&%4J91Ak1_ULUyl=YH{h?9cx`s4jhVG2`Fc!_Va= z=(nG*&Ug^Nt>laNKZj4O3-$AlE%^C#;zl{=yZ<jA*q^mK@Sp7`=8ylc{r+=9>PNUu z#)sSHJLWS_UBA4s{%kY*ejD*Woj({)YcHz1HutY>-j+YpZWrCTY;*Sh?0svemugt@ z{#tXl?#lPZpN%_mK5zg1M(W3Po0JdL{@*e`ZMmht+u!S6LEZ6Ba;8!LRCb;#oSwEv zW4YtKOR{bIzR5p2de=HL_TEo7sVBNO3r|d*e!K2Uo%fx^rL%qp%*!o2*jxFNU-r}8 z{~2~$>TL}k|GBwPpCk4CWB#<-x<^yKF8}l-Uf}qvJLzVhPXs&j^VF8Ta9qgmZFwrU z<nKSdn)@7g)jt2pc@gY9U(`zY=c&vse;yzDzVpKE<8?o8TsYqTPWacC3xA#0bB5+Q zSH70FyB8jJ*rzY_*>(3%J56TYfB&ZaXX%Ifj0f{;k7(Tg9(+%-lIKb66Z4eV%NdoE ze?0x1{~-R?iG}>tjins_`Rf)O?lLsaub;fVaqn?k_RH^<hs^t3^`w8({khNLx99!5 zaQ*LwPdmD~AHF}hPt~8bn!7sDx%$jot0&#+DdkVrC(53Q&%O1>LC${XwC}Gp7RmnL zo;PRz{Y#&oe12lCwQkYEf7$Y;ul{e~necR>KbL5^ZeP4yx7+F9{C`IA+|7Sy{GIk^ z&pXMQw0+X=y?>s{EvfwRPw&s8xj*ODTh*8AGurcf4fB6$wrh{x@~37W&fBJK*<SbR zpHt=QA1^;`-^l-L`O7<AH9Pj?|M~xBxm4^w@5^%f+@<&V>@y0N{!zVKUEp-@wB*A3 ze7Pl+m!Fu<+JAfL?S&Wr+*<ki?7c(zl|j}kmY3ye<UjlP`0p>f4)%io2KJ?|%RkCr zd%TbRne@NLU+rJnc0XbM^zV-H>31vc|9Lj$yL0^KyG~z|^XD#`|HJjU?EkyJHZ8v} zJ$~JfgRQUj-}v_C`@Q#DZ!f&~cjeoQkKVq#dSHJ}|3&$^`X8^CpL-&6xAL<3<@rzc z|GRGU-{Q;lOY_UP?wW?kd<*{krzhaK!Ka>??q{~w&%Qrb?AN&qZr9uG4<vp4@A=Ab z<)6)B5p~;7cYW9>U%L07?*D&lFSPwydp~=Re%;qcv)=x{Yn}4<z`GZ5>}BzF2j48> zeR|e5a|-*PrnTFr{cdOaH}k&ypLgLK*KL`<d41l4`Mmo}x7P06)AVj`hROclzQ1JB zqV{xrI(zutQL*qd^4k{vnRzqtzkiDTBb$fYH_Ka@eQE7nU*E>-(YQyIou~4V=brH2 z!QVYhKb)7d_;K{9)%4B#RL<K~+^Q;D`031g?y2hk0{(B9mtVVA{CCAK^IiY;_3u4j zAiu6UeJ#6`uK&Hw)70}znExM3@BRMp&UD-P_ZxpSaU1Ddytmc-{cb=1-soQwt7WBP z@2UJWUn28;n?`=+ujfurH?RM&Ii0=aUE;j2vI5~B+or6Kd%mnr+WG3{i(iZ16%;)y zuB`f5YyRQ9ZTTMl-<5?d-@Kni{ucWva!&sVV^!&^kGlds+ghJx|MdNQi&>QXQwjTP zs{20wUOMlSm9@N$VSRc1?e<ST{69OTV)qpb{1gAP|ERC&hx2Qs-k!Yo>Rxyq?=^M( zj<{p9KP!K?FgX!lePlxZ{FM1GY#RSM{#jW4Vc*P8%jQmBSMzbg`<n3jvbq;_ukUx- zJf45Q@AJv!Pw&S|-U^uC>)!k6M&a7ucQ<F$73r_C@cu3P-7DL@@~G6Q=+Bn|=l#2P zKlC;GbB1fD-*}(fD6I>cm;U@I&g@I<Jozp1y}wK5oZoeSv*W!xr`v6QR&Cu~%U8+! zM?2o~$IbOO3~zisS{XC-`@g3FuMfX}c60C6M;cF<-|YDAo+4Wq^2hF;z^#nG_oh}p zo4hcdZ|gl9jk0US&if^H)lS`B)%bK`er$EblU%)@(_QrI<p0>boB2M$xjLujZAJXK z(6{A$r>Z~9lREpp{LQaxU;Vvz+u3gUd*MyF)RXo9S1**WcdNYE?_IlJ`c_8Wx0L&w zKb@oQT^HBzx6`e&PH)NA+j8;OoH_5!cmF&2lE2;OVSMqQ4E{3j&m7h-c`Ng``&H+Q z-dez4c};otseIer5&xE||4XX9(RgQeVVt(y1^NG(E6xAQ|9kc>_HWj|*m<|yPEVe` z?&+rPZFkrzU*ETS_1q?Cj&}95+V0P8b+x>o%+|?%dQ<+EdCl=B>{$=utAFV}o^PA{ z;dTAF;ve2G+IgQo-k$$-{hQw}>t3<_<4y1VH2oR-IrAI+UuLuYySbge?wiv;t8W`W zg_wTO|M~l1{{6iBC-Ot?^|SrES<Jq_R_1@q&!<mM?=yP*?fJs!)O{2Duh#DOKXYGt z@~PMF-(NS<Tl4>$U*)4m=HWlTvA=t}oo{;h<-OC^#{YKSzVFQwdmr0>#w82iZqB<~ zA^%6W$G$G(+HBspyMOI%wtx5k^J6Ew^2eJR>tFt6+h3<s&tolS&-*K)+)DhG(bwDw z`ycIzpHjd6&%J*q_Uhg{zUB9xlgZ}A|4!Kzcs!Ap{59#qX6K`fCNFkB`?GL;vEOsv zPi_0Myy}m?pR?@eSMmGqkKgZn`Tcy)pTo}LQS&PAR8Km8<gYjH*VyuhE7sQ?|Cb@3 zIB!$mw!F+OHs4mARXuO9)y{lhnZLDZl>FyKdzhbPe$6xazocx#dzapBx6_aF56h{_ ze`LSRe_^MM`H9&-KUCE3v;Q-r=K7mvsk8OJ*Zi+jE?FK>dF3bXy3_Z!pWF2>Zei~< z|98xX_dBmBlKL?{*6fu!|J34$_h)NOHSFiJRZ830J^6UL*6kVVZ>Eo*d!MD}|MRQM zh^hGh#{1J!(-YD4M=u=zK4<^>zyH@IZi(A?FK>c*@zV+F|JrMh)Eto)w$=NZzh%$) zC+wf5Y}$O9|6R=ULz<t=YKmT@?@zy*|8MgB<&N@y{@lNE_)ksNsr@Eb_HON+mM*i2 zyVKs*qUguZy3$h>OXUAERVwpw%&#t#{u255=0&?d4qu8t&;Pv7?elNDP1PIBCtc2{ zdw$t^>Ay$J`zAj>X7m4f`#;X>b9P48ov;tGpQi5rUu1q^RNeiIpV#(&%YL#^ST`*0 zwJZN~2Hsz5{!S0Pzxp%tYr&5`f4F`Mo{Cnff7tm_ym#7pdw0txa&mRwR_e9ioo&1S zbZzzz=~MIfrd{d3zv=r^o6lz-iqGGvcmCbs+WL9b@elX)Gk^MPXHlZ}g?r(B{=fYf zejl?d^n1bXEWLJr@rvkG>#MhmuX^A6^IWd1ZT;0>_L^@!{>P>JW!Hb*c{^=Yzm4JK zFO|Htm;2s?-aq|WrB>>${FKw@A3pgK{P%m)iT@=p!kzBk|JG}BdGW=k6SHG(#Mj$B zHJi2nxS-wR7tNLO@|?L__GG@FIp-_)l<hYAAHT1Tns;6=>yFur?XR?x_Z9YC__yd! z<Wb`p{p)%UWd1yLJxAixU3-mpUH=dNxE{~;H|+oGA4ivbon^C%_fPTl`$qq6=JVJ6 zmMmlb_xIe*BL{cBzg&6a@aMl}=eGOLdwO@XznFVQY<XebasJH<>%Iu<7kPiRRDEh? zemmw@>Fx=q{a0T6I{R85@1NECjql0t?s@;}?9LA#-U*e9&$@5b@b|*bXOl0*ebVWU zFFJSeb#t=O{|}$7tj|AN{r<^MvzhI8j~8do`>*=t)dlv{xSEI;T^IH`#S8v=zOY{M zSN_8CQ#BuFFMKxj_>;>13+nCfq+ji;dL9t|?ERnWvQu_nd!Be!g}+dDx*K0uJTG5# z|NPr+r-VyW=EV!{QmbtC<GQQMeSfd>Q_D)<dHy`MreA(9-0o>NQNR73#IHpX-}<=s z8h&}ckl(ki?>_fk+mLzvvp?6&e&V{zdxecB|B26i3)gek*1kBpFuWyBc$eZA>xJfR z@8-9BWN(p^sj7H!+sR(`*UbykPWmU>9$vce+Ihe9uT8nf4*cl8VC-~X@YlNw-Olzx zzi#!cU*Bq0Rb#95_2svU<bUh-`S;v=_5GF2e!Gy`^*%efb^kw@Qe3g(liZX&S=Q}- z+W(il*m&d9^0WHl>2U@BSN>R>`T20^O!a?Se~WTo+*~-H>+Qdv^R^sjclvt#{Ij3l zFXjKsRXN@Jt+}wb?tXZVY2C-K7hXHdPx<)s!Uehe>`&jn=h<uZrF&sK=U=xMUl*FU zeEU)FaO|(M(|n;{><j(5|31DjPygGwJsbQpo{PWw`DE?SyE7Mtx7lgEKUlLV_14Wl zg?_&_*Ij+_?Qh(z&vGlb|9q#nvi+Bx;rZD6hd+N`_g?H``RfaNov!{rU~_u?l7H{d z-M=qvwRWHUog=?aX>U*c@_&BN{j;(2WrOy|U;Q1OQ+wn3+2m{T-%9u1`*C@VdYtg7 z|BJ6nn?Jo@ezxlI=aaW9a#wsk{(IB88+Ts!e@~wiD^cb7B6Z=jo%_#OY>~UE^!P8| z!rSdp@i9}M>$m<(U-7l|{iE5&{xg=ZmA9K%`7?Oov&{SD_dj1x+h>;ld*AQ6+2vBU z_vcLbUQqXf{n9;o?ydKJXU?nMyItk=?zs1R)!yECyFKEsp6AZl-t(iQ{{4EfdF9)U zH$GioQd>Xa&kFPQdung1Yo6T>c9#CT@BWnUZ}!H|crG`8{>l5{J`(f$J*{mNzw|rZ z7t8vy&#o%!zj>7XZ_Uqrf3L)DlB-JjX8+Aw`}3bY0Y9tbXQY3=vgGfizY{87Ut78U z^Dg!$H~(ea+H>)>!s~g;#{D<W&zbl9=(=XH-S1{@JNL4*xALvs%<X5A@1NUT`MT#! z{N|a<!`fzTUw7*B*@fk2YEti21<#YdEC1&A)7I(j2X~&G{?1M%1~i?w*MIx_8C%Y0 zZP}Cgy5jdS_N9C6gtB7q{ody`{d!sBz3Z=+pT3k|yZwvx>yY1ZuS0%!xJ|$R`d8d^ z^I7|^FTFPN+gsj0#nC+eRv&-8nfZ%#zrpwWJC0AATO4I~+H|}8r=NnB>!)3RvT&n# z=k7`Wleho*>$_0Bwafa+_V;neYB$N3oVz(={rhXq^4~lETGV_}yQ}v(aq-Watv{K4 zCLNAn>v-?iZob+%%Ab@=^#9#mbpEfiywt6X%KEugy3^{f?rpRCWV1p2{Y<8x(PmTn z=g;r9;l6kK_$N8(v*+`)`DW~IsTO{+xcGkM;-?R98$bR0-%8;;?;q{=#<!Yl<Mwr% zw;%jjvH0g)@elk{)0<?zpO&5U{n<Uq@2BR>-|v4qf5W4H&hd5Tw|wWEovY;jr|<W} zquaZ#=da-Z`Rn+mKNIX*t53`km!DLxe%&&>`48`HzQ1?#kKXtHC;K^&`TwQ2Z2PM( z`k(k+TfL(Cjr5P{f4@B_-?z!ee#-afO*W^^P0q$o1Fd`f_s{<OlS#K1obQrlQ@8!H z*!{U}oiS+SdGA4eyF{)3&h;B>>H^;UIzKb4?#I!EUG@{^H^|T0v;4`a;O)1k{Oqgw zC;W)>u5g*JxzB>yYc@Go-j{zWuUq|R<CF9(ll>MpKbQZ|-X-rh$8cx#vbg=Cw=#Zy z>iDD|ow4Uzn|sf%&h(<Z<$KSZKc~NUK3nYx>7evF&vk|O5A3gdelYXz1MB}^di)EQ z?K{5R_xFk97jc2#SG<{8|Nr-!)z@0B?Dy%M@Adp;V^C$We#!ir)n8tJlDhmPep->8 z{H=_C>z<3QyuRFdzs#=sYs-75egC>;c6ZWyiGR6H<y@iXkEvAVpZ|BkQqubBOztV$ zUtL^WKWD<<x0MUUTjOM^N?)wqbbojG3&n-Jzb4f?KALVX^XtHk<9-~uCi`oioHqLZ zR{GTZD4WCa&))x;{KGe9_WpX+ka^pVKiRuS<I9PFN<V-9-*q})Ze2JX^xnTMk0bSa zeo3v^s{4x<pHF&v`Tq^hUuIGEU+*Zsf4%66|6b{{|J%OopRX2Ixbe?>zW<<6%2WHk zKeV|o@ayjdU)}c&H>&x3?d$VjdB1nNe%<oZy4fez$G@NVFLUpL`O)il@&7#eQv4Iw zyjkhronj|_Uw403_~Z1I;fr5iu967P@2Ts3X0G@0@1GBn%b&a3S4o+F$=Oo#)<JJ? z&uX{N-}h`#dwcz@#(&1Dy1MTNFZ8$8sa@G&oIhuB)hzXOkqN*3XD$D=_kZCj*Qb-G z*l&Ms`ZZ_o%a?mET;6l}!o2K%2{ra>l5gnllWU9pwD)W5^K{)h_dk0q5-KnEam=q! z{CDq>?p<z`ewqJE{afD~FMQOpf5P+i?YoZuIi9)y_;cI0vbSFRI#vE{|I)hNb^SIe z^5-r7#=Q8t@K4Q_;KfgMx5<C0p3Yx&srvnQpYz+F)&8rxr@UAC%iSICU+jOg@zH`K z_9vXL9oYZnWpn(#wR11Wy)&N{fAp{V^k?_i+V7kCe17XayI=PeOI`=O{`mV@rK`sO zd*M%h@-FPX_I=SG2bryVvR~!ar{33y@2FjD!B|-QDfh%<^*HB0bNAePWW4T-g-HC* zwKk{OmFC}Edr9w2-#gv<xK}Ih^{&(Z`}BVFntzWp#8&-Rsg0M|EB$3|^|2TJj~bsy z{|%5>$CLXY{^Op5=i^Fz>NM;xSAV*b@$cE?_$LQ*AH-L`(3t<X#kNbfZ|{P}`l}C{ z{~P{n{9SoO|8}_ijQZ*QQFTW$KL$xF-QT<Kxm#a_ec@r=Uk|@q7bd>jnvkEqTuA+f zLjGUD#rv$6{eLfg>Yi5L>WhEgF#Kt{>i<9UL445@jriZ2m{09qwEu*>O1<H31?%9& zPpk7Eh21swk7NFSrn|lFPx@2o3-fP$wVPdbTlaHob>TbP)IAgZ*I8Ko^{lflj5w9K zWuNZzPcPj47XO^8z3=4<gB9hAf8NaBy1DY+pTxb->iB+YZ||5V&$qOE@#)FmPyEUM z`|Z#POS37lKmJyR`8EGZb?^Uv|NHtG{Q7*0-%q#D`Q}jh?8(zf;yW%reK?u@=e3L1 z|1!O+p7{T?Rj4KJr}TKUSD$~KSbRRR@>%`;jJh(Hzl^qKJ?A`ol0P^6`*3key6n{# zpFYISlh4Y39KQRsc&+0T?d2Cgeaw~Jx4U&$xWhl)!rA9Ur(DkX`DU)Ra`-;Q_l~<K z-&V*!s(t+TWdF;RJvDRomG?dq-+w~i;ZMt++H)(<9Di2)^JVYq%%5jobo=iU{`O0I zkw*2FfB)`mnOr0lD_`@e?&R+WHWw=&yqlPPfB77?pVIxZZ*}MQmhJO@VsRcc?BUtN z|JCf=Z#(tp<^G;vUl@NW_IteN)Sr~SDSH$CndYt8{4;*`^j`Jf8igl6d7Hf0{7cta z{^OBP|6ZiO^UZt^|I57jz7^O0A5lLYuN<~X*q<+ZCFAEO?yk>*>3=?N*}mufx8UAs z?tNb^?JE0^o|T*Wwb7!s^5&1s*ctWW>%SkhG2itkZ+YJI->I^joHG_bEw#R{S@XnX z*8bZU|Av6++$igt`?Z!|{Pf#)$NKI$$87$8U0iQve@EB8q)+4jrk_*ydo=Gc-M{_$ z|KH1BC+R;(|32-;WO=KnHSGUif3EA}x7Gc!apLV4pFVDkf3P@w@z1l}-@Lyuek<JG zCHt>z;dlPpfQ-dYx8LzBlH2A``Q_8x$;Xe`O^u&Z>b>~u=Kjg;&-U!u-t8oP_Wf_w z_@&?fJ^Ju|PL-|h7RP&+?z+`)y8rTX{6B_$=JvUcj;E#f)_r`w-&niN?zD2b&Dk@* z)o(06(XYHOq|V~~Qpf#U`=y1-Px1G-|6KaUTl(z#nwb4p{q|Y+KKpK6m;bBzecs}q zfBXKP-gnJz-^D+5%jI*YzL&6XVf!aq-SaK^{YJk+`x)ORKY#u~Ok~1##ZPD0e?Pzf zc5<)zmGWcN+vabw+vF!$o)vp3;NO|J#dhD;U$eg@y8mla?efBe|CQ&r$p3G!{iO4M z-B;%QF26ngg?{`0DYi!A{zv;Wd;Z+HFuiS7`T5hIT^IhB`}OvMuJQc!XLi2uc9Q<T zf6H<H%X;0p{NE36W<RBT{$7gQ|M}PIe+Yhj{QUh%z4P^_{yx~gWq)qg?|%x9Q*TvF zc>dzPvE8z(`H}a&TP{3yditlFJtkE)HhPa=oVoBh-`W@iiht&&r=_L+)345#d;Y!T z+kb!WP+h_7H*Bg(f`@&TrlsZZDypb%eVuXf$+Z(Ir_SdExP~ru3XGnWbyt-mD}QE) zw5K!g!i5`sChiWreP^plW@cUeoae>+`uAkL`+i1c%l-F<U-$2kdw=rzu5+d5e&@U| zUROMK)-17qcKmTH>ksT%qrc|<nTs~@?DrYgpZIZ?f1l_)#`A6W8_iQ|?n}zC^|zjH z*Kd5^DBmRC`1+;zJ|6W=HhoI<#}EHH9)ITZ(hvKiD|0>S?B9L*RClmufA5~-#t&9~ z->da)KI`wg{Kq%H&pz?}`qetEiNA_uuE?J&)SvjtQty_ZwbXT?mFpMRovE<xoN~YA zSN5O%;+prTKdAgLd&Apfg{l*Ot-j@|^mOxl%Q^oJ*QrkYbn|^gztt;su%;IQr_?X% z)hEoXe|h|`l6?$|{`nmb_U-y^w1aPLeapXd$`ax|tLrSzA2Yq(RCj;J0bU#ROLo`$ zcV)*beJ#KCZ{FN3-)&ws*Jbv9{G;>6@<H0Kx%P+h57<`ZmmlmCxBXBb)BAbi>%*P( zr{t@4&$?G~Z1K8f&-a#HTU@;1vz5ifZ@=dCs=u^aSS76a-s;W4EB7xyTyy;1>@-`m zb6ftg%wPPE@7O!V4~+%Nb3Cez<m={Vq$@o=+x|Q4zJmR;(>uOpZZA~3{LW?Bb6d@M zzbEx(FNu3>TiL(s^@?eyPtMhm|1Ej>Y|Xmk)h9}Fwl7Pz^-lR`WcTRZmh9h4eK+3z zKF_08_G|UB$sU#0XK$W=Mep{`GS#5_sZZShyHpz0@1OtYY@_hX_eoE#{FZq9`Er5M z)B3hQwj2I7l&J*8?Y{b1`qI@tms8T|4<&z{zyDzW?`VsOpFU5lmi@<C^vYAie&xcR z_47_!_sG9$e^mE(ea3nHL!DQi|2p3FC+@$~b^D(1W%pWssnu+~@qFKs!n?M|i^QAW zvG4Mq>QVda_71=2R=evs-|6pMH#;Eyws}|WI`Lh8FYLUp{?vH7cmKi9_V@H(*cNp9 z-2be0Z}(4zzY`0+g*D&rWm;Sr|G#z5_oFM1*Di5+HM@J>_k{2HVNUO(HvCOn6np<h z(7t=em)?KNcP7~F@btssAQ#mxo`1Y=Z{qv>4|cngU-I`D|B9a1`#dXV$HXn);}01> zy#B!af%!w1ThDhL-LF;qIAm8|oz2rKt2rLOYyIy{-pg6Eu2?1LU-lL0_p+ydY<^X^ zS7qX_n)CP8tNgwvtohzH>euh;b=RsUdHh^eHQD25->ZwuHLkaO+NwVF+vD$(|DNPJ zvt7SUSo6JQ=HICBg?lUNE?2Y5{$F;<@7jWW{B6C{Us$fc{d4!*H1AK3_onQBR%!M} zW{yYoT=l=ZZ#=GB{5ySm&^!A!;hFOHZ&z*UF4t)1f8cWJ^?D<YpY}@M#n#L_Uvf$A zb>ru%n^hA%s@IsGQ}5M&Q!CgXynju2=auPSbzX-${XDkW>$k)y{yE<(?9TsQE_~|x z+~6mB&j;3vU02Lgl<%A(f1>)MwO9SkE6;70aDKg7zx&2!UV9Dy|IhF2c>els|EIVQ zEx)Anb)?unHVggTx~z8I<Gn@4lndmhdDOmBueMJLfBN^{?U_ZF<L{Tc{_V+s`d>J+ zzfg9LeQDUfw~K=8&dvWi{qvt`g-$}t?tl2JlwZT~_fBp7yZKf5Yd(kk-SSpkXytz8 zy~kGiY22@P`9E*|-&x;&?p<Kt@cg>)+h6qoU()T|6&CDY@NfUU+Y>!%Pwn3SW?%l) zn$My~<=(4qkIkO{`v&Lb{C&$#?Dl_ax8Qlfp7QIpkGBU*d3~GntN)I5FUsxje0yUn zIWON+!@eeH;`RNfzv`b~|8n~DfPLSdDZSrlf8Dj_{I<g`r(Wl0&Efewf7j~wyH!@y ze|T$Ceea|N-@W``=lv62O4@5o{Puh9&CtJf%T<H+-?t9^7WVCa@U%Czn)mO1U;O9z z*E*l~i>vHk#Yeqg{&U)=I-B)Lf3NzV`I_?g=;}ZDYPwp#WTx+Z?y_I-c_ioS`JdMP ze%1cy`=-~ceoeQFG29<G|M6`zm7M)6>SL7N8t$9=vuOVP;-j2T)oXT5S@U@Q_nyjs z2fwat`4l`o(&*>0^Ll#uc3;@9*sCP|(p@c5`FG}=>1P)G|8(!U%c<FYb&2o7OGD#t zuR1Dsy!P+gp5M#l!<?$8T=&28IdvVsmA>ziJ<k{0mj9G``tWbdz4V{v|Nb$)u?kW8 z8t=aMTioAs>z;p&Sv{ry@&14H^Mo(2*S|Q=?$a;XD*rW~C9fxcF%G&PBJyf|J^#;I z`Lerbf5fiaU3t!Y;x~)=pJlqg$HukRz5n^uCS=)ckH5dTtM;$|y!56fN6^02Pp5d) z&U^krX8Z4=XTQ}x<yxv=3E%FwlK<TGJ>fsguDQPhWs<tBuQwR4oUg6?zE-UN<D7u_ z+igeX_CMcKf8(p-`K^-s4;SC>yt3T>dEuW6??v{%7CLo3KjzKHy|1^w{#kn5`TfSY z*+u(h*IAuk{%@ni0{OkqC+j_b&-pg{)}9;gJFa~DQTuD^EB09d`}W08lt0EVbH2Cv z<<9voyKDX{<^Rvxbx-=mt{A7E*Vaz=*_Sz6>3v=2zum{aZ;>zBw|4&9WQi?S?>(#U ze&5->|My+vNx$Cg4Li2}k6!(I=e=fe9UmR{9lEdIn%{CgCALstkN6&b8|HtqHAb%! zmLL55@cM)O2e==!Jk70qY5%o8yHdrdes1mh`bW0vLG$;oUd8?{TNTVOzrT2&N>F_I z>#HlTEO&Wj-BWsP=7u`c_n-H0yz8&`b*jy^p7QOb<(s(~`p5p4>_1d`ZPizguXo$$ z-J5d$;D*AAp727^djBQ0_hzrYzr1i#PQ8Cbp6J`vrROKzulBrP(Z>Jz&9AQKKW*E8 z*S=c#u~g{R>bj>k-yiIF{A<B|_rn*f?Q*O*zi#K%{8n9ex43kk`-eNNpLO5<kolEc zK2O=~-<r>BuU6)F`rI!*f9s*;qh!zHmHmbOG41;%|GzGOyF~cyOJ3cdy75a*-@aZv zFS_*4hnnu+KaZJy{r9%z*X-|_zy9vC>5~6-_jTn2ms7jz?}z?emZ)0$S4~e*@%-xe zqnp2e44o%@Yw^C$KMG$f^mo14mEly|`&;yPOuo5Z%k#|M*RS@hJ$2u)_MH14xk9<p zVkxFA=|BD*mAk+C`J}9u?yDZn)Y`B6HNbxB-imMgY96PpZ;tz2dH$%%rF~ac%g_E- zA?$hn$J2Sc4d=SN^0&QL{=7U=_icsD8vBNyMt<|YpI0;5d-BCc>uVO?uRNFR`FgDQ z;W_rT_UE|ghN}n7FFbg4c9qbn>#_l_`aeF4f8749KlJ%?b=im~vE`p^c0J#|>_go3 zR2d__OsDEA&wtAOF5b@_BVQ-RI&<FZ0Os8@=KU=FbCaX;tIDOg$GfZfekB~cul&H} zRQ3GTANTicF4KMcmibFWU+0zOe{^2Ge4p@mo8<eF%$NC`f1{JO{}Rqz|MkfG>B1-8 zXKwiJ9C!9}?}ywE%pVRHC|&-%w`7W+)6Z?r-nHfP&s)4+ue@M><f7Pr8<gJLIPPC3 zo}oT}nT)Vzz1`Q(Y|plu9|}(Vd-`@o9K-s9f3}OqaOX4Gv#J}_9-q#*=egsDc^}Lx z`R5-zC;QO$f#Qe84+}qpC%j*I^8E?pAOBr$T@U<q@9|WRpR0<zH12<%@u@Dh|Ci-c zL+-pr;kTa_ch|(%J@~cn^W54M^1lzhYma^x`q=-xq3izdb}yz|u-5)>_-v<izHrCB zGW(xD*#DH?`>tBB$hLk-{++Y+p}#_Z`egjSQn>Vw%=|xgm(E}LIsLhKkM<YlQ%Ao} zP<!>!=ZDs<=cNTom)ColFRVFy@@U<4@!0S?6Tk11-lP7eQtmxtLH((ZPg(1p{|tD1 zfPYEc9Nyi1R{iOJZF}2idsNSz{`>A1uD?s`g6jG9c3ye@eO)2<SMhmm3tszVPd>-C z;h#&Ldfn9h)%#n&m0p#*Y-|@4{&e-d=tG}oRHd!t|8>yve)Rmp1@e1LZJ7QU{Zamr z{^9P2<_|d)rsqVzHkmh7A7*|y_o2(J)$to&|M)ezZ<*b>#j-p3rj*TmcTM!=)!2Yj z>FPX1`_{$xy?%A<WU;K(!oOd|#cF@mO1fXD5Ubn1+b`&4|A)DY&c84E-utE4uCFZd z&Mf^)_f@v|*B<lzTC?-B)>oCFf0w_MSNhL=K5hN;|5s;w)SmwI`~1PZ5B@&TESS3Q znzvWw*C*c>KP-O8{h;NW#{0kW$7cVN{Ci;5mHoUA)oy(Ke!a3z{ND8Mn|WSJ*(b@I zUw+_LspR?2E$6>VeeaKTmuQ*mobdQ|=6|Mt@BY0zbtALR=DtbS{`ow!_gmV`wtwD! zFF2u2_}2ZB$V&UC_h)^3|7hw4@BO!1KAGBUOgdeVmu`9PZO*Zs%dIRXe%j`Iyz+1G zzFyh28(zQ3|Cm#g^jJvWxl+Ywuk4SxuQ@B%dpZ65B|r7gF1d_3cKaX4{`b+auPK^X zzCWTq_P6SD&Ux_$eJM`0YhS!R&;Le0_I!bTP`%}2Nwq82KRiyF|9hT!&^c}m{p*E7 zr>@_Pdh&IB!TtMxUi_aFu<zwJrT10y|2>;myy<>f?akt-&MVjNR`?3P)A(j#x5KVn z@o5on&K~Q>5Bwj$|Ftuse)S*ow)^3iq+Qz9q_5L|x&Bf0+pL-u$9{L$J)U5}U;6K5 z-O}$1_a3P>*ZUQ1^I!B^+`d}L|NQO3`}i-H-#=O{-P62*>F?W98w$C4r0Z4c?ZwRG zj&EABn{CdCFTJ-54Bu=1^`7G{?f;PT%eF(!Gt=MlsvGSsxAr*x-9Tb*WS{%T^2a-7 z<|$o1{e7G0=LxkBCSSbGq8=2#ul#-Dov9y7WWVq<^d>LA+j-?b@0XOF(f&5~-&;!E z{QPg;>XTIqVx>RK+oC=3o5ef-W%JH9?rlH*qxO~Wl6`%z*1lAkl0P@>*6Pn(f4kyb z4!pl7x-$OQ|ML6UM)lX%d)6C0m(0G<Cp>fCZ>?Jk<(})Bz6f0ZdUEm4YNx-Y{Zszz ztY3P6#`6H#<3gJ6e=OKP>v7A!)Xpj2%VT@Pm;e2xx-0LW4QJR{c8JLJUoRd#T`oWK z@%G=9zvAP%V}E%|y4xlG^r_hMqI}<^=NvWm=b~-aPY8&Q^}e{=er8?Uukh&oDf>5X ziT|&-|GusG!+)Ef3kfZ=|8sKEzE9`wr#wBs?5@RspB3w$tz2}z@TXW^Mor%9){mNB z>c9QtejmEC`AbZ{|BSfPb0*FE{JH1x1FLwapU={}_uN1GYxb?W>5KPP@tu3Wn(@!w zQilEBG3=&w_x)cS&u@<1UNhsl-Iv9sADFH&+cnJoQD-5(k8vK;eQtH5`eV)IwMT!u z#`s_QAGW{X`qq0-Y=6imR#x<1o%F1n@9}&22hUHIYsZAjU7!5FzO?en2fNR9bGH8c zeQd+it0#)XU%OAcZt+Zf`GL@<+jXCARkq>EYuUW7bZd?Jo}gQkAD?p#@%gR$SH?zv z!TqlL-RsJ${6F5ivS08+#*M$#*At^N`|oX@vcWbZ{?^jC^UKwz<o^pkIsbRwvFQbO zZ+>NczxqG#d&`NxzRdq#$MxPZ*k9@C^rbPowCw+!oiyM7(L%95`{U%+EtlH9PRnl1 z(~q7uS1vKFek4-1z2@uw&%d`X{&8U5<f8qjzb@~4v{x_FCglEq^^XDEI{p5S7Eb$? zAalk=bFJ&!r(fR-@n8ME+<yQ4<#o?rn1ADwTovUX8ejf+q1OBIzURiPf6a86R$l&i zVOIa^9&c;8Rljyi^N0R?cg#7oF7v1}Sf!bs&S(AW@-fFh$?SiA({_ED%%py8xmDjP z_ifqzO?A!sSLW-I+zWYa-v@~Dht~er`xvnG`Qxtktg3n5o8=^zU$W@vtdZZBemyfL zJTzbFsjdIRUrqP3Bm2M3{<q@M!YH|!{C^K_HJ<p>P=4pWlzlP!k(Vk~+{%s#di>}F z$VD%2o}ZETEZF{=YHxd1)w=1D?N@dzKK9e-T}G%)$k);<`7`{K?yoPqasEaAirBfm z?W=5$>6-GbvYGk5<M&7A6<zJC_JO=}Z%1ZqZ~Lk}WviEO{unUzeV$Fo)7{eiTOS&$ zP70Jv&A#>6w4#2_>%Yf#8qHhz`r<L=imtCyeIwF8*=&9KGyLA`TWcPxt;xUj_}7fz zLhJfNZ!W(7#^;Ua?`NOOzlUFrd;k91o)ZOc{u#ab8U1(LzLWRww$C~7S*3!jhCQdU zBEL5M^kX$HozL(8wLRChv46gEXH9(aiT!n)^X~8N+8@vU`utWe_50J`yIWs<y!W>3 zUjDx_tM0i^tDm<0>B_sEYvMEWZ<)6jdHlBX{`*bt^VW)M|5q*kwPnlO^HmdmyL6tZ z-^P7CG-o@gPqFTc_%UU(y#C)h`_t#zO#F1}rFo5IQTd_HDdFcnZ+JX$HiLRloaOhg z-`w+f=6F;-jhX(g{Z4?z#81aQ7Vp1Mc~9}m@_j#!*K+^U`y=q@{)g-jyO!LRo_FTQ zPlGug)pOYA`2RY#^osnQ(w_fmv5$R!<nCa#es<{A)`sVsH#+YY$~+(T#aepPd()<p zEy?m<WSP~2?wdQshd;HwUL^C|<MxDgRYEiCjDNmZx98~w;i_%RZN9Y#FSE})dnwM^ zsyyfK*MGO{zEoQu`hDnL_J6L&H(nR;pDL|=^MYIb(!9wNjPqXV%&QLEcklU<`)3aR zcr3$QFKox^ms0ghI)d-7=lQR*uWq#d9C-Xbf6IO*b)&sjr`9emy2EG-E<Nu}e)*)@ zbIHD_PxGICaQ&CJvugdMH+wDaFT9iAzWm_jhx`xDPw+o|u||E%`sZy+_w_wv|K%Lx zV{bI=-&@BYk`@zxO$)I*Klw)d>-}38|E7i3to-)pWA*!sSM6^X`)6O=XV&`n-mPQl zr?zf>`f~60XO9b><hQ?XPCsP7JVy7q%e3{+b9BVd@0WG3+v|U}WWDo$-vXttufvlp zuP-*gyfNl~`>*W^JKFx}GN)U<J9J+?X?mI3rG3jMMmJaO;;XT#5vpO#*{A!w<=5<A zQ@%Z@+f`V8eeqm5X7!+Z#ak-l9*RAA-|)WeeRFuyo=EOEe!rBedF?+|d|Cc~_rL18 z|7$${XUm*iyRo|3V&b>g@rGiyzicbyZtQsb%6Ey~d-v}$hc;b_f2<aNYV-G5`E7HT zP4@U}?^-ALrhIwf%fIio+`F>qeu>b^{cUx}-#?saQ$B<5m#_N7U#nkvI{ke1Z)t7L zdH$aD4}PwH{d0HWRNM5&`@%y1Bz#?N_jt=n{fl|`uN}2JKlx?-`^tU#f&1q*{C(%M zVtv`}MdyF$-xFSZfB$Pn&ZoDX?iG6+yPns-Upio4*?~td%R}pa98h|1dFt+o?|~cY zrp%i>`_%p1@_Wt`FW7yp*2_?{uVFNkb9MT8Yi?Jq`-MIk|9vMnKVP};=x3K7UN=79 z>%8*5GW7aQ+x7cx-=6yX>zAc!(EKWy_Ws3p-t6~#a<iBxKl%Od4Ru1l9!vf|{rO|3 z&;G?FdJ3_BcRimx@1>r5T|LL_yhXNq4?g`XF+XyD!uQ2r@84-I`P%>ax9k3IF0aDZ z7dG3dr`}Pxz45Pu($|}DTcdYI2Ma8znJU{kWqVA__N)6#)lZ!)W|$W3RGa&C=^tbJ zd8g;iYf^anmAmB5w>i@brwN_9{yyx|cA2m7-{V*x%gXmM?N_#OuV<{kzW?HmV99=s zi7#J^=gXKqejrhP_pjsQzg7Qk{5>{Zqx`aOO4YIbhV!cGpVam<SA46zwZ2OJV#&Yz zCBie~_QgMbQr%}a@zc+^O?QMf=f6MuJ!PkQ(7msg_r3SEyPSGn{blb@IU&va7pphO z2%qBrpS1G+xzD|upY8O2u6R#5ywrZ~uP>Ji<8uCFerN9qUUjeK+tv4>Z;Jor+P|=Q zeN2Q!GvC^L-Ky9Aza&+s<lm`2c`1C~?w9^jQJ2j31yrue>@Uy$|JdeKW!#}ZTiyhl z+_*RAeB^oC=@SC>W$x#nu3x`)<;NILr=JghPmh<Hf1%j)-27F4B&U4;edY7+bBAr) z-|sZ~-Yk^aUslnVF2r=}>8_ns!mn1#27XHa`R)jRuXd9CM30{~$5ZYcxe_e;e#19! z`Mmz$rJ)~Hrd*f%yJ*Vl<@5b#%;#j+iTrh{-2CsXiK?~x+wY{?aW>zbzWHCZ-nk#K z-{LtxFaK9_f2I1wPuGOs`s@}ub-k|g;~&fXFMA%&<f!ty|MT$VKYLg0U1|S$dX-RS z{4@QxURzGfhkSZo>3x3VPdVH4#9FhwRHd(}bwU14Kiitcw;wM&`E%aqduubrL;v}_ z@~#f@cdDMnulY?Q-`db_MqN_pl<zUCKQo-aylmd8pB}Fl9+i79dr9y4`D4BY^Ij~R zGWq+)vrm3*|L{KHy7nFZ?>2i1-T&DAQ@vl!`FVA%`{M1JU#_eVQvaI1@A5mBTi?a( z4f<NX-hTh1<x_Bf#GXHU<Ff^rj%60qg_*|BxDfCqa{Zp;=YM`{eBqmMe#v_A%KgcE z)gOqk__Yh4GVj&;w5|O1%#T)o=6P$x+wVG2eSgEpU|ajgJLU)MOY@ny-7fzSzb*f} z^4)Kb|31A(|H#h?_g9~5-uwLU57S>ipO#O3t32^rX-fO|>8exmZR$>bN}pr$SNhMt znZ8Zml4oDM_owcQ+=e~k8(vlWSMQ#Ef7?d+dGU`g--z3zf7rrs-R@V@pZzY`YoGXY zR$P8vg4MnGOXs<qDo+VNdDh<f=dHr0;*s@Ro%dQVIAmdRPygZ0;`51b&Q<%QRLzXv zzxfJi`1MUujhDuKi+_hdM_-NGd;R$5_2(|{)j#?DPXC|R|E*`FU*EVV+;ZZ#((V7Y z&AYJm&rN@8`L8kSUpv>C?~2dflYCwO?0Jn(FaORzX8Prh^PxXk`Om_4|H<>#uzz@j z|9t+n`#I~^alhF9)b8l6C(09Frv5dxTT&&Yng7>!w^!aj$y4U@L+UQx{PwEGYxkY> zqI+rfvrl|6+JEe7n7`7~v+MtMl=b>JE~q&_+1_UVI`4=&Yo+wx*Z*Iswv5fceEw{; z(f8-I@*KP47uxT=|Fv_A{#y&7#)}0`KRuW0ME=|3fAxLf^X7H@uk@c*);zy>F8}!C z{J;5o<FzM#D>L8!b@|jkyZoNp=pUch^78uJxF@mx<@;XzD!W?cepk|U-|m;!=S4o* zJ-hC0q-&gg(Q|jpbt-4}f4V3AY*+uskAL*MHR3OaT-qN0z549W>Gzj%K3zU9_R0OH z?8l}W^BpmG6|D4h^Z%khTkSXey_L6D{yWPTY57ythlFRwxtB@hTQ01*yWOj9`vdlO z+%K=^+jO^l+A1#c^WdKY-=A7-{+IHO+uGi5%j@bzFN*gbsnvdaY5oUwje_|%>o&Zq z-v907qWj+;m#_L+K3{!dZSUspJ?}67@V)i)SEkZa>q*7)?H1M?e((M3_=EQwjsMM7 zexQH2b4vNYXWysQ75qQA?}Ofk=QZmd{EYm;8d0Bme)gLV`O66v{1y2>tUvhN_&w9= z_4_M-VovUVeeav_yURKXkMC7K{C-Vui}vx>mZyvB-m8DQxAeWzf2}zI`#$y`wfp^Y z|A)g@Cv5)z(b~zrspVJHzmPAy57^iJ-?{JQu7>C3IqL83|BTIwv3maX*wdnYQw;t- z`>Fc3?^bu=I-yhB_eDL~eLHT-`xSdF_&sLSoxkq=>t27M+S@dx8r3--KYJ_dXCJ;- z{7vL_;<4K6>yP}l>svCnhWA^X@ng?w{ig3666u>~-rvtF9lu%r?Xe%Tj(?nU;or0W znm_-axW)Y|S3f<#?wIfCZ;RstovPJ!HNNeV+rs{I_5D*>Q{0_?KHD!|d4Kv_U;8=f z8_)l)`6_I(?^VDhYo5G@z3QI-A9DZL{jcS7g&E)L`<2)F{p;ti`uynD|L9LYdEWfC zZ~ty9xKHMvW{vS5%~xNG3iK;#Z&WP4{b`T&9`PE68Uq_HIp*7a=brvF`k`ep@%{5H zp{MlEHQ#?1Id6N++5)Agy_>Cg>L1^JF#j<B;o^rsKg@r){}A`X)Q{@-Sj_nTmD#-K zsu%oLb4Jhx)D){@tdsOhsk`(~bi(U(ww*rf#orz%OWM2To@!8?%=@$7UsP8wp8Wp3 z`;Y4TJnwHTUb5nz%d+?H^_|}dym`6iPwo=yX~nMR4;&8D(!9_6Kk@0OKXQLGFWqLi z*5`7oTKwf&C#%^df%o3u-#>Z6N5$}GwrWPTza76np0-84I_vACN4pD@E}O?*SB?pf zj{5s)?u~`9om-CAU9EiWewBZpM}39nx6E{<x0lzke)+F`aeaNr;{z6NE}wI`^_}hK zFP;0+`UmGJN1xdI_e;T-*?vl2SC?0Qkub0Ep4Z!5t9kzVfm<6*UxNGeC3?>DtAsW0 z&%e*#<bKHg5bp<x3Y{9QIUaxeihFy)H%rJD&3Spaa=P4;`$Zm0>b~|l|CjurVsU)u zX3zhEH|oF@^|Hr1R^D?tb-y9DZ}#kD_w?g$U0&@M|9eh3?#a&0pF3P$mEW;!{rDj2 zhuDq6G|OY1F0Z_8Pg(k@2Hh)>SNZGy;P^xJ#N#JjUKPvOb`*Xw`Jr^9@T=>ws}N;+ z>OuEP)+_#<e_;E8;Kbv9TYj~D*O_Ng$N#);$Lnfm$?38A|GDln-<$r{*5X)a?EJbq zb&H8FXZQVfU&p?~u1b`vr~K`&*@f+U_PbhdJzToLW!ZbXt<U9pte^b)wf0H;|FHMP zCAP(i|MPdwSod^-!QVDh@1L*vU;WIyUU}#I4yM1?K~r<PmcH)&6}n?yksX)Vp<f@< zew|CV3BMd$ZDjj-`M-0|)~Bxziko-+mhA8UemlP`id)B>&!VoQ|26Xeo%sfF30J?@ zzW#Mo?t1xNdpG;w@0>!*?r(COD1U^1%i$j~q0beU<wZRCtx&I$BV%y==bGd9q$gjH z`}*?z!@7lali$sk)<5!@Wu5yjz8L}a&Trdq&;Fis^WMA1d)0#G|802v^d0x^caL^$ z_}%$#b7h_0!hgGbyY~L_d|Cha*P1%_dF+Mr4^+$E+3vSuf7<0m@&9Wc^X#x&A;0>c z*7n67_QKzlg<j=5@Bhtoul~Vrull*nTBo9G=e3*gb$?`yQNO0|SRe9!ra@o2Q+0cO z*r{Y2`wRMKioWct{u?UGey6@D-f-c+wzKw8e|z_K*WKRuZ}+d2JJ&z5{dz2WyG-tP z&bRXWTraM_KmYNPuNQW_|F!$Si0d!k7t5yv)W^<Wbia7!z3zv9KkIVr@_)MH^-s(1 zn}uKH-`Mox|B6??KkRd@|JJ^E<@ICv_EXcZmkQrnZTI=@&UsQ#zWy%$>sjyj+x7U) zmS54oMXTT1-+8{FZuk7ez4O|Yz8A;Zd#>33(!Q(idHMY3?f0HP{mJ!vv;3$3omZC2 zoxb_AyXx)wRmbe#dfVPwIIrcKNzV3?&&&5MoofHJ)V%)dZT-7{R_13u^{>5qHvVnH zBzt@AyUXwPzGr*?*`n+D@`simd~1&XV>8ohFHHV-u6)Z!6Sd9r3Y7okUW?3cd*8PF z;NtMJv%(YpUjAoyBRKWHM4h>unf=T<rOUzpg1*G?m50Yqz58nGoy~KEGV`xido8KC zTJ57@pLpWY*VF&}?A7+S3u&&ePYQpU{ax$j?f+HvQ=f02t6yFBNZ{C1m)*A2;<fYC z3+{aUbIsz(*XLjUUFZDsx5#4QzgrJo_dn0w{`B|B>KWUX&QqO|Z@J;bYxSq!yB{CW zVfnkyU|!Q_*Zulm&cE&6d;8$+g!At<e7CrBZ1YF9cZbiN-@NWNdt7^6lJk%2AAEi! z{OZ2=LF9&od-bJ!p4R6Z_&>?mOetO3{D8H9_qE~a!`g?-4}Cv$d~;n1Qw@jg<B0|N zzm|S1kp81r!}_~V|KQ`7`~TVRF#jF-_ksIE^#_e>HuJT$ANYM}^8>Mp4^mHC-!blI z)^BlN8~LxEXV0amr<I{Ubbi$Q5c*+M(Hi&k`|5+Q4@n<<f2h9MeSK_Qz0ICeR!=K= zE5vN1=W%a8bbNhuUA<6^T8&=J_PC$_Wgk>k_*a<Oh}TKZ<2PRy|HQu~zA?VF|NeqM z|2aQ+f0+N^eQw0RdZjx&=NqoyTk)q}cMt0x(LK_C=6`Vhu=vBit*-wveu!91eEYZX z*8G3UzdzdXHS0gG{Ua*pQ2CxkJ?OvOmVUtxF}IGd+tQu=Q0kVW($}@8-*2+DJ$!%r z;=ecM39Zc6`B@_uH@_y2M?Gkt`ulR`9qjKvtNhUWQD-sn*QWik{r^5l{b0NC!~5Ix z%Rhdf{!sJ7^hf`j+b_!#|KFPb@2lGnvmfDa*#EMf`&VB4>n_MQDs%pR7XI_sbO*1Q z-t0oA@+J50@$O^W$9QkvziROxg1_D$e|Y!B_P6J6S8TKYZ}{~?S_Q+`kK7;bT{$jT zp#3$=ZjbWck9h_9zwY-wh(EBuO@6`tT8VqJ{*@~H;re4$WAHbZ`H$TmoxiVaUcHq5 zpm^o-;s>rD(lh7#eqjAz^Xstr1GZo513v_RDE=_<%IoBZ>JRM?qzA3v&#S(v?)jg@ z&{xa9{*k=*Ic!h-;|F%9K0p58yytyt#r}Qe$KS92Q2u>!kMr-^e|dXfWYok@{$(h! zP2M}@U)o3J&yl9@J(uiz^StPehsOPaq?zY=AG5Cu{!#m`Dn;q(-?^W|{>ofWikt3H zS^s<||KGT|&((tdsU1`Qd+XlQn-%4pPow?xelE9Oy>Qo-_1|~P<?ONl9w@Z(e68N& z+8y^E|NbVk9@Me2+<N1AW!&QrukG_}4!3;LT`pdE{`Zb~Z+5-9{6%{GA>S|Z&kz31 z-0%D#a?Aa&9}&+)A3rR9Fxhhh=Rd(eI?~pwA1dszpX2d+>+`BNv)Hd+++WN6Pq9Y# zkFdqWU+ok3CqM9?xc}aVtp(5iJAA6P$f?Y6-B;EiJo6u4PjU6ZI{*6zKR0hEEdG7@ ze=akqA{BlZ{owk8(=Wv5x9=5y6gzMIGDaKj>iQFsU)sYD_#eJ~@qKT5J>!2~xnmWT zf;NJ6>~-?{rWAfD+95fQasA;PyA1!x?GgBA^Lc08hngL{cFl!9Y%0=^-L-un_CvBl z=kbS%A0a<vepnrQIR6m)g*v|G^aHvdGG4v5_)&SI>QCvbm7mWn>^Xn<!Nd=OAO7`R zj&I^`-OrVOZpU8UKMFRo_olp`y6?l@0^>c5kAJ0onEc`MhfP~1Pkr$6gYbu=Tf}es zD!u-H^~?2R=2zqY^8TyS|9skY!#`K{OM08;<~Z)&EURYpS9@i9`QdA)7q6_BUf{pC z^GdqyKGvVhAMV`nwSw*I^{PEuU$4IZcz9+0-pcpP59{_kpZl=>fNjP8eal<-tY2NJ zUw-J@{%6_~e^&PY%jMuxd7mGpB6jd{ghO;|-9L*T6+h%JzE?NeTc~59zdQA3YJ7#l z9`+i=8s<O3KSV3K_qqO?RoA+{b$+wC(uI?iAKrd2sj#}kWY4R9>EB141N)iuH%Cr< z!OY*F-)4K^w<h1WYloj7T7PJ-#gDCmd$?;1YB*|CZN&HWe*awjL;QpD2eCcN_kDQy zVPk>P=V;S@k0mwdjE@D}pA)VUWOqFEc;Z);pgOLXXB*NFUaNk+^=XLv&K!RAp#L$q zPTEv>zdHKugT}qX-ydjhc>mUJ-sinH-~Ds>y=kuMCAqgJ%GSgRd-_|iF~5~)yHRG1 z`nKOL%jUm5Q1#2`tLel~+h(U1)>LqBlf9XDZu#9B_I)DzWZtcawe-(j8Y7(Pf9oRi zU)zVW3Aan9#&-IguRBy?*I#$pb4krN>El7~7C+$J@Yh4>>&^Eoo|iniI@#mro9pwJ z&#&e5TT;^}{O$59&m}c|%H;-ie`M<T%3?g1*d15=dd4BnwsT7P9jl3juas^So+-=@ zK9yd)!+sBMja-cBy2$fy-GwsaZ<Pvv^KG2pB%8kajZaEd-|c0Wd+IKGYQ&eP|NOVN ztCZvKxpxmD|8G8CvChi=UP=B%_l?`uKYsE3$<Mzn-+XrLKXE%&GW}?U<gdRw&OiSb zr}R})K5)PCU*Y#o7b@(Iahv{I^ZbYJ@!$7^mW6-sPO`szt?YNNcG{f{EuVrXJ}+K= z_k8ew&Br}zLHF`@Mg(7e=bv_`)#X$*U$njI<*WPkL1V!4Q+NH^tU4wCN9x8I=hu9* zNO^U6|Fc4oANPb-p07xoH~Z@S<Fy5^5B}A-bpQ0{tDRTY$G$R+n^h&OxnB02^?#AZ z*$-V##h>B-vffz!*hSAJdy2w8J6~Sgwf^3Rgv$8H_}u(sE4{ZY@2|Ob{UV3Nca@2s zjy>NvcjmgMGd(Jw_K4^1ns?Y=<G#t-C-M6^{+`X%|D`H*{6TXFOVEAspS!E>KZ~^G ze5(HE)#10GIRB+D{a<_HuS;@&b+1eg*!T2dQhy<f?fC>7$-lPm9UJR}Ilub;Exl+y zNB+h?X^Htgm)}|b6}}<vxng~u+r{IXoPYo1U#4stt(@`KMDB{;pV<G^r`>n=EYH6q z``htx+Hbor`nmD>Zuv@YO>6)1*{}ODJAK-xOZCU=F6|IJ7VMEx|8|X1{{LroZ(prR zUdsy_EPo#x$+q+9Lzh$1{zs};`j&6z`Z?>q=<lza<vsRBGw$?Fe82Ht*YlQdS8M~n zyp(^s=Xli;`K^VntN%>TPOINx_kI1H^@V#3{SushOU>8&wJrVrZ|@K6A8zIF<T6}8 zTz$y-q06i7cGY!9ezt$~yXSKL_J`^ZMr+E|g7#P0tp8K}<oV&wDdF!d?rr~QnD+S( zLsirRmsi2(ey{o0G_QGu{^SeA;&VJ|Pt9%k<N2ZcL*<K~igP?_mtV|}W2xu=Cb#DB z#bTuzts1d89)Fcr+PfcKocMmL&ypJ9{rjc%vF#I^$8(>-p39z5J*fUwC4=Qxl_~SB zwr>%CeD<dO?SDma$$##*{8F<G{~~$3gvWl*>|c+*&nWD<_vC%&mFIuX6>A^6eC2!{ z$Jexai-r4+T}!&JQ1{^Hj`d3AHgh~GpU3v~&)dJ@uGISz7VC~xpWhLF@9<*#%<{J% z?RcNNuhgIT)9|k2ll$K?cdAUuui809{q(%IpMy?6PGph&|A)_O#roX1i_7g>KQGn2 z`P^D+AJaZLza@72yZ2sypY!Ujjm5-I->UC@x13kBi{+c0{o{8s2lky`SfKPZ{H6Dj z8rjEPh0OOJ{WN~FT$YhP<$i_19`+isH+vUcu9(NCf4c6I_yd<)pII;67q#Q~SHAIm z$)`fT8o3(NH#?i}-W0FzsQSh+=fofFdY<3!{&SXx->*-+?(-w;%h`6}O#l3E@8>r? zKk#~keU;1_`^|4p)u;#Uzu&v<cFyw7Dck2t_y5(sbyjVzPX6sw<+p{-PjcH&KW4wc z?6<`B*HYdDxsAqe%5TMpoe5K)EA?fTpVC)<xA}Fm!xyh-jZ4^fakfWoz2kj`V}B>U z*eOxRT>G|)dFA_%FE$+Oo8~Eg@O}}Tuk=+iz0mbp^t<`%8}`|QtCH<jRc?pEKRN!9 z_@nac%50BX_XWo*-z<DFli~I|(0YRNm+s%>Pv8Hm?#t@VE9v`J#{GU;eqpl5PsK0) zWqX+)Uwmva!K1cM`&Fq-puf}4XRWC<`{$Ke$<_&7vrkbxKYwZ5dcJ&~@9nwMt3THW z)R@@F*>V5V{<>5C{cgc;)(fV6zs4WeS#(>}j(;DIncQh>oA>^fb=>n9U$4J9`CoNy zMR<jTjqE?!KTLnpf8>8C`tn=*Q1+qO2WuZVeh`1~_W|>R^#>O}nEdea!}o{ox7Rc5 z=du2`=5WQMpEF$c{jaXre^2~#;okpYuNvz<F|XvWJ~01E|EFjFu6}*&^z14Bf$j%# zS7y6hTmL5fpU1v6+dHS6uhY2gsPuI6{ZnOAJ$}A<zG<#nklpX2Ip0B2Cch`ToJ!w6 z`)`oa)77^Um7Z>vKVN6{`=-mQ)l%%Baq%4n?H?5<e)@N=Q04bums8JoOn&U4^t5=+ zsY1<(pW+`_zhe0zS79|LU|-r#p_50yrajiQ@v1M#a;;ym=eMP@$B7-*i!03fE-bcL z{r`yHt8&?Np0Y~)?(b{V&fISi_4-$(kZ!YP-;&+ja{~4)bDyZcdDX0M)v<jp_ZdY$ z`R*30{QTcm$VjiV&?IKR(Y{UJtE86OFRb;g7W=!;{yTTi@wb*8b~hq--fOXYdguJ7 zdv1?Es9ch(f4*zc>ymllANS4OtZ}^ff#}9!--zA9%dVR(d2+wj@~`uj>!o3jbMjBy zs7(Cz#ruO?leM5hUe9lpW3r$A@$cXKRr=$cZNCGKJ$~i?&*pfE{dxX_w{`}rzrE`B z_+V!H^SCElPM1wR@##aka)tjf&DUA`<do|<FR#A8eUJZZ<Giz`J0@?x?|iYj@|l3e z#9wTmnZLW*ia*)6`Tf<ePhDPpE`N8wdfutZ?>V1d+Wyu5{734K*&YV7z8}x6X3D?c z{7GiUcLmA*_uu!ZKc2t+V-45Kx!m!5=bLtCmmm5xYs>#f?>8_0ce3t_(;JT^`}%$@ zKlNAm&BwSSuRTxHzTT_3y!qkA4c~br!`HJ}-+k_zk$-!-*m?WojJF;yuYC7bK;(t_ zlN~GnPpFeR=IoVH^-Ful{U!US{$_h+I4{bnR+nA$_g3q#(=z^;%HGP|b?<z3b@3l# zy*bYnSO0mr<@i6x-K$RNzcq+`yh7FJzwFc7A5}jujr%+`p#JZ|r8Tnq{~h4BeV#FA zpW~IoD>u~5;Quryp#JVd*ZptL{Vn<H^W}ZfpAY|D&klI8=j88+Yrc2-^e3yxM^`;N zUFrVp_54qQ9>1?9Tc++*nKJ*w)}VXpADCaazW)9$+U|UHwYc9ByQg>Ve~wx6PmlBI z?0s=>JnOl>hky0I%zygx8P2a)`{Q=~%IeepWnp)5-~BzDf3v6V@A>rW@Lh+>eZ2eG zt^EV@5B=Gi6ZK|e?EhZ*UHOmf(w%<(Ix9B)dVI+5J@V!Y|17!ZI`1*(+rNjpo*e%B z+~w45d8zN${#~!WZo57&#^rDDT=u7XYd9}w-(7U$b?x-J?~?0{UQhqK=wI!x#jnx> zD);}K_P@I7m+MRO2j7n~ryTgX>$|VK_fEAl_dnFB+Wz+co%x&n_t}<Dw%fHn{o=Ix zzop(NFC*#VBG+y)-KZ@q(*G|sHT`d2oi4ZbdCC62$sWmE$3=vkrYval5>=G4bn<Z( zy0pqNV@cbom4<6I+h#Zi9FcKO&QlAVVzx{y(<4jEDQV5ZM;ipXp2WVsJO6y->8-!k zJhyzVB75yuZ2gm{-%oz>Rqyz|_xrx@|K9D|_3Y=?-$8fhKm2jIa6#cBp|k&Lw*NR> z*zl}!(P!7^A76dlv*-7tOMY8_U-^|E^k%33(+Zj5zxlq$b{{)>ud&4G|JCRF0)9Kr z*MI+4&h7uh9X1nx^#mu>cpbld?D*Gvc8`7U`|aK-{q3IO_xn5Er<MM>!BDpM;9nE# z&)*q0JWhD8Ui3dq>F@T~o&Eva?&v<})x6*PQ0Ke+=kG4JR)_g@PFWx3w^y@2>a^y< zy&q>!`*!^Rf6Vbho2Rvl?j5gS`#RI3w$I*j+to9-0@uHmITq}1J^fn7`5guK^mA)0 z-cK)yd%weQ;s3wp+D{XAf2jOYXSDye&beKOiwcy!{`^-UKj}5^F>AZ7GE+8Cf~tA9 z=l)llQ?HeN2;C@k_<qp$;rqVzVoPo3vdm|j&+p&#wb=L5-&%`_|H7*O>0I|e@jty_ z+U7Fh%=K%ZPI@}w!o3x`-qnTw*M5|`dq3Cy<*s>e?I!-Jk^lCveN)+$*Lxoyd+KL> z?!54=)$b$!eBT@P_V3Hu$j&R*uYF%$c4z&J3-`Xni~f(z-`!pIcF%jyOPy&yCwKiR zsC)3MIQ_}0Z{o{EDqkNjF4|W=-Pzyi_o-_CWA}gjz5d~yO*!Y&<8!W={XSKF|98ln zU2@xUMSnND)m+~9`+d4X`kf_5E9c#HpJ-tJs(9{Ui~sZZZ;0%*O?dBKGUq{UYxB?g z@22w{e)(7|{QL6xls^@6M~i)>FP$%KzkA<)!k6<8<{#$#Y915urF#F)*U$Pc$Ef=+ z+4Fm8wATH<i}G)Mk7;{<Jnu@q)9+K+`?r3w(|>pQn@!ZZ@0Ih;d@s0n_<qpuUh(OF ze#i8w?GHb^ZMFObznu7EoBvAJ*Ry|{7ks>X|AM*l{PUUSv)c36vsK@JU~;LxwSS@P zT#osSyX*h6T}n=Tzjuzyt-s&jRsUwM|IZaSsn-4Uq1Okc56lbS(NJ>e+l8-PLG#XO z+va~ZdHQmP{lY(QR(I|Bp6&an`it!c`3Ekitj~Yim;ZS0*M;-;TrUYfRj#my|7oE? zjdhL4@0HVz*Qwul|DyBD@xRLAL44cRTYLI9m>=Iiui)2j<$v#L)|%Jl^QYJGJ$b+U z@a6}{Po6iinE3B{@cY>Zmv5HOmHH#}rnbEE%Ja(U>=(>g=dtT|@5}pe?1Nx|a*fQK z3vqvMT$;CM`;&mW7gfhKE^$6Ren09-?D>M)%iniBe;{<qf9KlVPrZ-3_dJiNT^GY% zRNwIZ#BRBH7v?((ciHWqexpCG@qllE)6ajx-Fsfk9}~{--~FZbxACRppmF1>LiTyg z$0P50TF1|Hxm6o+E?VyK`(G11sxyxlrpkY**zd2pyYtF>JKp`l_Fk4H4}W@tmKbWr z@8AC`cCYf=KN%JiKdsxov#(tK{M0HT&G$PF|Bl?(e*c}l|B^kgw;d0hcdxpZ_vQWo zIsHTH59S}P`+hY~>FrY4>vMY!8;b0m`bI5i|NZvo5BLApy)xV5=hn*pIn42_{mpyB zs|>zA*?Y--vK`|#IpIwGw>`(tIe(a5pt_s$>-oABTm1KjTl#;o-t_j^@4s`+ZT)sy z@_qQXd;jO}-xHoo+8uu#nBVzD{qKjmd0u70EA>A=tXf_re9GK5>{L4Qr^h?Pk1MV_ z{o|he@%MG?_xX3ve<^sW&VBn`-BX`;h|KY*FI%*4uln7>$I5^H`d0Am5q*2M`uuk_ zTaJ63bt~lOc>JFC`1`%I$1Ud%{Ga(D>W2T4eU*O>6qU()PV4@zzHF|`tN4ho+XAP4 z%lZA$`d;3jy80V6YM1Ko8~+YocTYNbr+U!8+gF<3rscI(*)KWvD?Z@uyNEv?Oa9MW zy|3qfb>8h7m7sgoed|^0`w!PQZ%@3FbLS^`qM`Di>cmgCYPo+GKRBQ8e!5v*rc>?a z`C5M`fA8D5?tcHTZ?#iADz8tqZQsT5cC&Jg+1qzE6?}hG_egx3)?j_$*F=xsnb&KZ z?!8`gchCJ3Kezn#RoyR?S<nB;l53rMyz_&sGU1i~lfL*hyl>q4S^JH}dhZqMe{Wv8 z?=RnLt7+e5f6Z0dAOCN{_jCI;+<$vd@vr3{);*H{cD^&4xuwoJ?@i<Vb?rWCZ~q45 zPu@54c^T6mCL7^*j=SG;zUO$)B+n+#8qW~VtG;PZRJ5IcfLx#W|L9Y%?PKjC>m%(u z*YMw7`}?n+^MSuC(n0IzS#OtpbMU_H`&xyUF1Ng`U#-3JPV1)O{LU@uc6a<gxwG^v ze{Y%gzSw7p-S^|S`{Ez(td#a!QuB?wUwQi18C7fBYu*WEp05?2`fIMssdW1ig@0-G zNp?aj&)2=l`L%KNL+b~w7w<Ec)%xu2yb|x3|84fW{J7@2i*;Yz?oAhd$o|l)PW96K zEtCJ2)PD)zG~46v&GWx)!}oGNP2V4KFMhFR`uyebA7rZeEGK?i_j_mk^$PQ;U(|x; zzm9t)>-Kkr`NBUlu6xzxJ@{^ZaQoqN!P6G*aX)x({s+tFxxy>&KVQiF_UiAiw)zu2 zD!)hXynpuh##nx@C3}ABJp17MeR7rl$&Y)~@7|y2QM=OJ^6?SS-m$v#pME#|ZmoXg z&pgMYHdf=hX~Oa5-xIgZkyrXF^ha)v$KRFPC(Yx}zOp>N{ZsYzwSV|t?KHjZ>GXfu zp7>kqUw1WY>OVfYi~r=`h;{7pZLyCfGq2Z*`hWU=kNJ7|*6<&@UpMvI@J~OyuHSP1 z?s;{KIRDASP5PIldE@7#?>1*r?9AEMJ^$^OUXflQJ8%E|L(UHtez^D{<%i=B)gP%9 zhUfn4G2UnOZ`<qtrtp$?v0kBRg@_H?JRW=AdXDq0zYFB*wC=2PUimQkf%$`VN2cEp ztrMNcuJ2aU^Y<b1gWw0o59S?Fe98K~wY=$kyZXWX?aL2set7-C(T@2WS@x*cDAh>S z7}n_4SpU)dV|u60LQVT{?1Q`y%RU4an7=z2A^wh?zft|r_rvQCzCR#c)PMTghl~oI zJv!fal(g_Soo_hbw7$LkS>5#Phi^F*Zi|15JH6iX{gJ(L*AHAjZ2Um{q2&jyJKqaU z{wUb+*2&e0*75I~^V?CbMO~=l2lEf1cicDUR6A{J*!w>-{L;PepG02z-TA&r^$tTm zd-eO<txMiFyl?r>biU#GlYgyWAH4fuw&A<AjN`6()jHSP_jBhnesAn=-`{XO;ql{R zAD$KH@6fm5ifdxF@;<D6K>onz2hls9FO+RO7uHmLAo}p$2eJ?6KD2$%_~GA&#t-=q zJU`5TQ2wz0q5p&R4}X5hyJNkHeUH8khaHQZm>k=D7XJ49w*1!l?db>e57f8YH`q6Y zKRf(Z>chGZ>mL+9NVjk>5cYfWJD=-sM)vQ^Z|wiC->;*3@7BK}i?26s{d#lgcYg5> z^O(1PEv9~dRDb*T+NE!Q)^FYaE;RXnPW_$EI<txAzu(b%zq-bL?a}JgSc{3j=F0!w zef<1y<Ggp?|4mu8*?+P0TJpcz=-q$+pYuKbFMB+{F!sM=RpNR7JKHCC{J&*2|HRKj z8OMHF%2!O-Z_i`TseWnyv~%l)R-P})Pq2Ib?_{f~|C{60dj$QK)LX9q@_YX0BQB@5 zm&ncXs67{Kp1SWA`?d8hr=IV*R{2op)qmR^muw6t{wkhl!&5u;+0xD{(?7pyp7}k^ zx;$>qPmd-4ww=2zymJ4gJ@;x<g7#gqxnJbFq^8gLw$*#zC3|JWx0cSE?@_%|@1xfm zW0jzNx2)Who@%cxe&Me4^!Bd4i5`E~1=pOdIx2Hb^w~l0O?d&Ca}_84y87DcgmBOG z*yjbZ&bz<u7QcSu|FvD~7TrA)uJm`k*?Ny9dtU2kZ=APD@XfB%#Y^^z@9!*GS~>$% zRIPg*vT3qMZEoy!&n5q2zE`d}R=D%ETIZGduRU~Q*}az3U0*saWpAeLjnY*cmw<Nm z7Rx=b3RQZ$bgtLy1W;XA?|pr*(8~3fcFp)Y$K&U=b=|5#{}08n&-So?w#RDXKb<$F z#=k@VdM&ZPdhMo==KV{h+gpAeTOU#OYS;fY!k>-4bFO!`I)8e%e0}-9|5i6XH*BA{ zkGX9BrP?KT{jGby`1~lnu_OMT^5eSb^Rac^mEDDbzb1d%9ly1*r;yXn?c86MWKZ>f zm!D4csQ-8Q=}eFRd(@9vgdNj0-scIL1^LdutN&Q7;kCyvO|Qkj3OrXf!J~f5Ys>n_ zZz}$s_-j1R*ni2snscA-cze&^EUv+R{=3?xI=go6Upsg6*MHGoX#aJtSN)Msg~fm5 zAD91(wVwFt*!oX4t3H0bGC80w<oULn;rq6JdmXO-t+)EQW#8k18`a->np6ICoPJ^c zcin&PQ@^)w_-*%mLR{lt-MJ4BZulKh@B2&OntFeOt@`7Ai^YHZ*11u<X8we-|Cc|m zarbF?-|nBf_jAq;?bm;@4@)0ZKdk(q{DE-fdwW(p={m7_oZr*xtc34%zrWFb*!iLH z!|sRD4`wIq@7F(D{#y7!%iEK`?sUtCtoDDOTr7W<^~&n^*Y8i*C%SIyKHK~PnXg%Y z*E!ghwwX7WH<mY)xAQmT@~cmlV~l4D&yt^){d51fz=YohaSzK^gnxIwDc3XGaOQt` z59@o<b{zX8?Ha#TY29fr`z34<xM$}5H~!l$Py1$k`r^4a>1~tk*z+>?KeD^18(D74 zW+z!EQ73h;z1Df&a|xec=^tc2i2M-!!MsEEclWg>`R2XhM=M@yZ>&G@+v=K9t*njM zKc;_NadW<2`SwBY!^97{8@^lcF4%uZdfl~rJE#8^qUY*18NYpUyWm@M=@#MNJ6*Pk z-`BoYz4W`+Hs;?8Yx18|D^2`Xd;ZO-f1C0H{UY=BwF|9$ukyu)ZN7JH#rc!#J=1N^ zK6(HC5U6!{bKU0HtGDvL7*G86X=mok;z=HVFIV!-eqc3Ed;V#cQ@>^Ey6&jknA^E` zPWir5_H1#r_?zMyu3vgB>svnW1UCWazEOW8u65IQ$v>~h3u?~EimL|2FD(rE#@#nt zXr=z~(5-!o-=qt@lHXh?$-mq8zz_dpt`YOTC%#iJ+xMjWWAu-^EI-a~_->(cY2Qc3 z-u+MQiXS^v*jN90{`c9|U(YR{O#8Jw_HmMQyQ6LAnfbprte!f%O8AxZzLVy!Pg~R; z+x+3q8M!I@KW6k+XWMXI@7(kM&-y?2N*B4@^53nyb+X6bV?PW2{a0mrroFHxwm-;j z-v8O>`E!r2o_xOL)7|YlpYFLQ&Pn*bygGh+;la8?HTn~OrG09SyHWl(Q7rR&u8v0a zJ>gFxztRuPyX^hq{f~L7|BqFgtvmLVqhj8X&tdnfUsv@!m)mpQ_PS}VI{U-^&jydL zzFc3|x435S@-xM(`5()VJKvS~Ud2DR&};SG=lON3pPv6?k#F#C!Pl3?uPaa7e3GwH zSJi(=cgflQ@3AxHfB*5{|AFg?>4n?Q+~4=-FNZ~9?X~ZEUw1OwZ;;;_7pv~0asNWV zliuLB#hTAQXIgu#$p2I3I{$TVcYxn9<=<UfzN=O6<p_Uzx#wBNvxDdQ{nht!Jw93b z@ZN`SA6SlQ?r%SCptn<YotfOl|J4%awVdl4_Dy}@^6Y-aikbC~4@B)h=KopdXVH_( z)hFXsYBhg;uDQ<q<aqTqp_l#NAL}2gDB`S;s8M}Wak=<M_=Nh?`ATKNnfk9yUmH&R zboG_x!aq|Om;SAc@A=4VzwqwfQ^nU^Y`-bk+dlMu2wM32ahtk=Iji52Jw?X?cMDp6 zRSAl-{Jix3E6*i=HrwQ{^Zwgg_RMBe-?wXgeoOXE{F7($_Qm!O${(aZ1Z`Q~^6S`} z=vU`|o7t?NURc^QeS;RLi{Bmm|6BZ~=TC)BU6&8}Q~0Mw*?y1kw|!e$K9#bIS3Z8L z{r<b~;}uo?y9?*a+E>V*&HGU={_|^f!*}+bdIeHnt8{DBZTS9i|6$r=`t_aF({oGi zhF2TBJe>HrUaCJ+rGEe9U-JC-dABdVH@&_0x@q5+_YdA*(A(A|%)Q!X@A7|ar>?(W ztE=0e_0v10u7`iC%-7vEdp5k<9aXD(DgO4x=YLjjy)A#yPiw38>%UW^UfZ8my&bFc zcm0<;63?ILU(^$RC0$xkxo`U;_G9+u>!$vl{L!+1L7ecbY?-Jpv4{DO9h&D~Iw!5> zh-&8czSFDE)-N*``|Et+b*;oX?fFmNzk6Q(=lNdcW1??*V;>h=zyBI{q~+)3x?8oP zjZtsauG}vuIeGu+p93HB>*U`x{=fG+Rc1@^k7}i_*88@~o9}Ba6FU3pxY&Qb$L#l- zOI7}U<SbBnn#+E!zP@A5=S423$`$9EUzU?^lm-oT^G+<6@BGfTJ#7Bp527zj?=5_- zfA4#?%m$ZV+m(KP{CC3FqdM=8@}*b4E7pGuU%Ibnev++yW5ef^-~0K0?k>Dzrf7do zxpw{T|Hpq8DZO1DdtEwf>+FF4Z-l-7#XVy`ru|d>X>!f7mQTTcx}VnF-?9Gz!@d8< zbiF~z#P%*{<yV!Ud+$?@9hY{s{>S{s=8uuhtnU{kEEfK26I87~_E^TVHqZIz4t<4% ze-;#X?Rh=#oBO@`Cwt@WF=yBAn)lSI<>Tkx-!*o1FV4UJ@SO2S@{Q_$bNknN|J%=> z&#Qi^{_&Lm>wmrf{?O~`yr+{+eEP6ABHK3AR^^KQzdx7adhGM}s60M!J@CEv!Lwie zZ~a)X?sT>PfygcG@rU1KZ`|wi{QbIMUANsIDu404Jp0h)*ZaLp+oa!bH2Jswb?{94 z-xL3T`%^i;<+r!a`mB%MYvb>GN7{=A@?Yyezx8Wy?*Hk(bi?oazs-=JmaX*Ke`ikl ztCM9DJ!-dIKK)VocBazPshj7f?@Zl&u53;~{pHrDmB#-jKHX+g_v`M-?>iqqX!*6P zU+b66`pi8x&u{aF-ZMWXt^Qx+R`Yk!o9C=P$W-Yc=J&9!v!3`x^uxj%vTW*?{$IQG zllPm@*<bqFPal6b+i!lSt>N$H*NT0`_7DHd`tOuWvN`T@>+`&In}06+9=Te5;-{&9 zR*CJ;JM&a^|2ylFxJUcE_B*R?S-<Ds{Db_m|0~ajEsFftl{7!M=InR#O8dq4xrI)Z z%f>!=%is5CXTcxCt}TTZ)~oO3dz)i>quR<&Wv)l{y5lj9zu&v={w45DZtZ%{?au4V z>K%UtT1@<AmGr!Q!>|1g|ASr>?!Vu=WUs&S#9wds*XEf!{cA69`q`H4{d4!fjM|%h zwf(<pPybx;xbnCD_oJ2b6P3TF{QX&b|9wg4m*ek!j~%q*X=Hsn|Kri~Ig9t}emz}O zC^zfGrxQhGwJG9%{50b0YggX?lpkAMpT7TtNz<|OdnbPbjTJ8b^Y4jW)%E;6?DxKV zDSe&E|K9ccJoD?`bMnhqK8nA5R(1J3_h*(Yzc-f`9@+8g*vZ?Iem>wUaH_u=@B2PR zq~hIyAOFss-rp_zR_)Tf?*=h`^&c7bn4kY%_hI6PnHzrBS||GN?%Yz&@T;Ef_uL&} zzje1huXq&mW~Tjd(_{13O}Rhq*5^3I@2hqEcJGotSM+7?qPZ^9=09H(RDZ8~>bJI_ zeBG_rIrm?+m$y9~@V@iQ_3B-#elEV}^2%DYzUO<bX6Dz~`*;4B8E}7r_36)V_VzqG zU$Ob`h1niI1OFWOT^;Q2^z&HZ(S5Jq-4lQE_v*XJm2<!TsXx?tW%-@GU+xD_1nr*t zde~y|J?AXz4fFR*{<K&2?=8<I|9Ca8->#7RY<>Ukzr9m`&3D{isJ&k$wmojYc~<=Y zzw@SF`2X<xlwU>lEw44!9e?jqxjX(|^?^O--xR#5K9{DFdHr{s`d_^}{m-)%YB-<P znB1S~*Yv*Wev9zS|G!_n_x$;-ZGOA3=KXH@KOA;U-{kc7i%0v-Jiqql{s(ryCf~3x zP@CiNJ9GP_dF~g#i~KR0<MH>}>c{8wCl=qYQ+m2twx(@Qm5}EAw@adSdn~cLefI2@ z`PC2EABJX{PrVhY^cA%Fu0}oRURn0;6II?C_E}z)a_k?Q4=0>IeUoqR`5dMH?{}Q6 z745w8{yX>Y%%{6P?%93*;QJ2H%<R$c+yBn}?ynYf?|oQb^xo+wf9ITAxW8KVANwAb z^M5aWSoI-m!}rzF*K4%@&GV?gwC>}Uv*AuZ9s8&K`utDpeeL%>cMMpTKWhKDLwM!; zy1E40|8uH}?525Cp6~qi=YH$oj|ECk&5z!@wxMkA`7eq8-hDsl^6GQUAF(To>wH#T zoWFWPasBegD&HUeh`YmHYv1aAxbutt*9!k#Pp1X!d-yTv|I_=sp1t>3_kPFxzj`7) z&BCu<|8u#x#nk?X?weoJ@4x)}E@uz(^Zi$kRj<5XW+h_1_15jKUj=h!ocMEP)%6;c zE9O~Qn)A2*WK1-9wa@)lF(2pC*%Q8(uY3Hbuk6wHQ_&LcJNA9y$^8COHR%7(7s2n9 zm+Z~26Z>W3Kg*-`)XVALwU)+%HiW(Z{O8|{sxN!K{`&E<E@8g%-!FHv7e)U65@dgG zyUir|UF&z*|EhQRC%gS>)&8x&?zS(uC%^Fe&+i*w{8ydhQ9t$a`nMCk_k$)|zfM{I zeeHSmw^gr7r|f<`>DS9$E>3?B&;Nb>>F?-2a~bxtglF@=+THMJxA;HfH?>jui{FEW z*)^BPe^;1Zx6*v#FCG8qvBx|=T6f<6`-gAw{CnOr1L_~237Yp!{@&}?|8(}xSDUiF z`swUX``-JzANcyvW%Hla_orN6_t^gH&%4+DX)WAmxX}M+)Zfgi%|AA4vhg+SRsUmt z<?-$h3y-vWzv%z9C*J1i^u#~=xc11)d$k?-UD#L75wqI%?{5kF*%Q{UzZn0vQvLwr ziK|)n|KvFA`{op9+o_}f`M>t5h4!C7?HZ*ci(hcQZ`o5N#2r<q|LnK&1GYD>-Id;M z5B<k|uC@C8;b}Ln_q*K6U;T&W)nArpOYd%6FW<T4e%Md_O!NK#zSqg|_ClHa*M4%2 zkiXec+Pn^?dIR6lLuOMBuY&OtKHZ&P{N<bVuZbSNbEj^<Z^qa5+#=@uE7$!u*zbvd z`xCD8*L&~OFBWxPOYHs@#c%q}_vhVT8?HZoQ$1?WDPK#w^Ym}%z3p>7D!-qWnEvMN zg~<VR7w&xeXYwz&yl#j6o8Nb9ZR^sF--T7bQ3<MlwRXwz_gS4+mdE#%%j8?+6~taC zUfp@+`JV4ybr!5;wss5ue9`y%S-MmvW`Du`cc=f@F8s4#eb=6+^<ws4>fGlyw|x2< zUmtw0X14tIZuKeig+A$Sy>GGphJDM=gL*xOzqjpeFH?HyXtSO3Z{Ype+!6Qhy}0cE zcg45A{`Gg<OO(I9+?V`#hwjN8sje0if9_t{B=`Q~-<}FBP{Qn$+}_hzex#qVK<R0^ zqIpB1gZ`5%lRYZWH|rh#@$mNvP_1@b$(*x}uTJnEA9%ih>KBRSN2-GImA=kB|06-j zhPjSSuB-m|!Okn+8H=<(-?NngwN(FQKiTzhpIi^9)T!q#nwy#aTWS3~ms8)J-znZG zTQ;xd(`D;-zyAL}{Wo*=|NkMecZF>DWgoblGXKE*{pF4OJMZ6l&sYB0`s*%z<Awiz zg?881<=>ttKac%)tn$K||9-A^*MIj!Kiapi<&*D;>CtSjXPvLMvNO2n;Pmt3YSG^& z>z|c2y%l)Dt*&)4cyB3>|Lp7f*;lV`sQP&Pefzoh=Z`RcFID@pe9|9Qj*!Xn`@%N9 z|E_YnF#8_+y~Bn*TfX0}l3)4yrT(XXRib_`)_>D_`E~b&=ogjzpt-Ftr@v?ZT6(YL z*Rc}qrw{){JdVgYQ@mZ`=>(6;^SsOUJYT%sDZc*3-}mqOJyz^5{QGGB`=|T%OrJA- zzWc2oY?D3qb5-AeYVTS7{`<T=*KN}Om0$YbVBZ@4tah`k4Tqh?JVtx|{XFVM_U|5l z{r!T4CGBwM6nO{BUGvWs+s<qDTJmSz7US!_@73S#%2ax)|LlAC!BcykZ~fi!c6IOj z75CSKzy9d>N_XPF6zhO>LDn8i_T`9gYtFwOvHxb@l+{=Ignk+Csm}cW&b)eBRXyuB zx#d?Y{H`~ex842z^6&1=KdP19hHhRQx1U)hDE`;dm|ssV>=*9489nt=f&J#fs@ng% z=ijKDS12}TzV-h)UgrG6om-yUUD~Sg{cGZWWwoGt#c`VZQ>Ol!@wa)>{n>^OD)Vms zQQ2Z&dt2%K&neA67QWfJs^wGcZ?Vefi|ekN%3nP<zwg$=_|v=h=X3o0e($lir}`K7 z+IgQ#ZI9GS*s&jbe96zLme=}!_W!K2_kYB8{mGOlh<Q<WB>KnhzrXb}&EKgT%nNt= zf8~9-@Yn5O@sIP4-d}5wA=7a7<LSRboPTF-s-9SW=h^2}8Lji`Dna`?<t6gZ?eKe- z{m1O>fm+k^6aVq}t*HOj@aX=%C(p}nRFvgU@LTey@{iurx%btB>Z<ED$X0FnZTZsW z)Nk4I#mmpd-w98)DSfP0@$dRqx9{((?_K^l^CPQG`!>mc73-3tFa7#$_p;@m8IR7> zw-v{JPIx{m=l%46{J!PFuU6k){o=P}nQi;8@Jq*hi(|5?cgp;&n}4sZu;aH~c&f}r zR=*{+*Kga1C)Zo^IX<6we!{-S!oJ_qGWow`btitCvikUb--mx6xSZM@f1}uXzEXna zv#Lt_KYvX@!{6bSpWj-Xu2Bz)Us_e$TXnAL>z%*9eq`I0t=?F3C3|;Z|I<$fRcG9l z{%)V!yX@{={@d@)E&2PG({IVXD~sp+l<ThlH8UXo``^=_lm9=q+qG8ny6nR_?Xsuu z`?u@XUw8j&_sDXJ>xcBpAJql=H$MK+Tf%<$Sn-ZYUwS{pZYZ4p&!LLThPO_tPP&f$ zpU6CJ{d0fTTJJHv!|<M6o<+L9k|p!|<$s+eN~dp^7X41&|NlzVKlQ+OTc_1;{q(PV z>ieQ8=bQA-8&}So!~f-h<)@lY5trWi|5kqKp7?l5t&i2jujw+(Z+G%9U;1s;+y1XV zx5V!FzwuUaCHvobzZd5$HxKyNd1iju8}@TgEH}<walCB4NA0hPe;b~k*RuV7%XdlL z{AS|{JsbDT{VDb(vQHPq&hK`4<-hmU#yOkgK`o%(LgpUsDQ~~!+<NS~;k8ERmHAau zKU<!t)1CNBtZe`63w3w>Pyaso<Mg}xccmG>_o@cfnb%#2`Z;Acf9<^Ke|xt4zW#UJ z+h5B*cROdyuiIZeVaxr>z2<Kjei>h%x?VAN|Kt;Ywq28d9=>k#tNH(ATIKH@Usm7g z^-m(c*7Z~FPl@AaKN;#R`X|#B`&RwZyswXJj(=SDG|5uG=^khq%e3a7+v0>Y<5$M6 zakrF^Ule7%vh3f1=c-?|((mNmzStf5>3&JZ?RoZ3qksR={J;OxpO@?3t_j*3zAF9p z@20AMm*%(r{gavRXj}g(`|aoDHA|~bJYN#D_Xhtj8P%G5Tc=k|&3^gW{P%`Wf7Z4+ ze%Ji2ve$Udh57H=#edrVJSe{5_ma3J|0_k!&dqtQVpG3O`|+{I4_s~)-`T47xBK^v z;QgOnpKqT0<FCLQ8BX;}_q2Yzx42NM@%yLCDgTt1AJr9HZz_eqU#~xR|E_-WodfrN z?6jTZQ9W;d9Bb|2hk*r3Pgh?}cKZ3Tcd2d8X@0*p@uhcqSI_jQe0)^Sd1rNe<8$R$ z`<bWzt6wqy`{Ggm{dAe@JMUkrt*m?hJ!Z!J$Mc;(>fESU*O^v*T%@{va{T*)w<dnv z`n>c`eVIc2_c_nwJ*C?Zy`O$g+B%><`;hy=b=NKVtLE-M-zfYt-_(BV<DIQ8uZ-i* z=}*}$SO4X5u?}e3`Qx=|lgo6MyKLT@xb)wjzGqe&UuQ3W@W1^H^ZcW=RrSwpj&Qx{ z_FGan|95)jy!e*zv}7OiADI=t--6d3XcPbLy7olfo3k74Gw<WSw%+B}XSSc8<{Wol z^88@5q5b-2?=62=3;iqqnQ!^VwX)*gW4}xB2iXJig*D|*YfgN6d2ZdY+Reuzztw)w zdz-*_NAB<GgP??{{W5Z`TkWq>&AQ!j4{I0RJ8s+e-+xKH>`MRJsoM^>d@6lD{rSls z&%UvL%kRFp?pq6g^WO6xrRH&e*FU2=*Q0vg^RL$1?@zq5e9^r17q%<?*0|Piz0JG@ zG?Bcb-0;bM*6_`nS@(M{vHhGVb60tV`~B(1Czr{ZFWmR;`jpALFIT*m2(Pevsk@>- z_d%@2`p|Gqss5A)7IORe=P}OTUiI^?>W8Zzd_Rc%D6H73_w;-0!|4x~Uel<LXMe~1 zo@4(>?mE6XC;n9ZD^LEl{p>^O#Pgc>{eLC?2(E}ewb=fT@D4#azWL1YEa^YjdRx|s z+ws{+%5lcC+4JsaINx%=<@tg62YXjfn`FT*cX#KK;|JsqeSY}u%I%_p=-1!lUX@zy zQTiIWwk|qq{?{v~S8e$E^1I3F)74Xt1<Lmy+V=dahWYEq)k}2$ul6WkVspJg_x{8s z=NH?!ADZ^vcZv4Y@2{r(zW1R?>wnd$+Qg~*&rg0nWi9*s^><fHkD9N4{dMg=wm^To z`0Eq5$xUAt|LNx@x!Qeej(eS-{`J<S=cmgy=zaYcTfu$fx&G~=R#)DqeXzEXpMTgp zApTmk((lbzHR4ZOpH8<uwOl`D<^B~@=F6Tq|L#No!!!2{x4fVBYro**?z0c$J_LWb z)l+X>toLA2-%^*Fyz2Flm#h!PAAY-Q+WvU9c<%F0Y!mG#pZH|UVPD5NpZh+;eXiyE zKYxg>4`1>>W98rAmD{@?cx$vvKa76(@x$W+{%73c2fiO>w)8h-|Hm=!yxXs`p6S+y zV;^Wguzqm=kpJQ1hWq3k|Ms*9NA7$1Kl^|3zxPf%O%F~z_1@%^=ESEHAFr!j@%?b( z?So&Jtlru@?b>JNE02XgWEWUJGgm*f+*rO)?yKJC`|Gr)$?(Ol{18#`cdGX<hYG1Z z7H?A+<~%+mX|vwWyi;et*SGn{`dv=FKl<alSB21=$4}}%eCK_UZ`Sy>{nx}g_J0i9 z;$Jz`o5;v9-uD07R-*LxZ>`PstMe|qyjpGc&SObU-|ym>`2qVrelm(riMYRK{n@V; z=UY{#$k(S%yx;z_|9M~U_V+(MuAkiNe*CN4_rGdE_1<6Au9&~r^=SR?%-QEZ8}Y}8 zz2lVUlt1|UnZ^&TA5|6;zuimP^WdM|@yKJ>Z_KZIs#0O`N9N5>k@r`9me`)(Ub-aC z=J~Vl6I@P(f7YJ(Y3iwq7BiDIw_Af3J6Bn-?OenDRc+SSyP>b<zgwGUUzhPG^8G>3 zIP5&*8s^5jDdkQ-KN@%awfl46FW>V?>%Q%|dS2_(v2^L)Ip1IQ9{5+brd>K+W1;=4 z;C<?!>h_1lJN-Piz03aH`B>52_uE&$-7o(yZ{qiw#{1lS#}+*QC44LV-rsNYmRE@| ze&<%1_4K~}x4rEb-t(#(uG=T-x8zU2r`L8(`}TzMH}*G%C)nI#jhj?htMpa-zxtOw zmz*m9e!6o`bI<hkjqh8^(`z=E=hz9ood4<b#mk)b*;BvE>Aat4Y!_vJ@4NGZ-w&R? z^gn*c|4{#>czZto=KD+KKu3bk|B`O|rt18*?{T4@-&_3obWdbIx0HWY;?MX0j2^pN z`R||dNrE>zu2YA9|9!hNzgH~%^eZtY==EyhU)LJG8c+Q9XKvBk!@FF(pO!!PyHVRl zz>asHP@Vj}zRIV{XC^N@bpC+;VShut8qhSe^t~CC^PfMqPy6@D|3-y-u;hQ~<1e!> zbsO<lG?wM;_DiWWoz$Q8^K;w>`$_lh5BneDw)%fQKra9A`#`>F$KRg0FV|A<Z>jU* zQ)S1eN})LU>dgNtZ{~CRH(j1@9vxv{yV0C&UR&I2`-xvy-#<2gLv8BMdyYTvaqs7g zzW1zJ?BDEums|E-zp9!3aqWHOy=2eFRkJhFPk-3+!)C94mFO4sp!?-N{?07$e){$B zkDH%Ap8jS(`+2Or@XPbB{`_OvvGmiu+eVFrZr`G>{+f9BrTM*v`|a(U>ON?E{cAGk z#Gjm_{5|mxEj(>Ir=0)3?7ias+nrO^oAG%psrlAym;P;Y=al#x?^|6q|IME|clt5q zLb*g4{_~t_Q{=a%O#D7C;Q8};#U=9}rQDkNc%#d!;`{4AuG>={@;CSD^S_Ssn*Cp# zUy$nc&xZfq&gaU4ALcCjs9Tn>Z*oBV<%UJ)KYiWvg_EOVoz22OUu?Vf^iTbmyUpc5 z?FP=L$9Z%<g@0!Lo>@8nJM*8rr99tPu6Nvbt)=?lxz~HxKdSzl=kfd8`EO6#izmEx zur~C&`f5q>`~;=1;is-&5n<7M|6ZqE_T%B&{>~}qi;8Q#Y&)l{4>?yI=-2!JwEBJN zoR7bC%D>0IN>}=tY5qEPFXz+O-?ZMI|H}5geAoUb#?M^q+HIN(|Cm%5eeU6Zc+z{x z(xxrxdw$yZPyg1QbiY>bW&i8k*qQ2UxU1tb9Dlz4_u<R=YL}Snb;9-bO_%TcoymT- zeZ!ubb<x@J)pN7g%&*QkE`RXt#ArUhl)rZVwkbdF`|RtzKJBf$z3|HYwr}&DEA*<e zr=Bl5Q{}bf@0sa8e;C)POqpMlP<gNCbiy6~>lgEu#DA`Pw{FYgmS3;<G=KGMPmN(b z|MK^o%DVK_SD$Zhc<=ex`NsbBo$~j7KmGZ8<Db7~HwssOkKM6uQpU>tzn=fq|Mh&I z@%u(Yy-SHtj#qDa^+Er0@}5rKAO9ae6n^;d1Bb=u{FvF&KmL0?ooMjix7oY4Qt!Zy z)${cCF&s>Ma{R}iW6VbDI^L!-)Tvy$*K{p?PT=G4iu$(4!LLvLPPps7T%Pmq+y9$` z?B1<6x!3*vaPCX{^)0_cEAL(TuJLr|f-jZx4j2FElfL^sZ%)!<?)h!|pC9Tx10Gzk z-~|mX9NW9$Ur%+SZJ6}?sCjkuo9urI{=CKR_rm{r{FGl`t-A^rvt8<|=lX49=e|q& z?}Lv8N?(8PT^{zg^Gf*IeX~Ej4z7qiwNQDE$KS~NQ@^YUUA!;r>)YB}k1rWc_!p{u zyyes5?K)rI?!9u){9$FxV;0`jyD1hnO#fKo=9JF6`mprD*N1;2%zs(V@u;7}Ufp-S zaMSmWyry~g3Y5N<E}Q#~^|pQG{x8B;qWO=xGCxp$V7hVfU6)&z`@Y}0@4fqT%;}g* z%^Rz=*2KTvxEv&Y&g9c~p*=!nR)>zc?(ExASL<P$X+H7Wxur4xSjrd9|8KZQ{}0O_ zzC9X`FH7FIoTv15Z{OT=J?ht6`CIC_{)_(OSa<wg#T$^8wujH}en`0{pME(1pq+T~ zh4ph?UWLCGd|@en?cC27!TC<L$-kyOzyAK^vC{In$>J=3<Nk5IZ286ZHsZ@pxew-b zFZSks|2)rcNlkj)^Sur4?r*>Uy)N&o+Li0I&mZ-F&y)^7S$KNqjh#IExcrvv+jeSt zFiYv#@4=GGPkk?2bn_p-cU|8@_T`6;d6(4cO#F09{se!odpZBhmQTJn;%CcC?7vuj z%=q2rkEeuIp8u`X_o)4t>zBU|_i>$%1lh1X$ZnndF8+gG538=c&-SC>#;^Gvw=4?h z&HpoFzx4BSKg#x*8_Vteywv=K`}C#zP4Zs%d%ovZ*Lr&V=Y2blC%;yFSN~y`ms@A6 zzH7m!*(d&lYOi-WmA-ea!_H`bP)<G%8gZ+;^7!S3?>l3Ctrq@y^Ka?iX|Xz`XV0&H zeRQ+Hm$;huFFjVQ|9{eT{)Tw-cROG2Kj*yuYkT9B=l>3|E?pmHTiz1y^gnI>r}dws z^ER%?uCu!nU&6^H*Eqjbc%}X4{R_TE%jsTyZF{;i?Z3b7)6Ft}rxynH%D*g^+kgH? zo$r!;UPbSeOZVRSI=khU)On46mH%Uf>vru6e)}`{p8(I6uzS^P^A7F%9Xnq=cD4QH z^IAVY{=Sp&YvJaE$66{n{7+;1&HUQlU%d9JxGvlNarKdk)8P*;@D?cj4L<j~GSBJ2 z*Av@4qWL(#_F8S9GW~UZx^e$b&a(Qc-&a-p*-!i>_k{h}-Yfja>p7n;kBRtGX#e8f zm;1-sD_TpGo}S(RI>j!Y{n9*{@@--D*OotKeE-p`??~oL4UhW0=_d*od<={|Zr4y_ z{odQ;_3kB8?w@>ex$5<~Kd;#fl%B@s|KYYTv5C;VSN{Lv(S2XHbH2?``NK5l!oE*i zuf=D7)nD=J^8Ku@&uzD_6IPk^xjt9Z{_)ca{WtQO+gF0LtC#&>_w;8X7t>See4TIR zOa8v;-0Pn3{<6=KJs;WioccezybrFPzJGgI{nlT_@x71Nxcqwk<H+-KJG73iE#F&d z^0Ywo55t^*`on9N+Dup6KevMaY*Osu(y1<|q}5*t{bGFIYjAJT_cyovV#JN(4qmeP zF8Tet@jpBMcU|$vgl|kK;ja*{F#o|;!IZO4Xy*K4&35g?$2MvI{Fc|~x8I%>|5$kD z{nW_xTXO%;-sgP#`V|Q1WyObo|KDN#J;Q#v_5b<v|Gj8gW~-OWX{4C9vO^^$z*E@q z4qLj&WGz8%#X}~iRU`^KHJA2!vrTL}xbSh@oF(tP`a)t>9n(}?am;hFkBY~l$rn`* zo{{?f^_2Ykr@QC9Kgs#|-}Alm-hclY^R4dNz4LY7o^JkcuDk#7|EkO3+P3qIA6F`F zV>fsCWwU+9tDkR=6?^~JKYD-lgT7z+c9Q3BRverr{&{U!<wlzf^NCL%R$O|xr%bnc zUi-6(r_*!ybN{?~@k?b~%U{#;;v3`Cjp{eWm28uXIJfqA=a%=y+wWaDRernkh*Yia zQd^sD>73=V=AWx?e4kdRCA`w#@_Omj+wqz6;{Fuc<SM@1^13Caed>jMpKcd7zrN)6 zv?}+jWqtCT<j42-G}O<l4tjdOi{0zjpM9nA7yoV9z5Foe<>h-mzgWEEVxiOV-8J*p zHGhaPxPJAAY<i9D@oKTj^QL}K-|$-b*Ms{D3#RUW+du6~)Vx1>MKXuK@B01g&pPiJ zbze2V+n86`H9!9Nt^CgasUEebZps_;J^io#x3B-#-ANv`r&4#_*}g*Vda25l>%U%4 zs&_9q?C|OC=j%P+XL(dU*UtIA&LtuCWwG7C(#hxeqw-#TEkAl@Qr!8-;`{d#p7rvz z2rmn-d}_A5{+s6e&h=L`^XJ%}7v3#qdw*%Y5r^5kCC|IoJ^KE2L%GeHpIPsV_DcEf z_eoc}TrTqO%Io>RbH2V$c{88sKijqax+j*O`*ped&kg2vQ|m+jt<|nyHYwly@VV-B z*}tc;e~pssQ<<;JZ`U66^k9klCL4=?DZAfS<z0{bs<yWo+$#S(Vanz>m7sn1iZbmY z_0BF|W6t^3)Gq!O_cqD3GugMqo9nVVp4x5qHshbY<hJ_-Y}d~jUt7JO^?wb2>YlBM zTb_pp*{^FA{<V7F;R)5<&wrZjVTt3kK3B+a-?)9j|Mm@K!kO>2-rVKc&rp8lU)c@Q zeg6&CS-<Z8oo)T<{Qb{!KMU*;`F3Z1?uYl?pRWHrS6vY|Z*p?Y;Z<KO?mhebRc1+W z#l4;W--YVVzrXEsUvkNB8H<Te4}Z2;b!z8Rvx&b}U7GvUYT>?R+b-GdsDHxotN7gU z7yW-%T%7XS<<)Hl+m+ul>ijkC|MU8I{M#$Zye;zC^|jewzO)Fh?B8IJeeP?yo&Hzr zcke5+=59@&(|%>TNB#E4ZFU<z#~yQ+IQ%~J@z>t<)BfD)s4=>4bN<rj2Y19nP3JG) z7gZ!X?|k*%AL+kh{=fUa=ykF1v+1Aep08cI=c9rRd#T>fr{(c`|MmQF_UymEe)__6 zA)6V$Hp}PSNxpvm{PXWEFGK5v&41XH*%h1Y|GoEhvC+Ere?MYh|0=wk{-@ylb(4MV zuetk=?iWrt|5f7f*NPu8H-4O3cfH_m-k~q1XSIJmubMUer1{@D?f14v?0>dvhs&$q zX7Bq`_w1LEm-79cJE!XQ=i;AtKQ27@#e048`=;`T)(_17TwL$+YqRLTJtu#snbq0y zOZ%q_zxgAS*SI?={P+I%3;srxy*?{{-u#|m{TcqhH8S;Iv?u=j7<%cg<+*3hzl`TR zH{x3N_0PZcf2_IoU)x%HI(b@m-jn_36T{c(uAjVPTg|T+p`SLJ?nS=Kcc1?>kL$eU z#9yoS?Z0#Xi|8v?rLRxFPcORm+2vIF&Q+m(XTImKpJ6{;>r~C_?NvSPv1#&&<AtCD zie`V~cpI;6(^X|GWyfwO|Lyl){->X;Dx`8OOYiyY7kYW#^~U<^^K&;Yx1YS@+wWKL zJN*9VJt^N`|Mk1Wr?s2^$+_Ci<+y(8{JCHI*ZnE2-*<ny`-AV(-_C#Py?dJe;~P(l zx7N($@6?G8ecSqXVz6d==auPiTZ^u(b~$xD`rKLZ(>s4odTO<B?=gO_YR4OoO#gbE zKVQ7J*6HUnz4qE~(+k;R&cE4nyyg7A+>9LzW%C}If7u*!d|JT&BfVY!Hm|5pKU{uD z{F1(5-g{xu_v~NicGaHOx9h39s5#~R<%IL?wSBL@X3Cp2_i{hoaU`J5{M?kf_^$cK zYA>A+fBofq*`HFo75x9+v8o&W52`DF@@ndRp5O1b7w=p0>i4ZbVdshul{?R`5_<Xn z*puwFHU1j+znu-L>$(4+O82_1^z?(J1@k7qsyP0q>tExq*yrzdnVrvk<!GmVY5y1R z-Txz(*IrJX({b?0`j<xYdY`{l`I3G#Om+XA`49FidwX9~^zHp8`}IEUKh$vk=GV#V zPJi9~SM86_i~e6lcOU%xQOG*S<ENH=+Jfht788HXJMw<{gXa(KAFA`;b?^E|o$r6| zcgnt1+hV>g{iOfx<m0+2-%rlC@4rlG!M=&sBDH<uJKi6u^gnKFbNtTx&n>@ZmFxYg z@!t`5xAe}reDx{u7k8g{-Tymmt+}u0SNF=(tDn|~&vUM~I6eRCp&hD^cbxxLYI*(L z{{4;5O&fpxNd8f}L-yU8|Ml)}mggS*dlC3!@qY2*w^ny=#w`E8PWs1`|LPO_P8KX% zKl6Tmv+n$3;YIsS{ycYv|NPe?Tfb-Ll|E%!=G0Z5Ykd5S^R##H{-8LU{&!A)_VEAH zo#RpY_<$9Ey%f_s{(aM4Pfz&HDaD?s`E%#CZ?Wwcm~E>MFh6Yh_x<kPCHHF!&#_yr zdsD5lu;y`tQhsgpsor<-zw26SpT5=K-FNNti?#nb-}2vFe4|`;!oTzG2a5ajj`Zt1 zUpL)%#s1p!i|&6cd;a~N^Znl+1Wt8+oGp{SrT(AA|KEQ%3qHMCe0#2V)wKJ&FZ%uE z{ll?`_3yE@4?I7ZehAs2{dczSgS!uG6VG3?nV<bw-ZJj{`Y-MIE#U`dUwnT@=AQ7k zKiwB&{M&b5l;6u*$6UuB$NB#Fz8{Alvfn)aTjmbif5tcl{pSDjzxVGx)LApH|Ka_Z zAHEiUYbE|aJFxYSJ;VO&pYuKHH^o@K`@?;zw=gnm>SC8y=Zj`l8P6A9xjxMD`g>5u zwVzZ}VN|0PvwDtNQ2n*VSAGXhneFoGbk&Y+U(c09<$YD2_-%7s)O)@~cckJshuQip z`M2izO7qvTN?%iLSB2)U`QCXYU8a|}B5&`*gC7__gjg)xckQot^}C<-?HB5#pI<3X z6ViNd)v3O6zW(9kA8g_l?~P#4UtSx*s-E?-USzNIr??k<()X*&*L`o=pYeNkQo8q! zQ}aLGx!zq98UC;G-kRr!cbz+Hali9S{CUF<*2i~DIrh+B<NmdfQ~iIlo@@X0tDo{| zipS6LignM@%kSN`eOR0LomJ(E`I8rq{QdI`>>B^}o!&S(U|(&;#QW2v%bI(y|EgUl zeCoUHvJ<!Si}!DP9=q#adH$!=#a#7Qo>xU&-+XJn*}BEAP4k!3s9v)F&d7V<pXUk5 z`j<xQdY$W~ua_U@{JOnY<K_1~*X1YsJ+7_fD^_|MJ)v6szm9lx!u_Au;$n8+JO67x z|9<}FUj3VazrPvF=&uUD_rtj_-h%J-&Ubb0xAM)_&HZMx{Z?K6p+B#xlTPM8&fjtG zxyz^bK{x*9Z|k0E-+AS~!OtHYIde|GecArKy?jCZ9{w7pIUaxKu@|eoZ+~2&zot!C zv!3zo%Et%hX&1Ba-+thjzG>W^Z_^T<J6lZrw(67O!kW`JKJB@ao;yeH_}g6hp2Ke} z7C%;9H~VwV+T)dSpA#NC@09z*Ij{TqM!VBrZx>vx%ldn_o8@KsugKHq-fuK!uj9V9 z?zw}`)9?EayuNsEcb~$<pEoboc&=D~{N~f2JJuDb)$Q=x)L2)c{HCTx>8q^gu63^S zpBJpl{>%Gf`@O5L?_b>_eEz`l0=u*9AKVMV&+X1^VL#C6^WXc$-MQcX<lXqV-sRMJ z#n`R$oSl9iTU|Nt&IgV^ns*xF*X94Qy54E}Yne#x-klE>exyBl*Dic&du;fV-op?6 z#eI){f8Vk4_|2aC5B3><-@m_Ex$n9a-?JTsQ};fbFJ8ad{;O2g>Ti$vckVl3fB3WA z<g!=RHs=cq4*dzbS8;3I?&5tHzRHLP%hz+MU%6i6{qgt??$saC+3IR|)PwB)W*%Di z`sZEsU4=(~uYdXOQUAxA<p(#scDb8A?}Gf(z4=P!yXL)*xisCQ@^Q13U*?|5uPRgW z_kaKV_j_&Poxd%gwBP-%`B`;&ea*7_?*s2&?%a|eqwrYI@_#AgQ?rSmPHioIyzxr% zS0BgoUkjAJt~|bj(~kdjuF}(~zm3gz<=y@{yXDhbW4r&ASAN?}{l4?|JG0~qwLP6% z-d9CDo;9bv;r>+4r|SP#75~#-|G(~i?1A6aTys2rpR(-BpVN7Hf_dHHvoY#H^Q->& z-RHFY&ibe0bs^Z6Qj>Vsb=G_2YXs(a)XqEpa^K6=DW9+07OwrZR`&DJk{Rcp1uMPX zEr0Frt<4+W|8WAX28@5bXJ?g==K48*%btg;UE))jl3%rCO8E8rwmtXXA3XhlH{*D( z@($+f)`#o%>#Nk;x3Pb)i#}56XT3=<S))eQhIgNEUTgZ{?uSnc_|I*>#p##w>z#u9 zyT;h%=Cc3gn%6(Def9Ig^2gtgelYoAd7~uvK&40Y;ZCjkcSq~o|NhjhE4em*)$^Fw zJ7@LtPsl&!E$_HLS)_)sM)(e2J(K)_?;kxs-2L$T!@Zj6{EfE$wOaR2b4#YjF}?TS z_oUdS|Gw@1Gc}uI_?FdN)oR!OP_Hz9{m1mT*UvATbFT6Eq0<jsUKPi0|H!}=sDJnQ zT#w54#q(Bhm#ua0oKjxf{kr+q3HH}d^<GFbs0YPQD}Fuoyz0bHTTk7rI5qkF&8pcR zwWlKeb_e^h9qgR4J?8w;eNPR(M(7Ez^tZh>dAa8G%@18pMX%rI{&(ZN73vc|or=^y zzhvHD<vAXeuRrGA*nV#nNbn|Kj_2O{Tz(q&zqfv}E57S~Y^%|_j?Y~A3r-ZzmwW#A zLDlT%JM)_FhHsU9Z~MIV-`v;c4_tnQr>ZZk>7VZPt7m@V9p3AAEB)3#?~!S@ubUp{ zXKgE6C*rrn_V-8WN&K@9Wd1L>rTRAh*jJMqUtQlFzPjIKYVG6V<+a~uTJkU3>+-7H ze(6i&Jdao9dk&SF96rgufBTmC9@YPk@gB2|IaVCOs~&XE;_j=LZo89X7P*|tzFu{q z@9xh6rKfxK|HjGN3u)dLw%+^j_`~?aom0Ng`Q5$a{$IK9;<*8JPqLT(+oAWeWZwPC z4Ij&GmM_ez%X#$Lb^h}n$@-IDQ_k(aslR<r&%t~4htEysStLK>bMN7wwM=siYJUZT zJQrN;+5cKM_Idss?(4tRr{qU$$a}KiwfbSD*Sq}ExkXODb-TN2ukW{%fAcHz`eW4~ zyQew)tImmM#Rt7v|7d4b+|j>V4jcc_tCEy+uiPtIC*b#D{;%DW?N{y>wO)7Hr@Ak? z&c*UolkMYU5%Tp%-p5a=KbKy`*L(lvyw8)rMSn=o{jB~~-Kf5L;{Lyhzi+?WE_d$z z@`L{w-n;yJ&-A-y%YV~(yMG(4x@P}-&+mor6MnE%h}ei-i&MJ%{1?OZKskT=T$MQ< zKiezh_O0LNynFq;mQTIf-hU198a{uS9vnZ1-Am*Czr=~<u}2*8O7`R!Uhg#h)Wh-a z9PhEpQrnid&p3a4wzgQfPxSW`LAS?OEBM-;C+ysJyLf%f{Ye4wuP-b*|Lt_)&$$9S znCJ2Cey_T4-?Q3oyYq<^^NVh^etKk6qx4i>MSfr7p66dy=uiAK@A)GuvD53Hi>d_O ztLuAtA^)q&6!{_t&-%Xg_q!T?{^WXDC2OX4puS+P$KSsFtIa_RpTAx_#%;;B`&|7K z%cjmN&wm?zy%DDxbZ<v@-}T@3w#-wRB41r_GXJ@=P5GI>yz|P98b5`Y+O4!ZU+ir= z@Avcz^V|0St*QT}Qr~+#z2>O$58H{qwmsZ;B{}9~%O_=i{eKerx4)Ik-@5xx^#1l! z(*KWF9&pa6XJ4c~@!NaV|My#ajSYA}Hw(6Z*=>L5$JTSsYC-ot{`|Ma`JHi~ztYq2 zr1+mJ_k{N^jGr8^FSX)i{q6Ak&2{WI^Zl3X`Rs5``>OW+$L&Y=y%z1|&ioVhz1+5y zd0KzVKNGp=FK^HCzB^nyE&F?}N>H5T+idf%XM9~9YI&8r?DuVtS#Hq%`_A!4c0$MF zg;t*b>bdgxUu({%(e9%4GW=HU(s%zq-WlFG<@+D0uRs1=og7g2WaZI+`|c$CQG3k1 z^WD$eSDas$Dt#>t+jpwJ<y*A-^e+{C{qJq>pI?{%vHHfp8`T<LY$mq+y6va^>v!P( zx%<uJ?yddvZqE&sTm3Gteoy%J@bwn{V_RpO`D*e*^TrN?WA6*&GPwTU<IPl$&A-wA zI<3MkXHVecDmm}pHOg=HO}`qG@AvyZbA{F)l^Ti1>-7)iAGj+aF2@(oQO~qnK3(E> zAz$nI7g0s;^lto|uzlygw!(joJ+I}t;@R$V?&tU~IPbL0jieuIdb{V&KaidiwC;R+ zeCz%8<M~nl_A~Bd-^ahNe)FgQ-5->G$W~n0e(L|@2b~|zKXjQUe)_QPl=j^Tr`<y< zB~E>|XWA$BZ_#P@`csFe$J%YO`SC~ZVWn?V?L52vGi}!2+;HA_;`!qh5Bg5`zYJ43 zcBpeox$G;WTdkZ^xKDd3J&j)|JA-|_|IYhD?>NKH`rp2nYJ0wFmPftcqn+00i;b^u zKlJSM53A41SIK%Vu@8Og<@9so^eNx|d)`_b`N3w#)Uwx>KU^&){<^oYcCJI6PuubX z=7;KA#1GC+D)+94wwU-W#@=L_wD{xa%L|mA&dvX5bNcnhYV8{KKi)h1uP-Xpx0v|r zZG6`Aj4wUy**9mN``>xxea)2TzBBW!!%l3Ei=DUsx9^?(kA4L{=2zQd|8bX6{{MS_ z&DoV6>;awcyVCWZ#f*9OU&UE^j4!y<=d#T4sQotCYW4cUsE_AAY99|hwIbs1EQ@)Z ze=~P1JRw~lY5DlqpI|Pgr|oOvpHzSRZE&OX^t#X98un$)O7E-Uj&sK7KiuQv^mAW* z=#$vvpBaAI_x-XJ{wKVL;hp#YOr}4=6&zn{;wx-yxa~GC+ViTvPQrW%_up3Khno|B z&pnoI;`ij{9{0Yb^Al|H9xK|OeZS0j;!or5no23NcgsF{+VFn}`gOTD;rL#e>$j)= z`&yp(SY`jot&eZoKd{Z(Re!MR@9l>(Kj>IY{5RiyU-iAOUhDpI%XwK>+15zgO!;=c zR4l#tuZd0HzZ|8v_HOoHGjIGmrS(fQx_)!U3%>qF`KI|z?ibcuFz#c^YgfNMQ~sB& z@c;iT`VDW>*DScdQEK0uf8Rqt?EO%+<aCLek^QfQ@9loXJ&|t_*37rdbNpYYV8iiG z@=dve_4VhM^XG7!Z}>0$ZKukmy0u01!cXlce!7=#TNt|v#ER@+V-7m?ZEe{Tty@z; zH+H=}$Gk;-n|9}v^JS;XK(mJHuj;K|RO`27&-a^s=NHs&o9nlvX4~&=pCQ^_WN)$V zobui7_FDFg{+#KcwcK;w&Z-j9j9+~>!u9t=kIMI(=SE7s^-y}cId+q5kiXK??5)!7 zm;6+M?)}b5pLV(KMxRQM-EGy|ieFWN_Fbz^W_aHTa>x6bX7}XxxeIB|f3JGq`IV=} z{awB<^JUNQ&b$43pXvEhAC3F_-aefF_i4?F=Yf{_5A7bdd{W-7{ps9$sq<$(FFd!P zezHg9({oSV_N%XYw)xg}{cm%x&u!cD@k&qe^b2*D`&{k*n#aw3uA~xV_xJv^lJAYe zr?!84HK+FJ^=oza=XTeA7p^>a-TcGuS9@9~?(N?5@wrYtx358!(6ahZdan2Ws@Xqw zd!9M>y@$sAd+Sd~&-b@yQ-1L8xaODk_YKPr%O7CBWG~06erevv&08M-Jpamf$(}mP ze+7bdFVdBs+D>09|MPeHkK`MFmBPcm%s;c=?nb$+_cL#&`Y5((f6U|VapaZEU-<S^ zNL{(y+2RAMuSNUFg?y23-Sg2v|H-YNvd=p|SzrBmxaD8}-?)qUzYBTIt@c0Lw{ZK< zyS2shgx~GDa^yb?1H=FScf8hCGmL`K5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVDv zA;8GMz`(-r@jtrb*cliYm>3ut7z7+pq(q?NHi!IC#FZEr7#JCt7&5ZL!QvcXl7Ybj zDt;joRoo9Mz5-2s3RIjS8&!Q3R6GJr{RF6Z2b%aYsQ3*u@jXy+0W|STP;mn^@fT3> zA86wLpyCQ>;v$TYaM*w*t_2k@KofU>idUeChe5>?(8P0~;s?;go1o$+(8Omz#TTH7 zuY-zzKodU#6`z47ehVrtfEF(wpyC^#@yh~@_kU3F2T*ZXdJtiPgpWZkBz`%e>dm0y z1!(5SL&ZNp)pJ4BH$cS`a!}2g3l)EWCcX<QegJAd%-$PNaRW5<-=N|f(8NWUA?}}m zW{xpbTmVgd5LA2uns^~pTmb4Xn0qEb#Xq2#zX2*<fM(7GsQ3po@efe(3uxvDvOwJL zfTrFEDt-V>JQymz0L`3IsJH@}`sq;d1!&?sq2dZ?{=E$qXFyZ`4=Ub(Ca%Z|aX$l^ zIWAD~2WalhfQkp8nbQLmzknvb0V=)$&77-HafUoddV{6IFJN&_h6K@MaLN<_lMD>( zY#?`XGBjvG#EqfiQc!URsCr(gxGq$jK@Fl_3o7ma7H48;*axu}R3w9>++gDSQN?|s z;sI3<aZq^;QlA19p8yRvnEP9y;tSBkmqW!1(8Mo6#RH(>zymUzfq~&KRNMhgT#X$R z4onOUXy$}M#SPHZS3t!V6hYh%3(s1p_y#obCaAatG`?WvOe<7;LItWhJy7u%Xyw}? zsJH`~IVYgv6VTM(gNk#Yss92M-+@-{adAMx;R2dD3Q+MKXyRs2@dh+;AE>wkns^da z`~;f46;Sa2H1&N@@egR~mq5iC(A4jOic6r0Ux12Rpou?&ivK_}{|{8015LdUCnS6{ z(8M*M;v3M?k3CfU0GfCNRQv*(cs^A80h)L_RNMp2-nmfm18DBw3>ANYCVmnszF<8h zy?H?M#bv0t05qM$@*Oi5#J>(u_rS`3O{jPPns@+I{05r6X;ASMP;prOTLKkdgC^bt z6@P%{-x*MG1*kh=`T7V{ya6iC2ldxSsCWTX9Hw598{)47G;wpN_ynjp%$zW=I447g zAf()b<=+CRxQPHn9OkbsusA!z0+tA{OD3{&gXvjdaV7?Zn~?BdEDRQASO*qoVOa1E zBEAGFz8@^k%HY8SF$bcWf#CvFd<N8fnD`^GI1>ZI1=M!$N2vJWHxTn->KS<;;ef85 zA1aQnUJfkI$)EuBFU%YRsQ3>-P|Fx5%D~_Z7UyDM5JD9XfQnDpi7Flk7UyPIfF_;= z6`#<QhA^CgfuS5M&cm<(O}qmt{$drX`dK){mx0CE84P(K@d&eIJ6N2J0X>|LgT<K` z&ZR-Z^8yn@$2F+<JZO4`h%zudgNiGsK-8~>s{aBNhnWLY&&&&nmj>2Qm=Kuehl<y) zK^2#TifaW!%m*uGU|`S!i(|Uqk{8l%3Q&Obn=GO3afFH=P=bgTfjV9c3=G~-@dYXn zaXYAZC{$cP4I=&yYEC><+(8o}E)G?n3l(Qr><V_G0hnZ9D20j#Y=?-OLd9#K;t4w- z;;?e56)MiK6C&=)3ehkTD!$+lMBEc1%rF-!{sB#VB~;wuFhqSWRQ)!n_y(wW0aW}j zR9xW*L_JLW0#tkgn)p4aIKxp?^>3i!1yJ!OsQJI3;ta<i>S5v>e31B>fF>>u6<0Wp zs$LB$z5z|#l#hWykb#?_VizRe!OF1^sJOx*h`0pQJuzT$9tMV1NIrtKa|*%YObiF6 zK+>B6RQ(CCI5)$C1E}WQ2a7W?B&>p{hs8S=Kgc~y3=5`0#9`*BLd6{>ql&vi#UG%F z=Yqw#84S?;)d3dgVqlPhxCiF`sbFz#h7)M&=Yz$W7!DkQ*lPhzw;RCXTnrAW5OW?t z{d*8B&dG4V4N`72K*cY>#I+&fpz#He)B~t^gB?UX0VK%4!0-bq?qCcNht<m>0-*5W zWMI&Nh{Md$hl(qBLc}|u=D0(}7uZ9@VdjTI#TjfN;;`DJ3??1~5#IqdXEsb+10oKK zuN6>n2Um!A1yuc3n7AcGJOC<w7An3#4k8YV-#buo21AHAq?BM_cn22eVMu6)q&LvG z3CO7bFmY%)gqg!H2nrt_h8@uI9~xZ@N>K3!Z4h%{@nQxRXJVKz0TREkbRGs3FF+Ho z2a9tvY=G8Bu>8^q7H49((1~i!T(CGd!wxj{E5YJS3?I<c?+1%>Gn_zEe-13p!>|s` zUr(UoThPRRfW?^@3VKl8BPaw3pN(kh<-y{JdKngOHehjXhJf9WbPn@xFj$<2;Re(k zSUV>ZD$W26XIMOzgT=WSGSJNFfr>N8q=KTA;fETSVwepUXJWX}gzEnNQ1K0D;t#>% zJPZ}hkobkU^A}j0iNT;5)f^#VP&jZgJjjIjYXa26I$&`o1_3nnE?{vUh68Bk2SCMn zpyIG_$c2iphlUT-c!pZ2_;xh$$zXAAhK4&3_Y}xO!U5DC6lCCG5NJX5*9NHi2gf1m zJ)jos1B>%8e1Vz|bI(PnI18FPA3?=`ps9Zk7UyR8fL0#<fr>Lg%X3)y$s+;^PbP+h zd600{fH<E)3o0Ja0uhJhFL$swCqqI3B)^}4x<4K)&du-ut=`Fjir+wUPXko^0h;(U zus9P#K_jZY2f^Z83=Ij8aD$ZtH=*JTiKyaVz~W2{1>LCT@Q6bED}W}h3Kr*NP>6^4 ziv#K}Yp^&ELjpAXVd>KwEY8i)fac#wus9Dx!(>RjXNW@rGz%=w#UKG~Kf}za0gLl6 zTtG9w7c9=iFrfq0{Y${&JPZx}5c3(J31tgdoSR_*T0T7v6<>iSehVtT0ZsfZRD1%O zID;4{+_)J!(8Ptn;!F$zXz4@;EY8IsfaYI2usA0}g9jv>+aSTi5CRtGVPHVZFPTvB zA86sz1Qq{)CO!uyj+QUhK*gV+i5~@vb22EP^#|{O#d#Pwpyoq^nc*E+oSQ)bEgTrc zLE*#6;NTANS0mJ4;!tsh2#EMksJH=GoQEL+&A*OdaV7?Z`H*;r<;O^<_yRQX3a~gg zLj{_9CPKv(4ny(<EdMSAi!(78pr!w#Q1K6F@pu<1F3<{b56qlDP;mpadQe^h6b?)b z259Q-pyD6U(sKe-Tma3SCa8D-n)qU<cmbOD5vX_on!QiJ;+zZ&QIPP5jc5J<i*qwL zK+9oRyNg>A;(i6R@=y&b9?*sw4h~>(PKE{}i22UY_>BdNb2A)hgw&50pcZF?#d#PO zbU^a&45<1BusA1!3ba2COK(%b;yesHpy?1+ZY%|hb2E6L#rr0xxCNT{eyI2hwDR^G zSe%E!0j=JD2o~pLuz<P;+AU-F0v6|CSPRWZ&|;E-RSFbtJPd2l#Kpkk+zbwA?okGd z^Dx|js)za43@W}FDh@N>3oOpW;IIi2{;+m+8d#i@!2m7)R)NL284jF+q<>gDp&cyF z#4w=`Vh$`luLO&8Gb}(W&$ofac^KY6-2-#y39vXfgToPs`LK5EHLy4*g9e&=-ay3- zpy3HK=O0*{iJ_n!)jgupknntgX0JY2oQWX-O}!^roQFXHtvpEti!(78psBA1i}NsS zgPIQu=c!Qf47BvN3@pyg(0~??yTIa13=`1m>sv5!w0!yrEY8EQ6U|<B8IXSw<54j8 zNP)$f7zEJVZw?h-um%!tu>Mp4Se%Q&K@Jixu=vdYi*qw59EFI(%GFA+I1_^bT6=pM zR6GE!y}AJ^o-h|;FU*{CU~x`{AJF)P<<pm7aVCZbXypl`EXco13=ig@nxh02|A1CL z+knM684hGZ%9%;f015+(GchEfnNtE4Uw|e)2P(b+Exz_b#TC%}^%yFC0Zl!Z9LW9L z3=g2`9~KVsU~wLX2DJ2J2o~pN;6O`1PEhd#H1S}l_zpDj6sR}@ns_N#oQFXIE&aDa z#c!awX9`%HiD3g;eY62A&cpBkP5nu*I1|GHwD5Te6*oXjC;!0WJPZM7?h%j&g&Pxt zKrbZTVd+x~Dn0>C+!-v+$q;~6o<xJinHU7n>@A0i7oe4I6T#v<41b{c4p#mw2a9tu zXh72~OneJioSQ)at-o*#EY8Eg01Y3Q`nzCpCWa5SsQzM8fcUqd3sqbLD*gejK6M6* zb250K`8NzK&ctv5&76F&I2S_#T7GW;i}Nrnhx!+qT^Oc;#km<0(Aq^Cz~WpC4QS>Z zhl(#i6Tb};M;niN4;8<FCeEq|3I{HR4QS#LQ1Jt3;yPe)CWZpE_LwVFJOC}-MnlCD z(8|MNsJH=IIQKxsC!m?X94yYmAb=LXhrr@Y3<7BO-h_&OKr27r!Nk$pV;oALaA0D% zfF`a47UyAj0ks!aej0(rIT>`&(x(?#oQGi*TKvX9#b=<IlM5E-VrZy@l>ZWJkcL+s zSe%D}11+D<fQlz{L)vK!(DCrKQ1Jz5=I;lKGchPEfTVL+d3XydJ^`&f{{j~0WN<)B z=bXx*@Zn~7Z~@{@4`zrvMZn^m3<n$_<v(mZPz@~3&2Ry2+{pqeegI883@pyWumLUH zGN9s{(8R02;@k`jX!)fJEY8GWfR-K>Ld6Tv(%W{hI2S_#S~|Z76)!*&{{R-}VOWah z9xfG7`0y~Cfr`V*A91iaH^UFK_N@w7oQGi-R6VR5u!M^5MicjiiZh_K&l8~HE6~(e zfW?^@4AAmXKUkcHK?5ya&4Y@cKubTHz~WpC6VSrpI9QyA;Q`cMn1648#hDl;pq2lh zz~Vd%E1~LP{$*E%gxeLUILsVrsQ3yr_h^B|xfm4C$~|YWI1@tvS~(m66`z16UI-Qc zfF|Ax72kjsZi~R;JPZxc@nKj#+6EOr02POgV;={LGcjyHGye`)oSQ)b+CG7`&)<W^ znHU7n$}3(qQ1~!0EI@0g=|RN{(8?=csQ3gl@p7p61+;w84HZv7>%T98ieEr$-);ws z^DsDcLdzd$M4p3+i$LQG7LPBW;ssD~So!%AEY8FbfHp2Fqz>{g4}%3-`cwgnb2Aj6 zwXZC|;yerj(C~+~Tin3n+zbcM`c2_raVCZjX#UCti}NsepxIjo6?Z@r?+1%>F)*N| z!}(xw9tH`he_`RU6)eupz<?I-C&A)O3?I<iu`i+G0ch!gQ3Dh{+zbrPkpBAtJ4iV! z1Qnlw7CvfVaUO;SXg?a(KQ)4iUqH)u&R}sSh6!l)#)8Fp7!rCR@y-FQcXFWO4qXs& z7HE2CfQoO0nhzCbm;@H*W-vf==L)EJ1e*8(sJI52_;s*26T<_vcEv}i_y)B8ouDQt z+?W_HptWQ5q2e3R{Obi4=Vo{S?U%vk9b%y33(i66K?`U&<b%a|7!1(TNi$fSlYt=y zQa?h6TNoCA#hDl$ptaj~L&Z0srO#_n@egSE<ug>g08Ly#3*z4iXyUq1@eOF=UQqE1 zXyWN$aZUz?XjJ!CgT<K`7NE5&=0L>@(8`&uQ1Jvb_2;1C8_?Pzub|==(8SraA^s9T ztH<TQ;#>?3X!Vo@Se%<d04@FafyJ2^HlUT0xiE1w^IM_f7tq94K*cAZi5~=ub2Bjf zfus`#XhDAgEY8EQ0a`x5%EO0XaV~}fiV*d%dW2C2;@=0;AniA(F$~I3@dC8;>;x5` zfR=vZpyCE->Kmcr1!(p446ryCLj!dF0ya;&9xTqp5P)XRd9XM)g9KVQKLLv~F$AE+ zBdaddUugA?CRF?bntR-!;sR*(X*^Wi08P9cEY8i~fYv_j1B){;EI=EtTMZT8fab5G zQ1K6F;!nWhTnrD;%KzVBaV7=>w0^F%9>~933<uEEn}Efc7y{7L`#{AnptVDCpyC2( z>L-E4xfmFvA?XJ;kG2ddE`TP!3o5RFCVmbo{sAo?J%WlqKokE87UyA@fR>&)^g;gR zVdy{;mj;V-GBBXc*PDUGxfxcV^$Q%J;;{8vu>9f+6~BR|J_0Px#lSEF8V=Ba$ODUW zGdP@th*v->q)M<j55s1(dTKIQoRh%<tsk@+DjtC*ejF^$!w`X1{yzqbGcjbKt&e3e z0EG_|LjsyOKU7=+ZT*QpSe%<-!XAkGq26L}g^C~8hbkTh6<0u0p9K{!Kof6-iaVf* z&jE{bGBCuVmjCOa;tKK*aag%@5-iTm5P)XR6R7wCG<Uv+iKD4!G6aPWH^T!o^}<l` z1~m05Q1Jvbaa*Xk0GfCRRNMefJOeDw$>3lB@fWP#uYig(s6xbH?f*`&I5)!wG<VJg zi!(7O%z%{JF!d+F;@k`kX#K%^Q1K6F?)e55=VC}eo3CUvf`$*8dTFpY6T<}Px_4N6 z*d8jLfVQ405iHKhpn$ess0u3HfEEr@VB%=&g;v7E(bn7S0E;s*B%rOox(pRxFda2~ z{)5H284f_l_h9aqG=})s0j)i+4i;x(cmQ4Z1siX7gNiTM4KW|Kjwll>&dIO<Z5>oK zRGdK<62CBWdcop642#jqhh<RlMQGyt!Q$Ku3I`$i5$68;P;m>ic>e(wXJRm@hPV@^ zUfl%ZUj{UDoS@<h(AuTZP;rH05PM<kuS%fe4QS%MU~wJ>1GMsa1ytMuP5dBKTmen| z22>ojJ{DT6GJJ-LYoMv;GllqT0h+%wz~WpC4YCmT!@|J<EY8EQ5G|Y|!Q$Ku4ru07 zfyJ2^0?@*90a%=yApuSOey}(bLjjul_h4~uh6XhC0%johGcim+Q||y3-+(5b1{J@6 zCf*AbU$7Js@38jXF{pR~n)rXH_=1(F>MhJ6?pd%LRlE=?{s7IKl`wHM^^aiUD^Sgm zwt$$QfTlhaD!u?seIHa@VHv79r=a2qXyVM45c3zHiQ7QMAE1esLd6x(>|G5NPe2Qw zM=)_T^%7PPdlk_9<p&c-Gp7YAo`6;_FM*0Tpot%YiXT7|e+Ly;Kr4rZtRe0ZsDXqV zEd857#T8aV#9`%9I9QyU!2zv2$pMQqF)TO;Q7-_^kQ1Qd4ru!_)<eY~tb?eBjSpM^ zi*qtKI77-q*gE7VQ1Jw`@!lU$@dmVZ9lH(0UkYgDzb;ffVJ5_0Sp66Z6>mTbpCYh0 z7lQ+|e*{xs3l?W$C_oFhX;ASGXyO~7;uFxs&p^c=poQ}@sQ3ajaRyt6zXZ_cmBgUp z7tqW%fQoNG6ZZs*b2A)3i^m|C_+ChP2y5>pK*cYhi5G&!nHUt%`iT?4;+zZ(Xzi9Y zP;m#e`ffK^oQL56w7i9lU)=(WGchbcix+V_NH{bcfW#vQG`(4a#km*+(E1A=U~wjf z2Wa(vF<6|F;eiDty}{P^HbTV@ptU!qLd6>bAnG?jhQS!tLd6fDwOfvY#km*`pw%xg z!Q$Ku575Gs-yY&G1+?^;3Kr*Ka6qfqCPT$%K->SYeL#D`;@k`$(9C}Z72kmt?;pV8 zObiBS=}OfB;+_CB@i3_P1~l<zsQ3gl@$F!7ZiWMB_1XilI2XeLwD4hdgxI?P+P;F- zQ@T)bhaHf3hqX7-q2df1AmXs{WI0s40L?wmpyC(M#3h|T_Hr^jfUaMHnWGIAcR*VY z?+g`ZKr5G$!Q$Ku7tq@0rBHE({iyk?2`VmtCf*Mf-+*S$0;sqHn)-EMaV7=^XgY`W zk50kF(emj_usAn^0-E`(&Y*DMW^h0gSAmKPpo!Z-#SPHJqoLvqXyRp1@d7mQNl<YE zG=I&5iYK6nuZ4;ipo#ATi!(7iKr8=mLB$WCiGPENC(MGRA6Pjr?g9yi1~l{4pyC_Q z#I2#?3(&-U!QxB|6Y3%6!|K^AusAnE09rV-L&X))%$WiepMWO54knIf&M~k!6T<<t zeDNGC&dm^jX3h_=I1fVsv^;^0d$75J!hw^a0d4$26e?bUCawY%cR=fxnL))5pw$b3 zQ1J$|aySzz?toUm_dvxH(8Sk(#km<Sp!w?rSe%LB0$TV#28(kuJU~<b6D-cf5P{bJ z<#hvv0~bR8nz$lZoRdKT%{>laaVCZh{iyLC0u{f2CY}xz7eL#uQ3n<OfTn&bSe%E! z1Fc?I3l`^OU_cuu*$)=yW_W;B4qt(aZ$RtUKZA-NKokE16~BNcF5nIdA0~zcyCD9B zwcq@};@k`jX#MC+sQ3o7da4R6&c$#5I!*}7FB8DxObi8R;|x2Y;tSB)z0Y9cXyu-u z2gsdV3<+rF>w?9(86KdG?*&4|U!aMXfW^5O7ND6k87j^o11aZW?%xC!=VWl$2x&jS z)~la|iEl*}e*qTfVrW1!pUD&A{s(B{GGK9Th7V}&Gy{usGB89!&4;#^Jiy{i3<_xd zwMwY?gC&r7gw?;x!Q$Ku4p$)l(ts8ar@-P&3=L@E{1YtB$*{m1VlQlcuaFnW{X7gE z&~gUWZqWvdGchEfjbFvV#L?QrRZwvQwD#>ZusAnE0$RFS4;7z)*8VvK6<>fR{tPV6 z!>|O}E`<gg!#}V%H$w-SdI@ikzqlDzpo!~2#b=<2yMV=c7*?Q#Lj+iyli`6ZB-~*2 zcsf+P0d4<U5m=m?VFGmg3f69~gNhfRiT8rVxfm4UAn^!mN6mzaXP}jDi@@Sc3>PLs z(gQ3#AA*V}pvC(`us9dP0tJZqF!kS{;t6QtTt1+1<6>w)6PJaGJD`c{gT*-+4nWWM zFoCwi?ZM(q3<--N?u6CjfnafNh6QNhkOCFIfi{0s2o`5zNLYkwP6t$c0h;&%sQ3dk z@$F!7E`|lkkZ?Evb?0HIctboy99B-A1&ebtJU|;ayA2j+VlbElaStp!KS0F;(8M`> zLE*{7Ab=(=3l&#D3m+q}I1fVuG#x^-HG?BqoQdHBTD%8=#d#QBK-I(YZz5Qnn_&Z5 zI2VD%xfl+h#bYyAoSUHmP5lJ0I1|HywGjWp#ve9=#km<SpvBi&us9Qg0$RKG9aOvk zEgV?<K;gs1@BppfAqEy_VlY5k7oi6g7eEvDf{GV3K->w7mrSU*0GfCQRNMefd@WQw z08RWXR6Joe#C%wK{sI;MfYzQ-^auHiiD3bndKaj8!$ycXuzpP;RQv&&`dLu%3ux}y z02b$FSO8s50&~xPus9RL1T^(`q2dmEA@;)dm3)VaKR|PjQUJ*P+zbiOab{S(V+9sx zV%UIYegIgUn;`+sJt<&uCI$txbsBY0@c^`VoDLQLfM)(iusAov1+;SeFjPDNE!|!P zi*qsrh(pS4SbBH~6*oW={|gr9W(Ytthc6Hm4x9{%&~=`$dPg2C&cslF)(&(4i*qq7 zkcX5fFnfc+;+zZ*d?4;w04;ygq2dkqA>y!lyc#Ud#4rKP{ApluE(QfO^H)Q~6VSx> zLd6}>#4ka`8_>j`K*br*#J@qs6VUQ0e-J2qxEK`B+E;2&@dPw+8>sjKH1S}lxC5H` znNaZtH1$nTaRoH>)1l%FXyR+2;tpu$oP>%$Koh?U6+eI`{t+zB#P9&EU8Eii3I`?z z2DI@pAE@{Ov~i>gsQ3l6_VY}r_y#ob{ZR1+wEprPsJH`~_;;vy!Zt{LhmEJ1g+Sej zralTLj;4MZSe%<70ImJD7A(%hZ~(2naTO{qfHvR35(=^R1Dd~#z~Y<?576cxy`bU_ zXy*(hfW?^@9-xg6RD#8M7y{7xUtLh~BhYpuY&>%&Se%I=0Il5K1QzFESO!%O%kTTZ z;!F$=(B=g`Ld6%LxkoSz<X>)v18DW5JXoBGK>@8kHHV5fY=OiVtX>F!iYuUr=R?H} z(E6vnQ1Jvb^VfjIc^ERF?F3l6Vh>oHn_&UkI+;^Y@dIe$H^Aad3<YT6_6sb|&9DKj zd}a!VghRp^NO@=gT`wmJ7H4AEfM$*^R9peA9&`hXb2BKQmCtcdaRW5*O0YN+g8*9j z3Cf0`^GO`g)Psg)1R1y(4A9!!=fUQ1F?gVfe}sx#pow!wfc(qFpnxW>4i(ox6L*4& zJD`cjg2kB_6wuPuY^eAHv~_fcq2dZ?<FsF);tpu}R4x+aP9_G1&8X?k6)L`9Aw(S3 zuE>UoqmB1Yf{H%?1uO#t15EuTusAnE16uld0v2atNI<ifB?{u618CwZQ1J_B>zzWN z;tFW)Y=nw`KvTa3DxQF*{x(!R04<&Thl(4ZiR(l|+_?eG{CKc9C&L4@ai<EfI1j@K zXugBB&-=jQObh{N`F8_YoSWeX+PJ}Cs5l2&{<;7aXFwBw3>7y(E4RNu#TTH7i^YKa z#m&%wCaw<^k3ciu8!BFaram1iJ^@X<9V%XcX3i3*cm|sIL9jRzg9BQ9`UNT;fHuw` z8w+wjCxZjpJdrtAoQq+DB&7U-^~b}Z;uFxs%c0^6(8Q;M#km<ypq1x~q2dqF()k9k zI1j@Ow0J)N6*oXzuYLh6&c(2x9^%dbXaRj6DjonGUxK;+BUIc0O`JIn6b@Vr2591< zP;rHPi21O6L#kkLCI$htex)^7oQI(T>VBwm7(Bt^ObiNW{p)0?_yx4|Tm=<3Kr_D& zDjt9)z8EUL0nMBpQ1K6F;%A}a576@U6R7xyI!HMNYlkz(gTjZ2K>)4ZQGkjYpo!ap z#km+hprwaUsQ3dk@m#3*1vK$iusAnE0@}R5La;aw!wzUT!^($UU~w*n18C-428(ku zG@zOD0W8kNz=2kea3nzd`v5H;89~JZ(84DSDt-Y?yc#OL0Zn`fRNMef`~p;508RWa zRJ;I9Tp<zS&INlQ@dfLjx<kbk(E6wKQ1J<9<}3z_b1`s0!wr^hw?oArppAQ+f{Jqp zL-GYo{S~OV2AcSNsJH=|_$#P*0Gjw$sCWjNI71T1zg!F-L{RPJf{Gi6qKb<`#Vydp z6`<k{XyV#X@c=Y&GpKk3nz$oWyZ}wy8!Em5O*{-Lz5`7>5i0%xO*{uGt{{f$uQI5( z0h)LtR6GDpyay^CfhIm3DlQ?8YW_l~xCff}8mM>xn)r68cm$gGA*gr)n)q3$cm<mH z4XAhnn)qX=_yjcZcTn*YXyQMh;t$ZonUf*uM?wPCzkE<}1vGI<sJI52xC&HU0xiGj zLB%!D#6icef!oPw;x1727HH!BU~z7S2Wa(X5?Gvzp#rVE0v+E3GQR;$ycVjy15JE3 zRD1%O_$sLQ3^eiGQ1Jz5;-{hFE6~L6K*cwpiNAu1??4m(0~J4jCeD=tiLVoA;-KX* zApc%K6W4*Ne}E=#3l)EXChh|j|9~bQ0~P;)CY}owXFw~Ls-fZnXyVhM;u2`$%b?;4 zXyTx0O_0AV(8NL8he6^FXySLF=6Ilqzk`ZLpo#y5iYK6n^Q1z;xd2UE4k})OCawn+ zZ$J~bhl<ZY6ZeOTFF+Gdf{JfI6EA>@??4j=9lrw#hXZKh^PuWapoy=8ieEqz-wzdk zfF^zcD*ggZ{4rGg1Dg0JsQ3>wai%m#cru{XQvy(N4m5E^sJH-{xH(i@0!`clDz1Pg z9s(8DKod`fiW{JbS3|`u(8NL8<U!%=fF?c*s@?-ld?i#o0!@4uRJ;LA{4!L$15NxX zRD1%OICDBAJZGSZD?!B<potqp#W$ddJ3+;Fpos@T#Sfr~Cqu<gpoy12#V??Vw?oBm zpovd~ia$UTUjh|>fhN8UD*gja{18-}0j-^I0V>XcCVn3(E`TQf7Ah`*CjJj9u7D=a zp8*Mf4K#5XsCWXJxHeQg15MltDqevm?hO@hKogIKig%!i=R(CNpo!N)#b=<2Pk@Rq zKoeg86<>iSz6~mV08RW9RQv>*_<gAO1vK%mQ1KgR;(VEq@O*$Kt_>A`fhKMN75{-I z?g|xWKx@~9L&Z7J#FL@o0%+pJP;m(~@n)#F2AcR(sJI21_)4g_1Dg0YsJI83_#vox z2AcQ<sCWUI_&un21)BJKsCWaKIBOOpoIB9OC7|LH(8P_P;xo|1U7_L&(8MF5;w#X^ zbD`oJ(8SxJ;ycj9XF<gepoy=5il0Ce-v$-GfF^znDz1Rm?!65a*FY103l(=j6KBkZ zgj)uhxDZsl08LyKDn0{E+!iXn15MlqDt-V>JPIm)0ZlvyDt-e^ydEn40ZqIgD*gja zd_Gj10j)j19xBd(CVm(yE`TO}87gjpCjJ;I?tmu#11j!;CeD!q3FiPbaVe;H1e&-$ zR6GGq+zu+9fhO(;6)!*&kB5p^po!-}#T(GX>!IQuXyOx~;uFxs=Rw71poy=6iZ4JD z-whRCfhK+mD!v0v{3cZV0GjwSsQ3vq@o!M^3uxl(xsdR`fhH~v6@P#xt^pPQfF^DN z6=y)}$9X`-1<=HUq2dZ?;yGY(ZUzSEx<uHxN)1??i=hCmKQaX>egjQ>B~-ivtzEhe zDn0>C{3KL-1)BJ6sQ3*u@i$QM0JQeWKd5*DnmBJBB%CwQ#5JMf1!&@KQ1J>h@hGVH z3N-P2sJI7O{n!r`Pe3at*F(i`poyP=ia$UT{|ps>fhI1P5AoLrG;wXH_zyI3C#X0B zTDcSj73V+`&xMK$pozCa#SPHJ=Rn0R(8PB@#XHc%??S~FpoxEhimyNuXDNXAcLSQZ zJXHJznz$uY+yE`V_(H`upowQe#b2O_w?M^zpoz~1i*qylKpQ971QzFFh(OC<2cY5! zXyWIg;u&b-_n_hhXyR|7;uUD(zoFs{XyROjpm5`6c!B0ld9XMag9Dm+BdE9snz##8 zJOE8R3M|ge@Bz*IT(CG3!veH*7c;@)+zbq8^G%zf;tFWur@`V(3<;nKUj_yS*gX3e zusAn^0GfJ%B9MPM8642o$*Y6Kxfuk|=B;eO;+zZt(0k2b^EF{$ac%|)H1!2gaRoH- zPN=v8ntK*O#Wm2>9|VhYGB}{EN4)?RXJXiZHqY`MEY8KifHoe^T@3Os7sCUz^*>5b zaRoH>W?*q9h6!lq$AHDT7!IJBQveocVkkgUKMgF-&5(fRuT4;K4>a+MQ1JjX@efe( z18DmQm`gzZVq%y8U4IBY4xd2;D$am*pOG|F`~up!Kt?4D3__qoe4zJ%dPCQ7c|pYk zpyEfN=lG>T#TCRMCkepT$JRo{6QJVnpy%mLgo+19L)1${&0hi)FMx^*LdADL#V0_; zA-6y=Fx-WTFMx_eox{LT3UU7isCX>Y966}CfDFW5*g1YyQ1Jq&cqvqUBvkwZRD3B^ zybLP7Ko(*S%=}4EaRxbvIAoTGfng0)JOL`62Q}wBRQv)|yd5h30V<v#4>3myD$Z91 z@mB*>9Cj|WAyj+<ns^vgd;wG(Ht$vf72g0AhuzOL1uA|3DsBX|cL!9Q0ea67=>Aub z)CH)x0aRQLB*?(P@CYhC0eb%t^m+=0|4?xU=>113Q1t@k5Puaw#g(Dr8c^{EppAhH z3=E1;aaX7~1N2@b*t}-~R9pc~yb&s%pals}So)a+6)%8_M?%eC3>CkCCcYIaZlDb@ z2d4f6RD1$d+zo2ZeW>^YsCW!i{5@3MK?h=vBy<7Rf2eqZE<{`!D$ZX437-Z%h&U|$ z$V0_Hpotqm#S`=)>a(HdI6=iP7(m3+q2l3CaR(!aI4mD!Ld651;-XOZS3|`MpyFas z@jj^d1gJRdKFWnq@eNRMnEEYH@e5FKSUNcY6=yJpxCfTrZbHQkpyIG}@(L=R02Q}{ zy5~1kd;wJ48Y<3P2?@^!Q1J|?xExeGzyxA1OxzGEegI9}9V%{M3Q;cv3s0zcfEh$w z3@V-u6)!M{h%bcNTMiXhuz-l4fr@uQ#XmsB%c0`4q2dLW5cRP7eFIed08|{d&*CIh zT)_&W9=87fHB@{8R6HN*&L2>51{;Wa*g9VJDoD63fQn~A)k{Lf1?(W|A4A=#2^D9s zhlt;UiaS8XA3()FLd9cY;tmk?u>CuwQ1J#wi1-Dl`hKW*fD=SK9a_Jvf{Gt-g@`|c zs^1S4-{1xjkASYzy9pH+@Pvq~LB(G|#T~pM;`UH;{y@bWpyHtWTtQO2)sXPn5C~BZ z%fC`k@e4r^@lKFp1_lOQsCYs!s<;DG`~y_H0_vV1sCWTrLo@>e1MGgc6sY(HsQ5Z) z1}=q)C&WU`Uk{D1CaAbTJVZPW>YnLP@dBv0EL40sRD1%O_z|f12B`QSsQSAw@dSwZ zuv--0K*bpnA>vn|?qREegxiB8h`2UXTmdRBkOC3^0X5$qCY}lrKLZV)IH>r8bcnbO zRDBCnTp<G@-V8N=9#nioCPW-`UpPq1MyPl}7DW68NRWYn;RIAXAR8jS6)JuYDlU)% z5%+?c{~juykP8v_hAzw%u7&t_Lm@;Qwy$3SDxOdT5r>`UV+9p|02POo!@*E-hGK|% zRcJV5K*bG8A>yF>twBz0f{9l^#J_?rerI4{m<$tdfQUbb=A)%hafKF$IOtw*kU3kR z;tXvN@fwgI0|UbmsCWZZ9CqLF9jN#OsQ62$JKsRX8#*E8WI@gO2NnMS6^HB^U|<la zgM?c`7esvn)SU`Y@dv#Saaek`gNi%!LBxHa@!|&+pU@8x4~5#B4Hf?Y6<-LAuLh|2 zf+-O7FQMuuLB%Ibg@`|dim!l*H%x<w|A&h2gNaXvh{M`@=b+*OGa%xy`xEa$#T%gF zg-~<eLB$znLex)%iZj(i!e;?gd>&L>6e{j83!=UYDy{_;zW^17oquQr6;GHAQ9mE5 z-U}+uFb5(I^H&T^d@e+M4OD$TRD1(e{4P|y5h^}m9z^|OsQ479xWjyicrR3ZDOCId zR6HLlz8fm;umGYy3L3wcq2db`Ld0SFxn4oVA3()7L(O4qfQH*5i26BDbNHa*4oe{7 z2cha^q2dBdA>#X?;s#Lh3sCV7P;n=y_<>~*^{b%f$3evlRzSpI>%j`4;tVSx;uE3f zv_i!fK*eGCdIn5<4MhDnXujSA6W;<6PlVcg1}ZMF4I-`s&5zHa;to3?;s>A?75{*W zGaQ15_d(6!ZiIx}gu@W=V5mD~q2eFV#0{Y00Y^~P2SCL)K*i5O%}IocHynkihm{Xy zQ1K5?aacRH3o4#)9HJi9Zdn8sXE*^7mxY!ayP@I=ry=67d)u!<#S@_7psp~;NuOZi zXCUff_e=>iLBin!RQwz?JawSr2hKy(!_u=8R6O7UMEnXgJcFR(2A3e>c2IM&pyCBr zAmSxZ@mi?(hiee=W~lfCsCdIoh`1S4d@)q~z-@^5D`*FDD^z^LLx}hWsQQyo@q{N3 z@fN81yHN2DFCpRzQ1$Pi;sS3V;!8k*#=yYvA1ZzTDy{}?p9nNV!|fA9{VS+?J*fDD z&k%7~es_Y32Yi8u!|L}isQ3h^IIKUL2^C)e73YVVUkerA02PO|b9$iS7tq94K*c{m z#bNEJLs0Pr-yrVU2aU%&Q1OHx5b;uIdix3$fAAY34htXN7DzZu_yZB=ht@l)Q1Jx} zj$oH>gqm*;6;EJ-h#!E8M?%FFSRmr%P<xA@;tSXz;uE0aeNb@&ZiqO{J<Fit51`^x zpzhfR6>kuLsE>k*--L=k5P^u#f{K5EiZ@6?#O<Kse60}w9*~8IPlUQh11g@N2oZ<5 z#}O(npac=mfSMBp6>m_3h{MX^e5kmCIz$|{FRTSBJ^@X98C3iMR9p`lo`;~~0-6wW zCWB*vf#DWZd;?V63ab7iRQ!V$L_KVLiM0*l-vDihIBa}C5-Pp`Djo<m-xw;+paW44 z8~+J~iYq|H>!9|QK*bk;4lH6|V1V_%dZ6MH^dRQ!hlcZfsCa-8M0^(1-d#}f4^Z(d zQ1Q!9@e9Tf^;@9g-=N|XOd#U0bRyIa@vng;MEo<<ou)8xYlt}PUNC>C_yHS;_)2Jb zk_{Cvu!o4VL&aO6;th@vadW8oE1=>BoFL-Mq3%2b6)$jxh*v?yUqHn_K*fV$7h`uo z+#lcvQ9m6TZgNoZ4}lPI*tv5SP;rJ}h`2AbeDi{e2Sh@|*F)`1fr>LkLBwJ0kP4{y z0jPKsRDCy8Tp=2w9_HUAQ1OHqh&ZghcMvMh5DO89)nAWc;&Bl1U}!#K?1cC?AqgTL z2n|m~sQ7_oi1<XPxE)kHAr)0T94gKLIv|UIfdLji8BlS742XIjXgpRy#TBw3;&o8} z_CduLK*eF>8}p#zAE4r}ae%c@@dMcqbG)GDAAyPs<Uz!{q2^zOiZ6hQ!^YEIK*a^} zA?lT&;qwD3?obR7XMs-i@^(SOEub1A9tjN}b*T7;8i@FLsJ&)T@rGK6_#vpcJ5)TN z4kCUWDjoq9SEz@GPl1XTL&Xn3#rHw|I~ywA&;(J>33bnYsQ83ti1=Tqzn;RxJ0Rjc zQ1$%X5PvCjLBwI>B#uz=h8~DGtpA$?6?f=`h@XSzml~+Jz(k1nKB)UALd7pY#XF$+ zWg%2NVFpC~PN=`OK*beiL&V*o1Gwj);swhf;;{DlYpA#b=s-3G28Ojz|1$MJ{Pkfq zM12mlJtGJePuK_%e+3N(MW}edW{9{uRNM+GzF-SP9M<lQhKe(6g^0&P)#pLQ6ShIb zVdG$RP;rOt5b+kM`Uz0+3p*g<7op*{4Jy82Cqx_;zt^GS2X;ZkMWE(<go;1d4H4Ia zn#0-)2?v2a5b;S+aV4nu2B>%#RNN9OF0dD(9@ZZ7hl)2q#kWE2&47wK?1!i?f~JQ? zsQ7_H5OLUe!aS(>f+G;|6;OM(Ld64)Lc}wn;+LS}2cY7x`t%i4T;Lc){WYk1_CAPz zKS0GVLB&;};unrX)H^`kZx0n0I0+H=gr>uAsCdF@h<FavUl~yG3+Eu>u<@KasCdJ9 zh`0&V{8>=(0~a9Tu<^zXQ1K6!AmXQ>_8x<ZAGit;w}aYy7b?zh6Cz#&_18D3cmq`2 z8EP+gKO`J3+=8fwwI7tB;sJM{;?Vx1DOCKzV~F@Qs5!n+@eNNQ;ug^S6$=&r@Dd^p z>sRJM#TDK_#0#P3G(g1{yoHFbhWcwVR6O7#L>yMHErg1HfQm1I=HD$)@de)@>L)?n za}p}<@CPE^0u7&sQ1J^;aoG6qFR1u|zYz6)P<IMWfP|aCe^hZzsCWW{6WHXV(D-tM ziW@LP#CyQ93=H8=@dHqC1*rMCQ1J&W5cNNy;m`mR=YWVql{551#XmsB1)&lfpyC2t z5cNz@@rzJ#1#XBqY(D5KRD1#tL_8I$o^K+=zYKg3aajFp3>6Q6in~Mo<p~vE02NP$ zniB~X7Z8A$^9bs%ET}kx2t*t<{@)H07lnvVhK@hXgNiSZgNTPi&EEkPXHbBM!{)os zK*bfH;=7>gpF_nTC_>c3%2nn`5dR)ff`~(vGYCP&FF?f;q5jo`iaRJn)bl|#*h9qw zR3PGKq2f_caRoJqIBfi|7%DEH4iSF=HNO`s&Y%Gi{|*&j4indeh=)MMPe8>J(8QlX z#ScKmVdKN!q2eDv2aPf?Fu>-CI3`2FAwUOWK1^H!D!u_K4lDn)q2da<5cRvD?y-i7 zKY)tE#$m#t;thHb_1B^5bD`o1`Vet<X#Q=7if=H6h;M_cUkDX1FoB3KhKBPNsJMa| zL>$(hJOveB02Qx<nsXN_u3!#P9|*PgGgQ368X^vB|L{zKgwF$8h<G+MJ!?V50~{gZ z!ccK%sCa=3L>yMmL_x(9Tp{9@q3$V%iaWSL#9{5miBNF?cZj$a)cj3QaR)Dm_%CQU zoPde@K*SZH;!mOC1-=mRYN);cq2eE);?tnw(o-S+o!|#iKL=XASwqDQ0wLmhQ1?VZ z#S=mx;>A$)RZ#H<VGwax`dI`O4+w{dcSGH|4=Vm30wNA;&)kBFGekkeVe_iLpyCSA z5OG+#6`uxie*;u}JJftFsJKHcMEz8#xC2!DK^#Op73%&_sCYmkM0_nYd~%`U3`r1i zSbMk)Dt-Ve4x4wF1r--afvER`hTA%*ctScv9M(=g0~J@ufQUbZ+WQPDE|3WkKLQp1 z0Tq7$6^GoO#=yWk9TE-!SrGNG{*Dq<`~Xzk7U~`os5nC&M7;~td>5#A08|_{KNSNN zzW^17*;@b=cgTmB18a|ULB$mcAmS&W;XfZL{-F>e4(l&(f{HIFf{4TBJI+DH9f~31 zuzvkRsQ3Y>IBZ<~8&o`?1fqT|)Lz~hknmwBgNVb*B?+kb1E@G`{=yV0exMwp{xvjw z!lB|DDj?#p^q&P4H>ie)!_-$o#T9BG;>V!w>4S=Ys6`cD3>8064-sDkwRb;Myr2Oh z9srHU8&L5B%@A=|`TPtj-p~ROht0Qsfr@Wvg@{)}(?9!6Ncb3ZK*V9=1Cmhj37rse z*!-6!RJ@@JA`YAHwt$KYbVI~p>Bko;J^?BY8|P1fiZk>;)O$nSQw<dl=!1yE%K1L1 z_ywqVJv4k4LB#_=2V65SFu>}~T~P52P;uBi%Vnr|zyyf-uzKe?R9s*pL>x9>{2MBM z0V>`KwO42sB-|1vLDav2imO7!113YnouK}*go-bi3K551d&m$66@LH~w}z&ZTBx|f zbclLbe{e2T++YSo9JcOgJ5+oER2=5t>rionnGp4kQ1ib*#T#Zp#9u?jC1ykXRWJu4 z{v7HrU8s1%T!=Vq-Jl0ld;?TG2Wn0%R9s;`L_KW1M+sE?095=0)ck&^_yo{_<O~c9 zuzGVbRJ>pz#2i?D-wqXLSOgI_frj&OsJO!ti1<0E`M03r0!ty{v!UYepyC^#;*3!D zGtYs9!+~WG^+%!NqEPV(D<I+pP<vIO;tZ=H;!;rcrciN(H4t%osJJgwJYg+F+z0BO z7^wILsCY0`eGyb#U_Gk(2B>%fR2(*b+Yc32*Z@)A1T}v#RD1(e99CcKfQl<@gs6W4 zReuI5F0ctAo(>g%02Ob5im!u;e}#%0Y=)?ZiF40|gy#b^aTTcege|D*?V#cgTT#Ws zq2eFV#0#O~3$~%EZ-<HpY)2KJ2Nh@7fhxWOD!u_t{4!KLVJE8kw@`6`U8v$L^C12` zfF>>t6))HgQ4d@Hpbr&)02P;o#=9d_oMA6SJ*+&8fQmOj#q*%)Fdr)ZVLwDYtUXo- z6;C(-5f_50?}v&v96}Xe2o+y&1R@SAuXaGiAE1e!fr={}g{X(6{|8WUhhq@&pHP2& zhl!tnh<}BqAMW{(aC0~b5s!q914u)~7o3BLLx%|&w4ve~pyIAjb8MmF4Cf*0ZK2{G zP;m#SIIKJmgNk2(io^0<I#k@?BE%e6{Z$1OUjP+f1~tDIDjskNqJAFKzl)&aA1*`0 zVe4DAK*bBLL&RbA(Rrx2!3~Ib1k{{oQ1J;jA>y!ggFm3+0=FRINl^FjEP#Y(15_MV z{!2r}FWiQxhxPZhq2da6P{pmG;ssFgY^eFZQ1J&)@ww1+l>ilQxDPQ0Ha}hp6&H8_ z5&sJ{ryVB#5F!pa6@-Cd22{KOD$WZHhm}zA36CJ^Ve`fNpyC&x;;`|VOHlEI#}M_f zdgm!r+~5gB92Sp1pyCgp;;{A!??Om;8a#)n4}rGFq@dyxUO~j6&SWrviZ6hQ!^Si1 zq2eE);;{T43KbW412M-GYETMPJm4)v9JY?A1S)<2D&7rsPbXA7;3GtR2UL6>RQ$mg zh&XIMeJxb{15_NA-}gbq4ZcFu!^AH@#S5U~kkK;+hUZZ615j~Ty!?ZTGkk-X0~428 z1PM<Es5tEWJuRqs0aV-$8V*)a@eSW0=D@}|y`bU-KOy2>&~z9B6+iGBBEB7(-U^`N z4Syiwu<?@?sQ89|5b*<0b0$H>3mBcjCcx6kN~pL36GYqxI?iwuDqg?_5x)i2#lUb6 zDjvWN5&sDF*GHH*Cq%pj8ejjR;tzNr;+LW71r|fX?EoJ{9JbC%6)Il94-tor&samn z1q2}Cuyr62Q1Jso5b<)Tf6Jia4x$ioSUzfnieG?=!|qF(3Kf4K22l^&AF&K7?jQ~k zPk@@g1uDKk5+V*O5065{6=Wgeuz8<5Q1K5?@ikC$UPHwfC_vP=Lc`}LRNO!bA`UBm zxR*e~4Jy7Gs$KyqUI4n72DE+`Dy|0=U!V#x2iAXgfQl!mLBwI{(-$hfKpi3us|TZ@ z;s-P!;;?bia+tU#L>xBW*asEApal_+fx2f7RNO!ZA`V+Gx(+HH02SwjhR<H8c!C~8 zJr^`xor8)O7(&FCLDfHkiYu5v#7{!g?RTj72dFr#9A;k%2~P$yh<eyKvp7_|z#Jle z7FuEJK*b%LA>y!c4_m0XfeS<&Hl7d+6&G-Yh&MvRAss5dzzrhK3~eV=K*cwBL&Ra_ zQZH1T!3QF~2Wrk7sQ3Y2h<FS%95z736#^jQuzv0#sQ3mn@oP|Vhd_vWSo!=6D!u_K zz87l#H>h|)2t+;1oy^N1;duZm4!fUS3M$SJ4p9#~&s-lWZU7aB?Qimiif@35zlX+S zI#hf?1jL+0&~RvkiU&kN#9`}K7emD#K*h_T?%xg-Pl$!6{|z<&JWM<eA`V+e_X#Tg z0V)ogCly!@@$ZFri2CbL_oza}HzY#DVe{rjQ1ON&h&XJV!3iqPkPH#$gQkaIsJK7| zMBEjc{xhNC3E2>F*nWp{sQ85(h<H8JJ*`mjfINsetX!H36<5fIh{NVvS3$)OK*eF> zmItBY3I!1LuyqSJpyC^#;;?%56IA>IR2<gtW?TUYH-|!qIk5B~2o*0t6IX|dFF+G_ zgo<B46ZeIRGZdkkUjP+1KohTniYGwD-$CoaKB#y=Im8@TK3WVFe*hJSjoa^niYHV+ z)WgPkPC~^WK*d?1@$wid{-F}0-XALd6)Nsf4H4&n#yi(aNVo;mLBwUD>l0+5;sq@b zao9R@J*fBvs5opLhz(TSpbes44w^4~q2d7@5OG-lE*UC*04m-O4WCk|ct9^iy#Q3a z1uC8}5h9)lHGeWx{K902cnLJ$ErW^&Oo50$gRXbl2^Dvk4-t2QhR;Q)_=E)zaae!h z8C0BMAw=8*s{R*LJYW$-95xQay$TYZ51`_(eJiq1afQVY^*^ER)P;#Jfrwi}{pAQ1 zPgn*KhpiI`go+C+hls=CHwh}9umU3f4r+c0R6JlML>#t{tP?8U02PPTn+u@g3!vgK z^?RV=7og%W^|zqnAE4r}^!y$w-mnT{FKquK^J+-=Y=DXjL&H-6Dt=)#L_KVsst#2A z15_L~E^Q4Jzpw_P9=2X08Y=Dpy1<TsfdSV4ZGehTfQp}i+B+F4p0FNb4y@g{6)G;U z0U{1tuX`IR&ae?8o(N5!U!dXzTOs1Gc>&%v5dS`aio^D!=|IH;wn5Y{fR@iLQ1K7D zA>y#{&uFN)!Cr_sY@W0LCJwrokAZ;!Cf)!O-w#o*3QZ5aQ1Jw)IILct1r@&l6^Ets zl~8eogAj9I`+fF8#S0EW#9`}3E<wc)9D#^8L(7>5Q1O5h5OLUglJ8LQ1E(S4E1>Zr zv=$O>1!p1R@lf|GLB%gX#g9PEH-U;TxCl}22UYJ475{)Ho&*(lxP+>{5-L6cO?)m? z`~jNyL8!RFWr#VjdA;jU@dH;N;;{MdH&F2nS0UoC@``O8#J>jDAmXrb7<s7p0jT(A zsK2aW;@2VSVdErTQ1ONv5OLVNe+*PS;U+}94{A;kRQv-}oEy54wHYeT@D8FLw(e^Q zR6GGH4%_dx2P(b+Dh{jPZ$iZd-b2jsg4+8FDxLrphmE5OtcQfd1*rHXXnCjt72og) zVh(H{fdy22!WW3RI@EkWsQ3Y>xHUANW<bRmenQkYLeozRRD8l8h&XKh?P93-f`1V4 z`_S}x7%JYt0GTm_h5rMnxC0|Z9M-S@1{H6Bitm8dv+Nrn{&nDhs6PyKzdBT0fD<Ba z4PB>X1r-kvfQZA|MXpfs2~csUGZ{jl;tQbSU!W2xFmWM>Ik0)#GN||mVTiaURQ(jF zxPmA|95x@Z5h~6g1`*GJy8j?lyZ|cR2Mzx#P;mxni28P@_*1C(1bK)!Y(C;QRNO!T zA`YuBgf>FLEkF?>4y#`jpyCZsaoD;eW2pE7C5U?1zA#UyxPdaNcsx}60GfCOR6IZh zq8^qnx}f44pyIH73bUc&1)z&f85kH~?SyquaRzmWIk0xZVW_wRRNNOD{&%3_6Eq;| zVg1c7Q1Jzt5b=I!_;YW9gpYw1L>#t%Umhwx0V>`LHD4bpuAl=^51S`-g^CC0Lc}*g z&5wnO3+O?_Vf~$an7BSfd@nSeH$ufPm_o#(q2^46iW`_g#9`-SEQN{(K*di&-MI%U zu3!OC-vt%F1Qp+42@!|&?_NX27g#~WVf&O>H$%eb0O(>_1_lOL|4R-kzQ6{eUKCnB z7(&Gl*h9qaq2kU^aRz6IxHh!Bih_z8xI)BX<6wDE@di(bIIKKugo<B)io^EPO@oRb z@Peqff`;2_s5pZ+L>yiYL&XE2;;?mnSE1qyd?4!8q3(YU6~EvQ5r?(+zCpzq0wCi2 z&<(EaTOi>k5DO8vfQG*`R6HOKB0d)?ZUhzo5DyWb3|&v|1{I%>0TG9db4EhN4?x9l zL&Gy4D!w2aq8?Vxv_i!Nav<XQ(D0cJ6>orw!`6##go-odLe#^=k3+>9pyIIkzK2lp zf;@<N*f{4msJKEtMEnlaUV*KU@L?!`h|h+GgBDbLK_Nu^A5`20CSD8?hpk@<gNk1$ zfrz(3&Ch^}CzL|O+o9&vLd8FnLBwJ6vy-6W2Pz=qu<@(KQ1J<s5OLUi(?*zh6+~PO zYW_Z`_<?GOIBY$@d8l|p4MZGP-#vqhAApL(_9cCTiVM_2)I&%H2KH@`a7%!SPlMKX za!_%GR*1^i(DYyi75@Ob(3ydOp&lyk1r;yof~beB>rRG>Ux12lhn7pVQ1J;9A?n4U z=JZ3wH%x|z!^Vx5K*a;5K*Y_U@v;XhJ^?BYi<h%dafN9R_3NSS{JT)`3$r2OF!SF* z#S7*@#8*Sj=h_YlABDLP@r%%Sk%5Xc%!i1>_D$<T#T%gFuyII7sQ8Bk5cRNiHK9=P z1q&hKuymdU6%SYh5r^%Qs)mXiEQW}~#?3mQ;t!TU#9{rQ*--I-r4Vu0INma-_=M#U zahUt}Ld6AEK*X;?!{HoM`~y@RHvaz=Dt=)VMExnKdbS;qa9gk%BEAwDZn{wMfVB|u zAZU4L4;A0A0U{3DpBn%bZ`cSChwURvhl(p~f{4TFmujfE!)Ay$Y(4ozsJOybh&XIs zZx&R10#sZanoib2#W(DNsE4hi+Yc3I*as0;fu`q+P;rAJ5b<BoaCiz6KME0twO4;b z#XmsBVf7~8PDr>t09|y>z`(E`nlIF$;uB6n%z=&b+d#!HoPvnM);WYj#T!mT#9`|& zi=g5RXCUJDpz+cQ6@PFRBK{6)?@Xxpg$odI6{z~PP;rJ!5OG-h>I77L0#y7vRQ+?9 z_!WryRnYKZ-v#ln!&QhlY`jYwD!u?Jz7*;nTc~)z4T$<%(D;gliW}U6h+9JKErp5~ z+=qz6&Ow?86`$|`A`TmOS_2hlcnA@Pt#ddG6>orw!}f*Ugo+0|f~bd$1Am5!Ux13k z))VsVhWNMOF+}}MXgH`s#RHx|#9`wJ)-ds>5OG+&7629h@C+gj8^29~iZ?umh{Muh z6-@jEL>#scbRtyz0aP5eE^!4^{J=|ydYFF?K*cA#f{4TBp{_v1171VK6`}F<1}fh0 z79ws9-Dt|V2NDhk*dZfDr=amA0u`UY2@y|+nqvSJXW)T|!_F^qhl*c-io^Ct$3w*@ z@IlnW#y<<8;tc!{ao9X;J5>AtR2;T{e->2yfe=LfJE%K%Ld7qLK*TMf<=6wLxPSyi z9M(Sh02TiL6^EsN#=VemSRe^e4;#-HhKd(RLB!uc?bU#aC&)m=uRz1c0V?hw4-sDs z6_0?5e^7*o!}gsOLd72_L&TY(=66HIKd3;&4WQynq2dZ^5OEu*`G=t52^tV_*!tKz zQ1J&)aoBjsC#d)VO^ABfzErM#kZ@?wf{4SyM+GWwpbZg+t&6jTiaY2)#6LmZ69N<0 zhlsmF<0Ts^KEVhgt`8M&fr=k6g@}7X^WzMtxPTc%9Cj|#DyVn>R2()xwHqq_04fd} zce(%-zhDkAX9l#scm@?Ou!D$4K@Sf22^GKK3lVpR`io~jBzzPCAmUljc#(&SPY8sF zhe7-G_E7N;p%8J{e)DjsxI#EYd>S+y3ZUW#q9Ee1dCx|u_=0GNIINtU0u>jCfrytu z!)-ZKd;(M)lIs~5_CUoSK*eGC@d{KtAQoZ{Y~A2@sJK8JL>$(hlsEthhXSZLY`#(- zDt-V>+zl!&5Dzh@7Fu5<L&YbgK*VAD=<A{4AE4r}cEU8MctR>fJ<R=EpyC&x;tkMn zI0qFENQ0<{tuucP6=z6?h{Mtq(?N*89H8Q`@>~`wegP^DyKmhBDn20tVh(KH%?~Pm z04fe!SD6A8H^_vjht*T{Q1J~=ao9M<45+w67DPR4{pv=jxIi{U9M+FM1r<+#io?#q zdj%C=02PPr*XB9|@$ZHlh&kraaMOZ{e}IZZPOD&G@PUdO<U-WL#@}+F;ssD~SpT#W zD*gZ}4qIQb3@Sb$A7Tz{eqk?EyrBRh4okN;q2d>y;;?b2k5F-gLWp|Ucs}o8h`$y< z#bNQH1{J>m6+Z~gzxGh^1;r3^VDl+qP;r40h&XKha}iXWp%fyn3eCThpyCD<5b-5Y z^EW}oA5=obVe1CZL&XECAmZns>c2t76>1>jGEi}`BM^T%)I!8-q2kt1@dI@baag#8 zLB$2?A>uH5OQGToP;uCLl1`}jg9eCtSbkpw6?bSv72gFFUjP+{rMIh4@dr?G*gW++ zsJKBB#2nbU9~?&^{(1lvhoviJsJKEiL_KWY$_gs}p#>riTMros6%S~Gh{MjE$b^bN zXorZy+P7^`afc3wIIP^51r<L46^E@C+6)yB=!B?;l>=v>;sRX|ao9N3Q>b_VR2+7$ z2*WXme?LIQVe2i0q2dnR5OZMT32IRB2B<i!ezbv#Ux13k#wSCe;uCrx=D^PD%Y%vc zLd0SDy$dRS0V)m~&s+`@?}Ml}hNidUP;r3?sN&C|;sFyO;;{H-Jr40N!z73}EdT03 z#S@_7uz3?lsQ3Y>IILa>hl(pqhL{5z*Ug5CH$cT<{ktxxxWg2Pde}Li3!&m0(8PB@ z#TBMP)SE%`^+l+7!VHKw?A){GP;r5o5OLUe2-^vWe*>W6lcC|J3Kjn_3!=UnDsBW7 zFPH-nhn?dQ3KO3T5r?hMOn{0vK*c?w=Hx@gFU*6ehYB;)L&YCJ#lxZHd=FIIVLn8C z8dQ81RD1(e9A?f|sQ3Y>IBdT7Bvia$0mK|wKkGJBTwozY9J&mF;R{q;0V;kQY5~Vd zNVpj+hNy@2`^2H*8_>knpyCEgAnIZJ^~|8+51`_(efn-t@d-;I>S5wxP;rN4sN(5R z@egR?6;Sa7%OUEILBp*RDqgSxReTmy++iiE_!_9Vz$#SneNgcSXyO;3;u}_@s(%a> zZ&-sW{tYS~uohLE^AseU71p7O%R<FJpotqo#Sg4URqqNFpRfT{JQ6CNun|=}4=Qf3 z301rqD$cMOReT0i`~sTzI;i-9EvV{`K*bBTqKe;wiaTsW75@ko7uXIFhn<_vd>R@) zP;qGTWRQc38$iWj>ooMC;uE0au>E&VQ1O5r5c8qk3kH9vcmY%#+Ff9Xg^D*o#bNv0 z3!vf)pyCS9^wtO!KL8bnPG2)jgo;0aio?!fUIG;l*avYB>>RvpQ1J;+aoD-;C!pdN zpyDw9-h_&OfQm!AQw%Sm;tcyC=0k_E8Gb;;1)$=vd3^pekZ=|_08!rw?Pn=N#T`yS z#9{8Vg^D*o#bMzY02QBrCY}NnUw|fF4He&jCO#P|egI8;6;%8Jn)o58_yaWYYf$kI zXyUJ-;tVGt{(`xm`7AUX(8Oh+;tFWuCQxw$G;vR;xC5Ga98^32DsBi((D_jD38x_L zfvpE<fQl=egNj4rWiC{F!v%==ZfL}>g^CwkhloSFcMSWW;tf!7SpGT<6`ueVhs}H5 zgNko}it9kr`D>{71E@GG9sY)jGu(jK3tRudc@7eu22gR>dE;VG@dT(itlgyw72g0A zhq==PDt-Ve4m+RR2`c^pDh^u@76KI)xCwC&EZ?O;#SNh1F#nc8#RH(?u=ZO!R9xT| z#2nc8-VCVt1*kaeoS$`2afaIv^|12cFjQOtDsBf2&pS}@1gJR7U$3F!6QJU-eLa7m z;tY2n=I@4@BXAxP&Ih34&}H2WN>K3&P;uD&ml0H4;V#4+*!ZU#RJ;Ky4vWVKsQ3n` zIILZr2NnMS6_<g!rw%GEa1UZWY+khoD((Okhpj`N1r@&l6^Gfo4l2%YA7Tz<_Xh*R zUZ{8gR2({;%WxJdo&Xhx#qV>dcmq@%c7MumsQ3glae)hv@LT{Dhoy5xsQ3Y>xGL1Y z#!&GOP;uz6E`vK%T;Ku3{jhd&I#j#>Dh_LJw?f4)K*eF_)z62DH#~%x0~;sY4i$HJ z1QCa|(=I~A4?x9X;}|cY;sK8#>S5!G>=z;aWq1M+hqa%Tq2dluahUt<pyCUl;xPAz zL&X`MLd=1=rx+^U02SAVhFdRGd;?S*X8v-hxWF@rIWY70L&XcA;xP4JpyC&x;;?l% z>X)GYdJZuM=3hss_y(vrY<@EmDxUBHq8?^`5mfvDR2=4>PN=xTONe^d{_bT^@dHqC zn7#X<;sviD>S6t(Yfy26*AQ`7xV?vpZ-9!!;*sq##9t0?AnIY`TGCMQ4N!4dIi?2{ zFL;Zp-We+X04fes9|jd)@D8FL=AL4xxWapgI4qp|pyB~gahUpLQ1J^;@nUGa?}3U3 ze1Mn(Q-2jIegP^DTNm*LDjx6=q8@fGAJ-K~IDCML!_IF}gNh&c1W^w&-x(_I@EIZw zbAKFEyZ|Z=i}xC+_ywpqEZ%28#SOkd%z>@<*a;P102PPL1Kxm&8+?VRhq>n~RD1(e z9F{Kxu0s6v04fesuLBiN_y#ctwm#ntD*gZ}4%=@s1uCBK9ikpK?(_gEegP_80F4*p zYY=-Ken8a2)={@W#V<g`Vdk%ZiU<6JsE4g*I|UVg02PP1pX)lr{DfZ+^{{%=11dfN zDh><J9;i6OZ-{!>`l54C@c^hetl#$vDz5Mcq8>KS!g2#*FT-DmILtlrQ1J$+I4plz zK*a_Ap{fsricf%w*FwWB11c`?A60!bRD1$d9G3p)K*a?ZAUjWC`FA5!ya6f>%a2E( z;tq_c>Tg2DH$cT<>fb=c3z$&VGv0)R!vm-|OuYzHd;v46dQGUf01HGMrrriBegP^D z@ihZO3{+f!6{3DGG<-^-;t!zWuzOp2pyCYd5cRP9z5*)V02POg{~v;i3vfWx!_wgk zsQ3h^_*|&HJhveJHQ<D(hvjQ+sQ3h^I4oa#K*c{m#bN0o6)N7q1u+Mfewv};4BQZL zSbm%j6>orw!`!(OD$c+IQ4cF0E<(j8K*izfaG>G_yb$#;d%12y{Ivlp4s)jpRNR3N zq8{d77pV9Gs5s2ONl<YHeu#QleAPn54?x9#Lc?<!Ok4n>9yUL<8!Fx)2oYZiReujE zZXg5^hq>oBRD1$d9OfReI}m?;fQrNXs}B_~5Qdlo%Xe;2@efdOnEH88@d6QudRRI0 z1uDJ(Dh?}W0`5ZW6%d7}hm|v{pyCNoaoE1^BT(@LVi5I{p#8WzP;mzdh&XI~?*~+T z0aP63&Y*h`dle)h>S6OctDxcuP;pqeY21gXKL8bnh1(LSxPlbK9GLn8Q1J$+I86O5 zsQ3e@I4pgBg^DLgL(GBg3*dVIvG)N~9A>^2RNO%Zq8?_x3sigoR2=5tM5wreEJQsl zztlj*7eK{f>Ssa4733i5VdpArgNiSJio?|3go-Q3L)63a(J!d@0jN04ztRsO{&G-& zsE3`0V+9p|02PO+kAaFOC_>c3)<f1n#XmsBVd-iXR6Icmq8`>h*#;FiP=<)Z%x8QA zanA;*IIRBlf{Ht+K-9zP>se6o4N!5I`WH}f2UUoASpD)3D!u_K4lB=v9z*OcP(xL3 z1{Hq*6^E_Mihzn=P=~08^-uGm;tm=RaoBqD7N~dwR2&vQi=pBlpyIIe9}Ymp4`@Qn zfw})GRNO!dA`Wx^3#j-9s5mTrYCeJZD?l5f9=5JH11jDC6^Est7f^8q9f*2ZeVz6c zVon289JVjj?-fM+gDylp>|8aI_Ym;|`VeuL`G=t54h9f$SUKkR0jeG<4paXLD(+wi zQ4d?UHsvE!JyaZ~{w-A8!3d%rR_?icf~YTmio?Q3?lVOE0#qE9f4@P+4U8e?z}CG8 zeu1b@FoB4}#+Qtt;st2p-ca!dH1QOu_ynl<Lg)ZxBUIeM24X&Jer*v{d;(M)w$9`L zR9wLpqMi*}4nKm58`wd_Vf|&!uMqctfQrNB@%5nM2kas0Ve>nIQ1J^;aUp2ERst3O z02POgx6Fi!GdMuZf!$wu94fv6Dh`|9c@Gs=aD=Fbtrr#j26ZP?95$a~0To~11W^xL zS04=(|KJP}hnZgs6;E(M6<-1szknuw0xIs{3Q-U1XT61rKY)tE&X?f-4t1v+L_KW$ zN*gM!;0_Uoox>Rd6&LV;h{M*=)kDP}K*eF>?JJ<-44x46jnILf6HxI9fe>+6x%vSr zo)82PUjR)H;y)nnWC($XKZHh@2UPq+JVYF}PrMK+J|O`j4jUhy2o+xd6@Ljee*;u} zLJ~wh>|DOHQ1OOjh&XJ0&IhRY1*kY|U!m|%h&v5ZAnIY`31(373s7;`y7x$^_=Hr5 z`XFfftbvMufQrNRA<l=2JEWniKLizD02POg6F!BCe}Ia^&IRT81#zc92E-g#d149` zFMx`}%98}B_ywpq>^#6`sJKBU#2i>TwiGJ90V)owA1^?~4YDBWVeRLiQ1Js$ahUpm z-w<~?WJA=$#Fs+F4?x9X>-082#T{}W>cyeqc?K$;02PPb+jRpf{sAif9XdY$5+<Gx zF=sinp!^LLUr-7Whq*`S55%1cWf1XA(D8gNsQ7~#h<HCVy}3ih3+f=^u=aTzOuQZ< zt^##W8B}~hBSak5pPC94H)w*0Z-=U10~P<!0uhIe4<Cez2ed-OVdpB}f{Ht|LBwI} zjo(4V8`>e_uzer@q2d7@5OF!EzXbk5!l9rOBK`;}t_l@T=!S^H+FfQ)@efe(Jy7?1 zL&Z1rLDa*}-;RNbD@=fh!^Yt=pyCNoao9O9tuXP45cTX(_bh~p7fgbP!}iPUg^Dkj z3=xOz!@2<#zc3Xd4qJcn2`XMN4I&OJS9$(H!l7Y0L>#uBM*=E-04fg4?*>qDg&7d_ zuzj^YQ1J~=aoBm<VNh{}nGp4`bXWluUjP+{ol86eD()}~RsANY_yMRmY#inkRD8m0 zh<aG~+=PlBm;(`qwU=H%#V5>zh{NukWc&{ahY9l`;;{Cf6jVH50Yn^jkE$tD{J=tp zxG*&T`a#7XK*hH}(@7Xqe8CckdRRCVLB$o8Ld0S9cn?(k0#y7lG(9YViXT`GQ4bS8 z0u|q|5+V*;r+Nn}uCN*+4m<ztGgQ1_4XQZkZg3Djuofb&4z*X7ff0Of_=j~6aajGO z3l*QR9#z~HDsHd=BAy7X7`&k33;sdGVe?#xQ1OKS5OG*|mO{lFpyIIkj&7*<0;u>) zXgDu`icesI%=C#u-M<YgzJVE4{1R0B0t-YOwl3v0RD1&)L>x9=@f#{$zzz}L35|DA zMo9P=a6rUi`-rum;t8A(aoG5YGgSNoR2(+H6Al$u;DV@!wFh#b;s#K0*gl0um^e2? zJ?y-K8Bp;C9*8)sJ--nu&cF*1*Mf%6F{ro!A4D8BetQQhz5pr?JE!hBRQv!xL_N%% zf1u(QpyIG|CIy)w;qyTdqFx@l074BaE+7UGhuz0&4;B9a6}N@PZzNRQKoX+<I&{5O zF;rYY79tLFPX|<70V;kP>fd=#@egtk^|15(wm`)l6d~f5pz1F`#TAqx;-OG`Z$rf! z)F9%p_SiG1c!4@Z9JUVm15{i=10oKaH~a+^cYun!LjB9k3<<XhS`hWHdwh7H;tDzt zacH&AAPyBbKoeJliaS8XVdu$P!^HI<=FEcn*B2`OKp#~+2`b)T2ocYM_NPjq;vXy^ z;=WJ^wL--m>>%PLQ1fR&#Shp+#0#P6VGC5;!381?o7X-D6;FVQ!`6pihKgT+igQ8D ze+w0V;0iGZwm+SL1rnYM+#%wy@nlJ;_y?%CE;RhLq2dZ&5cQ{_`P~I7{sAfu+ZPiH z6+hq&Q4bsEsep<n_&~%Zpzd4%6>so`h{M`Bd!XV4eh_iky*3x1;sO2;@hi}D_z@~@ z5DXEA-P6Ix3iWRYMBE=5FGf)D50MaY*nO{FF!3meIBZ>F985eKA}$ZTzoQ5$E|3Zl z{||Lf6HGi4BK`v^J{=~W3lX0QjrX-s@ePF#@eR;+=`N^vLIp&e6{`L?RJ@=DB5nnZ zuPadT4RsLlGN?NrLd6X_AmaSc@c#l8cj$zO>p;cX*dXCn&;=3Cg@&6XRQy3dM0_69 zJw{ORhA9y73aB~$P;rK75OFJLe=rj&?ywLd4%=T-2Ne%k1QBnBrnd=D@dr?G*t+FK zQ1OJt5cRPA`<tNR4a*?nu=@*6LB$=GL&Ra}`8rfwU<E`R)^7g{6<+`q{|0prFFPcB z7OaG*hxN-epyCXxAmXronIlv@0V)nt9}5*f02PO+FNcaNtcI8aYcEZKiZ6hQ!}h<d zhl(q#fvAU#`(1#FPk@TU{QDj%p0E~GJwFG;Ul*X_u=Vh|P;rBG5cRpx^yUf`U$6lp z4jV^`go=NFio?c{vY_G(8zJgp<4E;T@d=wC;;?<+{ZR3Stq^h8{-DKB@q}#<aoD)i zUZ}Xjc8EA^-03P*`~y@RHtzHqD$cM2q8`>CVdR8_1H(>;IIKREfQk#CiJL&h6`<m< z@hdN=xC2xin*14(pyB~gaag;z87j`O3t}&9d}$t3yZ|a*0IhenLB$^&gs6WCjh8D> z@e4;F;;`|qw@~o~M<L>{@h)aAh<^i)LBwJ9%0R^rK*eG0A`7Uv!EuOs*!Y+qRJ`E? zL>$(hNrj3hoP>zO;=LIvUT_K`4m%%y0aSd$X^6N!v^+To6@PFBA`aWfdKD^u;4DNO zHs1RRD(-L&A`bJ{f2jBdG;v98NH{2*M^$eC6`ueVhmF^HK*bF%K-9zfm9bE9hl>z# z*!e9bQ1O6E5OLW3EK{K3A1*`0Vd-r<RGi@oMEnpm9PUEJFI<I)!_N8n2@}5#5&r;H zFT(@z*MXZ5@k7vs=}u7b2~Qy6pP}g|1t$I+A`TmGEQX37fQp}o)@xl*@d>XX>b0Te z<aDU`0jM~ve%}lg-|z;a9yUIC5h~vB79tMYcklr!ZtxBw4jZ@R;f44s04fd}x0Hv9 zKllbw4;#0%f{JhW4iVo34WAIGc)?GIIBeXq0xF)s3b`o^c5h}MRD1#_M4Sz}fO0NW ze1RxLJQF&Ox)v%fAO{gIfEEM?pyCah5OI5GJ$nTzen1Z*4(q2sgNf@y#2-T4&%_4_ zhYf}haajLU6e=EI1Q9<1Rc{UzS1^HyABV=57gYQMR9qFRJ`O6bU<OeS6EA{_2be>| z4?)vICsbU)8Y2D)Dn17$ZVwTM?f+U2760G>5r^&XI1CkcaDs@#&Z)i*6)$jsh#!Z# z^Bq*&!3`n~%SVj-knjnBio?c}g`wgO9uW1ga|X1a;su_l;?7X<1zr$wSU5yO#V>e6 z#9`xXMNsh%J`i!(zV9Ze_y%8yIIR6V3o72=2N8#j<86eB2lzw9q5eG%6;}v^h{M*I z--U?>LBwJA$bW%~PY6a8=N5p34?`$K95!yC0u@&XgNVcSNm;|h!y)1}Q1=8t#S<bR z;;?;cX;AS4kq~j%xn6Zp@dr^5@#E0)XA)F=Lo7rb7S79|;t%2=;;{2Qc0t7_BtXPr z^QXt5;th!qaacHChKdIyLBw64`R*xHd_g)y9Ja3MD^$E810oJ<PqGU_!p$KQA`UBO zq@m&hSrBp9zHB|HI72o>9Ci+m157*zRXhkLo(mCAfrfJ;RJ<S$A`a_U7DB~8<U_<Y zpz2$p;tGWjao9Oyv!LPyMG)~^sQPtK@dw2aaoE1j!%*=Fr4Vsgez^h_KTrk{hn=(f z94anQ0TGAoPy7QFUr-4Vhs_@e2|>fN8X^um7fTZ=Zcqaehoy4|n0PHj9Hu@TDy~om z5r?VIgNfHe#9`;#G(g1}8X)4Z`?LC?;u{(v;*8MrIS(p+pcx_#+n;_6DsIpM5r>`I za2qPF&;}8Qjq`tjiZ?*TVe5Ptg`wfl4p9#~KTQ%Uz5pr?YyTTV#T7ar>c7CsbC`H1 zL>$)d$byPDK*eGGjt;2!1E@G`{%9Ul+@T9%4s8BtGgSNln)q3$xI;Ip`WH~~15k0; zyb^;5#J>SO5cRNdkb;T}^g_g8=N1}4#S{7<;;?a1Kd5*ER2;S+p%5y*04fes-vbpt zfF`~QD*gZ}4m0O8RGgt7VlPbmJycu)DlQ2Pe=bpozZ{_AFnhJ3;t5c3m^nUB@dl_k z%$!uH_yVXnOnn<v`~XxOrhWlb`~g%PX76sOIKu>p`(ff&q2dZqaoB$9Pf+m!s5q>D zCn^T<*8!+F%w7Yi_yedo%zS^SIKxC#d$Xb93TWcpP;mz|@fA?<1gJR7-a}CF1~l;p zQ1Jy&ahQ94L&Xn3#bM@1h(rAK04fesZweJ>n1t&75U98UR2-JS3ZUW*P;prP>Vk?t zfQrM+Ujh|pm<%x=7QaWK;tEi4nEHEAaR)T<Ur_M`s5s0VNePI*8qmb8q2ddm;;?WC zfr=l1iu*#-a~@P&U<$;YF!%IA#SNh1F!k%8;tf#oP^dZQpyChE#6LmB9i~Fehq+%+ z65{>@s5s1>8c^{Ds5nf$J5+oDR2-&09x8qSDh_jh1yuY2n)n2$IKwoEdtmuuHB>wR zDh|sRXQ1K>pyDulpF+hCK*eG9GD$)F^#Dy=1}e@l9bzv`y%khk0Zlv<D((OkhuND8 z6;D7D?}UmsK*eF^FM^6MfQrM+*$Wjv02PO+zX270fF}MGD$Xzi;(plpm9R9#zYS1v zSoyCH6&IL^sy-MhJ^?BYn~yGqiYv^5sE4^{I#k>NDh^8z2cY5!P;r?0hfwhbG;vlL zh&vZR#bM^?K*bNBiHAYOA3()n;Z_b6XP6CfCoEsggo+11#bNF_02MEQio?{ugo+=4 zio^1epe)3l3UeUl!^CZ&;tf!7nEO+p;tQbSFn2aX#ScKmVd_^w#UDV$Vd_so#Tn*8 z?1j1iHB?*yO`KZ};!X#sI4mFOLB%IP#bNm<94h_*Dh{)^0xHfh57pkeP;mt`@%>P7 z2Q=}gQ1JvbaSnNiI~$<lFncwi;tSBkeW2n8pyIG_$c2hOfQrM+nE(}Mn2+lI%}{X# zH1QiyaR;b4EFb-biXVWA!}5iU0>u3R3sB9sg^CwI#bNQA3KgFK6^FUM6)L^~O?(AZ z`~p-QX3jaN_y;uc?@)1pg%J0^!a-aS;!XpoI4qr8K*bxN;xPBbLB$t9#bN5(pyD5( z;;{6;7AhXF2x2cx{03Bf15_O5{(n&M3s7;GJ7tt0?)(51hpBghiVG};m=9B*2o*Pg zio@LB2o(=N6JG!oFMx`}^2H&j_yMRmEML5WiVG}3wU<j7;!XpoILux>sCWRHxIa|9 z08P9EDn0>Cd>T}I15_O5->p#b3uxjupyD5(;xPC8fQkz&MRmWF3e^2jahQ4=sCWRH zcmh<s04fg47tK)d4^VMfzE}bkZ&(Jg7iRuZsQ3b?I4pkOLd6e2#bN4sRUz(tfF^DL z6=zruF&}157*t#VO}ri|?f?~sh39;zcmh-$md+1A#Wz63VeWYb6~6!#hpFdNgSb;+ z1;jnD^lu6kZ$J}IfQmnWio@LB1{G&m2{8xe&LvQB1*kYo{VAxp15_NQ{v}jA0V)o2 zKc_mxoegN>8c^{CP;pqk@PdkefQrNNMG;ioVHK)7Cqcy%pyDulw?V}l(8RAp#TTH7 z|AC4hKoghKfVlqwR2=4CTc|k0YE<_mK*bfH;xP9#K*b%P;xKa-LB$iG;xP3`pyCZ^ z;xC}$3!vh#e8Hs&ai_u>h<jl9LJKOs0V)nN-wP^!0V)oQ-$JPP2dFqq{RF7Ez*>m; zF!4=LaRaD0%$(~`@c=aO|4{J)s5mSf<g_5}p8yqyrE@!|_yedo%-&?EIKw)KdtmCj zpyB~gaag|C02SYWCVmSlF0dYA4$S@kq2dNmahN-0v?1;cfQrM^J3_?^pyDv~@lf#z zP;r?1>!9Kr(8T9J#V<g`VfkV&R9s;L#GSBw@dPSf02PPX%d7)&=LD!Y%w9F9_y#m_ zH>mgpH1RB`_y;ucZm77xMu>Z0_O67A8=#4whKdJ3#bNGw1r;xVio?v|)`hwsDh^Yx z0~OzZChiXvzW^17<%=Syc)%uzJ7M`^GF1ElR2*jh7N|JGW{7%N{N90zD?r6z>i<B+ z9ni$(^&sv~fQrM+afOOEpo!-}#TP)uVd2mR6+ZwKho$rNP;r4R5O>1da}_FX02PO+ z{|gmwfQrNNg^E7Joe$8&{h{IxTOsDd++PY6Pk@TU+&LL4-T)Pcsox0|UjP+{slNdg zKL8bnx&H@L`~jM{xB<kS4BH^?f#nNJsCWQW9F{K<q2ddm;xKy~q2dRi;xK!cLd74T zi64WCGi-<03se6ZDz1Pg&T9y9rvp?RX0HxZJONGIA1dAe6^EIh4;5bk6^EHK5h{KF zDh^Y>87lq&P5e4koM8vV{jhxT6Dr;S6^G>uNh65+1$IKz!_2pYiW@-1Vey*)6%T-l z!_?P9#S75H7ed7+K*eF^9D|B)Kofrl6~6!#hlK;LF~pr8pyIG}t_u}+*oEr;AgFi( zR2-(h5-Pp{Dh|sR^P%DbyCLSl#7{!S3!vgK_rHURPk@TU+{tYMapwl8I840(RQv)| z9H!n6D*gc~4hzp*sJOr$h<jk--B57@s5mTNtbvL*K*eGC;xbhH0#qDk?<c7E2dFsA zd{I+~`vvx*+G`3GH$W4Qgo+2CiC00z3!vgKduKq!C!mS%f{Jf|io@J<6DocIDh@N} z7gYQMR2-&W+6?OceW>oYgNhrViN`|41EAut_-clV7eK{f<}ZYbPe2nt1Qp)^6^EJg z3@UyBO`O*p;?56HahSifq2dDjA?}BzTQ8`10#qF4o;;{{15_NQehO6l0#qE9o_9jU z4GuuehlxLeicf%w!`#ni0deOBs5s1>N>K3&P;r=gH>mgrs5nf0GE`jPAjDpn`x~L+ z2592*pyB~gahN%KpyCBkahN&xpyCtI#Q#FYH=v2jT0;DF0V)nN-wG=J0Zlv_DlTvc z)%}%FaRaD0%$%7}@c^he%$+--;st2px1r(_pyIIkxI8O}I|U9y?1inj{|^;k02POs zFJTQ)e*h{Dix&&1_yedoOnm@UoZ$$>e3*DPR9pcn4l}0{D(-+Lz6vUy02POY!y%}6 z15_NAK5s+CFF?g%_Wp#5e}Ia^)Jxhx{N->I;!aq)wSbB*KogIKiZdL8sE4_~5-P3$ z6^FUAA1dwu6^E%`3l&d*io?_&fr>Xk#bNHh0~KF@CjJ>JegG;CE4O)VA^s9L4sj=} z+}4GPCqTtv_PRpF8=&GadlRAJ3(&-?pyCJ6#HT~WAE1eEgo-nqfVc-{?+K{50-E?^ zsJH`E9Oj<iQ1Jw)ILsVTJBWW9pyDv~`cUx&XyV>b@dIe$X;AS8P;pp%bwI@#PD0!d zGk+0OTmemdKUCZSDh@N}K2$sbO`ORd>VBv=%wIB4@dZ$ESh_WbihqEL!`u@N6&E-K zaVJcDB~&~CDh^BMv!LP!(8Tvc#T8CN%z?WfD((Okhq?1RR6GGH4pT4g0QDDC9H!m? zD!u?J4s*X3RQv#%crsM{0aP58&g-G#24^7dgr)O^Q1J$+ILzMNQ1Jy&ahSa~q2dS7 z#J@nrAE1c~J3{=$a28@O%p85FxB^rhX0HcS+yPBI6)K(p6^EHq4;62Kio?vA4HaJi z6^E(c4i!IuCVmwv{s1ZtJGbFAR6O7u#Qm^+eE*^10_P#(uy($U6U4t0(8SB3;sO^S z>S6ayH$lY}E<(irLFaR)!o)8@#9`}y7DL4gE<?m&>*6*-#V1^Wh{NumJpvVfa1|mB zJGbOAR6OAtL_7hyKjHyY{J<TExF~de&U>i%gL@Eh*m>qZq2dMiA>y#}2HBmV;Q$qf zF3)6;fr>AHicg37*9a=^@Bm^CY#*NoRGi@<L>#tHCj=^902PPbqn!X1zwij6{wj2x zWFAy}!!w9DY`;tuRQ$qoi1<^ednQ4}54?bg!|uUc3Kf6w5+V*;ceev7&hQ!{4!eit z0#sb!4McnqbY0vdsQ7`85OLUjso$XD7d}J8Vdweqxj@2G;R{3@w*FilD*gc~4qI>U z02BWTQ4d?UnFJMQ_y!T*2=#9(RQv!`9ClCZ3aI#k9}x92(D2*`6+iGBBHjc&IQTkL zJU{?a!ni`!e};-L5Qd1u?)Twzh4?E$6e7MHx?WBaDlQ-n5!Z*NLk+07gCs;8wr|%4 zDlQ-e5r>^K;0YDK02PO=4-AKiOGDJd_D2^(#UIE(#9{X+wL`@Z$U?-S&SaPi6~6!# zhuyQb87jU(4x%1*Z^a>~c!B~%{4ms@t59(RC5Sj|{qSR`ID;}o9Cm-iN2vG*sCX>Y z97Z=tcm}9K)N@0{`JmznYN+BeQ1JqFh&XItm^M^AKm#HUyZ^`%DsG?&5r^Gx=nfT6 z(1M7=+!+ED5735)!}c4dK*b;EK*VA9z7;~n8T26Hu=1e}D!u?J4!h^B4=S#p4^a<0 z&u2bVd;(M)b}r~nsQ3k_IBdP&1*mv{0mK|wz4;O<z5pr?Q_tiM31<aEh<ez$Mv_qR z2~cs^x>h}?cz_W^J*<9lfr=l1io@!c2&j02F+@EqUJ9V%2_~rGZBTIsQ&jOeP;mt_ zh<K(TB*U+RicfHdh&Mrm8FoU&6+9r~uzi0=q2d!fA>y!mny$daeIVknb<X#o;v0M+ z;%U(Q`wl9u5C9SPf|d{eq2dL>5b;oGx)tz%g!6?Eh<Gz}1E)GvJfRdKo(VO_0V;l> z0wNAuPwN8}XQ+aRi$l$igo-OvL&Pnh;gAj$Pk@SNK-CvQ#V^!A)JH-SRt;3#U^7JA z7wX@BsCdIxh<F;*oMlk)58EK(9Z>N-Q1ONx5OLUfgJ+=P3wA=pVf&k|LB#`hLBwJA zeLRMWPk@TU?wflL6*t%eQ4c$}kl7Ow&KscOu=^{8q2dX9A?ji0b*R9^_d&#A=N6hk z#ToWP#Lb}oa)XLH9Ds-$LB*q>;tdBO;;?c!11dh@5JVhiP9;?Q!eNLwtbFc-if=dq z5wC@&hj~zOgA)*OSoypfDlTvmA`UyRcso@508|{74v#{`6;4Ccn?vLGGE_X_EJPf( zPvj9)oZ%cqJR7S1Jyg8l0z@3Pf8hsI+~6Wa`~oz5_`D$T!f+WP4m*cI1u9-}1tJcs z*X&{9S0Uo&&~}|CRD8p2h&b#Vm^i4o!ySk?>|BmAsQ3b?coNk8eNb_M`w;c8_Ro5# zxWNO6IPCoYb5QXDsCWg`oL5ls504<~VeVn}hWNMPF+?1;A59!8p6~=Bz5|+1wV~n) zPa)#4dea&z{sAg30o_0t4iy*p0Z|XDmy4m|2Yy1t_d@p{bwR}&*u20tmO<lX7F3*p z10vo6_17Ax_yjJ9coH-n?t+Rl@Il05q3TaU#S;V|;?JS#FGIxxL?Gg@{>2NZ_ywpq z?3|_FQ1Jp$h<ez%Sy3NIcwT^t!`98}Ld6ZlAnIZFn7H{s)IShI6_59Uh))oQh{M(y z7DL4qBp~9j`mq}-z5q>p0aRQ;5>@?nsQ3b?I4mA7LB$KCAnIY~RlbIb3&=pkkAP!` zfq~r@;?4(9aacVo3l$HLg{X(svxZP{0Xc{`OuZ*mya6f>I|nQtDqbLus=fv){s1Zt zySH~bR6IZdRs9;M_yMRm%su;|;th%r^|0}Q$58PFQ1Kq9f0_Lt{xwj7sE74aw4vew z$`J8-sCsXx_ywpqtUk(yiaV%6)Js9rc`sBvK@B1f>p!l5ia&sgM?uX$4i$G$hp2~% zKZlAhfQrM)b2fj7`yXgP)Wh~YX+Xslv>@UIQ1`e)#V2S(#9`$?0#rOe2O<vZ*VI78 z1#}_epP=rX1{2qVh{Nt--v$*A(1(a8K=b2ysQ3mWh&b$i_Lorc027F~Ep-1ra{$C& z6D%O&l~DJ~K*a^DAmY|gaWklRfHg!Mb}o=7RQ!MqL>!i{Q=#Gtwh(bxzOILgH$cT< z`#l#x#SQEr>S6W%DyaAds5tCgm+eq-1AB;i*tp73sCWRH_%*0_0h;(DsQ3gl@sCjP z4QS&3pyC(M#Q6gu;rRh74yzYbq2d=DAnt_u*A6P);0O_ioyQdn6)$jxh#!Wghcu|T zg9}6)HjYsS6;FVQ!|u`Rfr>Y{Le%F#%kw!<@el40aaU-1SO*n%@PUX2LetfLsQ3bZ zi1=ivIp?9`4nYuc*g5>qpyD5(;;?(t-$TV4LLlmoLjCm{DjpCD5r>_x#TEn!&j(O( z*tt)FQ1J`l5cM!|C8+p;NQgM>+&N>YxI+{~9Ck0Q3sl@71|rS{^;ZB?+#wDkz7r}Q z0~KdTgowlLRnLHmZ-9!!?q@85iYp{R)WgPatDxcqP;uC~vQ1ENhGd9(n0Pl-d;(OQ z6Y8EtQ1Jz+5cRj9`E(OhydfPT4jaeV4;6RFL=`^^6=%qXh{M{A51`@>xe#&K`3aw( z;sH=`*g1Y|!I1bWfQmz#GYryD@d;3I*f@_qRD1zcoEMrNoT1_ypyG;9@hGVH1E@GG z{pUi(8S)_Rgq?#?0~IfTiqC<j=N72AKoLYeY+wI$sQ3n`IBcKb3aI#mVu*U!KEAzB z@efdO*m=&Eq2dK45cRP8FJ42%6-puEF3|X541tDQ8AKd*4v8dGoS_^d4m*cLA0}P_ z5r^GF>ID@qfQrM;UrL6GD^x<%!}<|bQ1J^;aoD(AKTNy|qW%ihowK0g8)_ipuz24H z6~6!#uZOBX2^9~hgQ$0ehW`zy_<}}=xCvDJEmZtL3q%~&9$*fIgik^%L_80wUJ@#P zp#vhG0Ufv0hl)G&LBwJ0B2TEeK|e$scD_U`R6Jn<L>yM{<U++CK*eGCu?{L;FcG4D zA=KUpP;rIn5OLT!3=5#*0y81vuzijjpyC&x;;??@L8$nHSrGMF(DQt*Ld6A^K*aw; z!}%>td>KR>HlFhbDt-Yf4l|!S3=*CXmP6FT;!zqZ&aeViTpuc~02PPLFWW)IH$cUC zpziU5iXT`BF$X4|0u{fo3RS!SD*j+KMEnsnzt=#;FRX!x!`emdF!8kzaoD-mlc3@Y z)<MK!>gU76*F(f%>eoQU3pPN+Vd-QiR6JoLMEnWVJ;$Kp0h=J=>d<s}2P)pM6(U{( z?a#i0iZkqih{NgyhHyyu7eK{f_ecss#Rc|4)GI>GSBHu_9Ds;VhlaBiRQ$m~h`1rN zUhs#CD;$A{pM<JUhKheU3K4$?J*T1!D*oUcL>zWrRyS1K;0i>1Db!yJpyCg1LBzK} z#dko(7d(WBOG3{ZISmy*@ERii40-|81E}~0VaUz7tWf{Hhl(E%gNVb<w`7Wdgxd#k zh`1QkUt&;k0ZE8B?7mSgsQ3gaRB?N#xPS~qyb(Iy7z7nxpbHVd1~oqgD&C+E5q}01 zuYigd7(&Eh`=&df;uoOeu=AHDLd6#tLDa+6Z!Cd|D;Pt>VfQ<1g^FK*io?qJb5L;y z6Nq|PIsX(Y{sAh^2Tc!rk&tj>Fo&pzjfa~-#SJVV;`LDVaZvFMRuJ(C(0FNuiaXdr z#9`+wZH9^q*h9o&?Z7io@dHqC*m>_ypyCb=5cT;`^SPoR?%d!E5$A-aAAP9!0bhtX zY~CacD&F7+5x)h^r<G9g1b>M5YpD1FsCYmCL>x9=dKfBxAP^#c6<Xdtg^DkThKMUc z!-pdp;?4`P5OGOpdeDZ7e~5#K!^(LVsQ89>h&b#VvvjDqK>|b^)?RIcif@35!^XRo zLd69VA?ji0T^xamGbBO8JD}%8y@ZNS$b^W)%0reIi2EmGLBwI>e%erRhFpkv3^d$= zpyCNoaSmuWR6)fH@*(Pjq2aI?Dt@5=A`T1Z3s7-~B8d2JsQP~}@e+u5FEl+E#zNeA zp&TN97OFl0D!!l+Dh_pLFI2ps3L*|0H`oOge^3n(mx7xA0V>W=4-to@E7drNy$7J; z_0ak~7%J}23Q;csHKzkAp3ngihs`H$hl+3LhKSok)jxxZf9QjV!^Ro@K*bFvK*Xm* z`(=9Z5cgb|0uhJxqurt622&y8snGB#hKetk1`&tF`#hNVbci^tAAJ%kt}p{4t^oD# z7pS<yT!=XAJYnqwh<gO)L&Ra{LWe@dFD!(J!{*bApyCgp;;`|72~cr|MG*C{`$yJ6 z#ScKmVdk8GieG?=!_+^9iU%x4HRm5x`~p-QHtwyO2yuVG5{UW=X!`MhihqEL2SCFi z4=V1k3Zfo%zUy?Tc)}WpcqBAm?1qXTSO*b@&4WCEiWjVhh_gWRDR&aYJq_C+;(X9@ z&m1Z~;Q&PZ3^e^DK*bY|K*VoD#XF$l4ksYuub}?g02Mbl2@!|6^Ey;~!fA*&?A*V9 zQ1K5?@qf^CsGJOO=Z6ar^?gur8>o20Rfsq%G~6Pg;uCH`#08+~vkfY~;5J0O2I|gP zP;rGj5OLVLf?ZJY0;o7_UHWaPIKy3t`sGk_{y@byK*eF^NTxvC&u|Z-ehyT<IaGWB zR2*hbC{#S)K14liytf`IuJ8aN4!Z|uDOCIbR2){npN5J%JcOu+-HZ1gDqa8;hn+(% zoC<O01~hRisQ3q{xFyv6aZquCM-cO2;;m5e0;o95{FPAg4QS#QpyD5(;;?buFHmuT z#}M;j;!<f4cN##&?V;|mhKd)UiN{05H$cT<?rDIEe?Svo0u?uS0<jl%p8Qd$cmbOD zOQ`q;s5s1>T<H*ZUO*Gqg^GWGiWfi!ES#X?3Ev_1!rGtVQ1J;rAmXt5stTau7k)y- zyP@SkJ5)U34@4Z+E?NN<7x)ViUjYrblTh&kP;r?0S5WbSe-QQc(0L#342ZuzaQT2u zm<7#`>QM0s{19>2c(@HzTtEOK4l9R~pyCBkaacd46Ds}yDxL|pg@IuMR6IZsVh*fb zdL1f$04feM|2I@zK?tHAX1;tT#QhVX;xO}_q2dg}5cM$g)1l%8P;r>~6QJT3pyDud zc0t7*L?Gs*L*4%bD!u_K4pYyU1#yppC`3KXd{d}+15_NQJ_aiO0V)nNzZoi?AO<l9 zrhXMv`~p<m1X>>MgNh4CK-9z90~es;0g@1LSpD?^D*gc~4x9gF%7(Z<KpLVx4(eZ7 zsQ3n`IOMc>1_m3bID-sCJ#1b+1S;+T6^E6F1yJ!1P;uD$y-ujOgB-*hSbQypif@35 z$3oq+87eLy4^h7t8V)C*;ujPk;;{7p04m;~2oaZtrt^PL@dc_7@iWkTr<el?hXM_V zI4iWD>jD*TFo1}|&VNgUieE5=h{NK&9x85N1`*eVx^oUxJi#0y4hx5!Q1J~G5OLVO zPIsW<4=f?#U!m?~$c4D`fel3bJ=9-vQ1J_n5b+yOdu^cN8=N8HuyG83sCa`5L|g(I z&Y4j01yFI=xN8Gcd;?S*wk~KUR9wLoVh*hRya_5k!3`q580!A>P;m!Oh&W9BJE-^u zFNpYGsQdrH#C;&*u=CCM@*v?L;0qClr4vP{cmY)06>5$?RQ!M+M7=n){o@D~e-H=} zmw>u62rAAH0uhJJ`)5GK9iZY{q3SE4;tb&s^@`B(jW(#bK`cc40#tkwRGc9NBK{a^ z&LXJzhcs02O;GU%nGkVU`{X!O+#m}gUJo_@DpY(!0Yn_;uQyQf3q=rd*!>7R`H=9u zPz(|O0ZmtiF!2hAxH`1H^Mr~sbVI~lq3zOCsCd96h&XKCxdAGE04feUhj}JcykRn` z`YllL4^VNK`m<2+4O1ZMVdLH(q2dlxA>!Yl=~=h{;xC735OHWV$Y1~!4}gk8o6ihB zQ1Jvb@m#2Q0aP5ep1BbwJ{@8{tY0t>D*gc~4zqVRRGeW3L_O?YjB8Nw15k0;dfiV@ z@eMN}>eoWkEq@`zUkP&|;(wt2)rE=AgNT2HmSf&9@#PS4*!>i7Q1ONp5OLV~x^+<T zfRzw&*!_BQpyCHsLBwJ0gk?~1ht&{q*t(s4F!41IaoG6Vb*Om4T8Q{MXg>W26&F|! z5r>VR^Ath+y8tTQ1)boLg^C+ogs6wjr&vM7H$cVZq2`A|#V=ffsNV$*w_>RHgv$_d z*m{qCsJOrth&U{MS3t!lpot%biVIvtReuXAJ^@YqGgMsQ8mfBEVu*hypouF&#RaaT zs<(iOPe2n7go+E?Kvka(6`z16UJn%)xQVKM22^|kn)qg@xWFxldRYJZ0#tkgn)rLD zxWH{x^*kj||DuU&L&XK|K-9y+-v=r_0Zlv~DlTvrRedK^d;*&IQmDAVJ&1ak`}ae| zC!mSnhKdW^hp114mfIho;tdZW;#Z*J%%u>2B|L$M!`3a!Ld6T7Ld1ii>dm3z7oJ1J z7edo*7*xFBB}5!{e@!Y>{K6}UIBeXY7AoHG8Y2D&x>2MbCe8+#S%&rZ7emDh*dgL_ z(0s8EDn0>C{5Dj41Dg0ZsQ3k_xG2;dp)yD~e1M9>$~P;h_yZ1zy|8{wB2;_=Cq(=# zG<-Us;t4zu@c?M}tbmGd;Dw08%BAg4aRWYxIPCu4Yf$kCP;pp$<||a3fghqi0qTC? za)|pA(8P_Q;s?;g1EJyy0uXax^<XYkd;(M)Hm}+V6?YJXsE3I!hKhec6W<3FUm%34 z{yJ1VKp0j0D^#381XWzH0^+X?XyUq1@dQy+_3lt{0WnnZB&hfSH1TSvc!4;o`k7F1 z1qoE~tx)j`P;uBkh^tWX1(Fc;u=@f&L&Y1UAmXrb712tFzZ9fV#Z95&2hhaBq2dWL zsOrn1;taA7aoBprSy1r?s5mU&?S_gQ$U)S@;_)F=`~jLcYZb(u6XYT4Ve1N%pyCD! z5OLVL0$ZrKfFeX3w$3&ZDt-YfeioW;i=g5QlpyM1?wJS`4^T!GUk4RuP=ScU!t*Rt zd;yyHE2y}GDnvbO{EDj@;;#cxaag&n0Tp*pgQ$o3*9j{A04ly4>i#IG_yTo^dYFHU zq2dV|5OJ7)JE7tRnh<eVzj7H=d;wG(Hve@HDjuK(Q4e$fU8wj4s5tE2-tSOx25pFX znENGaApTtd6^Gq}W)2lk(1ECjrJoR}_yedotlg3i6;IHGsE4gfnE(~P02PPz<JLpP z7wDm?zW@~%(1(b_)W3&{KY)tE_L~USLfoHV08tN{e>8-O8yG^wVeScniZ6hQ!^|&) ziWeB6s-FZEe*hJSsow|{-(ZZY{vuRd!2}`>Q~w?+{sAfua}R$V)cvNY>UE*w24)a( zn0jBR_yVXn%=~Pqc!4>p`fjNB1E@Gm{Yt3#1`CM#a%e+;FI3#X6(SC+UoJw$7eK{f z`-z@F#V5Ey)WgF6J5)Tu9U>09mw~e$;$H(#i1>GCJtzYc_lAfcfaW7zsQ3q{xE)lz z6I9&57ovVCw0{u-6@L%_5r?hE$bpJ~2!x1VhMM0A6~7P!5r_51mq5i0f+6Ct`R*N1 z@d;3I*nG_esQ3b?IP6}|mr!ws5L9!R8X)1Y04kmUZ6_!|#TmjO>i0wYLAFrw1Mv`X zE2w|Nq2dh55b+mKa|)s2A5tOW(NOUosCYmIMEoGs{3TFvg+hq90kj{z4=Nr|3KfTj z+eN5&15_NgfA$Gfd_oySJ*@rkA1cmJ4iSg-izON%;cx&d4jYfMf{H6tK-9zTPmF?! z2SCMP<x(Y7`~p-Qw*O@sRJ@=PVh*ev-U1ajsDg;Y<~z<q#Tlw0;;`|GS5WZ)sCXkZ z9N3y5?tcIkht>N^Q1J~l5OZMp$O$U0Pzw=<CTE6hsJH=CTn1|XRH%3WR2;URb~{v@ zp$=jWY=6*osQ3n`ILv&8W{5ivK*eF^YeB^yK*eG6r#>+8dWiY%(DYUU6*p*xh#NuO zITtEk02P;krnh}i@d>RE_1aJey@HA#XoHBu+#}usapwc5_#&t|Hc;^ioe=eJpy@Le zD&Eis5r@qO^+UxEK*fJT-M<kgJ_(}U4LW~!3o0%!10oJHhou$bo&c!$XQ;huF!8w% z^;e<d{!np)MG$eAIh9cH0;o72)ZW=p@rLCP^|17O5Gu~F0wNCG*2eGxD((Ok{{$Vs zVsC@E(_sTdJ#5{+Aym9!BSah)&M{E&1yFGVXgJqH#V>4zsE6%$n+6sCumvJs235ZS zDqgT1BEAe7zvrOh3Oga<uyWu#RD1$d9OfRyc8L2oK*cqo>B<=@{s1aI32JW+RJ>si z#C%vfoCXy?02R-Gs^0+>H`otR4-4meQ1J~=aacIBc0k<o0V*B@Ehkl=;sGa6&GCYY zUx13k%qfJ53!H?gp9%F>7gT%$RD2$E{BtE#Jm3OEeL6JVUV@4rxC{}8Zo6do0~LP& z6<3Cazg#E8{Q_4Z>S6oD-J#+JHz4A$a4Uq07eK{f_Yh5niZ8eYQ4b5ZoltRw+YoV> ze;+`_6QJU-d+vTg#RKj^)W3(WJCf{zxYOYUL>y+m162F~R2*i022`BkB}9E4H2rr# z#T!0A#9{O18=>L?A0grf(D1(v6?cG&LssiCF#LszPk@SBLkC!eyCLqp@Ec+d%$=rC z@qj-Nao9b8p-}M$P;r?0YN&X^UsUz;q2eE);;{bCA*lF*e-QPsdh;<<JmEh?9HySF z2jWfv2FQiXuz4kQsQ3Y>I8414RJ?!@Red&8T!9H94y%{@q2ditaoGBtjZpCiP;r>~ zSD@k(m?7rC_Jw_giYKr@#9`qh(F<|^1E@GGJT0N(1*{PDu=+FrD*gZ}4qFeL3Kd_# z22l?yf4ZRJ0_+fR$Zcp03~Qm{2~csE`b$vp3s7;G`ma!N1rCTgF!fS>5cf}jio?{~ zLd73I#bM*Ou2As>oDg$h_fz{p#T&RG;=a&ym<biXAPNyTht@lFP;mh%h&Zf&*9jHh z02PPb8#omzZXgX&4?90(K2-bwR2()wunH<(AOlek>u+v`ihqEL!}iM@fr?L%hp30$ zdvy&eo}dH~hlS5msQ3n`IBXr@H>kLPGDJP>{2%syNH`Zj#bNy#IjFdU3Pe3@y|*<~ zJOL^W4Nis-sCWTX99k?f<Uqw6(8SxI;uE0auzax)D*gc~4qLym0V-~w3ULo?o^&r% zJV6a24x8t?2NiG7fQZA!^Z!D{88ji{u=y|P36OAL(1M8HgO+dBQ1J&)aoGCIXsGxC zZHRi<d~PFD{DTfeJPMkwmO{k?3?bsM@!_LTaRU>GIPBii7f^8lQ;0b191Pxx5O)@s zLBwJ0Z5^n%gE>TeCba$vhKfJ1fQXAj`=>=vaRyh2I4t}pLd6rH;;?dQ9aQ`PRGbCc zVLJ{LzYqX12X_DObEvpO7({$FwEe&|3F7_-5fE|MK1zA0xI-jF{17z!O`zfeQ4n!h zIt+q}8$iXiq2XK%6;FtRsE0a}VG>ll04g2~HGeZy`~p-w6l%^5sJKBg#GF{DK|i44 z6Y?P9u=#Px$q;`nfQrNV-PTa?gnWp4*m!j;RD44LL|hQsj;(=;Unqr$!}9MGsJKBH zL>xA*wFWA_04fd}_dW_0S15<5{{@}ke+m<y01=1OdJGJ#Qy}gyfQrNRL90T=874y1 z!`6E^LB$=Q;;?cf9x5&{38Ef$9#Ioi++Z?99CokV5~z5?6o@!9m>G^j#V0_;Vfpt3 zR9s*xL_KVu8rxKe`!7s`h{NtbRf38ym;n)o%}d(D#AiapVc`$~75{)HUI-O;m<3U9 z0c|gJLB$&uLd0R|a}`v40aW||RQ+M7c*07Edf2$)W2pE9s5q>B#WW4#uLDqV*ghH! zsCd9Ch&e6L_H77M{J~m?IPAXcTB!Jkbr5mb{hLdn;s-WC#9`y8XQ1K}HbcZWL-WNq zsCd8*h`71{BqPaBhq$xh1VnrpG{4(J#SKnF#2-W5nFSSBI1dqbfQHWmsCdFPh&b$= zu02rkh8qy^R%m{?0~Hsz2N92kmV1Ap;sy61;;{RC<z_(K`2Z>oyN}NvDjx6vqJAaR z{fSU<hQ|=`RZ#IJsQ8Ab5b-9cy-T3t4$mRtu=Uc1q2dRi;^I(qUO>ekyo9LlgZ7VD zXF}Xr@ChOg8-G)ViZ^_Qh{N{1dO^hnzCgt1K;2US72og`A`Tn>oCXzF_y!S=heRX8 zR;YLbn)nr{_yedoEL}0og1Ga;cZfNqP<z#&;srk;;;?aMPpJ3@s5oq#GX^St;1@(a ztQ}Ym6*u?|5r?(gCqTtNK*eG0w9QcQ34b8!VdL;upyC04A>y!b_yrX|02PPrTbG*+ z@fX8?h<cbgc2MyHP;uCLh8U>$0|v-Q7i=F;7gYQJ6GR+BGBB)ziVHA9L}24=SD@k> zpyCi&28M4?aRwHM2y8!y)EtOA8=&H__GCF!oPiah-V_?Ym!RSfP;uD$v}aK90yc<x z*!crLq2dPY5b<oNIl^-x_AY>m!}j@_Ld7>g#bN7d!=d6ApyIH3)jFuS0SCl<EoeP8 z8!Dcl01=1Xi@Fag9-s&jhsEPVsQ3Y>IPBalmU$3&K2U<FFM_5|b*OlP3PijdD((dp zf1nBxhpl_bg^CBLL&Ra{)l7hjAJBk^!|o5=3=`Lch{M!hgNhqyL&RbGNq<4b6LcWr z>QMKH&4;*uf-XcHHg9eX6>rdkh{N`E2EfD(AmXrgT?thD0aP5;uA2rGcQAyght1b- zf{G_V#bM>xeW>^YsCXx|USM1Rac6-U#2ja6`6dDtKVSh7hb}{4FolXgfQrNJ4G)Kk z3s^$b!`30xLd6ZB;?V7P3=5#*0Z?(+c@9UQ;ssD~nEB74;tSBk1r|cwe*r2E>lYhB z#T~35?tzs{{!sA^P;pqly96q3U=2|ZTW>HKDn0=!4pV;!DlT9HQ4ibK`5r310V)og zXW?E1apweEh<e!hX&O-R0y~H}Ed4t}#V6Q9#9{ruIH>pss5rDbWvGFQGdMuh!^CGp z#RZ_^u=&b^Q1J<9;xC}$0*(-KVD^eFhPb}~Dh>+=7pV9LsQ7+pIg<tz-{1r>2ikpM z=zxkJfQrM;zuE*9U*HT;KONfMxDFL}aEFNNLdE|;#S6S3;$6^ur?mv)P6i){cnmZ> zhd{*>{2=1Lpzf@JiTgvu9ibiIRZ#H_5fE|MKIN-W@dc3(aaew2Sqil`3L*|WmqQsU zt`H3ohwVEKgo+EqK*S$H-BSe>XNZM}!}<kFq2ditaoB$O^H6byIEebkP;(fULEQ5J zD&7he*My2U#6#52godX-R9qn$BEAl)z7Z;Z0V@6!8lLN*;s??o>bF7F--L=cq(j7E z{hj|%@efdOSUy!;4smBf21GrqzZ?V=KadF#=Y_^^2UPq*7DRkDv|!o|6)$Loi2Feg znD_z}KQIX*9t1T<cLl^=g((nmSiPA66@LH~p9)pK04m-v4Wb^l&fyGH++jLI95zq- z1uDJ(Dh}HhDZdh8ufR-*`ianR^MZ<RfQrM;du)b^Gt7dhhvmn`Q1Jw)IIR4+3>9aX z4N*S}YW_c{_yVXntbWm31#u6<9Ef^tsQMVFxB*n07g`XfLB$>RLDa+ASJhB)hW!w6 zSUuPW6*o8l5r>_(unj7{0V)nVhm#>aUoSnMp{O*kBr`WvuOzji1kOnX^HkEzO!SP? z(hQIR14J}630cqpB5Gn_oQNz85lu=<H8V;zF-l8KOEk7jO@oLUr==!isx?kaOT`p5 zF-8%D+Gd=Vf~*uSicM`&T8c3;fVlyisEL88C9=UVwP}Wy2#^RDMK;zLCYqXRh6Es@ zCI;9-(!?-1B{{{+6lNn#t&s^#1VlqbEkH~p3=uUkFvOxZ4Hcv^sH7Pi=|KfSJaF8i zc+>zM|3#^3IjPAd$%#2RdWl8p#qq@@iOJcyi3M;K1*J(jnaPPInfZB6iA6=3sYN&x zCKl%=r|07_2_$7~ghMw-(#!;pq@j@o9$6z(Gd!}UhWM;CG%&(vv5|oZKATMpEb&=w zVQ7ihZZl&Ge3ly<nVaFW-OSX~7@zfq#)byw_#9wlW@KoB&jqGNCPo(coM32RVqt2I z&k;rjmga`0_*`LPXlY_>fX^8g#ugT426)|JW^Qh7WQflp#>S?mCMNjPpt+%eg$4eU zXl!C+Xl{-_J(^jV7@L~lPnE`I7Ul+)1k$FtvAKz%1@07@k(!+C80?E9_3NeQCsmfD z7VDY7Gc;Vt6jR6yQ^=elH?cUon4vf$Gp(eUAtg1bG~GEdC&#TQF*h|NKi?;@C_R-S zGp{7IC@(QbKe?b(FS(#JGX>2-C<-dlQ%jP{aH#+}I58((&pE#|uOzsnC^IjekW$aQ zl++3mlsZ+Gq!MK&NFi2pGZKq4^plH{jg9m&Q&Ur&eSAZG&`c~cDl%j!GAS}<C;|m_ zL4Hmpq#QR-ONAEC5Q+g>3hU*jmSp6ogeB&brh<YP%{*{@j8Xv@7(na7G;|ZeY7Gnw zk?IhbS`%YqY@!AhSnKXIb3;=Tb2C$;BnzW7cx?i!=OHyP#8<{Cso+}Q*woz8IN96` zDw>vRmS|v^YG!7hW}K9q0uxO(N;5PxN=Y+LH8nCZfs2}(n3<Vank6S07^lE(OEEIB zG%~R?F*33=HnoJQO*KUTOL%>pY;2imV3}fIZjo$e32%WUCz~54n;NEAq?%hK!bMYH zwY+&+qJarRZemG>o@+$`TCjtK-HKANOZeoYCr+?>pZs+6@(vs&#>h=1c=Q>km>Q>~ znkA+gnOmeLr^15{t?89oQBahhl30?+P+Xapte;qtpPQMi=bWEgkXV%Jn3ob<o>&l? znO9O!f?h`>s}C;8FG>X|!zc%_s=_o3;*r!OgJiQb^F)Ir)6^6TP`nx&=^2|^m>HWV zL3w6IrWPsYM&^(hGchqqGE7W0Nij<`N=-90U`Wr;Nz6;v%P&gTuh1{9EY>g0%dF4~ zt}F(%1<)b^uUhmXzZhynQDQmNG{1b;qN04vP=QzlRfJ|G%oM0Bx+S27vwlfpQh8Bk zNotWEyi_%0z$Ie@FI)?fbMi_Oli>Wc%)FGe(!6A3v69My)SS$`Y`CJ#lEfqwfm93; zXzGBq^i$FJAR~+6=B1)?^YRNyiol5rt{qbdRUU<xRE%UaG6!r5vOp>pkrafp&;uv6 zxH1<xVA7LO>`g`ErzfM>1>vUW>lH&P5-m_~2o%<OT5v1Vlan$_ijf4MHYVp5B;}`6 zG9-g4A}}jAH8-^o%*q7SI7qC@l2kAcly-wsOBmAgixbOI8H!U&(&N*UlNl0Ii$PTe zSPi@mH8w)jr)DMywxN*)f@5TAhTxbQB546NToF>Bb}E7gYL+5+pjIe?X9#L^BDml- zCV~rYQZl4xmSmJB=_Tjq>KA7gl@=7I=IQ6;rx%qL>lK%zFyt5OITocOVjP?cp#1|- z2!oQQo)#WMLQ*SA-13WZ6H7``i*)cPgezi5%}FcC&(A5=Pb^9=NGvK&g*YKSUk_{> zT)MO*Ge^%UC$qRjr#Qc;M9&k{Hc3lNPDMB@zgW*LGbdFiGhZ(poPQBgNu_CUQEc+b z`MCu}sl~<m=~bBpP~AxC;I1ghDNWDJE7l7D)4quX47rJ!d3t53Ma7x<d7uCcE=kER zErAGw<%&zP^OLgR$ucn~J+Y`Lu@a&}FEcN*q$II8n*riN1RvE!2ywVm;NgWu4(3cO zQs8hzh^OV2=plGWF;<YBu3u1;pO%@Eid_ME0z#OQnUAC+zZi*AkXVwT4>A(OKoSSX zD8c|pu13~~($I{sK(uH<*)Kb_5+1yz#i@>vObHiCOfAk%tt?K?NKHYhV!>5rKt9-L zJ!mW;SC*(%Fq*>n<n;XbT;wh_hyybQB3qh=A`j-Gs3^`%PfP~&!QpPr%yY{x%1%vj z&dAI`l%Ak;6kL*6RFaAigX@Kv2rJ+-i<6PYh0;I``T~e2<5TkzlX8&E0GS&PN`dhy z`FW{uN2FGi6eaqGCxWU#L}36f-{5L;^HWN5Qj3FgGLsP+b2CyC3*zBwlGF2@K^+-z zW`q_a=&psS38^edO>xS}PtHbHkpvQiro^Je5`=BZ8Hsttsd*{r8p;zhOM+4pi}Uj! zZG40mKst(2lgrR`Wag#89bHhApPX7;oL>|M$<v;BX^2s_%wkZnlLJ=_N^M~gxv9Ci z`DI8BD<}oI7p@A014)Ol$V$)8O$4?7k`a!~ODzYv0ZAaapcHOaVopvjoRgcMl8Nj* za1??17~o(>_a$65yeP2%T{11RsJH|Ysi+lkUKzqA;ACB#T9TSq26qEktTYczR6`3C zp^nAHsYRgl4Q{7t>VYYAo0IZO^HPezQf|2=2+JVieyQo0QUyhsc_oQ?mFPwlB<5u% zmt*r?VsS}Me!5WzDE-5oo|u!9o|Bq~!bjMjo0?l(l8EFWP<@1I07%3qKN+<(=a_<# zBCAr1^1~95l1*k_iJ=)Ngy43UX6BU`8(|2UnV<+k3Pw~RP!2>9NzTtJ3n>N(qlXWu z%&N>xMJid6a}tY-<4f}6i!-Yb3AiAmvN#|yJvA6z(4#ax6~6>%JOS>h^yGq!#A1ZG z>B&wxiOJcid9G*$k#A~l0Hm%7LeAmf_{`4CONX17lA4xUgyLI}!$BSZ`@{mS5Jec& z@`B|!P+TM-J1RFZCnrBSJsCZ)3PAb4xFj{N1grqJv~xyjUN$1#r03)(CFVGS428P} z%`8K7!&1u<lS>mzQgMmLrzIAb7#pEW=Oz{;78hrx=Yi$TOhCOlXhjC|F3e=83T!4M zgUUNYu!7=}BAhCW@Tow!0=p3@sX3`7sklr)aU>Q4^7B%iGE2ZCNAMs`%`GUY%q=cR zM9IJT$+^ji$r%^{nO2mVid_brZa@hL9LsqHiRr0`xGX6GH85denV#&NpI1_ppOceX z1b0VbN?B%cYG__*F;c~vmYD~twcv`<GV=<NImvKNVopw4Cc+D8nRx{zMWC@cxQevQ zyu_T$s#MUh6LhjCEi*5@JTV)Qu^<AOh#4S|0I~_`&PA!nISV8oRGOEEoVS8gOWa^~ zp{D{+#h#m)mzi6NsC~-wi{K@nKDctxD^4wOcMeD`N>0ryK{p~XCkHYAke*xsQ3ZEo zad~PgLOw0OC^;3ZC^H|?<|)rF%1J3s%}s>sN>2v2i_q;#PxehL%67@mOLfaBEe19G z5OD~TLDvIS;hC3N5|WvV2;1~zr*x2>a8SbxBYTu&6y=xu=eawBq~Xp2*DS~tLqUFi zPI69aVqPi2j~ZIJAw{W)1)6%fr6pK0e{Nz?c2RylMnIMpr-JKukUZSR{Jd23gp;1^ zSX`W0TmmkN(0yB+oR|lm6+)ND4K7H`3kJEzFEJM>D-<UumZj#UBf<qH5S){miqV`% zN{30Ko3Eh-&a|3(;0TAwf*awmk!EL54))2+L#=<(Q%k^k9;O8vUwRr^;AW?$UUGh7 zPHJ&7M&2(<P0lY$0hJW!TH$#=C9^CyF+CHJE#ZcOO9ga&sIs5{1GNHDG3tG|I#BTg zQijtYSZD<o<YZz*INTVRD(tqUCp%@96gw8B<|QIZu%y!D?9`InR7AD~iGX@d@T8Lq z5=ljB=v1H!B%up{TC^wy9Ju;Mm<X!O<9)G)U2bU!c*GOb3q)zoyXP0>mzHGarGhkr zdYx!BSuki06FmUI1vH9Fz#>>}2FrkA0^JZ$TOmI!-Z|bEl<pB>7nGWq;+vWa7DU%m zp5#=T1}<FCW%5!>3i5MuK;;NhjgVT9hA78C^5AL;9%4{Uus#<&JAhQ`K~s7>h!dIz z?hoOx6fBpVpPP%Bh|)me4yrUtF*0j$W_odINqk}sqJ^55TAp7F>V}|O1QK&Btw6N@ z6H`)(iVG5xQ{&505&5SW)LShr2ujRL$<K8G4Q@j{p;ug49O4lGYFP#(mSn&KptK0A zG(II2VRTAnS!PNqxcLuPmtU4zl$MiUjw+g#lb=|EDwLa=n_pCkDh6&nf_#APD^MR; z&jejGFSQ)316>@HhOkP21&dNkN{jN)^%OxW+W=4x#Rb%eMI^KId~iD#T?e>kK^II* zEJ@74ErZn{uwV|ns@4PNgQUdd?0D2Pn39>2Uy_kpgm76(YGMjX<|@ui2aQ)FDgkg$ z%qcNBJ10LKJY5HmC}bJ9Ah?x)Vj@@>q+5gTSBMn0eoSs+1-R}4Wn8$~xrp@-h>;|4 z@3bVNC^az!v<RZ8gaIs)mtT^ZRv8aXlURm8GK&+7k~1=qI`x^wIhjdCiA9K^CTJT4 z?tt`skTn>cQqZt;fHS)1k`hyL^NUi!-bgp_Ek<;4P-H-j`@|fOG`vUv&BeNa=4<^6 zKwWD@Y$X<@BQjuOQ92?cRalsLBo+tw2RQqMz?};b3=VcRF+`o{bqw+~Lrg3u7Ui0m zcqA4(hWPt>ItRnGgQkP?FpHVu?974yXSga*#tP0%M`_R|m1gFoKu1^L3PE|Q3b{3! zoLHO=>VJcWrcp*vlhgA(5{q5IgH&++kTEN?E&@miqT)+UEXt`&hAd_QC4acyywuc` zT=WRaODzYRi6N1nm+F^V4zV6xQ%X^O0fvUW)bd;pQ0bP27-dSWNKFRS;z({N&&B8# z7MG+Z=J*$-Aays2Qd2<#->_*cv^o-54qO+YJ215(vjnOa)TJaK9bc51m|R$zS%lfN z$py{jquCA}xk5KIJuN*IqfJwsnGR}1fCj6OOB__;P^7T~Q1<~P#F8^ole0l36uN!j zx(Bo<4j#C$N+To@k<CgnlaV^@pjA?!k_N6NJs%{5Zgfd%aY=j?Qtki?R3HhYB&C-n zA{C*C!WMbZ47xPHKP?TBeKoX-%E65zO}!#e5s7YhaVls{7kJJKB|8+Sf+o^(5M?sR zO~IMzB}GW<y^1ptK2I)6EY3jAYQ>r98Hsr*IjI=Eo3!$jU?iPjRhfC|$YPKZs@UHf z9(0gV=HSe9=M1FIIj9H-El5c$0S#^=iKk>1g9hc$m4j-UqQu++O#gzqp(VkY>3NAc zSet~Uc}OZi^IE8(1=a{Ib}<4N)W8IF)Zjh=EAs&_g@INTdSD@tqFnfxtUkPGF0L%r z%PXm}K%Ur4Ovx%OE&&h2qg$AsS_1ZgFXnJ;a(-TNVhN;P#P9>MB*vH!vZOJ(jmVN1 z%^hS(Q*@o6qBt`dw1@|hVv9jJ1|(FH4{<5Frp!F37tke&63emcC`v2`83;BRi>9K| zyi}}mpt<(E(wrQ0JxPfv#g)Y+;Gx{)Y*0N4i^$~k{NT#mq<rwu3OvSBD+&_xQk>KC z!3&`<LLO9?=OyN1bTMJ#ZbkXIpl)-1S{k}bKuU5l^HMPic~D7(RA8hfW~YLL;7)>! zWq^hkQj2`^Q&M4*8n78sn1@n9Yat+#I$$>31b7()Zl7X!Dm^t1t^(cFa0%!1e9+)m zY6V6~4w@TJEy6Sv)NLv)hBye3X5a>Tq*kPq=3?|klGF1;K!a{56*Rad=!_(T?o6-( z|GZRuYCtnh`FWsa90AT4t_4Rbm_V=7K(Sqtn2up%W?o)u3TPxcH?aW8d(ibA#h``{ zx*qV-4A;WaM2uuwmQtMWmYD}@6`^YcNkbB=cWNcVGLUcpXv!QShv6xZyiaCcX$5*? z3nY<PT7fYSmYP>wT9g``neM9xO0F156{HWvLaeGlQyGS4uHd<OSmFeU85?1WnVF!9 zK}rQQF;FpsDg>Iy15N!EAR4?NA<*1jK{BE>3o1%L?G&{B04Q!C{Qzj%g>X=3MnH2r z;MpEfM;@M)(h;4EoV3)u<W#5BwEUvfpp=qggi~@7k*CrS1Ad_3b5AV+<?j5nl*G#5 zN<`xnB<BpO_R}E>kmd67<DoOSSXOd_=5Pa?(N{WvhAvWzKr0v^Ea>ur)S@E4)bzxX z%(7IFI74PWXim;AKcFZ-9kl!bs?4>h$f-0fEw#w4G$#ik2Ga^@WkVJ$xE2+8=9MMp zWTu4Xfx17SrIie&d6~)iDXIFUC21CV;F(2Kp~U3m)Pj<r#5~YgJ9cw|O7l{|&hvMJ zIsnP-;0a~0Y*A5gMt)HVL;!9QXaQ?}UOH5xE5g%`DP@Uy$*Bkls6a@5zFT4uLf8#7 z4jz)9otno0N<+vi5x_+&vH&CuL-SHA3R06xQd3<0-EhPPd`U-I4rsU+6a%S644|<% z=$cbcS65eXnqz<~1+PbOEh_RzO$2oVKxs$M2ecj^QwexbxVSVIO~x;^92`7FKB;A? z@RA2sduT!Z1EwH_HMI7CI|1TaL>@}bO9st5r|TzW<|P(YA}!-U@(MyZ*vSYk++w8F zI?#~7T-xHES^`>-1gciUG7~|IdtgN)rdr3MbpHa-C?;g{gI-E$Zf+%(<wf8E47V`> zpt)s_)Eq?31T_!gh2oMT&>Sn0$KkGlExaktO9KtYBCLl=WZ;rW%1O*lb;>LOuc#=- z)R>!C;8vQKj47d^<pk2IsTTlQxe$<8l$Z;z3ou-kgxiXg++-62gG@{-QgV|`jc`bq zVU+-{<NyuwVHyTXWCf{MeCU{ynVyHK9xRMKFu_v3pw&|t-mG%V%*k;oO~W+LBelY( zv;<Q;IWY&jEd}{SC8<StqDDh2prSxi&lQv};7vme7ZqjXr=%8#<QHJKMMEnHtbo{f zEY3*H$*IKas$j4ZVhz;L3eL<W=nD<4u-sG(W$;Xm;cLgD+~SfH9Sm{E0y;!NiJ`!; zC^s>ugba=0nRzMs<z#3C^~O`lu@RjA$gnT~oUq8y2#HuSG<s(i<YeZhlc5umILXlH zl%JoSTIA>KNrqk*aDhagMpGjL@-&)}r7@tQfDG$Ed5H{dL5bv~Z;#XpGVBV@%q6S~ z(nx}|89|LsNP`2ByCL$BY91sHVL5^pR6~~eYQb9@;06yw9-$Ip5_kqdKQ$)}RNbW` zR5&7)=-?%;t~qHT`QU2EC$kvdX@wUvU^U>n9;^nlz62}C&P@lc@r4&}&~ZDxB2ZUO z2gU>S0ul8ISYvQ%366RgEDg38RRO|dh=xXHzJ4ZXPBaIpsR7=If=~i?T4ugpa4L8) zDSF@`2Qw~J!Kta)sYQrj1}zH)Eu;g_pFtahAhCi1x1!Wk1`tz2tDrzrFDVh!X-$H) zrw|fpxh0^!06bt3GRcW0$r<2@Fqkg5CqRk{3UojeX!roxB5)Vqu?XG~2dT--D*-hP z(L^B*OUVZZGJG5cq#i{EW_f<GUT{fDW**p6N%{FXU_XO-phTIIS^*J&I}+CX^DE5- z%Rqw%J_?wYTY|oxxiT*qDGG6{vIdV^r=}nx0f##1{J0L-LQpSTp;{rhB)>pIQ=t}l zg+KBNZj@Cr`Nfdc^F^fvCHOUf`}YR0kjlwVNAg8}u|8z%7fB4--PbPwjqPI*2ao<B zi6i+CeK|RJtQj;lfJaRdxRV-?pPz#@(9n%cNli;E%_#w?$G0~DQjCFAAqNh+VW2_g z+|pdg1cpm$K}iNa)sTS(&`^RusQ-z_Pmt{x0g1`kiRr1(4F#!1MfeQY&~nWyDXP>2 zb+uDH^7FIdnGTOVa82b!nI-U6D;^EteiLdR68CNrh&tcIqT-Ci9MG;1SQ^4(K~iFI zDrn_ZaY<rs0Y3ja=H!6QFNViDIKP297J2F5{u9O)5!^1-&;mIRz8^(X55gqEy%;*d zMU-=XPCn9n86N*)=ua#tNX>(66eYs?qS8FjtRiUE2AoY{T9P4yoJhG0-eJ!w&d);< z$G-gzQMW)wHoyZckURkza!D;Jf;5z&obU|LFc^sAT2$nmlV6;g5|CK{PPvG&Aw)z$ zH}Sa^73Jka3r={!3Mz>q@>t8&{9^DR1!%+yI^={H=|hqWNG;0EECz4K0hf@VRb@!h zt`(WZC0I53<(J@=g!l}h5408s<PyJp7tkV!%siNnAx7jELnNUlLbymSL-sRh9SpLE zk>z|c^RltYLFaJwAoE~4ppXMkY=H`l)S@D{%)CtSvd8>lJ<wEhDR|We)FQB&iqvF; zAV@?*3vL(MLVb8B!u$*xhXI8LXbutLGY~HoynigUs0iHnb1d=9a|EYn(3}M#+)7fx zEAjPm^3&6i8yxw?dY~0ypjsc~8;}*?ofi=EkwO{nb8wxF!~^ZRLgIqTOc)m_NrNWO zky8W~DX=%Oh(k@&2L)(qUKvtGf`<@H33$&d!U)iAC&acV(CBJnN&t9|Tpk0eoHJ;R zC@d$VN(E<U7Pw><F@VcDXwwtCsoXyeMGUlGxFEF%5#&&{IiO-5;U>sPd$FEZekOde z5=;zfLJ}qvk{?=7fS4dg2>axhBZT1r0c!ArM&5lBD|}M(V57g-f(*2rB_Fzy1*8j{ zaX<sO;AvNg2-46msBsG&lT9ruLK<_1=Nh;hko^s5uw#`1x8AYJKt~Wk^J>L<;Iap- z7St*P4VLRe21av0!>YxIL05>V2E0d;U!(~Rby%#z9g1d7N@g--92-1r56(o0205Bq z&@Lp<!3@Yn1DX=h0DO9C9%S_hnp|3DMQVx<XnR*;4tUfGl#{Wkft2*Pl;ou5rI%!Y zSHghDw9rh*PfIIKEx{=b(d`Tx6hjX@h)%3h5M5574IEgl!zPnanwAFf3HUSseek~E zqSP|*JUy=HNUDSe5Jp=9x-%6X!O(P&ROwcfT8OS1&5_`c_b5$E%T3I4Nd?6b&Nz0? zF9J2tJ@X1mAxj&f)g_vVATw}BGNiSM!^J77FthT(X%3XPz$JMR1H8!q9peW{!4fdK z9B7yqmmF;64e~5Ua$<2wVtQVFP9|io18LTv6udyh8Lj|X8|Xj|$D-s6&)k9>WO2|! zJ<rtCRIp(d$TASqK$9xSq9AiH)q+I9fdz66QaJ^ly(lh8F{oewGgFI-oDx$Y?8K7% zOmGf_a7#--OS&NJ&=6+`LqiMPZU!qut5@ME4{R_(88n{3qQ#)uE#LgSk_^zYf?|l7 zIr(|%=we`nE{T<B%1}j85-W=#6P6HzAp5PMGu~kPQj3awQWH}$^U^)@N+60#^D;|7 zgCJmeQ2SiZF%5J!0<t!+FvMh7N<b(_nehe-=Yl*0^$f^O5Q}m^(^@|H$q*jc$iyUg zR|c#REC^DEO&n?C9YS$%DST`KEC}vo`z7Y(Lu_#YO~fJl1Y~#)I3a+QgTf;pa!wXR zLsfoWYH)sOQF1EO{Yi-_;PuU5<v#g|DWKUo(85g6$V5mKNDd`Pa`F>XLaIR9Ho*$2 zz`HGBNdasKxE+*P1zGrvoES3mGD|?F!zb~eHJn~{W?l*;;$b4-r3}c+7NC<@VDW<N zbWl?e<}8>V4J~jjsi_C)Na2+B1)pa_K-vkM5^*XDz~uyRMGP9$09Cb`dbsSustlK% z#U(|h$t4g2-9XzPF`b2>1Y#Cw#*Jvj=n6p=`oqVcV4+-4QUuCPFfKgM3rdPYK<8ON zR;xjyD>JtsCp9-UuLPbAVEQr=%Thg4Qu9hOlM{17^HNfaaw;?P(m{5jy93la^vTc9 zE-e6Wtbw0P1o9lF`*IR1^Gi!mRf0_bi=&%ekeHkeUYda}0dAS<x#pziqRW7mf>?mu z$p9Njb1f<guFNY*tbmJv*Ylg1fN}|{n6VLxm}^lHcohL$5vV1Z3)-~`Gh0IoHcW?B z`oa|Cq^6Z5XQYBQ`oe@jE;KVK$S*fEfE!!@YUUREr-h{E!Nt;3^HPg4lif=46Jef& z8If7+mtO)NfHX9NtIsUP6oDx-HbPg1BH~_@SdfvK3^~sOJp2tkasrZ9p(l8OCBU1S zFoi+o45ny)abi*?rZ8xD8&fnlF*yUYD+_$)3bK{O8PF5GPzBR4jes>cG7+2e5d+@^ zSWGE^8v-jMwGbLW3r-*-*PyZ#)J8$9d4Y(-2Of}gK?ZohH8Qkxg&B=78Qj{(Y9K<5 zh8A?dT~p7$AQj$;LRNre6<YFxS*eAw3<7z$9!V0~FoWqsZ-nJ!mXzeAy5^;1Cg$m& zOM(XCA#FsMb}d{J0Z4svbW@Tt(+Qf7@H}YM4RnPiVw?^kjU|9ni;$AHh8DKS)da1- zErQSHAdJJM9Fz)Rc@viQ^s90*laQKt5K~gh6N}Q2L=kf@Acr_2mX;z(B<7{$mNK9U zAaO%db3r|JnEl|Ho6_P`H(y6YvIJLTpaBWktU)knQaHsou>id%fuR!QpTr{g&@Jfb z61~)lg3`S7RLDUmdKy~3pzWogRtd}zU{z3Y@Bt{;BtRPci*QPVhSxw#@e#WMkWEd= zEGntQBAu9%0~%8=_ALdU!lDP`LwNZ)DPUO!&?JL~mJfJ%NK-Eje3%eq@e|CYAQh0) zieM8E@VNrSoP-~EhYw5(LL9XFE43I=okDh0LnkaCjeA!gH`sbVgt%Y66KLCtrwh7N zP8w7KW*6!l2xuZCGY7Gc7HVQT+Ga;IQz1K+lEHhnq0>ERDnJ{uvCDw>J{Dt_1MdmJ zE(P9t0F#0h6R3UxuYHFq(Lt30AEpJBLUXBx7F1jla;_4hl>@Uy3)L*pVLeWj;6;Y$ zc>t~hw2W831b$8%WV8e{8w_EgIRQE_Uz%3}bqcPy$^;!vnV6g%1l}@?T@`q~#3MDa z05%8%QwDP!s&Ak?sKvww8`7aK&@=<K7(81Ivk_`Gvb-;7n<sX84J~M51Si<kB2B%V z{A9QMB8<&xQ1dX=gLiHbYdEOb^i3=%03GxR?O~z17u@><Em{js%}Mi3EWnW|!QKXi zcR*2o38ICJl5sI37}R7%U%yPiUnpA85*T;{4}4A+%ny*MOb`hgJOdSgu%jC_w7`vD zP(LakQ9Q$@Wk3tt(~Cf7-Y~#~O7c_k;hbdf6bfiHGhE0Sv}P4_ZWFvl22bRGoEVyy z3z=hso{EC1BCj+z30(p-%VuT*n(~GZ>!E7GXCTN*=%gOHxnL(j+wEYJQ;|lI5R%45 zFiBW;(L%L8G_Sa{0KDW5G82Z}PD51*whU^T9#{%q7lL`2dFlF4-y)(9`<z#5QIV^k zzpGyej^isq@{aC~o_=`bJ^jKQeHfrK0D7R^UP$a>WC`%_0+J-CvP8&(hntbPP?y0q z6cxFm*;Q2J3UM7w4P;^-VQGFrYF;9|*#uJ+4>}76ZWAcKf=;5uROg$Wl39c)4AKBA z3}8lrGb_5F8))+ox?lh{?P(yD2%F$d9@wmrJNWD=m{Azg$jxvJNzl|1hEDKY4=8pa zu@Bb-7l(v2rZ^<LQN=+`-qaFMLmgBkpvo1e7L}zIfffKDCT75vxE2+GMzuh*SExEb zrsbCe<mcpo_Q#>=0$Gv@>cC@ZNrH`qnu(ly;KoD85Wo{c(Agr4fI(IR9yCH4Ou}jq zSP|OD5>|!q5f4c35U<T}wP@o-SPce^DImr(uqweEPr<5;h`|-C`oQHr!U@oA5PIPm znI)<5!JtDD;4@*)iN&elp^IXK5Q3GAV5T9M`3NRLmk(UfCkMgIMKBS%d`b|arEsP% zf`zcwHx(g@n7;Fa3;LxXm<VhA5OxK?<pU6=1|W0=AS?(#crhRop$*9_gl~i4^1%pW zgR>E$2#*IN42XiuM<E;=Re%shSm2yklA4}hl$nYUa!kodL>TLsk&nd5ODxIH$xO_P z_sC2w%1_U!EXY98Sd^HQiEyT4QEqBpW+Eau9m_!b{}FtrM9>DoVnoO|C4$adf+ZuT z#Ny(__^`wbxVxPaOA--&cS_AmPs~Atpi@R-W_EFDHj+qwL4Iz28X{nviV`z&k>raK zGjno~><1lonu_e8(u_<*96BfFC4$Bq;vJLni!#$eduHHHb51Nm_NsGYX>wvQD4-m3 zk`hru!8s!_J2w%bF#{>EoikF4^0QMBE^^M#&CN%Im@{a+4-vx7rFp5Dpe`fAw90~_ z%zQ+;cdjhT%*jED6qnTE)S^^`0+-aX#Jt4x#3Dqfxa6l7A>8LuT9A`pi4=>j>6HZ~ zAfI7N0<JkpiN(l@OENO^3y|!0Pt7k%M-D0XoW%6}oXiqrHTfl=u|HTka4$;CE6G5( z$h{~v6_E<uOS2M-5=#)ycQ4J$O3X=&chApDK^85_EzQnA2zVg5(jzf1y%dpCJQDLV z(=y|O@<F@CD-jlZB<7Xo<s(v)M`B44vMH%aMXBZRsPM=vN=#48LumKREl4fOOw5UQ zEK1BxMDnj^UPdZtQ5qtpdFB--7iEH12_)vk2P9_XB$gqZjxJkNl7SqeUWsLidB~~Q zJ2A5a$>-jQd3lK`2zPmdauu>ey%Q@FGva*^7J6qS7UdUbpcs;on_2|-t9M3z7E-?R z&L~YuEKfuVexF1{u=ymGWFnHZPijFjQsnw%<|ZM^YS5uriAC{_aEqV<PDld9NXjdd zkpse~5|mrvN_`VE60;KX5Z>}l%uXy$MEJrtF(<Jy5xMa5P0UL{jwxTzaW)x=sYtQz z3!0BbTJqrwGBPn2E&2JTf)-<DrW7Ih+&49~BsDYMH?a~aIs2yOrKHAtXJ#j6mm;|| zwJ0ByMdF=Hi;6*~w7~L)FH8bkX7tTO3U%Lnr0DR?&jV#TWN#E9BFMKirvxRODicB7 zE_gutB_<`7pag<nYI%G}VrINgDWd4|OD#vFF~4kt@qVSn8HhOZPe(2Q{d3}-Gcu8q zl7CK0yhmvssDMIpElAX}BoVn_0}1)%7nNjyvR4vvG62bdm!csGPN)#5fQI`DBo>^X zj*?^`Vx>3?4Jj?kM#{$iMVUy2g?}+<Ju57p{EKrF^N?f%5;GFZGvYmRLEAQAiUJaI zax05c^AKSekXRb;oS7Hzk%$PefQ-cS;`jhW*al?er{-m%r2K&5#GI1UB8-wFC^aiF zFCFgXAdt;)UT|V=Vo_!ZQZNT6mV(aCC_$<Yf-@3}kPDCCjKnhJzz)t#NkNWK(1JbC z7!|080J1GVB~>ptGcO}C2jPKWv``PuFG`FL&d)7KMRIF!er0}c5+W4^m*%CQ7IVRs zIr$}-@qUTvNR?S|Wl?4#BH}|5(-U*@5g`zgn4XlFhm=sksTdIfAZ`e<e?S6ZnMf6A zNMbgUbs>qlnTU!c1XQme>e`UhoYHheM22J}BA2=$8HtDtACj4riX0OmnV@4a(-BRf zkj$ct(h@`%hJtbkawQsuWLkJ)QDR<tymKZ}H5rMpEGjWCF)6Vsu_!*cur#p<;rn24 zC4|(#3wHMOjQ2>bh<C|M&qR34DKjNA9&}tnQ9i=+E{Vkm=end86s0DE4nu(_H<!%B z<RVa^1{ZOyC;^pzhyoeYCXdR345Y%=(<M0G3A`&e-oGHVD6u3Tp&29>QiMw~B^A`l z0nahQk|k(mAcik|^3y>x&hg;sq<Gf~&_-_1$@ef#e))OHpe&JGl3ElGTEP_$J~$oj zC;yU+)S`IDoPvzRq*Ubifk?POmgvWOrsw4sB_`#h;x+?3C7PO>8V?C3G#fl!z)sK1 zLn?tGR(QI^gLgxqX#lTdOa`qhgLws_+!u689jXv`B_xW{0LWs_c<3}XOgT&#TL&<p zC>6BYJ2eI5PEbn71K-9L4?1cT?zF(t{1Pm}L5V4#NPsH~N=?tq&r8gS_sj!HAjf(z z=t!u%<ka{O(6Z>fL_~TF&QB|ecgZgS9V!oVFicT=0O;P5Qn1x<TS7stz|@p@Pgq)k z%Y=a%`=B*1@xkCjijY!WKv8}H=nQbA{#06iP70#e3g#hP1?D1h5}1o9B0yY3e-+F_ zw4B`Xb5fw)w@SFvKx)uB4Im-ZHZw>Bv(X7M50c{%gDjvqH}Kj|@Ejs&2n#Xe3?7py zLab#3D*-Kv&qz(qM%kASlYz`@AxsCYJ=0GF9mHg8q?eMJo?2X@0}|8oNG#4UHo`R8 zB@?{k3v?<SNF7`+V!RW1ax>nsq@*YwbSD9ds5AHsaPZY9&<R+`TxeoRNs(u<YefO* zI4TU8fRZAxMidEG(30Hjy!`UK0A~~lu$X@VXtAOXc-3ezc;PeBd@E>Z8EHmGLkm<( zf+i`zLyGV{NNCDR5|fJ2uja{1EeG|Z(S^&B5{uBq5VoX&&IyK%MWI=efmbKkoiLr~ z1J9vBKHw2*P<4+k7nE2YT#|xM8d*1JF*s;Xfm0%U={V@<57^Zspqp?QK+86eF1!JG zqZqO$5ESX~AuG_5YtTq!Ciq-w#K<B@96CP=J6jM%4>*Z{))Js<Ny!H-OhXj|oer3S zDg?P64&p{VP-@jfF&w<&2-KYk04=YqEJ)SUOD!a*1k)Vwd4*70&<#MA!_)~HOUTc| zp%q0EQ*VWZ84isI8L+NsL(^Ckf1?<jTBwIh0c_q0t{HYwSYk2a_&LN;WC&T%YNmpc zBKVXjx&h#6exelNH^R&W5#OL?`=I6j;AvrZ$TBI&a4)7BP~y=;9IKO@TL9TyfT<EZ zB@8tN8XS7yyPHap?pA;a#Fr%&fi8D|dl%*=@G+%OQ?N^-CUF#fAStL`Bo}~U7U6^3 z)Lf7N;w}QHfFa`68kmR?h9a1=VWt<C6oCwb1-)LHu@NfY%mjs>jLtVSvOrM)_A-h{ zUS<xaAS@VByp;+%y9&k0U=dW0frX5X;4X%lgh-|ENJGAtA<ftbtP`#;&CCQ<AQ?lz z(8vN+NpVRLssPwys6sIBqKc-1)|{py4(ZQC<0s~%gAyv-bs&yoPP(Hj;zU$PmMceG z00$8$2Hnh80AA$+n;`~gIdHo;wFpH5>y<-rJ3%)LAvoacgy3BGbwF?t=t-x@e9vO{ ze3-Sc1O_@54b(sZS7XpidXV*iPZjb-zu^XYvk!Q)rE`7(;!s?eaiGZ<$bCK-hJp8r zpj#hW3|+knSDu@goeG`?hbx8N_hSfG0+I$D0|pns;J9QKr6wb;MF1TTh9(4_ZwDC! zHw451DMYmgBm!50!hsyjnU@5y9J+EBWC%*}gFK-IlZ5$9Lko0gQK6<@N@`(gYANC< zPf#jDDjS1SOPm8jp-V|nZc5S6N-ijcN@(gOrXbE5$B^;M&vQrIXoMjR-me4mD0IaH z)SdCkmB~4&#Q~{B!Kumld2l;ms!|hEpiY44*K_yxb&LoI@<%#27~J**ZJP$CEVxRz zFz9w7xM+Gl=-7Xx>xS@LECkn-S(1;qAp*S65K?4=nos%gicqg0CowY*JVA;k0ojM^ z30ez;Pz||`2%B>7;*-QYL>>SixD7fz0q!D@caaY9g>VrE`GHq5n3<#%<>!L-Cc(8q zs|j>IYT*hh%aO{~^wbj2(RFY=8d@MOc*6@QPl7gyBVrDG_=Y1&YC%(tnv_72klk!> z^Fc!3(+=SxVDG2omw;NMu2@Aq;iAc)yNZkus=$0C|AF~Pz60~2?E`44)PsnD4hcjz z7JMZonjos(o<<0MBKWo{tRjfo45G*tLz}CSrxAQ*J-G5fRs-H@SCSeJ4lKBXG_-O+ z3m%fQHT4QgixFqxBa7!Fjt|U9%gawm4FjFEhkTU_=+Hp8k)^2j&gA8nq(aX8hpPYy z6@#wqKoKbc3&n%0OVA(zENnrlA#zxhBaRf#1ur@Tl~!<Nh)XLfi@`ULz-5Xni-SP3 zZe^+9^Sh8PNzl-O+|i?{mj}8?5_HlC%pnjPkPbM{0qqY(H5hbhK~fgDyoZ*;rFq4P zX{qskspW{)ByvHFBoDJFFSQ(UKO;;4x@ZpUL%1J6hi8MXu}DP9O9iMGM#0shi6g3& z%)9`&7F3^TXn}gLiA7*hP0&)=e8lmR8d@2-pk=+8dC5h2@C|k_=fY$`fdRcM0qzn| zm71Ga5MK-mO>|Kdz7zP`5J&Jul`xY*w@pFrib7Ia06NRDxEPT^;HnS@LW6|B7epcJ z17!)61e2Rs01^YmJX{^9Y;!NlFNX`H7v-0Oj>3ftz^;yh3nW60cyxu_sFj(Y2Ody^ zM!Fu<5{SjnL;@9qSd1!`4Z2wpRVXzFbe9T33}+>un^*vn!wfy}Em%lp94L6e;R?B7 z3rz+baOhfK!GJCf3k`H}xD}9VzK{x3xD3Q{G#P~DcqEWImwG4;L(+rdFeGuf^$>>@ zBl@YJsDh>~uy4?%5KcprLA`1Wo-R;ahO7m}X~+_w`YQ!~w<9#hQ3PSdr9L!yfmc+a zsDMi7Aqy5H7L{Zo^;n^5Ku5%&Tq6nAQw*xtl95~3VBy@<+~k}hc!h%^23Z*k>SQJ7 z6oKx2fZYCxXl}r-U@A&Y%gljXRR<n^fd>{`1t?R1PJ9A)n&Hx*o2Njnc(?o_$CMOA z8wqp@w?DK5hPnc@P93BaT%v>TCW4y+mrBboDo-qeHz)PbCH#w=K<i~eqnxnZlvJ9N z4ehK16s3auE^u?P$ORWA`{(5#T4+d0oH9#5gJUp*K_LlpuM@%oxWRCDfr<~%bxzo2 z!0lR45MfsWx(2KWyBc_~gU3z4g+GQB!KvV#jJU+zok3^$!etAJ^3y|LeLnC>nJ|wQ zmFA(T%}p!;ugA!&N=1_a*L{$x8E!J@!VWYcMD-0xSa7w-5;>`f#i@=+R}CQ~3yM-f zciy|@7X_nS1_x3BKBGKGQxAM)4NAZw%a-Qhmj^i-r)E$I0e5mSmR1YeC4a@0#jyJ@ zk+p%c3o;+P+Y_1_z`Z-r%q8ln^Pnag=te%cHptEo6rrNhJd|4mk#FmR>(kIm%d7y$ z7J8#0JsFf};R-=B7wP#(&8hU{RPddgFcnxX^n*$1X=owega}$!n+n~S<qYZ|;#XIc zT9%1)tp`@KQu9)XFex<;bQ?IRHGtH$!fGC<Tms#82%1oY+|-Ap1GHS$tq5`tb7Bs} zIM)iKyA;!tL3yqy6Lerr5NJva?!okA(1l(8&?W{nj-gi)A}Ip3KtOj9q6tAK+d%VX zNHUPi2Ej9w5Vs)8ZPc3xk@SEpK;ok2p7dl;Yr6!b1{_u30VnvKVnwOxnZ+fkMWD@B z;KOH-E+_<-RG_;q5KaJftz9w^Hw&fZmiPrb7W*Pb!8Ei=K$jZ&<)@@->Xm}ea{!&z z1X>LUI-D6ANGPg6(c_y~k(rBBDWWJSC_?HafQ@&{1g+<Q`x#zvVv_`md4i5CC@4a@ zT?}#kVk%N29w7?3lo8nq=xvRed7xX3u}Bo9g6eOO&#_2?Jc&gZq6fW9g4&c?1e%YA zmDmWU6@g}jQ+-m?5F=aYl0lj283<X(9TGwLpgqapG8XO<gbcV!f{TOdFVN+a7y{r8 zNEo7^LeCw1nF%7Tz>ENS4k4P3d@Ci~928MR4+)gPvO%>4<R(jGQvwo;vLT{KhJY{R za{^s<nu3&p;dfoaRf0soSF)6YFZG0rrzg7<B_dtN3g!F36@WB?H_@Sp<fFEEiZc>Z z5My}hMX8l&3euBZN^=X)T1x53pa27P+fYq|#860PUM09efCvLnfewx|kUoSkIM-qk zPfrd=Oim4kUgL>qw1FxgOi|cXli=Gu;hsfY>j@VExk3*SV;WlEU4p^M&`U%?LmMRl zNX>do1?i~-6eOmkpoJCWRGQ#a&|1R~<Y59#(?R(TO?MjjbTsh&qw$Cv7?RS#B5;p^ zdHH#Wh=dn1&}%ZvQwtC|3@!=Q1~()nHKjDU1Y96H7o{R6%H*8<q@>g$P>Tev8dQ0K z)jE}?fdUR?Oej4WA%&U*!BK$dfrCr|RUK#pbqL{tQe=0hCqon$l$JPwPG&`M4MeJ> zsM0+Zo1T=+;(~m%+8m-KDKQ7MYYoXTP*zI<`3rn;S6+S|_%>IZ3Q%Q1qrjjGpW(_2 zN+GwwA`7H}ZmWQmmL)}%>8T|sLZEHJ`AC<r!P=Wesi`1GCzT@I#s!L(;QZXwa1>Ga zpcd?|S-ACx_=jdNxD4X@S-4PU9%w%!Xoqzo(&h1>8*2U2Kr(QJaQi?}j44`_nw*oE ziAch5<xmM{(9PtS+Q1D&q?8ZW2A05~-ZwE1d72fjr#Q6)wSb4qfK3C*`Q#^OBURvV z1t<+}6j8^N6p%(tr+}jc`Oa^+HjoT1H-h9q=HYS(ys!h^zKdxoOq!5(&osZ()Ra`v z2|#H61zVn3T%xH5sqc~PK*(V>EYRhgkkgBX7Wl4a(DBxqdL@bAdJ=AMVoFMJL25GU zI0LA8f-ahvl7iVGfw&S=yg0Q4IvfhQ5g2YAD1^~X&Py!^H9A4PX}CJjcmh-qJQ(0w zke`eg_|8i$2aEb9R)(StWT%!xh9u!8X=p*5psAOO7$QdqCLsiiOR^zK;d)aG!NV$W zKKS<Hc)!$&64Y)7L>%0xKvN4ALrV`3)xO|lkFFfKjtWjK0XL$cb7d&=c;JFPwJbFc zQQCrqiW5O60U?G+iWAFH!4eR)pzX(c5GJhr)6fDp#~?e|L3@!=la6mH*twZGsn}H@ z<l$a{6l8ENvLE1r;O*y7kHG~)!1u30ZlFh(L%;PIt_kEr!~_G_FK{`~uIp6Pa0Uy3 zQX#4$q#iD)2-P!&OM?z~fnDwl7X)>SbHSIzl@uctkdST+OaiVNJk|i(v5IJXftQh? z4U2%q5_57O!U(kmrA6tfU^7xs4ap6zECzMeJo6BP{xC5Yq)V1Hw7|Deg9?>mr0N+g z2u`4Imm-9a?s^4veBu2-5GNSd9#v?X0$)H4+GC5DOaW;_F$25^5^k@C7DxejY*AAW z96RtKQ;-CTPH-<3kIA5}HXdo{#n^DGp*L!SViYm%2NeY89LP1<=<2~z=z^t?OSj>| zDBeO%hfo_pi4CqCDhAqwjV=b~gRkcXPr{)Jfm-KCUGnr)Pyw6_9v_4&1oaufmvzHM ziYtpj*O#L3kuU8|Pc4BBU4c$-gsaO(oykp41zpmGeuFpY<a0#vRGeCZe7Puu3+@BJ zO)ai04$e%6jIh83!L!8RZVICFfDl8pX4CV*R|TLBKqCu=!i|KAf)}MYBG0{`OW@Fw zjC5ftik9TW0_<9xu-ff}U9mAvH-TkvX~N|yW9*h2BQ9SC2Pfnbb+|jhS3rUmd18v7 zm9eSCC1AzHnN^76YrqSO^$@p1!>&k&n+D3{U~QNpVCP^8r{%%Lz#1X<r^CfSjdakJ zTwvWug(ns<=tb&qt?8*HiACv%h5+b-?qtw}DP#x?e2qF>F)9yK*OjFrU-k{Zcpa_- zd>1>|S#Tln>5^a}q}n3|a=$y0NO4AfX--N&X>mqyW;$3o+ys!tMJaCiMc`Bq7Y45} zL7C|Xi=a*Sr-Ro@qiQNf_#dn#F9%f~bmIl82zWILst{<|droQvsvv01D5^*%QnLkY zSq8cu=skw0+R)Z>fOVoa(7;0AC3<k38Ib!!!}E($5UB#RA{um<Ijq7;0^iPvGMWo2 zne|NJ#y~G|&q&PyotX{Qrw2+&McHsgpoEi{f~ZEImtqH(rsN}<C`GB@sSQ*?(3vtw z*P(;%b_dP#!A*t<Aj-R>#1y0nK@^3E`{J<+Lr(obmP;<FK$O&pIXUUb%XvU+wDVAg z$ud$?K*0ky0o+s1MXhXdLG@;S9*R2DN)}WERHc?=q?YFw<sh1@$%)0F1y1qqpfNO1 zgQpY`Z=fTA^V6|QKyQJEI|`%<In=><4k1unl3x�qW2r*$q~MMHHblJhLPtI5iis zZVW{WhHNfa8tx_t7g3Qx__@f7XOU+V((;R36O%In;QEV7^MVu8Qo(C8-4Nv=xM_jt z-h=O{hwFh~P!AVDzL_2_2)>dY&I1)8;A`#SBB0ypQ8)-+fc*$M#13>_C8QA#R|y(@ z!6FO_eT0K^iwiRI@<4kZU>OT+BtijnL<Uhrf%Jpjn1WCQ)`KpXo(xioPy{-ZzqAA~ zSO*&RD=N)HnbXn(wK+i}J#b$XrGnZk=#I?H11%y-2hA?QRTd{_q^6W2-LhVgSd<MZ ze&Z3XbdVrYIiH>n)&@SY8WsXjF%(q=MX8|SE)=oUip&y3RHWyF_=xlb>K(z|R+I|b z<W&Scg9k25P%yr@vKVxl0?cX18sgKFL2FX68iv|NM%D$EiHF82LT^Q835MekVxYBD z*wli=<BL+Eg)O2W0fhwAIIxm}%oL<}L6SuTS8iesqJ+pxEk|hpLHUTl1_iETUUDjE z<u;;>N>4)`H%(7VN9uBc<ciV}sSh+V;2(^ZWgtTu7{ZW5gdv=im;#Z>FNT~32oIuk z)B-s^7<|DwTpGL|5_CBLX!j*T)F;0fbQXUqri?qH#TXA#73}FA5ajRXQd*Rmm+n}S zpPQMCv|=Yd%q7?#ZftR8I%q%$osYW47^(;?h*TMZvR*Kv3@lD9NiHY_nU<LY+PaDe zO%1IAjIrzj&|>N0lA?S>tqh9PqEt|G7495p+Ch{r<(WmP2p+V`MhIl)C4&+Zq_BaT z0<H#AQWFtL5G~^rrGkdv;Oe2-IT2}*1GpRjk5Rx?frVVb1ypD;(tI?MIKsm8ocyGs z(!4_CL<dgy$Y~O!091}3f&?UjuA-o{q&Np@NgYTHvaw)(Q6gd+14y8_BsDPy(rkv7 z7V)6q4#>>I6b#KPfC|I*J7Cj-O%9<GJ~9BBM}ip#7fi_y28lt9)zi=_O3X{i&js&E zMjs7HPez1dN<L`Y8$1Re2ONUuT0rsVkG@<INglM;5_}LhhN8UGa?qF-=&D(SdqAle zY;JO59(ZvQWRMM;%`g=q<@pdrh!O|Me$<9Nk_34B0yc9Y0|zLp`Sp<WftqY^Z6%q> z*~Oq`s*q*<(83iS+^KnG#mKE{@X!KG5lZ74ECUOM^n5ff$eei4)i?-?Q;SP5N*Hi< zgDU}_5((ZMP?lH(4;$$66!5}~#N<@ap^9)FphNUiKx-6`mh6EJwkw7&mn%vw&d(`J z1s$3151J2xDufDymdRriPOT^b3ByOQpv`pfqCcosn2Gq*pc|i<k`e@Q0LXM$;H851 z{s)26HQZ;Q8aXI65p;_eWJd#BMnkKp9DIVSrXFawE~4v#ECH!c;ChNvb3g?hG9Oa~ zs6_*6@gw&Wz<a#Y^KlHufm+eYg{7HAsi;LmaB42Jyu}cQ7SrItW89{~bi;xOl!Plm zEpyOWcSyd-g&K!cp~J04h$ScH6{o_K!gXtCfdoMdB{cQGi?y)Ipl{Pb)`fL#2EtH? zG-P!Kc+?*1oA^BNfyJQ79PpqRY8d4uf~0d1RbonMZb4CMIy^t<X=oK^rYDzFlxXUK zMobcmQc(vGiZjze&9a=-B2Z2S)ySYr+Mt$0Ms*O|6G5FjltI1Bbkx;hdc~=rqjZx~ zi;EE(l8WGARh(J^Y5QQ6%uFvpw!1hpy`(5Hw;&y{DGB5}(8)q@15+|nK_|R}4o^W^ zBUF@_S)7`bn1a^tO$MFO0@n(itj|pMg$w27LkvP4@G8zs_scKw&%+SSOU!|^h1~Ly z#so0!EzV3&D^Ef426WgMIV8bjrx4o_afc*`YB`bw%G%A+JR})}IgoHDE<v8@Db7p> z?@L3RfDKU<58lFtA&M5wpbZ14BQc;kTT~ISeV`Nr4_~lIPJTLKPy;LiT1l1&DsoU2 zf`mas2B@Ooc@eZ_q+nB^65z2GEL!5h%U@6>uvm&>VPZ;FX>kd3XbKUW5Ml5#A-H)E zF;G5369NxiqU$XN4G<v&L4DHV^o&fnR!{)|F%eBAXu&tww{WH46N3=}nwMIRDqoyY zl$n=}DhBc-I6;A?krB>FPb~q9A}>tMg^evC`m)LSdC7?-kg++0W@J%>bC5+5Q*6kh zh#_NSQN%bLI8A}JT9@QQtVH-cu^b{&f;7<!70HKdgDXdoDJn%;zXMha62Y({GY{%d zxG6<2OOZuD&I22QEC@0XRWKdh2jFuP;Ceu}M!`9tB$0=_Yz0)TfctaMsD!i(K#Tc7 zllKUHiN&C^_7F{54K25##N5<kO+C;wHe6k5ML}X-igS8CL>4Zdn-3byK%MP@h=J}2 zDN8NNOhfb{&?OL+DCh)Q&{#F12dSY264TTJwS?fdfqaQD1kv3BiGxN+;R*|q%Mx>t z_P>H8K?lpi6~F{QwE@Tq<mEgdIncH#g!5n`DB6n)Qc`nD5CeS$$zU&|*o!2FY8pr> z+_d5nl)fZr=MiXD0&-Cl>cTnrdH<kkXGF**rlf#ctB9IYLkr9=hAegf@8kswfCdzh zme7EFkO?|)5LSVs>w_sk(+4&S)NzCR0VI-Dij=cp0%$smGt){+3qUO`gkwQsDf#7j z5K)juy*#9jWpGJiQAtQqVltxH0=lLeRRk0ss3PEAGDsMyPYf0V9Tg8+XNqt;$ZS`X z#S&nJU?DX3gC)Sl9^wiIJ+Po7q_GOU;T9I4V5uO;kUlmk)cJL=YP2RfSPXInD%{1O zRn3XXso<_*St2;Gz$}DHft?86t*fbr+;hhw4az;}CL?RlODzX;;c60da?pwaET)25 zmT(<lKY;b1=W?)&Bd9h<-)R6f6DkK9envG86pi4dflU}`yDQiRcW3aTM=a`LGRTX; zkhP#JxQ9sOLzbYR+UuT=&G3BC@?vy_p?RR*5V~k^Wie<!Hx^-(t})aJ!Ij0}g)^wi zL1i}5FdSGds85U5sz?X#f<f!<rl*!T7NvtP%Y~&SxPIsKe9$p;sYtUx;Hfmo{*sg= zL`j4yj41TrMxi<w<hYU|r1^5VI)qlRk+5-8a2^Ngf}4X~8dQ6r_ysgw2D%0w=C^co zf#Qt(a@0l|Sfn&B8GKb3Tp#Slm5kKH6!fA6<gk3u$#jT@4%|Z?kcmdPyEBU+1FrCu zOK=&mkDSx<aro6gFBPjK$V1NQ(7Tc{tB~9VI!ps{n|FXS!ets-@t|F5pe;9`5n8zQ zaPiVSP>($yF^>e7DacL-og)R;f;Mac3oEEFe53-tX#;98=wK=2!3t0ntyhwm4!Y_W zW(HUcM8GWraX_k21)xX9!v#TJ1CQ3AbSXfh#o&u1;R->wm!_nGnqP1s@CjR}LS-q% z;6Xo7gCV%G7?dPjGmy3{g5=#Y^HRc6i@;Zg!<-Ki2Vcn<T$!7cpMwZ}kVGQ#TAIu} z(1>^d=yX$<X3#+`dZ5M!A~WQIk842_gdf_1CJu^s^fOs-C;`P0<eU|B1<=z{un2>? zerUqr0X9%E1|EFCB?&s315FOJlRQ5;JsC|HG?)n5SCm%*?tG!ig2f=q;m~A2T^6ho z$lKk}^k7IK`X$AwCGO4vsYS`Dc_nDNpxd<Yh$4)EY{3B4C=lgH`yJ4w;As&}0;ge! z?h-@?(ylOwWoRa%%cC2NE>FmO6AaIwn}F!Lp>9CKa2{mq8fbzwwFoSUa2sfg3TQhK zSQwEjP`3S`$${qi!JQyX@v_vs^i*&I8%++eKLx)8!eOwD1!(F)=@7pBA59Lv<Q%pM zfGBx{m2mywY=BEMSQ6B6hcskyDFZvSATbY@JZ$ZHFlcEznj-KD;CNrKO=wc^$_+HP zk(rYUmPU91+^z(RA>tBTVPZ22ECF&Sng&oCAGQJ)O$xDI6-@%XOcFA+fhL2z$Pi5m zwnz=1Fv4#jmx9|}Xv#sus-PA-c)eCJnk0Dj7@8P(eHcy&urb(lAS4iOLSF2IrU$g- z36~JU0O$%PG=<5D#n9C?_$3hLfY)Nc7Sf<;LS2S{CW*01fGBx{#i^+41<-Y)$|9{A zKvM`>2!JL8o&HA?1<&uJi6PIWfM!n6q#@^krRU=lM)FWzacNO%aAvwMntD)kFtrFH zfr0wEpk^wxIf|wU)G9%4uAoVyw55u(GYbO1<ENp;sh~PPwWt`}$17%tFDfyJPs~k0 zn(qgRAdP7!7K4YL5tULtl4LH(?Qp4L#5h)bad~D5(nb#?DY$a@5G1BZd|p1vkSlcN z4aGJP2kGb(5Esc8ATIK0gCM?<DPqP0#5XlW#14pWXkdh>`al9k1}2EZ4?zMZ29~Hs zSQuKOnPFyZfoh1ck+~VFDQ2do#;C>^8XFpzqZ(vnW@KoBYLcmuiID}WQHBO47N+K? zh8Y=Hnj4y;nr32XX<}@EYMh0!g@u^`nt5jC=H^C7=R1OYZES36YGQ&O*5-x=78d9s zZfs&?Xl{;l$|Xp@k(q^wv8f4q=o_0^m>XDP#DTf7xrw0#QcQq`uPQ;ec*E04L1|J> zCghTK=$RmJsjS=ra9Iu)NG#4xP6u5yk5~p<oLYid9F&-pUxZjP32uL&&POK~l!B+r z5hkV<gN`Xe=OdpalL%3Q6l72l<nRJD_#J~0vs93E(1<lmkR@PnYtoa!3k%Ra3~$JX zA!=s07<ft$d21TDu0dT|j}SsENC%5yE~`fs#;P27@j8-nlm+z&am0#tBr$B}f)~ug zg94!#ERJ0Rc9$S6qDL|XF`S)JnwAE>B@foM0||g;XW*7YPU7*)2kqMguir!-tbm;! zgeX_zb29Tv5eun7)f(I=5C<}E3Kv4zGXh@gk%k!aF9%(c16K-~4u^9}QMZz$r<Rmt zA~b?0PT^|7JW#HJixh*-5JVYY&dtnA&M!g?<d!Gq<bVcz;aV_esEa_`LO`bGCKe-> zBNUay!#lljy`Vw?GysCJM+7pX3Ref4DTWIqr-Np4!L!G3vEs~hNX|isR2KUpAMpdV z4n?fEve*~gLx<~vsX`UaO-w;Kz69JJMd-;v-Y*XFXif>Z2!X38PAw@x8cfJ9MlH#} zYro<_`xeqbo777X<8!H?wX2X}JGfy;Vu;KR5iCIzZ(sq?$`QCea5~D*11*__9$F15 zz+lUL^kBnBpfMMyd}<*+Srpyz;CzIs4@DeR2c(1sSqDDz8<y4Lk;PGTK$hQuieXH9 zFyv5mLifaCSO(j?3Xwq31M0hiH?czGkir5@22~e$q8ihEkkJjOI9Nw<Nl|HXi9)pk z%;V{xRUp=IZk|GBUWu`hLM>ENY9Z*bcapS#?SUH)-tdnw468Vb4sdr2Qv*a8rX6yK zEo6BTXum0VY!VV=D29Qjx-kty7DiE@0Xi)gQ$4aUSbelvEM)E%p%z^TqRtXi9hwkW z9n4=znI&bZ$)GzmAf7>re{?AneW0^G5l(@bh9rujIvzA!h^ZV|7)3o~Iu#^@sR=_4 zqzs;c;m6@%YDAYp(T6yH0#hS~99U<xQ7p{c7z#iq-Q$r4>xXGhEK1Ew^Z;!x!iWKM zDHMIVpkcqF#2ifbqRD`DVPr#?B6voG2_Uj&L1KzRv_&jvCzJ)={0Y-as##!{MH?EM zfYK~#mM7OpP{>o&K(HHOaRn_$5l07LL>UehU{m060NRzR018%PBWnf72vsqdZ)Rex z0Gb4b@vw$E>AJzTN1GWUf|+zPKmkjc31C;iLJ~GKhM9WNWKeWLmw{qxLX|+#Qw+Y# z2s5IPgi+Ol?)ps2$F!puMFOlR+6WY+pe;af^U;MM>Wnefp$S3MfpY??d1yiqb*7l+ z!G&N!jBz%0VoHi`S}xRMU~^zf!2={v38WeTSs1Jysmw!`1Emc_afd7e)&;W#G@IjC z3_4ycGaqs^Ii{63)u5P!bX+;65y+A-t2MNcL^Yu&qC?^g#bCra^O)vh$f4*=NlFg` zUBLsp+XZGbR2;_D&_X)09gjoOGNHTjA&x|f6f_wWM?m*`g7zP#VtN3JEUNBO(5y0+ z3I$YqXXe2qQ1oD&m56CAE+uH@gh0+9#HJrr3Pm62^64T>J;=gf_3*M677?JGG~hB9 zR0hKY^B@y`U~y1c3>OCtn?pshmdP-Ml$#26ZM2y=D1)O`iIkfS%BQq38SH9UAb|FV zmK0+~3RE1XOhXHCM*)_cfDvP*#i>OKpbg!K77A<+B)s8~9tgT&0-=DI-UT(x1^XYv z#h_tyxYNKJ7!WQ4_nhI9=$#_avYq5?oYF+}ndoIV*ype)h3y2ujC(X0R9%_rA&E&L zSTvzXpy~mw0|$+JV3d#GfJMll>H?j-3tr`jsSQmEMIU&Q4?Hc1=|~JY96G_v-tcKh zR)As#X!--PP{TX564L~1(ir-oD?o4>fFTbyA==Ok-pPShWblB)DF@bxkrzPo<M8wh zOAUzZ0Pbs;7!c9fAj=@Io1%?Ok($7;G)tCwppuk&romhXD)Uhre@5_D9VFbLD&diW zE$+c?!>a;fim`Dl_%IAJ6GWPd#t?+4H8X`9Sd5Y>L6+f^gy^-v^cI>BSRF=+0pG_1 zu5^*BXz+PcsVU%!0B==Hf_CioLw1WmY(h(@#*lQ15Ct7x0Cf~XFgZOR>`a76Voqjy zUT!MbQ)VW3olig8vHKo$wj?~iLT_?Gcm%u=7q@glVu}H%jsow;)(73Z=$x3GkqW&4 z5n)VuQfV4!6-coHM(pFOzrd|ASpJ0{6NoT2skFEf?l`!-LantzJUHl)(-U~x1L)Ac z)D*BBa<dOK0tzY~KnqyGlE@lil3w{qknTFNWFBa407DCCxV)$Y6fnrP<bj5-G1TUz z<mW*W3W~YlmD%9H1a0Fh2APHMcb-dPNg_6Jr{G|0!l0nXDh{e#u}FZ|M}T%fVz?F* z7@!qoSS6FvLE#Hp5QB&n(A7H#heK3=48SxwKP43&m+8sA`6;OgeW0eBTYgb8M%F9I zFG&PX;eY}NIj})%1u_$JK=WBXnPrdwMwU-3F3v120k<MaOOu5NM?!ShBAf|6H5YWk zTWS%BDIIi52f|>`$T=t#!;(I@h{H^L#U<b)Ai#+OIq~EsR)CTvhB)K|U(muh^w0vI ztBzF=t7_N06m-P}iKWG<e!*CU!AsN8WkA<Z7=Tj&a<qU%4Y7(EVHI`HPt1Wz;4NiG zOSx1%P~IIa<&bhKXlD=Bf(#T=ph60(B%}-*E#*MhT#c4;pydO4;KGm2r5yIw9KP06 zx`Gz0LP<yD0?=k4%xV?1YyzPi<jEq0mh{v-V#?fsatrAp13EnnUi;>PCTt2yko*g3 zw`Jy~qqXrt>v!RrP@6d!iDjv%Cys%`i@@wRzVM>I3rP<}P}d$5cF0YUGUBRdd|oHg zSnTcv#S4x|0<Gv#h=%m=keytfm{*dTf=wnfJuklqyA)_M3N|g^5qfCkBQj7*YEC9- zcXD1jhE!%=X>lqzrQvPQ3~hgbP7HvZoTQ-@mY7qT3R-IcTAGC{g?f*4Xl7ms=#oBU z72qqVK@!GB;G;%hhQh@`8n7N?6I>3uAGZi`P78E3F8CZA*d8y?PB5&xVG8hQhwTW% zs$WB^2sF;4316jz-5|I!=%!r!W`X8<37F%ZnU_L933SsPeydU|3i69eQd4|WOEU6P ziU}C$SDFh^NI+dcc6vZ!Nd^H`pbhf`l(>R!x5V!QoKXu8bHCDDH}C>o{08}z=6dE4 zaF1VUu77C>0Yx}ffTl|Q(+KDWoz4h8+KW(RfNmQ~2cOp#f^_x~a_WbN03`o`t{cN{ zc_!#I|J>BP5*&7>fUhmjsYF<b-5gML>6wz6SCW~Wm;>@H5z0dIQc{a@Dq+{H<A@`0 z(E`5h8aDBSRUufJ9`4Kmk;j>2z|y#42wKoUw=!V09$ASIcE2MlF($0Ugs>7*!b;2t zD={am#DcIAOX$EfxDLk}M6lJI;M4&sI>Bo!2`j~_0$%0dj&ZmWP^3fb!|gb5{DV^& z)E}vZdRQ&SPzW{?J|v1&PZGE>U=12RfsC>uXVnUba9Uz=szP-w))oU53<a-bDAohr zKMiGKUEKhc1C6|aD`PzkEnm<L&p!EyDJTNq+8HJSIt-vvQ?I0`5=9uU6Lh~2tYM(1 zp@mQmG8Rn|tSL9MxEQkA5M~8fI3=^Fq!LveVU}w}L1|ukDylU2AU91tP}?*ZbkroM z;Q{hfX-R5@rXJ)RRJauoLCDE-$g2JGl2bMHQu2|-K(`cX>IIaRz;r_dK$lZN?SKe? zjyOWH7$OKdG7?2qL1rGpaL_SSunQ2usz9-!X8^MZB<+$4J`xUeFh_BQ2k1OdutLyn z5cxR>$@~I*av_PC(1SD~`kXToGxM+;36sJ!5Fvvw4(wh-n0tf5p^*@T8r*R4B8WI5 z06_Yoxe$`MD)W-{K;HHNZI|)PD*<OBs0z>;WPI{iOu(g+Av0eOyp;vkRLj=`4G}q( z_@pKlmw;q7w1QKULDyu0#8Znj!SYxof>Tqou}Xk+I$}2r()h~<pMeK;BiQws`FbeU zLghdu6uL}lUS@KBN~(ToNt%V8ODc#R1Uh*Nhqz}R)T=1+u6fw?flPAFFU>1qKv51C zMmJ5bBp;?yFC;&-0CcWBRHt4@zE6I6Y7tx>9OvNq9X$;#$T2sd?gV)0Ho7pVJVzIU z+}VOInhv_L9bFI{#SB<Qp`n2;2Z}S$u?<j7ptI<}dyt@fgoD9GJ5`pXV#t7uz$%EM z8l(!8tdM;O69cCXn9E>-AR2Bghy&6Mq8&@H3S+emoK_(w!@UM=>p(;ykyDzMnXjjz z1u`9E3&cHWf}mTx8IsThK{~JsLR^DIH8gaw$bhsI!wmz6caf$Zid$fU;ORFErC?d? zqOjNpTMPDcZemFW=(eDW0tPS>e3T%Vg^+fIN&4icLsYpHr6P$LF%*{+CFkdr>1k;B zmF9xm7_gQsOeQI@I29}bSDTbs0`e59Ab4LbsH+TD1{U$mD}f7?g4)!@pdC{F-f%$; zt(4Sc(51HUECsVTB{My<Br3HiAFj5fC^Hw%LDC560KjF6K)1REXJn=!tS$f@l33wc z?2}qt9Fmck2UnYunpT3U6v<qi5<#Gw*5PI#=>SWF79dHbR+PBq<R_MB>Oouy>hzSB zq&k62D8{NT4HQL&25>nj-#0O@5-z5pg)rAGvm!Oc1rmsG1&{+1eG-dHTtLAHRs)wr z)*YMy>S-635HJ9)*Db#&Hxc3`xLA5>UTRThvRg@hB3vvfGaZGW2G$3548q&s^BQ3; zfNM?5EpbKWxFK_#kU0@>4#Zg~=?@}=oB$zi0Pj+QB{I+`O<qc1X?{s+3h0zvxPizL zpjrfrq-$|<VnJ#ONEWWmIWZ4({a_);K)7I1G58KvLo;*{(6ACT19}z*fX+>TtH><& z%yTbFEXc@A22Ix@#6g#lAoUnv9)V?FL<NjP23&2!<e+&EQxmELiXK?X!_<T(gQ5$R z(VR0<6H73)p-X}FLAM!z3nz31;Kf4VC0JOcA^I)AOJBhX(A)*mj!hQY^U(uUG>`!$ zaEA(hAU14N4pale)WXD26~PAQ;Hpx~!NW>0KB!};2QAWJV(?)RSYH%wBwPlU4p3=~ zsT!QlF-&&^EgiyC3?7QYAqR3TrVi*p9)<<zV|N$|5W{sCQjk!`v<o8cREZLZ@L++B z>tPs&UAc2cVxCK85vDDk#bJp>nTaWx$(VBBAwLWYz@dhz7%c2m3EeUdJ)9KoT&OH| z$013A4tc{g+cOVS473^(!)?f^6mB<s&=Es9WSkM3FlgWqLmXKU8lad78ay@t8ax0E zt7z&$ViC#tX!6(+2AUFx5f}*pGQ<I~1ssA%mSD(XMhq4upx8l+0352|R)MVdPlI#e zVO~&D6p{}YPRlDZgmd6(kcL+=f*R6p01cBO83WhpoS#>gT2zvml#_~GI=3LP2&5Tq zDblbi%q}b=sW2(b!Bea<Xyc_YHK2;B*r^h&-~t6KQb>Weg#?2xKZoWN5Z@=UG9PpS zH&iGwB?T0`C`DR9Vsf@CD0RVo1|3xcH%wv8SeQ$4!BYvKXoV|J%SlYv%PlP~aY{vm zF}SM_(*!R6^_=qabKshwLX{<;>;9mwgNT6+*+bl(0I@G9H8~YD6$jT2+At4E#Bd>q zZj=HBB7~ZfA!4Xy3iupg@Sp=qI6#!56x$FX)H1<0vB0wg)LlX?Kj6Y(J#c4&N82Fk zLF*Xd;$T&JzKI1viFu&QeV}0iI{qOHbPPR|4^f7h#o^-Ed<#7)0?jVa)xelh0usd% zE5)fLpavQuVjva<r<Q<P;^6E0P+SN)ClAzK$FvjFl0;1y!Ko$S7AJ-%T6%|CnUe`R z<QLVp67VPjssW&s4!0iM0fNbchDc#-P|GH@sKmbjt`yX6L3SjF5AJ)Qs6h8NNEMoU zK|+wuEX*>H2x>4Umz5yfm0X4z6v<_fJ_4E=uyatt3}Oyg9PUi0VHkoK0_c8$7>4E> zsA-s@U@u|{Lqx!m$SwkVA0me=3=siKA`82K=cbTFLX13-xt>T|4J{mX3v{Fd77LJa z6HO3NTB1pShXG((A;l3mA%YIGg1baR3tD@_yWcP!8d{(N1TG9J$@TQWO>3A6SVI+@ zULo?J{e{p3jv@=w0xh96A(LSkqNwE)*tME^SlTQI8MLw#ZayShpnVM#S3`ARxECsp za1(Nzptp=s<ch(UMW9!}$VyP^E!5bC20v1SAZr9AaJ1?hO*@Y2AK4I;ss&X$xX{Jt zIjjv6WW!O~qbL&CTGPl1P-`6&8PGBU>=A*QLXb7#jX#Ja-gYBO(*QM;u%tj_o6(!j zXmSv{aYQh5>K?miksH~lF2d~cAX|#oA4HKtt#D9H#pu!@>xAWJY<UV)24OD+;4%mw z!h|&S&=Ve#4E8*QP=J=B5E7XA2}v#=qcN<Zg)EJ|DUDEpmXi<?;CzG>#b`3PJqp%{ z*&{*IkCAY(%7dy0tZu-Xi4jJiWML#>Y#A6KjhcOt#8ERZLIO4GBE&KB2f7p<-=k$( zgib737DW<=pE28~$O!}^vm%T{&8i4-%#4bVL(8T}66l!}A%&4e5mNXvD7eA|_luy} z7pbDgkVC01VdjHJkU@t-VpN<MDloG;Of&8*kE8;B)<-DBQ3N0q;4TV~)Zi-;kd@&t z8W1XR6cGpo;2e)7o1&?}Rb-$k!(DV>D8!Lhu&TpRlpxgNEmDw_A&*v}ClpORtRrri z%CHwT2wOl6RlFgJz34$0fU^ifSAw%BLMS8{%y^0>BvZi4{_y1_d_@(CIoOLPgc3sX zxQj4^890hEBn7yNG=vhIMH@m1z9J4a*_dILbLa|i1+E#PQVOJim_o`-Qx9($Wu~b| zsE7h7AzVU%RS{D_nQ7|bEuYLZ_3#%@Fa`KaCzvWig%d&@-b{n43~$k7rm06n$plh` zyI=yz;%oXqlB1cX9=>7;B#pfx1lNwQP%^_ZT8@@}VJZj|NoKHH#-QDDM0*DA5P}5~ z$PB#Y5kvujQWT;HUuk2esYgJXP+4RKU2lOWZ$n20@TeeA5Sbw@tA{j(a5tEYjR=%O zpwo`A$BeNNfpQ3}fQWJkqz+#>1d=CE4uO>rEQcVfh$x3Z3h|XgAbGsy5L^M?atN-9 zKskh@4qrKhrVL*>1X4=490IGtSq_0^36?`3Rd~uFur!`>2qcfE90Ez>&(#PO_{$-X zIzoX<s2l>Dfv+5bDj@7)oE<rEnFullPdNmVCQuH6l;CR;f#vbGh(IdvmqQ>GM7M{K zj%I-sd??k439ja|34uZgBu_*cWP-mbWJ0hd1X4k;00Ju_qWm$z-wZM#&<cXd<1Kt( ziU^cF2xa(k2dXN3C65U)4Iq#roaGKkl3=l8g17YplEqW#m}u(ZDRWF<4I4a35|YF5 zmpCT)8$KXu*bXdMmOyJ8L6l$}DFT-h5P67O@HiHKVPk@~&10geN1&)N!QbKmN#iYO zOf>cImop|LG<T4XfPw`oN;w0%$^m<G#|%`Gpsh_p(+XQc30lp7vep4Z9lquc$RYyG z9k3FDr42+C5ycHiA-?7gNFHx<2d)5bi33+fpvXZ|hp)^*Q--h50VyTi+ySe?S?qvi z36?t`Rd|{^U}-!h4@e$Q(F2mkpPdmZ@E1NHb%X+!P;&=t2EOJFQ~_ZZ<1c|g#^7o0 zfTRhOK_Dggnmb^5yrmFG1^!|Pq=M+?js<~Umj$lk+JZoH2P98KbH@UIbH{>Ua|fh? zU;zYHL`3;xfxo$9L7=$<lgC^5z!VWEdl1U-<qlL;_(~oNVwyW3ML5eHkR-uk#{zG2 z2PBK9(6PYV+_50k+<_~=U*cHcZ|;Dk2{d;gN^m!KAoBQ28;BY_rHKXJ=8gry=8gsa z<_<_2Z$V>0sJUZ-)ZBqJVKlVRmZ73&1$1fbMFg5Ev_=Y=EM^l0T>-Z80YeS;;sH$= zTH^#w7QJbLO`1S}fQ`T`8nBs!k;-u^LMs^16k=_VpsPVH7SQFel?rHTP@5v?lBi_@ znk;HF1WgjHa735I%*dE3Q1UURG-?(_lgBHG*%m<4jn)!CmqpK>X!01X05o}QZ2-tJ z70}&4(7r`xNo9e87A*UM&qA?QfN}B3fktxSXXeB!M4P}5XoZ@bR+^^}ZD;@jv88#% ziD{{N&_$|PcgMr*93Th5tk=*2Ekds>NX2a4ASAFCT5x%^;tDQ~Sx_OQuoY2Ave*kJ zxB|3d2`&yUO^{k}s1oQ!5vnX^A%rfEmiMqpqZK@GC0L6bge-DlgAm15%)n()3mAkj zYS980M=ey~!WeZnnhZvn3zx?g7+Cr)2t8<h7Gw$BF2U%dz_p>4MsQ)watJPkRstc! z(aRpV3`VH~mw}fzh`dw`TF4Dr?uMD0kfpHaCnObUISNSzGfyE)V#`%1^4Rkgk`lC> zg(QQXx6q}~a~HZiX8yudftIMSD?rO*NQ$uLGGuw=e1<HJEvF&Lqvkba3Dn$%B!imY zkR&kDIffiYo<mZBJAkm{J7j%mIS*9|pPMjpACgwo{D&lgnFEm|(efa&40<j^lEcV{ zNOJI;h&1UMZ3N!siFVjMni!~3N4g9UT9d;LzJcXyBF?&jDJ7`2IKQYwFBnuzFo2nv zdC=R6g7b??AVS5NX(cZC<#`Yu=v-oiTyAPgW@4Uy8t9tSREUD&a@YnNuz@N00hwj_ zP@@V<Gn2Csx)H40#0r<xf|3k~5s-;*u&co1?_h&a1&fO@m4c3jf{B7gULow_5+rtI zu5W5lI@F~_`6Y=ZP&SIcA-D8_ZH0LrNeC7KNJ1#VfFus}Jdy}J1dzluw2=G;>4Jmp z#t?^j8chQ1Z*b!?FEK|yEw==w8?;|O1$^cYDEkvqQH*qW8CFBE+XIe746~ELMSm*d z#4DJId6_wotpZ4L`NiN9lORX76jv65ww~#Mwq>Q}l`+8h?x`in+~Cv_zkKM8Q7|Q$ z;BEa-{iP^8&?Xshf&!gD0ts%o$*x63dHK*AvS3C9XQt;R=IB8vxJn2YwtW<)7Idj^ zBIpPsh{Hh__vU2gWg`hW=j0cs!c9p_&MN_(83a-8o1KzbgrpXv9j+8|B{0mQT*&3M zFs>WuOij4b02Gbj(@0<n(?AN5tjGmj76X$6Z@z(Xf+2wiHx$Y@g9{WTmP5t-@*%5q zVOlk`z~Knn&4>_&1R7{G1QeujlQgv85|CmRxv(@eM!PHyv=bgf5L{eF8)LbW4j~3l znI);kB{})&deC%)A?{z0igbD*Om%Px=p2B|+*G~1)QXbek`(8R(!6X2&^f?*xrrso z84zYpetvdo0fY@Xj{&ru46G`#BtH`(0XfvcFEKA4!Vdu%3r&k)rC<TbVGu|v9199k z^HPw7HMGDdmVm<_7T#b3(o;+FN^>E4A#7s^C%LqwxF9h(6~Zgd&V;(PAhD<zDhOtR zTo47i^cZX$SO^qLsVV+xX~n4}5ba=LkT;4`lk@XZiXoyosfj6>dFgO}gK`B#27Etp zS_*_y07|BL5VnRE$UaTI#FUia)MSVlNJP&utt7PwiSLw}20Hl+>?p7T*TPcJJ)ocf z^v%yJ$$(`^u!`{1)a;bRN)$1$uEZp$Tfw}X{A5%CXV3v>5L;bJixNR6RH2xWmRsUh zln8ZaS}u53A=pB&agHfaAA)(orAZKzz`PVtJp)#nm{jZn=lJE9BPsGtEGo`O%<&5L z_rnwoNv(i}J}l5e^Ku~?5K;(}HMBsde1UwRsh19mH)KKNWQQz{oRBfa-7<@cOAzS; zSwR|1DzrEi8W70R5OcxJMQ}KzW#*)U8eZTu3@v-X5*k{d+p0YMHT9A*(@h`&fg)xB z5d)p6gzO2>A$Tx9gUa}jDA3g)5Vbk^i76pfnR#jXAQ_j;BLAW&SPnpy!y=3@0%kl! z1eA{5GIOB02ULoJ<Z~dBATD%f2V6*jy;cRzSg`97(S=aeg%l;`Azdg3R}2*gC45*y zz(_Y}5{UExlRyqP4J}w&M{J;km=4ZZ=z`F^g%AdN2jO-^!36bwYM~z3@yHSo^HGuj zyck267j1~8U`H1N+Z=5e3$_C_fU$^TsD=h7*ivv6gD?nL3Zf6Ku?|uX7lKzOpnKi* zAOW3US^`eqFu$RzNlSsA>6e+8T$GA*t}WCIG<nCo6i7P)r#UI9_{}LQ2bXX-^%s>x zj%`G=+Mq6RbJ5hpVK_(%locSy4`S5`Zp7e_2U*~fTAZv2Y5bu(4Iy1nlv<Ejgw$vR zN$G*kT+GRV7yvq)9;^MHpo56eO$NC;CAGMuD8CY`ChS2C(goVS4+=+6R}P!0;Dg$* zNkCd?*kmBbsbP}=x8tx$KpKCjGU%las;rv}B1>Y(zy+Y~HvQ7P%nCivVt5-nzZlkX z$}iUQ0XKJC^U4_Vi}g~Aiahhm5_2+BpuB+8BGA#JnfZB80oRJm;u0v^FTVtt3l3(O zM!$TQ)WnpW%)C^PVo)omBm*S}<rnK|XhGYb=n~*F+rZ}_gJnF6L1v%{f`l}*K&3Wx z4iapjPi9^=SPv|tf<+;!z>$f@cLe(dW)39okd%O<42cg`04;L!i}k?meUL*y4Nj0H zAhus>IgFWyV1k-ZAYs4!ocwf<GMJs<P=g47N>NQca1#q80CF#=^8sRmZF0=X0kJ`6 z<AF|q0<l5KM9%=ka;?Y&`vu&}1;s0<qX3csoj?e(86*L!GlMI^!3nb;Bn(mpQVw@q z4hj#X8+3IQtOb={tOpnJEC!uw1P&sQ^FgK|#|J_Tt3pt-0c5#`7D6G&CM;s0#uvIU z)GO&Z`AK@va|rT_A*Bye4<x@>4`ezb$G`-@u7>#pv@Z<q6@(x(vQdqLr!o{X(M7>E zO@6T+==gVVnnY2JE(%kPoFz~+V3C070eKG;@+j)zf@!%WkXBh51Bj`iRbG@>0Co^8 zlR$*ia!WMzzy*9jVhX7J4^<8pFGx&5<3d9QNpD(i3Fy?C)WkewrD?e(p^!sZ(L_N< z$fTzhA&Zt2mF6WUmZV~u4U<7uot9e?jK+5=MiU55sz4J7MB_W7@dK051Rw{1K>V3h znwADSyapUhpz}AtYV%Ud!RP3~JyTGi2|6V+6)dZv1>%Nhrj%qL@dJudlQWA!1uMj4 zkQ%p~#B?Mj;L--2j}$a+1)#(GAh8;Z#D<82H9~~JOo(U>ByJ$$P)>Sk31kEw<Pva? z5Y+PoaUf1F&CAZqFV6!_K7dVvh$bbbgrycGA&EfaA63Ml!psC!1U244{s#5Nz{lSp z>`cprAC-e5h~#~UGeDMtPvAjO2Av*7vN##k!w*Qz%Y;QQ$l{E|yp)_&$QU&&<$%P& zf$3O;92sCC@UCUBp_zFlpu!ZU1{`XRMd^N}xk)IgAQ6jLQep~3DcB^4H^8-QUOLP? zh+s-SI9Wkr7)1z4CsYwEE|JPYP>6sk2xzeYE-gS}X}L(}A)*Sv)xgULsOHo{J&;O- zFlbb;D7Cm)KP@M*BvnsC3nq|XqzNi-b6irvG7K<rtO~*T$O+U0!><lhiG^q8rR0|r zQkR$F3yNv{%D~owk7iH7YI#y=PPRv4afS)HG2n3`&<HGEU!~?H2N$HKrl9La4Wtxe z0tn=ButV{iRa^oZRKe>guo92bv^4xCfrAFW5^$QrYgbV!XoLx`5=b)#O^IJ>Ik?a( z!gN4NW^xHcP7`t_Ii?aKr6DaYG@CWFV7eg(XJbf1W6LeExI|Mg6?`B#x(iZsGfR9x zH^?RCpi6;H>jh^Ah<@D32*nz3FoIfrn6Al81|6Q8p8~IpobwARF>TE#O-sv7%yY_w zhaLC|Vqz4669Ra)7{hi<Qz44M<uDPd!AJKdmZUo8r=+H*<`LtXl*+us+{|QfgOLau z(m=-(6RQ~Pc#vC&aR^wk3&b^`%LG!fq*WZ@1J1`OBx=H1K51y-umY?a>N;Y4lbM&2 zS`m_;otjrnjB>E&u`34^3VH@a8v=GHw$dmgv$!Nby(lpk-6&Y10q=r=W;5`?{GiS| zT9QCf3mNamr4$~NU<IJ;l$2TGlbV-al7a35tV+S>|KkokxT&DKdDHX2+|0am$GjB0 zsS~UY<QSMw(A|<$2@L}9tSXxKktK^U+=h?^&jMoTbt_6O#BM572Ah#!DQxCB=NA=~ z7L<7A6+nm3Abk;Z|9~2WMR|!i;C=~qCGg;fT;os#9cMywKd7XI34xj-pt&A!VneqP zT_LEuO-K!nsv{*8*+$S18YpGwCSobsP?f@RG4=)!s#?%kI#C`0&9;IE%wdj#x4cjd z%*`)K#a_OnsslMPxDq2jf{R$FKcO)K9-Sj#E7t1OFSQ&L{Fr4aT*4(Yxdc6SaJT?E z5`g9oQ2B&zfQA-4FF@w%(e$9nW2So)1=vzQNC7Bo;4~g4iQR07EOvu2G8U{ikKqZF zyaiK*G@^l~0d!knQDTl?eo88$@sCFhxG|KOm#&|bnU`2psh5*kQj(MEng?1=q6a#5 z)6k3oubO~T@G+i*l!1&gHX_O>Ldrl!nVAq}6d`5M4k=y_y659im6Vx|Kb#N>a0e1X z4ai$qypB)+H3Y93kRez+jbR90H3a>OGe|(?07k@s>q_(>1{H`{C5khvQuEU=41pB* z;1+XcUI~`ehN=KdAfYP25&%dFz^5c*xFIvI1XMI*bvC$!LiePG7ChiJ^>79niYjO% zWAz}CVNhjQy@{y|t7nmv;fQb)hk;TSAy<La5ONYo4OaIcmpphw3soUBB(ORSt4gd6 z#Hx}AXW|SwR0BbtCc=dvWkfg+q|D5O0W{pBpInq|Y^0Z&nwko(zmdd33&5)#kVKp_ zQj@cbOLIM4U0soNCl)1VKvbj46oXHG_f5=B4FNCSLoy5^6_8(03K~MfAq_DV*{<T8 z%;e0xbnHe#<S;eCya#O@Ah`mZ?U4DYsj1FBzM(#d)<Sw!W&!A?Kv3C)+(rS5BQAhK zYucd6f|`ZUxQ17zSd^f557Cr>oPg#qgago;r(mt{Ar+t0vQ(sT39uw$)B_v}=<b3I ziGaI(pk;SReF-%EU?n)b2QvmtV>lixTBNCm&GBGY6@%B5fu;+cN;7l7<u}wKEHa?6 zIV{4EsKFuuwjFfOO=ey?iWOi9@CZLHU1*L-ttiL=HJM<_K}ia9!2lXRD76f39k}lZ z=Yj4`f}FGu(*ou>LT+FJyAQ4e6d7=h>8W|a1v#1Ei>JWKyz(=_mra2=zKP(Qp}=g| zrE@R~V3*Fp_@EQl9fO@cJ%dvbrh#1nw+uXD5R{mdndhBYRKSp$lU9<SpHr-#Sd<PP z1cy!%dgg(bXkw9fPc3mQN-qYDo`+>7f@a#VC;~4r!6pux@$g8^DS)<2L4m9nZ4?Wh z^9Ef<pio^4l>)8lz$yXJV~k4=Rtc~kOusr7rTZ5k4W{E%0n)FZn39uPWNf6Tp_P)E zo(dXAgd|HGlHf)H4mof~5K}=ZQh|+W8#qZrN>WV8;L==UBRrCbd|Q>1nS>|}Q9EW} zISnmPSqB=uKrOA%B+)WFnk*z};3X1R7F--)>$rerA(kRyA5||@wg7a29oU?d)TGjM z{gm>=qBK3nl9D25!eu}fcg{&HF2*JS)&OsgVQRrDg<-9R7RVf=mI|^#FiB`JgDM4f z7*35)SrnbAIca)fsYRd}M^q0XOQ1O$Bn37LQwKyCi+WJ=7E?J`6pL#AU`HoUOyv+^ zEb2jXkeJHBqF7Y>CMIWO=A~k4fJtD{6AZb}6l^3$03u7`)(e`(#k3Qu7c7ZIFK8t_ zXg)17A3V{DsUKYd7Bd2h^3$<994>=H7uZ!8-UaCb%V5z3sWQN;Kp{yJlvqHQ+n@<) zz;1}u)B_~~X!ZgrMw0OdE!ajFqoD;^A`Ko4gbi3Aq%l=z=A^<J5C~<kg*XTi(A~q} z#srcpz}po-<y2}B<nmgCa&#%j5*+%#%Y?A&gA{TIIY`+E(vVsNssbT4Yw8u3Bo-kX zk4qg$J(4SMDFa!6q70h)H1$A%2W<o+T!mCJL1G5U>zDxxy(k;x6i^`#jwKY!P;`To z;g>}TS2V-XJc490bdVU~2@Nf9p@dN?LVN_3!${*ATA*Z!LlZ;_iyml6ibES*7K=`B z(S}1GR1S+SP_D+I2_l6>544cOp$#qz)rnNCB7zjuI)ipdAo8h&dRS!<g791oaTk`7 z15E|gek>+{iXI%UhbCu;3aAMX7lIm0NN$8G#O(=G1<0;ID{yhR1Va@Ou0ga>5cWac zfs#|8Vvt+4QE~}X23%sHNN8w*3T-@LkC28s6yXGjUPzc?jW>{9h%{6$)*uI|!X4fi zvS<+olE)T4U~zP}VhI_LI#{q^bzWI&Q66Y*21F7iL8D5cIm|gDr3m?`IfPqKWYBaW zRb<$Fg(;1uKQpfcT%lrdEvgh)U$miNEW%x=3PAlKJkn78M)>vPmWJxLFvD*E9(jlf zMh18dz#|RSZ-HMwZfU4~{MvEKLUbGBlm`VrZdr(KQ@pxy%R+Qp;?<2!7StKi2dxtY zG4z~sKr1&vOoSL@Tme-q3pBcbDg<snWajH<=9iXa=IDX?TA*bs2oX>tzzwv+1|bAm z+>)BYfDi!9)CMKyrR3)#35KNR7J!!JB9uW5hqhthJ#MhYPMIa(VF&0oXdIFe`9+AK z1+YHIPGU6WIhn;J;CXOt;@~+sY!aZ$zR^r~Pc89C$pN+Y+`xGmRhw&0T1Y;)KM%fg z8C4Rz{tql!jAke}X0Xb51VKt?BhdamRO5nEO9B#$5_8cF16zYt#tn1~0jeS3$cB_h zkc4M~YbXV)1XvHMiLhuxl#qDkv6%p#L5DahwNMXDJ6IA`OhXGCcJK-WRTeA<t3^<y zKpHbaV>h6YMx0W`=phW(hMI5+a!S)P^NRHx3kq^79gA`kb4oztb#QZG@)}wYNlm?g zqWt94;$rm3!c^!Ex@<D9xTGjCGp_{6IhZOTi%k&e3#J0*sNxdP&T~w8u%n7gQiyXD zSRql40;|O3C{REqW~UNir&A7C9bqe>3h~&OpP!vt<mc>3jI9W@MA(c_jN5jX++-62 z10t-3DI{z!OeJ1RO^t}O6s(XaOTjAfT53kDrC^0bSqfH(+ft9z3L?T5tctL8U}d=N z^3E*C$;?Y9!alf4!gj)y;<7h5wWJhjq#l;NL7TJUGg2!sGd8G-jW143&rQuM!Iag| zf~=?`!WS@wggpUMiOUZfS^=OVFp7w<6sC}{r7)FvEUhRY#zv4TBCG=`!);ekVmT3Z zfmIQ*D?K$Yz9_LATik*5<8eereoAUFG46mWB;*tgtstmM+?EDsq~_#Q5@9J!Az@2l zD)CsFnM;g~AXS8{1LvgT%v@~Y9Skx6w=2SOQ;Bf~L>VD>XlOyy;kFL4hk^)y!xR#> z5~dQDrRdE?jJ6H9`o+@pgOyXr@~BNexC&S`iq8}@HP}spRE&6xK~aFy3{xWm{AM5& z;55UGkQoRC*v){}3wRuYssg(ykTMdFAt(y4n*qs;c+5ai05&7q$kZ$rGRJ_>hbahE z8w*cV;O-n|e<IolzY>T!CioSBy6^;)K+G{EYz|H(pmS|NH?D(d@UcfA2I%;W^mNc* zJLKRQ=<ph<lz(YSL1_sCND$ngOG7Yo^1y7j#FE4u1Ph`7oImr6^}+W^=ji$6mt>|@ zG9UyBARP`Qk(~Ve0wgZT0Pr4Um=Pe8N^_A^K+J^p*pu_~N>VFI^fa_ma|=o;ol7b- z_27HOu}Xr@+Q25^S_ImAfoU3KmmW49kd83}ObY69WG(~9ZTcmNN#F%7MS6%8GKncE zKA`RFI2C{fG)i#m2QRL|sTpjY0dC!3c|QX3uzkDuZ2|eB7`I7~6oy+qH7~`rI61K( z6}J+|wo1rmOPp?jY(&B@4LU_2Ewu=bYaweIART{j=0h_uIW;E-QGj5REGo?doj9Uj zP*9Yg1{yg4uQKv<VL+A8&;rSWPhf)_fP*Fv8e<43$_I_66{AT(PU65J2|0fOO$T@+ z9%K}BFFm?bpk_gL!hx<OLGeU!Nn&y~R1J6p3spagbTCLQn)TqluHeN<&XvhIsZf(} zD#9+Wp_QFlS?p8^HV3l)9n~3V3cv#+cvXOh)=*V|T?+O>P-=31Q3{$Hlk-dSN<2~% z3(&;Dxe1rJV@^(fGA<3Uwe)E6V2eN}Cnlyi7o~zug+g^F*g`z=VEyj-MWFH1yi_#9 z!J3Ja1P29r`UC3^f}{}aaRqfOR1!;)K~n&>2F;bhpc!oEfKaF*XtJQplbMqW&aOph za=|6}1voW=Lk2t^ho%cG0X}sHO#<v)Y&t-P^reC&u)7hg5u1r%jbI7v8gufCOQ15Y zWuQJYG`OH;3Z&@B%t-~8EZ|x^r?fZ&&Dof$3X;Gz8E7((2(`h9xdl1sNe7#uK8Z=G zIYbx;swaq0=9^eh0NR2_$j>?X$!_^Y0nTVfg3nF>7n{(~L6gVyL1IdZb3iC)YM!9d zB+!CUf~r8n9oT&VUeO2|aScw*N%KuCK+joV$CczKm8NOxl_i4KCStP;svrllsSryz zK^2r{=9Pf%s)FQH<ivxb0G}hkCg4*5X;R};k(8g0HFZGUkeZj`n_66)n2w&*z_|xh zrUn${m*k^`12_kPByprtXzqbmXwbGIYFL2_dvJ9ERgP5^+#Rr@8n-HNS%6y_oa3=d zgX0}kKSR^3rXDz@VO5KfhOnxFyBZvNSe1ZUtI(zlxTO<qifi5ls{~jNYJh^yCo0WL z)-!|}n_8%cUjf*RXp30<szCiDLTbP!p&FB$nhToyfVAZ>oC1|a)q)uhi7BAD3Q&Qh zo0f}~2sN~zx}nJjd!qzh4Ys;YLkqbLh20!f6%bP(egpd*etkr7WnQu#IH_4HK<$QR zeMp^|nU}5r%9H4_DfxM+3dtFXd0<(r7eP?XXqdZ7iV~AS%PB!?)zHQA5sfS)WzdwI zo>`JnngqJ^Pro2LT_57JR6V3xABPIx)Ldw6G2l>v)K&&HBycGMH&5_N;&&=oKUj$o zVN;9=D={Ig#FVfSGr~&D2`jN6ti+PA5<>%|?QV(1sb(giRpQ`bK~Vbuv9k>#2b0hX z&n(G+^<2>vp_h5+@-RaX1sF~haEYwq{5(C#K|Qclwy1I%TA_Kxr3D3`35OJL6AZk> z5w;K&RdE{V;3BXyQj1{cf}pAZ`vFx*LkrAL1s&Rz3MoFo0-#;AVCSJJ04<+D1THQW z;7uU}%mJOtf>#N2hy<@1a4R0ad%#L?m<4h_o)CpgLsxjBIt5F3gGzc-MH*U=_{Da% zDXL1WF^wfPfgGiWU2%GTPGVlVUVc%!euaK<WijNu#mxNVk{kx?a?r!SaY%wr^u{g) zPD$9Mpr^y(un;PXQd$&e7L^thr{?MB<fj*v7K3Vj5AbnVMaWqlx2j@%%0L@o(-KQ_ zN&@opb2Rk=N=ryk?VgHPH7FGH4Dgu;R$+)wMLK99OmcQ&dMbED4pP;E?&0**66eI6 zoK(D_;+j_i+W4NIj?YdFEwD1s=~zXn9{Ks%1VRw18r(7@%3L4(_7@bTre#)uPkI9_ zhR#UEYd?6qAlQ|9Zka`?_#FUlzJwPg7HH~04~NAQqM*@jJkp4<?!44;a2VjX+b16~ zGETtv2xB3m;6%9qe5fW-DuYu?AZs~6r=8=`0S;DJwSz|;cmo_*F#+F$c6EY69r*-t zJm!Lp)PtTg4L(j9kK$y|ZeP!|5YQp8d5JmrT#{K#l&ZYU5<Qgm6MA;j&;mIM9+c2C zUhudPQ$w+HeolT-Y6?E*V(5a{NRk$4ca(IyK+BJd155KuK+Pgjyau_Bz&o`P+$bT* zu@J2U^%a%oK`Q}pQx|U;3NB?02&;papoEozv%4N>1`Bk%HeTCt#2{fiK+eV=bl^Oq zNo*$3(1NOmwsw&EczEI#UScBJ8bqniP0Y;G0})Vt;Jze?uLs&x3l&T)1S>%n0;>Zp R4~Nf`!Z+-qZ(_x(3INcH?H~XE literal 0 HcmV?d00001 diff --git a/software/shared/tools/bin/memory_image_scripts/cg071_gcc_hex_convert.pl b/software/shared/tools/bin/memory_image_scripts/cg071_gcc_hex_convert.pl new file mode 100755 index 0000000..25272ed --- /dev/null +++ b/software/shared/tools/bin/memory_image_scripts/cg071_gcc_hex_convert.pl @@ -0,0 +1,56 @@ +#!/usr/bin/env perl +####################################################################################################################### +# +# The confidential and proprietary information contained in this file may +# only be used by a person authorised under and to the extent permitted +# by a subsisting licensing agreement from Arm Limited or its affiliates. +# +# (C) COPYRIGHT 2011-2021 Arm Limited or its affiliates. +# ALL RIGHTS RESERVED +# +# This entire notice must be reproduced on all copies of this file +# and copies of this file may only be made by a person if such person is +# permitted to do so under the terms of a subsisting license agreement +# from Arm Limited or its affiliates. +# +####################################################################################################################### + +#use strict; +#use warnings; +use Getopt::Long; + +my $input_file = "test_s.hex"; +my $output_file = "test_s.hex"; +my $add_offset = "0"; +my $sub_offset = "10000000"; + + # Parse our command-line arguments + GetOptions ("in=s" => \$input_file, + "out=s" => \$output_file, + "add-offset=s" => \$add_offset, + "sub-offset=s" => \$sub_offset) + or die("Error in command line arguments\n"); + print "Input: $input_file, Output: $output_file\n"; + +my $input_lines; +my $output_lines; + + # Slurp our file + open(INFILE, $input_file) or die "Error opening input file $input_file: $!\n"; + {local $/; undef $/; $input_lines = <INFILE>;} + close INFILE; + + # Generate 32 bits/line and re-align addresses + $output_lines = $input_lines; +undef $input_lines; + $output_lines =~ s|(\S\S) (\S\S) (\S\S) (\S\S)\s*|$4$3$2$1\n|g; + $output_lines =~ s|\n+|\n|g; + $output_lines =~ s|\@(\S+)|"\@".sprintf("%X", (hex($1)-hex($sub_offset)+hex($add_offset))/4)|ge; + + # Write contents to target file + open(my $OUTFILE, '>', $output_file) or die "Error opening output file $output_file: $!\n"; + print $OUTFILE $output_lines; + close $OUTFILE; + +__END__ + diff --git a/software/src/bootloader/bootloader.c b/software/src/bootloader/bootloader.c new file mode 100644 index 0000000..7f4c0ad --- /dev/null +++ b/software/src/bootloader/bootloader.c @@ -0,0 +1,27 @@ +//#include "host_chassis_control.h" +//#include "system_level_functions.h" +//#include "intrinsics.h" + +#include "system.h" +#include "qspi_flash.h" +#include "cpu_asm_codes.h" +#include "uart_stdout.h" +#include <stdio.h> +int main(void) { + uint32_t errors = 0; + UartStdOutInit(); + + printf("\n***SoCLabs MegaSoC***\n"); + enable_caches(); + enable_caches_el1(); + //spi_reset(); + //int32_t rID = SPI_READ_JEDIC(); + //SET_QPI_MODE(); + //rID = QPI_READ_JEDIC(); + //qspi_enable_cache(); + //qspi_xip_enable(); + printf("***Flash Enabled...Booting***\n\n\n"); + + void (*main_code)(void) = (void (*)())0x00400000; + main_code(); +} \ No newline at end of file diff --git a/software/src/bootloader/makefile b/software/src/bootloader/makefile new file mode 100644 index 0000000..cb8fcae --- /dev/null +++ b/software/src/bootloader/makefile @@ -0,0 +1,65 @@ +##------------------------------------------------------------------------------ +## The confidential and proprietary information contained in this file may +## only be used by a person authorised under and to the extent permitted +## by a subsisting licensing agreement from Arm Limited or its affiliates. +## +## (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +## ALL RIGHTS RESERVED +## +## This entire notice must be reproduced on all copies of this file +## and copies of this file may only be made by a person if such person is +## permitted to do so under the terms of a subsisting license agreement +## from Arm Limited or its affiliates. +## +## Release Information : SSE710-r0p0-00rel0 +## +##------------------------------------------------------------------------------ +## Purpose : C test Makefile +##------------------------------------------------------------------------------ + +TEST_PATH := $(CURDIR) +TEST_ID := $(notdir $(TEST_PATH)) + +export TEST_PATH +export TEST_ID + +############################################################################# +#### Variables globally applied for all images ###### +############################################################################# + +ifeq ($(TEST_VERBOSITY), 1) + GLOBAL_TESTDEFINES := -DTEST_VERBOSITY_HIGH +endif + +############################################################################# +############# Variables necessary for compiling image for Cortex A53 ######## +############################################################################# + +APP_TESTDEFINES := + +APP_SCAT := bootloader +APP_LD_FLAGS := +APP_AS_FLAGS := -DMASTER_CPU_REF=0x0 -DMASTER_CLUS_REF=0x0 #-DTTBR0_BASE=0x02100000 -DVBAR_ADDR=0x02000000 +APP_AS_INC_DIR := +APP_C_FLAGS := $(APP_TESTDEFINES) $(GLOBAL_TESTDEFINES) #-DTTBR0_BASE=0x02100000 +APP_C_INC_DIR := $(TEST_PATH) +APP_SRC := bootloader.c +BOOT_CODE := 1 +export BOOT_CODE +export APP_SRC +export APP_C_FLAGS +export APP_C_INC_DIR +export APP_AS_FLAGS +export APP_AS_INC_DIR +export APP_LD_FLAGS +export APP_SCAT + + +############################################################################### +############################################################################### +############################################################################### + +include $(SOCLABS_MEGASOC_TECH_DIR)/software/lib/common/Makefile.sim + + + diff --git a/software/src/dap_tests/dap_tests.c b/software/src/dap_tests/dap_tests.c new file mode 100644 index 0000000..9c10c92 --- /dev/null +++ b/software/src/dap_tests/dap_tests.c @@ -0,0 +1,53 @@ +#include "uart_stdout.h" +#include <stdio.h> +#include "system.h" +#include "sys_memory_map.h" + +#define HW32_REG(ADDRESS) (*((volatile unsigned long *)(ADDRESS))) +#define HW8_REG(ADDRESS) (*((volatile unsigned char *)(ADDRESS))) + +int dap_id_check(void); + +int main(void) { + uint32_t errors = 0; + UartStdOutInit(); + + printf("DAP debug port tests - SoCLabs MegaSoC\n"); + + if(dap_id_check()!=0){ + printf("DAP not present\n"); + printf ("\n** TEST SKIPPED **\n"); + UartEndSimulation(); + } + + UartEndSimulation(); +} + +/* --------------------------------------------------------------- */ +/* Peripheral detection */ +/* --------------------------------------------------------------- */ +/* Detect the part number to see if device is present */ +int dap_id_check(void) +{ + unsigned char PIDR0, PIDR1, PIDR2, PIDR3, PIDR4, PIDR5, PIDR6, PIDR7; + PIDR4=HW8_REG(DAP_DBG_BASE+0x0FD0); + PIDR5=HW8_REG(DAP_DBG_BASE+0x0FD4); + PIDR6=HW8_REG(DAP_DBG_BASE+0x0FD8); + PIDR7=HW8_REG(DAP_DBG_BASE+0x0FDC); + PIDR0=HW8_REG(DAP_DBG_BASE+0x0FE0); + PIDR1=HW8_REG(DAP_DBG_BASE+0x0FE4); + PIDR2=HW8_REG(DAP_DBG_BASE+0x0FE8); + PIDR3=HW8_REG(DAP_DBG_BASE+0x0FEC); + printf("PIDR = 0x%02x 0x%02x 0x%02x 0x%02x 0x%02x 0x%02x 0x%02x 0x%02x\n", PIDR0,PIDR1,PIDR2,PIDR3,PIDR4,PIDR5,PIDR6,PIDR7); + if ((PIDR4 != 0x04) || + (PIDR5 != 0x00) || + (PIDR6 != 0x00) || + (PIDR7 != 0x00) || + (PIDR0 != 0xA1) || + (PIDR1 != 0xB4) || + (PIDR2 != 0x4B) || + (PIDR3 != 0x00)) + return 1; /* part ID & ARM ID does not match */ + else + return 0; +} diff --git a/software/src/dap_tests/makefile b/software/src/dap_tests/makefile new file mode 100644 index 0000000..8f10b4a --- /dev/null +++ b/software/src/dap_tests/makefile @@ -0,0 +1,63 @@ +##------------------------------------------------------------------------------ +## The confidential and proprietary information contained in this file may +## only be used by a person authorised under and to the extent permitted +## by a subsisting licensing agreement from Arm Limited or its affiliates. +## +## (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +## ALL RIGHTS RESERVED +## +## This entire notice must be reproduced on all copies of this file +## and copies of this file may only be made by a person if such person is +## permitted to do so under the terms of a subsisting license agreement +## from Arm Limited or its affiliates. +## +## Release Information : SSE710-r0p0-00rel0 +## +##------------------------------------------------------------------------------ +## Purpose : C test Makefile +##------------------------------------------------------------------------------ + +TEST_PATH := $(CURDIR) +TEST_ID := $(notdir $(TEST_PATH)) + +export TEST_PATH +export TEST_ID + +############################################################################# +#### Variables globally applied for all images ###### +############################################################################# + +ifeq ($(TEST_VERBOSITY), 1) + GLOBAL_TESTDEFINES := -DTEST_VERBOSITY_HIGH +endif + +############################################################################# +############# Variables necessary for compiling image for Cortex A53 ######## +############################################################################# + +APP_TESTDEFINES := + +APP_SCAT := default +APP_LD_FLAGS := +APP_AS_FLAGS := -DMASTER_CPU_REF=0x0 -DMASTER_CLUS_REF=0x0 -DTTBR0_BASE=0x02100000 -DVBAR_ADDR=0x02000000 +APP_AS_INC_DIR := +APP_C_FLAGS := $(APP_TESTDEFINES) $(GLOBAL_TESTDEFINES) -DTTBR0_BASE=0x02100000 +APP_C_INC_DIR := $(TEST_PATH) +APP_SRC := dap_tests.c + +export APP_SRC +export APP_C_FLAGS +export APP_C_INC_DIR +export APP_AS_FLAGS +export APP_AS_INC_DIR +export APP_LD_FLAGS +export APP_SCAT + +############################################################################### +############################################################################### +############################################################################### + +include $(SOCLABS_MEGASOC_TECH_DIR)/software/lib/common/Makefile.sim + + + diff --git a/software/src/gic_tests/gic_tests.c b/software/src/gic_tests/gic_tests.c new file mode 100644 index 0000000..29fd1f8 --- /dev/null +++ b/software/src/gic_tests/gic_tests.c @@ -0,0 +1,147 @@ +#include "uart_stdout.h" +#include "sys_memory_map.h" +#include "sys_intr_map.h" +#include <stdio.h> +#include "gic400.h" +#include "CMSDK.h" +#include "irq.h" + + +int timer0_id_check(void); +int timer_interrupt_test_1(CMSDK_TIMER_TypeDef *CMSDK_TIMER); +static void timer_interrupt(int num, int src); + +/* peripheral and component ID values */ +#define APB_TIMER_PID4 0x04 +#define APB_TIMER_PID5 0x00 +#define APB_TIMER_PID6 0x00 +#define APB_TIMER_PID7 0x00 +#define APB_TIMER_PID0 0x22 +#define APB_TIMER_PID1 0xB8 +#define APB_TIMER_PID2 0x1B +#define APB_TIMER_PID3 0x00 +#define APB_TIMER_CID0 0x0D +#define APB_TIMER_CID1 0xF0 +#define APB_TIMER_CID2 0x05 +#define APB_TIMER_CID3 0xB1 +#define HW32_REG(ADDRESS) (*((volatile unsigned long *)(ADDRESS))) +#define HW8_REG(ADDRESS) (*((volatile unsigned char *)(ADDRESS))) + +/* Global variables */ +volatile int timer0_irq_occurred; +volatile int timer1_irq_occurred; +volatile int timer0_irq_expected; +volatile int timer1_irq_expected; +volatile int counter; + + +int main(void) { + uint32_t errors = 0; + UartStdOutInit(); + + printf("GIC tests - SoCLabs MegaSoC\n"); + + if(timer0_id_check()!=0){ + printf("Timer 0 not present skipping test\n"); + printf ("\n** TEST SKIPPED **\n"); + UartEndSimulation(); + } + // Timer present - continue + errors += timer_interrupt_test_1(CMSDK_TIMER0); + + UartEndSimulation(); +} + + +/* --------------------------------------------------------------- */ +/* Peripheral detection */ +/* --------------------------------------------------------------- */ +/* Detect the part number to see if device is present */ +int timer0_id_check(void) +{ + uint32_t timer_id; + uint32_t ID0, ID1; + uint32_t timer_ctrl; + timer_ctrl = CMSDK_TIMER0->CTRL; + ID0=CMSDK_TIMER0->PID0 & 0xFF; + ID1=CMSDK_TIMER0->PID1 & 0xFF; + timer_id = CMSDK_TIMER0->PID2 & 0x07; + if ((ID0 != 0x22) || + (ID1 != 0xB8) || + (timer_id != 0x03)) + return 1; /* part ID & ARM ID does not match */ + else + return 0; +} + +/* --------------------------------------------------------------- */ +/* Timer interrupt test 1 */ +/* --------------------------------------------------------------- */ +/* + Interrupt enable: + Timer is enabled, with reload value set to 0x7F (128 cycles), + and timer interrupt is enabled. + check that timer interrupt has take place as least twice + when counter (software variable) is increased from 0 to 0x300. + If counter is > 0x300 but less than two timer interrupt is received + (timerx_irq_occurred < 2), then flag it as time out error. + + Interrupt disable: + Timer is enabled, with reload value set to 0x1F (32 cycles), + and timer interrupt is disabled. + The counter (software variable) is increased from 0 to 0x100. + Check that timer interrupt did not take place. + (timer0_irq_occurred and timer1_irq_occurred are 0). + +*/ +int timer_interrupt_test_1(CMSDK_TIMER_TypeDef *CMSDK_TIMER){ + int return_val=0; + int err_code=0; + + puts ("Timer interrupt test"); + puts ("- Test interrupt generation enabled."); + CMSDK_TIMER->VALUE = 0; /* Disable timer */ + + gic_initialise_intr(TIMER0_INTR,0,1,0); + gic_install_handler(TIMER0_INTR, &timer_interrupt); + gic_enable_interrupt(TIMER0_INTR); + timer0_irq_expected = 1; + timer1_irq_expected = 0; + timer0_irq_occurred = 0; + timer1_irq_occurred = 0; + + + enable_irq(); + + CMSDK_TIMER->RELOAD = 0x01FF; + CMSDK_TIMER->VALUE = 0x01FF; + CMSDK_TIMER->CTRL = 0x0009; /* Timer enabled */ + counter = 0; + while (( timer0_irq_occurred < 2) && (counter < 0x300)){ + counter ++; + }; + CMSDK_TIMER->CTRL = 0x0000; /* Stop Timer */ + /* Check timeout has not occurred */ + if (counter >= 0x300) { + printf("ERROR : Timer interrupt enable fail.\n"); + err_code += (1<<0); + } + counter = 0; + + disable_irq(); + gic_disable_interrupt(TIMER0_INTR); + if (err_code != 0) { + printf ("ERROR : Interrupt test failed (0x%x)\n", err_code); + return_val=1; + err_code = 0; + } + + return(return_val); + +} + +void timer_interrupt(int num, int src){ + timer0_irq_occurred++; + CMSDK_TIMER0->INTCLEAR=1; + return; +} \ No newline at end of file diff --git a/software/src/gic_tests/makefile b/software/src/gic_tests/makefile new file mode 100644 index 0000000..43d348f --- /dev/null +++ b/software/src/gic_tests/makefile @@ -0,0 +1,63 @@ +##------------------------------------------------------------------------------ +## The confidential and proprietary information contained in this file may +## only be used by a person authorised under and to the extent permitted +## by a subsisting licensing agreement from Arm Limited or its affiliates. +## +## (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +## ALL RIGHTS RESERVED +## +## This entire notice must be reproduced on all copies of this file +## and copies of this file may only be made by a person if such person is +## permitted to do so under the terms of a subsisting license agreement +## from Arm Limited or its affiliates. +## +## Release Information : SSE710-r0p0-00rel0 +## +##------------------------------------------------------------------------------ +## Purpose : C test Makefile +##------------------------------------------------------------------------------ + +TEST_PATH := $(CURDIR) +TEST_ID := $(notdir $(TEST_PATH)) + +export TEST_PATH +export TEST_ID + +############################################################################# +#### Variables globally applied for all images ###### +############################################################################# + +ifeq ($(TEST_VERBOSITY), 1) + GLOBAL_TESTDEFINES := -DTEST_VERBOSITY_HIGH +endif + +############################################################################# +############# Variables necessary for compiling image for Cortex A53 ######## +############################################################################# + +APP_TESTDEFINES := + +APP_SCAT := default +APP_LD_FLAGS := +APP_AS_FLAGS := -DMASTER_CPU_REF=0x0 -DMASTER_CLUS_REF=0x0 -DTTBR0_BASE=0x02100000 -DVBAR_ADDR=0x02000000 +APP_AS_INC_DIR := +APP_C_FLAGS := $(APP_TESTDEFINES) $(GLOBAL_TESTDEFINES) -DTTBR0_BASE=0x02100000 +APP_C_INC_DIR := $(TEST_PATH) +APP_SRC := gic_tests.c + +export APP_SRC +export APP_C_FLAGS +export APP_C_INC_DIR +export APP_AS_FLAGS +export APP_AS_INC_DIR +export APP_LD_FLAGS +export APP_SCAT + +############################################################################### +############################################################################### +############################################################################### + +include $(SOCLABS_MEGASOC_TECH_DIR)/software/lib/common/Makefile.sim + + + diff --git a/software/src/hello_world/hello_world.c b/software/src/hello_world/hello_world.c new file mode 100644 index 0000000..6282327 --- /dev/null +++ b/software/src/hello_world/hello_world.c @@ -0,0 +1,10 @@ +#include "uart_stdout.h" +#include <stdio.h> + +int main(void) { + uint32_t errors = 0; + UartStdOutInit(); + + printf("Hello SoCLabs MegaSoC\n"); + UartEndSimulation(); +} \ No newline at end of file diff --git a/software/src/hello_world/makefile b/software/src/hello_world/makefile new file mode 100644 index 0000000..743b082 --- /dev/null +++ b/software/src/hello_world/makefile @@ -0,0 +1,63 @@ +##------------------------------------------------------------------------------ +## The confidential and proprietary information contained in this file may +## only be used by a person authorised under and to the extent permitted +## by a subsisting licensing agreement from Arm Limited or its affiliates. +## +## (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +## ALL RIGHTS RESERVED +## +## This entire notice must be reproduced on all copies of this file +## and copies of this file may only be made by a person if such person is +## permitted to do so under the terms of a subsisting license agreement +## from Arm Limited or its affiliates. +## +## Release Information : SSE710-r0p0-00rel0 +## +##------------------------------------------------------------------------------ +## Purpose : C test Makefile +##------------------------------------------------------------------------------ + +TEST_PATH := $(CURDIR) +TEST_ID := $(notdir $(TEST_PATH)) + +export TEST_PATH +export TEST_ID + +############################################################################# +#### Variables globally applied for all images ###### +############################################################################# + +ifeq ($(TEST_VERBOSITY), 1) + GLOBAL_TESTDEFINES := -DTEST_VERBOSITY_HIGH +endif + +############################################################################# +############# Variables necessary for compiling image for Cortex A53 ######## +############################################################################# + +APP_TESTDEFINES := + +APP_SCAT := default +APP_LD_FLAGS := +APP_AS_FLAGS := -DMASTER_CPU_REF=0x0 -DMASTER_CLUS_REF=0x0 -DTTBR0_BASE=0x02100000 -DVBAR_ADDR=0x02000000 +APP_AS_INC_DIR := +APP_C_FLAGS := $(APP_TESTDEFINES) $(GLOBAL_TESTDEFINES) -DTTBR0_BASE=0x02100000 +APP_C_INC_DIR := $(TEST_PATH) +APP_SRC := hello_world.c + +export APP_SRC +export APP_C_FLAGS +export APP_C_INC_DIR +export APP_AS_FLAGS +export APP_AS_INC_DIR +export APP_LD_FLAGS +export APP_SCAT + +############################################################################### +############################################################################### +############################################################################### + +include $(SOCLABS_MEGASOC_TECH_DIR)/software/lib/common/Makefile.sim + + + diff --git a/software/src/mem_tests/makefile b/software/src/mem_tests/makefile new file mode 100644 index 0000000..00c4dd8 --- /dev/null +++ b/software/src/mem_tests/makefile @@ -0,0 +1,63 @@ +##------------------------------------------------------------------------------ +## The confidential and proprietary information contained in this file may +## only be used by a person authorised under and to the extent permitted +## by a subsisting licensing agreement from Arm Limited or its affiliates. +## +## (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +## ALL RIGHTS RESERVED +## +## This entire notice must be reproduced on all copies of this file +## and copies of this file may only be made by a person if such person is +## permitted to do so under the terms of a subsisting license agreement +## from Arm Limited or its affiliates. +## +## Release Information : SSE710-r0p0-00rel0 +## +##------------------------------------------------------------------------------ +## Purpose : C test Makefile +##------------------------------------------------------------------------------ + +TEST_PATH := $(CURDIR) +TEST_ID := $(notdir $(TEST_PATH)) + +export TEST_PATH +export TEST_ID + +############################################################################# +#### Variables globally applied for all images ###### +############################################################################# + +ifeq ($(TEST_VERBOSITY), 1) + GLOBAL_TESTDEFINES := -DTEST_VERBOSITY_HIGH +endif + +############################################################################# +############# Variables necessary for compiling image for Cortex A53 ######## +############################################################################# + +APP_TESTDEFINES := + +APP_SCAT := default +APP_LD_FLAGS := +APP_AS_FLAGS := -DMASTER_CPU_REF=0x0 -DMASTER_CLUS_REF=0x0 -DTTBR0_BASE=0x02100000 -DVBAR_ADDR=0x02000000 +APP_AS_INC_DIR := +APP_C_FLAGS := $(APP_TESTDEFINES) $(GLOBAL_TESTDEFINES) -DTTBR0_BASE=0x02100000 +APP_C_INC_DIR := $(TEST_PATH) +APP_SRC := mem_tests.c + +export APP_SRC +export APP_C_FLAGS +export APP_C_INC_DIR +export APP_AS_FLAGS +export APP_AS_INC_DIR +export APP_LD_FLAGS +export APP_SCAT + +############################################################################### +############################################################################### +############################################################################### + +include $(SOCLABS_MEGASOC_TECH_DIR)/software/lib/common/Makefile.sim + + + diff --git a/software/src/mem_tests/mem_tests.c b/software/src/mem_tests/mem_tests.c new file mode 100644 index 0000000..4d9a8db --- /dev/null +++ b/software/src/mem_tests/mem_tests.c @@ -0,0 +1,19 @@ +#include "uart_stdout.h" +#include <stdio.h> +#include "system.h" + +int main(void) { + uint32_t errors = 0; + UartStdOutInit(); + + printf("Mem Tests - SoCLabs MegaSoC\n"); + + errors += access_addr_wdata(0x00806000,16,0xCAFECAFE); + + if(errors!=0){ + printf("Test Failed\n"); + } else { + printf("Test Passed\n"); + } + UartEndSimulation(); +} \ No newline at end of file diff --git a/software/src/neon_tests/makefile b/software/src/neon_tests/makefile new file mode 100644 index 0000000..a26f054 --- /dev/null +++ b/software/src/neon_tests/makefile @@ -0,0 +1,63 @@ +##------------------------------------------------------------------------------ +## The confidential and proprietary information contained in this file may +## only be used by a person authorised under and to the extent permitted +## by a subsisting licensing agreement from Arm Limited or its affiliates. +## +## (C) COPYRIGHT 2018-2021 Arm Limited or its affiliates. +## ALL RIGHTS RESERVED +## +## This entire notice must be reproduced on all copies of this file +## and copies of this file may only be made by a person if such person is +## permitted to do so under the terms of a subsisting license agreement +## from Arm Limited or its affiliates. +## +## Release Information : SSE710-r0p0-00rel0 +## +##------------------------------------------------------------------------------ +## Purpose : C test Makefile +##------------------------------------------------------------------------------ + +TEST_PATH := $(CURDIR) +TEST_ID := $(notdir $(TEST_PATH)) + +export TEST_PATH +export TEST_ID + +############################################################################# +#### Variables globally applied for all images ###### +############################################################################# + +ifeq ($(TEST_VERBOSITY), 1) + GLOBAL_TESTDEFINES := -DTEST_VERBOSITY_HIGH +endif + +############################################################################# +############# Variables necessary for compiling image for Cortex A53 ######## +############################################################################# + +APP_TESTDEFINES := + +APP_SCAT := default +APP_LD_FLAGS := +APP_AS_FLAGS := -DMASTER_CPU_REF=0x0 -DMASTER_CLUS_REF=0x0 -DTTBR0_BASE=0x02100000 -DVBAR_ADDR=0x02000000 +APP_AS_INC_DIR := +APP_C_FLAGS := $(APP_TESTDEFINES) $(GLOBAL_TESTDEFINES) -DTTBR0_BASE=0x02100000 +APP_C_INC_DIR := $(TEST_PATH) +APP_SRC := neon_tests.c + +export APP_SRC +export APP_C_FLAGS +export APP_C_INC_DIR +export APP_AS_FLAGS +export APP_AS_INC_DIR +export APP_LD_FLAGS +export APP_SCAT + +############################################################################### +############################################################################### +############################################################################### + +include $(SOCLABS_MEGASOC_TECH_DIR)/software/lib/common/Makefile.sim + + + diff --git a/software/src/neon_tests/neon_tests.c b/software/src/neon_tests/neon_tests.c new file mode 100644 index 0000000..f851bfe --- /dev/null +++ b/software/src/neon_tests/neon_tests.c @@ -0,0 +1,32 @@ +#include "uart_stdout.h" +#include <stdio.h> +#include "arm_neon.h" + +int main(void) { + uint32_t errors = 0; + UartStdOutInit(); + + printf("Neon Tests - SoCLabs MegaSoC\n"); + + float f1 = 2.200002; + float f2 = 2.200001; + float ans = 1.0; + printf("Starting SP Floating point ...\n"); + for (int i=0; i<10;i++){ + ans *= f1; + ans *= f2; + } + printf("...Finshed\n"); + printf("ans = %f\n",ans); + + printf("Starting SP Vector Floating point ...\n"); + + float32x4_t v1 = { 1.0, 2.0, 3.0, 4.0 }, v2 = { 1.0, 1.0, 1.0, 1.0 }; + float32x4_t sum = vaddq_f32(v1, v2); + printf("sum = %f\n",sum[0]); + + printf("...Finshed\n"); + + UartEndSimulation(); +} + -- GitLab